diff --git a/maglef/digital_pll.mag b/maglef/digital_pll.mag deleted file mode 100644 index 686634d6..00000000 --- a/maglef/digital_pll.mag +++ /dev/null @@ -1,204 +0,0 @@ -magic -tech sky130A -magscale 1 2 -timestamp 1666101175 -<< obsli1 >> -rect 1104 1071 18860 13617 -<< obsm1 >> -rect 1104 892 18860 13728 -<< metal2 >> -rect 1122 14200 1178 15000 -rect 2594 14200 2650 15000 -rect 4066 14200 4122 15000 -rect 5538 14200 5594 15000 -rect 7010 14200 7066 15000 -rect 8482 14200 8538 15000 -rect 9954 14200 10010 15000 -rect 11426 14200 11482 15000 -rect 12898 14200 12954 15000 -rect 14370 14200 14426 15000 -rect 15842 14200 15898 15000 -rect 17314 14200 17370 15000 -rect 18786 14200 18842 15000 -rect 4986 0 5042 800 -rect 14922 0 14978 800 -<< obsm2 >> -rect 1234 14144 2538 14362 -rect 2706 14144 4010 14362 -rect 4178 14144 5482 14362 -rect 5650 14144 6954 14362 -rect 7122 14144 8426 14362 -rect 8594 14144 9898 14362 -rect 10066 14144 11370 14362 -rect 11538 14144 12842 14362 -rect 13010 14144 14314 14362 -rect 14482 14144 15786 14362 -rect 15954 14144 17258 14362 -rect 17426 14144 18730 14362 -rect 1178 856 18842 14144 -rect 1178 734 4930 856 -rect 5098 734 14866 856 -rect 15034 734 18842 856 -<< metal3 >> -rect 0 13472 800 13592 -rect 19200 13472 20000 13592 -rect 0 12656 800 12776 -rect 0 11840 800 11960 -rect 0 11024 800 11144 -rect 19200 11024 20000 11144 -rect 0 10208 800 10328 -rect 0 9392 800 9512 -rect 0 8576 800 8696 -rect 19200 8576 20000 8696 -rect 0 7760 800 7880 -rect 0 6944 800 7064 -rect 0 6128 800 6248 -rect 19200 6128 20000 6248 -rect 0 5312 800 5432 -rect 0 4496 800 4616 -rect 0 3680 800 3800 -rect 19200 3680 20000 3800 -rect 0 2864 800 2984 -rect 0 2048 800 2168 -rect 0 1232 800 1352 -rect 19200 1232 20000 1352 -<< obsm3 >> -rect 880 13392 19120 13633 -rect 800 12856 19200 13392 -rect 880 12576 19200 12856 -rect 800 12040 19200 12576 -rect 880 11760 19200 12040 -rect 800 11224 19200 11760 -rect 880 10944 19120 11224 -rect 800 10408 19200 10944 -rect 880 10128 19200 10408 -rect 800 9592 19200 10128 -rect 880 9312 19200 9592 -rect 800 8776 19200 9312 -rect 880 8496 19120 8776 -rect 800 7960 19200 8496 -rect 880 7680 19200 7960 -rect 800 7144 19200 7680 -rect 880 6864 19200 7144 -rect 800 6328 19200 6864 -rect 880 6048 19120 6328 -rect 800 5512 19200 6048 -rect 880 5232 19200 5512 -rect 800 4696 19200 5232 -rect 880 4416 19200 4696 -rect 800 3880 19200 4416 -rect 880 3600 19120 3880 -rect 800 3064 19200 3600 -rect 880 2784 19200 3064 -rect 800 2248 19200 2784 -rect 880 1968 19200 2248 -rect 800 1432 19200 1968 -rect 880 1152 19120 1432 -rect 800 1055 19200 1152 -<< metal4 >> -rect 4208 1040 4528 13648 -rect 8208 1040 8528 13648 -rect 12208 1040 12528 13648 -rect 16208 1040 16528 13648 -<< metal5 >> -rect 1056 12210 18908 12530 -rect 1056 8210 18908 8530 -rect 1056 4210 18908 4530 -<< labels >> -rlabel metal4 s 8208 1040 8528 13648 6 VGND -port 1 nsew ground bidirectional -rlabel metal4 s 16208 1040 16528 13648 6 VGND -port 1 nsew ground bidirectional -rlabel metal5 s 1056 8210 18908 8530 6 VGND -port 1 nsew ground bidirectional -rlabel metal4 s 4208 1040 4528 13648 6 VPWR -port 2 nsew power bidirectional -rlabel metal4 s 12208 1040 12528 13648 6 VPWR -port 2 nsew power bidirectional -rlabel metal5 s 1056 4210 18908 4530 6 VPWR -port 2 nsew power bidirectional -rlabel metal5 s 1056 12210 18908 12530 6 VPWR -port 2 nsew power bidirectional -rlabel metal3 s 0 1232 800 1352 6 clockp[0] -port 3 nsew signal output -rlabel metal3 s 0 2048 800 2168 6 clockp[1] -port 4 nsew signal output -rlabel metal3 s 0 7760 800 7880 6 dco -port 5 nsew signal input -rlabel metal3 s 0 2864 800 2984 6 div[0] -port 6 nsew signal input -rlabel metal3 s 0 3680 800 3800 6 div[1] -port 7 nsew signal input -rlabel metal3 s 0 4496 800 4616 6 div[2] -port 8 nsew signal input -rlabel metal3 s 0 5312 800 5432 6 div[3] -port 9 nsew signal input -rlabel metal3 s 0 6128 800 6248 6 div[4] -port 10 nsew signal input -rlabel metal3 s 0 6944 800 7064 6 enable -port 11 nsew signal input -rlabel metal3 s 0 8576 800 8696 6 ext_trim[0] -port 12 nsew signal input -rlabel metal2 s 5538 14200 5594 15000 6 ext_trim[10] -port 13 nsew signal input -rlabel metal2 s 7010 14200 7066 15000 6 ext_trim[11] -port 14 nsew signal input -rlabel metal2 s 8482 14200 8538 15000 6 ext_trim[12] -port 15 nsew signal input -rlabel metal2 s 9954 14200 10010 15000 6 ext_trim[13] -port 16 nsew signal input -rlabel metal2 s 11426 14200 11482 15000 6 ext_trim[14] -port 17 nsew signal input -rlabel metal2 s 12898 14200 12954 15000 6 ext_trim[15] -port 18 nsew signal input -rlabel metal2 s 14370 14200 14426 15000 6 ext_trim[16] -port 19 nsew signal input -rlabel metal2 s 15842 14200 15898 15000 6 ext_trim[17] -port 20 nsew signal input -rlabel metal2 s 17314 14200 17370 15000 6 ext_trim[18] -port 21 nsew signal input -rlabel metal2 s 18786 14200 18842 15000 6 ext_trim[19] -port 22 nsew signal input -rlabel metal3 s 0 9392 800 9512 6 ext_trim[1] -port 23 nsew signal input -rlabel metal3 s 19200 13472 20000 13592 6 ext_trim[20] -port 24 nsew signal input -rlabel metal3 s 19200 11024 20000 11144 6 ext_trim[21] -port 25 nsew signal input -rlabel metal3 s 19200 8576 20000 8696 6 ext_trim[22] -port 26 nsew signal input -rlabel metal3 s 19200 6128 20000 6248 6 ext_trim[23] -port 27 nsew signal input -rlabel metal3 s 19200 3680 20000 3800 6 ext_trim[24] -port 28 nsew signal input -rlabel metal3 s 19200 1232 20000 1352 6 ext_trim[25] -port 29 nsew signal input -rlabel metal3 s 0 10208 800 10328 6 ext_trim[2] -port 30 nsew signal input -rlabel metal3 s 0 11024 800 11144 6 ext_trim[3] -port 31 nsew signal input -rlabel metal3 s 0 11840 800 11960 6 ext_trim[4] -port 32 nsew signal input -rlabel metal3 s 0 12656 800 12776 6 ext_trim[5] -port 33 nsew signal input -rlabel metal3 s 0 13472 800 13592 6 ext_trim[6] -port 34 nsew signal input -rlabel metal2 s 1122 14200 1178 15000 6 ext_trim[7] -port 35 nsew signal input -rlabel metal2 s 2594 14200 2650 15000 6 ext_trim[8] -port 36 nsew signal input -rlabel metal2 s 4066 14200 4122 15000 6 ext_trim[9] -port 37 nsew signal input -rlabel metal2 s 14922 0 14978 800 6 osc -port 38 nsew signal input -rlabel metal2 s 4986 0 5042 800 6 resetb -port 39 nsew signal input -<< properties >> -string FIXED_BBOX 0 0 20000 15000 -string LEFclass BLOCK -string LEFview TRUE -string GDS_END 1263518 -string GDS_FILE ../gds/digital_pll.gds -string GDS_START 348134 -<< end >> - diff --git a/openlane/digital_pll/base.sdc b/openlane/digital_pll/base.sdc deleted file mode 100644 index 7573f8f8..00000000 --- a/openlane/digital_pll/base.sdc +++ /dev/null @@ -1,28 +0,0 @@ -create_clock [get_pins {"ringosc.ibufp01/Y"} ] -name "pll_control_clock" -period 6.6666666666667 - -set_propagated_clock [get_clocks {pll_control_clock}] - -set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] -set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] -puts "\[INFO\]: Setting output delay to: $output_delay_value" -puts "\[INFO\]: Setting input delay to: $input_delay_value" - -set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] -set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_inputs] -set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] - -# TODO set this as parameter -set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] -set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] -puts "\[INFO\]: Setting load to: $cap_load" -set_load $cap_load [all_outputs] - -puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" -set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] -set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] - -puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINTY)" -set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINTY) [get_clocks {pll_control_clock}] - -puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" -set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {pll_control_clock}] diff --git a/openlane/digital_pll/config.tcl b/openlane/digital_pll/config.tcl deleted file mode 100644 index bf991315..00000000 --- a/openlane/digital_pll/config.tcl +++ /dev/null @@ -1,67 +0,0 @@ -# SPDX-FileCopyrightText: 2020 Efabless Corporation -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# SPDX-License-Identifier: Apache-2.0 -set ::env(DESIGN_NAME) digital_pll -set ::env(DESIGN_IS_CORE) 1 - -set ::env(VERILOG_FILES) $::env(DESIGN_DIR)/../../verilog/rtl/digital_pll.v - -set ::env(CLOCK_PORT) "" -set ::env(CLOCK_TREE_SYNTH) 0 - -# Synthesis -set ::env(SYNTH_READ_BLACKBOX_LIB) 1 -set ::env(SYNTH_MAX_FANOUT) 7 -set ::env(SYNTH_BUFFERING) 1 -set ::env(SYNTH_SIZING) 0 - -set ::env(BASE_SDC_FILE) $::env(DESIGN_DIR)/base.sdc - -set ::env(NO_SYNTH_CELL_LIST) $::env(DESIGN_DIR)/no_synth.list - -## Floorplan -set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg - -set ::env(FP_SIZING) absolute -set ::env(DIE_AREA) "0 0 100 75" - -set ::env(TOP_MARGIN_MULT) 2 -set ::env(BOTTOM_MARGIN_MULT) 2 - -set ::env(DIODE_PADDING) 0 -set ::env(DPL_CELL_PADDING) 2 -set ::env(DRT_CELL_PADDING) 4 - -## PDN -set ::env(FP_PDN_VPITCH) 40 -set ::env(FP_PDN_HPITCH) 40 -set ::env(FP_PDN_HOFFSET) 16.41 -set ::env(FP_PDN_HSPACING) 18.4 -set ::env(FP_PDN_VSPACING) 18.4 - -## Placement -set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0 -set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0 -set ::env(PL_TARGET_DENSITY) 0.68 - -## Routing -set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 0 -set ::env(GRT_ADJUSTMENT) 0 - -## Diode Insertion -set ::env(DIODE_INSERTION_STRATEGY) "4" - -set ::env(STA_WRITE_LIB) 0 -set ::env(FP_PDN_SKIPTRIM) 1 - diff --git a/openlane/digital_pll/no_synth.list b/openlane/digital_pll/no_synth.list deleted file mode 100644 index 80dcaf20..00000000 --- a/openlane/digital_pll/no_synth.list +++ /dev/null @@ -1,245 +0,0 @@ -sky130_fd_sc_hd__clkbuf_1 -sky130_fd_sc_hd__clkbuf_16 -sky130_fd_sc_hd__clkbuf_2 -sky130_fd_sc_hd__clkbuf_4 -sky130_fd_sc_hd__clkbuf_8 -sky130_fd_sc_hd__clkdlybuf4s15_1 -sky130_fd_sc_hd__clkdlybuf4s15_2 -sky130_fd_sc_hd__clkdlybuf4s18_1 -sky130_fd_sc_hd__clkdlybuf4s18_2 -sky130_fd_sc_hd__clkdlybuf4s25_1 -sky130_fd_sc_hd__clkdlybuf4s25_2 -sky130_fd_sc_hd__clkdlybuf4s50_1 -sky130_fd_sc_hd__clkdlybuf4s50_2 -sky130_fd_sc_hd__clkinv_1 -sky130_fd_sc_hd__clkinv_16 -sky130_fd_sc_hd__clkinv_2 -sky130_fd_sc_hd__clkinv_4 -sky130_fd_sc_hd__clkinv_8 -sky130_fd_sc_hd__clkinvlp_2 -sky130_fd_sc_hd__clkinvlp_4 -sky130_fd_sc_hd__decap_12 -sky130_fd_sc_hd__decap_3 -sky130_fd_sc_hd__decap_4 -sky130_fd_sc_hd__decap_6 -sky130_fd_sc_hd__decap_8 -sky130_fd_sc_hd__diode_2 -sky130_fd_sc_hd__dlclkp_1 -sky130_fd_sc_hd__dlclkp_2 -sky130_fd_sc_hd__dlclkp_4 -sky130_fd_sc_hd__dlrbn_1 -sky130_fd_sc_hd__dlrbn_2 -sky130_fd_sc_hd__dlrbp_1 -sky130_fd_sc_hd__dlrbp_2 -sky130_fd_sc_hd__dlrtn_1 -sky130_fd_sc_hd__dlrtn_2 -sky130_fd_sc_hd__dlrtn_4 -sky130_fd_sc_hd__dlrtp_1 -sky130_fd_sc_hd__dlrtp_2 -sky130_fd_sc_hd__dlrtp_4 -sky130_fd_sc_hd__dlxbn_1 -sky130_fd_sc_hd__dlxbn_2 -sky130_fd_sc_hd__dlxbp_1 -sky130_fd_sc_hd__dlygate4sd1_1 -sky130_fd_sc_hd__dlygate4sd2_1 -sky130_fd_sc_hd__dlygate4sd3_1 -sky130_fd_sc_hd__dlymetal6s2s_1 -sky130_fd_sc_hd__dlymetal6s4s_1 -sky130_fd_sc_hd__dlymetal6s6s_1 -sky130_fd_sc_hd__edfxbp_1 -sky130_fd_sc_hd__edfxtp_1 -sky130_fd_sc_hd__einvn_0 -sky130_fd_sc_hd__einvn_1 -sky130_fd_sc_hd__einvn_2 -sky130_fd_sc_hd__einvn_4 -sky130_fd_sc_hd__einvn_8 -sky130_fd_sc_hd__einvp_1 -sky130_fd_sc_hd__einvp_2 -sky130_fd_sc_hd__einvp_4 -sky130_fd_sc_hd__einvp_8 -sky130_fd_sc_hd__fah_1 -sky130_fd_sc_hd__fahcin_1 -sky130_fd_sc_hd__fahcon_1 -sky130_fd_sc_hd__ha_1 -sky130_fd_sc_hd__ha_2 -sky130_fd_sc_hd__ha_4 -sky130_fd_sc_hd__macro_sparecell -sky130_fd_sc_hd__maj3_1 -sky130_fd_sc_hd__maj3_2 -sky130_fd_sc_hd__maj3_4 -sky130_fd_sc_hd__mux2i_1 -sky130_fd_sc_hd__mux2i_2 -sky130_fd_sc_hd__mux2i_4 -sky130_fd_sc_hd__sdfbbn_1 -sky130_fd_sc_hd__sdfbbn_2 -sky130_fd_sc_hd__sdfbbp_1 -sky130_fd_sc_hd__sdfrbp_1 -sky130_fd_sc_hd__sdfrbp_2 -sky130_fd_sc_hd__sdfrtn_1 -sky130_fd_sc_hd__sdfrtp_1 -sky130_fd_sc_hd__sdfrtp_2 -sky130_fd_sc_hd__sdfrtp_4 -sky130_fd_sc_hd__sdfsbp_1 -sky130_fd_sc_hd__sdfsbp_2 -sky130_fd_sc_hd__sdfstp_1 -sky130_fd_sc_hd__sdfstp_2 -sky130_fd_sc_hd__sdfstp_4 -sky130_fd_sc_hd__sdfxbp_1 -sky130_fd_sc_hd__sdfxbp_2 -sky130_fd_sc_hd__sdfxtp_1 -sky130_fd_sc_hd__sdfxtp_2 -sky130_fd_sc_hd__sdfxtp_4 -sky130_fd_sc_hd__sdlclkp_1 -sky130_fd_sc_hd__sdlclkp_2 -sky130_fd_sc_hd__sdlclkp_4 -sky130_fd_sc_hd__sedfxbp_1 -sky130_fd_sc_hd__sedfxbp_2 -sky130_fd_sc_hd__sedfxtp_1 -sky130_fd_sc_hd__sedfxtp_2 -sky130_fd_sc_hd__sedfxtp_4 -sky130_fd_sc_hd__a2111oi_1 -sky130_fd_sc_hd__a211o_1 -sky130_fd_sc_hd__a211oi_1 -sky130_fd_sc_hd__a2111o_1 -sky130_fd_sc_hd__a21bo_1 -sky130_fd_sc_hd__a21boi_1 -sky130_fd_sc_hd__a21o_1 -sky130_fd_sc_hd__a21oi_1 -sky130_fd_sc_hd__a221o_1 -sky130_fd_sc_hd__a221oi_1 -sky130_fd_sc_hd__a222oi_1 -sky130_fd_sc_hd__a22o_1 -sky130_fd_sc_hd__a22oi_1 -sky130_fd_sc_hd__a2bb2o_1 -sky130_fd_sc_hd__a2bb2oi_1 -sky130_fd_sc_hd__a311o_1 -sky130_fd_sc_hd__a311oi_1 -sky130_fd_sc_hd__a2111o_1 -sky130_fd_sc_hd__a21bo_1 -sky130_fd_sc_hd__a21boi_1 -sky130_fd_sc_hd__a21o_1 -sky130_fd_sc_hd__a21oi_1 -sky130_fd_sc_hd__a221o_1 -sky130_fd_sc_hd__a221oi_1 -sky130_fd_sc_hd__a222oi_1 -sky130_fd_sc_hd__a22o_1 -sky130_fd_sc_hd__a22oi_1 -sky130_fd_sc_hd__a2bb2o_1 -sky130_fd_sc_hd__a2bb2oi_1 -sky130_fd_sc_hd__a311o_1 -sky130_fd_sc_hd__a311oi_1 -sky130_fd_sc_hd__a31o_1 -sky130_fd_sc_hd__a31oi_1 -sky130_fd_sc_hd__a32o_1 -sky130_fd_sc_hd__a32oi_1 -sky130_fd_sc_hd__a41o_1 -sky130_fd_sc_hd__a41oi_1 -sky130_fd_sc_hd__and2_1 -sky130_fd_sc_hd__and2b_1 -sky130_fd_sc_hd__and3_1 -sky130_fd_sc_hd__and3b_1 -sky130_fd_sc_hd__and4_1 -sky130_fd_sc_hd__and4b_1 -sky130_fd_sc_hd__and4bb_1 -sky130_fd_sc_hd__dfbbn_1 -sky130_fd_sc_hd__dfbbp_1 -sky130_fd_sc_hd__dfrbp_1 -sky130_fd_sc_hd__dfrtn_1 -sky130_fd_sc_hd__dfrtp_1 -sky130_fd_sc_hd__dfsbp_1 -sky130_fd_sc_hd__dfstp_1 -sky130_fd_sc_hd__dfxbp_1 -sky130_fd_sc_hd__dfxtp_1 -sky130_fd_sc_hd__ebufn_1 -sky130_fd_sc_hd__inv_1 -sky130_fd_sc_hd__nand2_1 -sky130_fd_sc_hd__nand2b_1 -sky130_fd_sc_hd__nand3_1 -sky130_fd_sc_hd__nand3b_1 -sky130_fd_sc_hd__nand4_1 -sky130_fd_sc_hd__nand4b_1 -sky130_fd_sc_hd__nand4bb_1 -sky130_fd_sc_hd__nor2_1 -sky130_fd_sc_hd__nor2b_1 -sky130_fd_sc_hd__nor3_1 -sky130_fd_sc_hd__nor3b_1 -sky130_fd_sc_hd__nor4_1 -sky130_fd_sc_hd__nor4b_1 -sky130_fd_sc_hd__nor4bb_1 -sky130_fd_sc_hd__o2111a_1 -sky130_fd_sc_hd__o2111ai_1 -sky130_fd_sc_hd__o211a_1 -sky130_fd_sc_hd__o211ai_1 -sky130_fd_sc_hd__o21a_1 -sky130_fd_sc_hd__o21ai_1 -sky130_fd_sc_hd__o21ba_1 -sky130_fd_sc_hd__o21bai_1 -sky130_fd_sc_hd__o221a_1 -sky130_fd_sc_hd__o221ai_1 -sky130_fd_sc_hd__o22a_1 -sky130_fd_sc_hd__o22ai_1 -sky130_fd_sc_hd__o2bb2a_1 -sky130_fd_sc_hd__o2bb2ai_1 -sky130_fd_sc_hd__o311a_1 -sky130_fd_sc_hd__o311ai_1 -sky130_fd_sc_hd__o31a_1 -sky130_fd_sc_hd__o31ai_1 -sky130_fd_sc_hd__o32a_1 -sky130_fd_sc_hd__o32ai_1 -sky130_fd_sc_hd__o41a_1 -sky130_fd_sc_hd__o41ai_1 -sky130_fd_sc_hd__or2_1 -sky130_fd_sc_hd__or2b_1 -sky130_fd_sc_hd__or3_1 -sky130_fd_sc_hd__or3b_1 -sky130_fd_sc_hd__or4_1 -sky130_fd_sc_hd__or4b_1 -sky130_fd_sc_hd__or4bb_1 -sky130_fd_sc_hd__xnor2_1 -sky130_fd_sc_hd__xor2_1 - -sky130_fd_sc_hd__buf_1 -sky130_fd_sc_hd__bufbuf_1 -sky130_fd_sc_hd__bufinv_1 -sky130_fd_sc_hd__clkbuf_1 -sky130_fd_sc_hd__clkdlybuf4s15_1 -sky130_fd_sc_hd__clkdlybuf4s18_1 -sky130_fd_sc_hd__clkdlybuf4s25_1 -sky130_fd_sc_hd__clkdlybuf4s50_1 -sky130_fd_sc_hd__clkinv_1 -sky130_fd_sc_hd__dlygate4sd1_1 -sky130_fd_sc_hd__dlygate4sd2_1 -sky130_fd_sc_hd__dlygate4sd3_1 -sky130_fd_sc_hd__dlymetal6s2s_1 -sky130_fd_sc_hd__dlymetal6s4s_1 -sky130_fd_sc_hd__dlymetal6s6s_1 - -sky130_fd_sc_hd__or2 -sky130_fd_sc_hd__or2_0 -sky130_fd_sc_hd__or2_1 -sky130_fd_sc_hd__or2_2 -sky130_fd_sc_hd__or2_4 -sky130_fd_sc_hd__or2b -sky130_fd_sc_hd__or2b_1 -sky130_fd_sc_hd__or2b_2 -sky130_fd_sc_hd__or2b_4 -sky130_fd_sc_hd__or3 -sky130_fd_sc_hd__or3_1 -sky130_fd_sc_hd__or3_2 -sky130_fd_sc_hd__or3_4 -sky130_fd_sc_hd__or3b -sky130_fd_sc_hd__or3b_1 -sky130_fd_sc_hd__or3b_2 -sky130_fd_sc_hd__or3b_4 -sky130_fd_sc_hd__or4 -sky130_fd_sc_hd__or4_1 -sky130_fd_sc_hd__or4_2 -sky130_fd_sc_hd__or4_4 -sky130_fd_sc_hd__or4b -sky130_fd_sc_hd__or4b_1 -sky130_fd_sc_hd__or4b_2 -sky130_fd_sc_hd__or4b_4 -sky130_fd_sc_hd__or4bb -sky130_fd_sc_hd__or4bb_1 -sky130_fd_sc_hd__or4bb_2 -sky130_fd_sc_hd__or4bb_4 diff --git a/openlane/digital_pll/pin_order.cfg b/openlane/digital_pll/pin_order.cfg deleted file mode 100644 index b9858809..00000000 --- a/openlane/digital_pll/pin_order.cfg +++ /dev/null @@ -1,23 +0,0 @@ -#N -ext_trim\[[7-9]\] -ext_trim\[1[0-9]\] - -#E -ext_trim\[25\] -ext_trim\[24\] -ext_trim\[23\] -ext_trim\[22\] -ext_trim\[21\] -ext_trim\[20\] - -#W -clockp.* -div.* -enable -dco.* -ext_trim\[[0-6]\] - -#S -resetb -osc - diff --git a/openlane/digital_pll/template/digital_pll.def b/openlane/digital_pll/template/digital_pll.def deleted file mode 100644 index 49cbd52d..00000000 --- a/openlane/digital_pll/template/digital_pll.def +++ /dev/null @@ -1,6055 +0,0 @@ -VERSION 5.8 ; -DIVIDERCHAR "/" ; -BUSBITCHARS "[]" ; -DESIGN digital_pll ; -UNITS DISTANCE MICRONS 1000 ; -DIEAREA ( 0 0 ) ( 75000 75000 ) ; -ROW ROW_0 unithd 5520 5440 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_1 unithd 5520 8160 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_2 unithd 5520 10880 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_3 unithd 5520 13600 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_4 unithd 5520 16320 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_5 unithd 5520 19040 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_6 unithd 5520 21760 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_7 unithd 5520 24480 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_8 unithd 5520 27200 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_9 unithd 5520 29920 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_10 unithd 5520 32640 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_11 unithd 5520 35360 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_12 unithd 5520 38080 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_13 unithd 5520 40800 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_14 unithd 5520 43520 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_15 unithd 5520 46240 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_16 unithd 5520 48960 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_17 unithd 5520 51680 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_18 unithd 5520 54400 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_19 unithd 5520 57120 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_20 unithd 5520 59840 N DO 139 BY 1 STEP 460 0 ; -ROW ROW_21 unithd 5520 62560 FS DO 139 BY 1 STEP 460 0 ; -ROW ROW_22 unithd 5520 65280 N DO 139 BY 1 STEP 460 0 ; -TRACKS X 230 DO 163 STEP 460 LAYER li1 ; -TRACKS Y 170 DO 221 STEP 340 LAYER li1 ; -TRACKS X 170 DO 221 STEP 340 LAYER met1 ; -TRACKS Y 170 DO 221 STEP 340 LAYER met1 ; -TRACKS X 230 DO 163 STEP 460 LAYER met2 ; -TRACKS Y 230 DO 163 STEP 460 LAYER met2 ; -TRACKS X 340 DO 110 STEP 680 LAYER met3 ; -TRACKS Y 340 DO 110 STEP 680 LAYER met3 ; -TRACKS X 460 DO 82 STEP 920 LAYER met4 ; -TRACKS Y 460 DO 82 STEP 920 LAYER met4 ; -TRACKS X 1700 DO 22 STEP 3400 LAYER met5 ; -TRACKS Y 1700 DO 22 STEP 3400 LAYER met5 ; -GCELLGRID X 0 DO 10 STEP 6900 ; -GCELLGRID Y 0 DO 11 STEP 6900 ; -VIAS 4 ; - - via4_1600x1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 400 400 400 ; - - via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ; - - via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ; - - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ; -END VIAS -COMPONENTS 631 ; - - ANTENNA__177__A sky130_fd_sc_hd__diode_2 + PLACED ( 16560 13600 ) S ; - - ANTENNA__181__A sky130_fd_sc_hd__diode_2 + PLACED ( 21160 32640 ) FN ; - - ANTENNA__181__B sky130_fd_sc_hd__diode_2 + PLACED ( 17020 32640 ) FN ; - - ANTENNA__182__A sky130_fd_sc_hd__diode_2 + PLACED ( 17020 35360 ) FS ; - - ANTENNA__201__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 19040 ) S ; - - ANTENNA__201__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 7820 19040 ) FS ; - - ANTENNA__202__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 27200 ) FN ; - - ANTENNA__204__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 16320 ) N ; - - ANTENNA__207__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 10880 ) N ; - - ANTENNA__210__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 8160 ) S ; - - ANTENNA__210__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 9200 5440 ) FN ; - - ANTENNA__211__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 17480 13600 ) S ; - - ANTENNA__216__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 29920 ) FS ; - - ANTENNA__218__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 9200 32640 ) FN ; - - ANTENNA__330__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 28060 46240 ) S ; - - ANTENNA__330__S sky130_fd_sc_hd__diode_2 + PLACED ( 23000 48960 ) N ; - - ANTENNA__331__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 35360 ) FS ; - - ANTENNA__331__S sky130_fd_sc_hd__diode_2 + PLACED ( 58880 35360 ) FS ; - - ANTENNA__332__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 36340 54400 ) N ; - - ANTENNA__332__S sky130_fd_sc_hd__diode_2 + PLACED ( 37260 54400 ) N ; - - ANTENNA__333__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 38080 ) FN ; - - ANTENNA__333__S sky130_fd_sc_hd__diode_2 + PLACED ( 57500 32640 ) FN ; - - ANTENNA__334__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 38180 54400 ) FN ; - - ANTENNA__334__S sky130_fd_sc_hd__diode_2 + PLACED ( 39100 54400 ) N ; - - ANTENNA__335__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 46240 ) FS ; - - ANTENNA__335__S sky130_fd_sc_hd__diode_2 + PLACED ( 60720 48960 ) N ; - - ANTENNA__336__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 65280 ) N ; - - ANTENNA__336__S sky130_fd_sc_hd__diode_2 + PLACED ( 32660 65280 ) N ; - - ANTENNA__337__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 59800 48960 ) FN ; - - ANTENNA__337__S sky130_fd_sc_hd__diode_2 + PLACED ( 56120 51680 ) FS ; - - ANTENNA__338__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 33580 65280 ) N ; - - ANTENNA__338__S sky130_fd_sc_hd__diode_2 + PLACED ( 30360 65280 ) N ; - - ANTENNA__339__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 51060 59840 ) N ; - - ANTENNA__339__S sky130_fd_sc_hd__diode_2 + PLACED ( 44620 59840 ) N ; - - ANTENNA__340__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 26220 59840 ) N ; - - ANTENNA__340__S sky130_fd_sc_hd__diode_2 + PLACED ( 23460 59840 ) N ; - - ANTENNA__341__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 56120 59840 ) N ; - - ANTENNA__341__S sky130_fd_sc_hd__diode_2 + PLACED ( 56120 57120 ) FS ; - - ANTENNA__342__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 17480 57120 ) S ; - - ANTENNA__342__S sky130_fd_sc_hd__diode_2 + PLACED ( 18860 54400 ) N ; - - ANTENNA__343__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 48760 54400 ) N ; - - ANTENNA__343__S sky130_fd_sc_hd__diode_2 + PLACED ( 44160 51680 ) FS ; - - ANTENNA__344__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 17480 59840 ) N ; - - ANTENNA__344__S sky130_fd_sc_hd__diode_2 + PLACED ( 18860 65280 ) N ; - - ANTENNA__345__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 55200 65280 ) N ; - - ANTENNA__345__S sky130_fd_sc_hd__diode_2 + PLACED ( 56120 65280 ) N ; - - ANTENNA__346__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 54400 ) FN ; - - ANTENNA__346__S sky130_fd_sc_hd__diode_2 + PLACED ( 6900 59840 ) N ; - - ANTENNA__347__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 64860 65280 ) FN ; - - ANTENNA__347__S sky130_fd_sc_hd__diode_2 + PLACED ( 65780 65280 ) FN ; - - ANTENNA__348__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 48960 ) FN ; - - ANTENNA__348__S sky130_fd_sc_hd__diode_2 + PLACED ( 7820 48960 ) N ; - - ANTENNA__349__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 59800 57120 ) S ; - - ANTENNA__349__S sky130_fd_sc_hd__diode_2 + PLACED ( 45080 51680 ) FS ; - - ANTENNA__350__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 40800 ) S ; - - ANTENNA__350__S sky130_fd_sc_hd__diode_2 + PLACED ( 6900 46240 ) FS ; - - ANTENNA__351__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 46000 51680 ) S ; - - ANTENNA__351__S sky130_fd_sc_hd__diode_2 + PLACED ( 39100 48960 ) N ; - - ANTENNA__352__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 32640 ) FN ; - - ANTENNA__352__S sky130_fd_sc_hd__diode_2 + PLACED ( 7820 32640 ) FN ; - - ANTENNA__353__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 41860 43520 ) FN ; - - ANTENNA__353__S sky130_fd_sc_hd__diode_2 + PLACED ( 33120 40800 ) FS ; - - ANTENNA__354__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 28980 46240 ) S ; - - ANTENNA__354__S sky130_fd_sc_hd__diode_2 + PLACED ( 22080 48960 ) N ; - - ANTENNA__355__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 51060 38080 ) FN ; - - ANTENNA__355__S sky130_fd_sc_hd__diode_2 + PLACED ( 45080 40800 ) FS ; - - ANTENNA__356__D sky130_fd_sc_hd__diode_2 + PLACED ( 57500 5440 ) N ; - - FILLER_0_10 sky130_fd_sc_hd__decap_6 + PLACED ( 10120 5440 ) N ; - - FILLER_0_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 5440 ) N ; - - FILLER_0_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 5440 ) N ; - - FILLER_0_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 5440 ) N ; - - FILLER_0_37 sky130_fd_sc_hd__decap_6 + PLACED ( 22540 5440 ) N ; - - FILLER_0_52 sky130_fd_sc_hd__fill_1 + PLACED ( 29440 5440 ) N ; - - FILLER_0_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 5440 ) N ; - - FILLER_0_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 5440 ) N ; - - FILLER_0_70 sky130_fd_sc_hd__decap_12 + PLACED ( 37720 5440 ) N ; - - FILLER_0_82 sky130_fd_sc_hd__fill_2 + PLACED ( 43240 5440 ) N ; - - FILLER_0_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 5440 ) N ; - - FILLER_10_10 sky130_fd_sc_hd__decap_6 + PLACED ( 10120 32640 ) N ; - - FILLER_10_104 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 32640 ) N ; - - FILLER_10_19 sky130_fd_sc_hd__decap_3 + PLACED ( 14260 32640 ) N ; - - FILLER_10_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 32640 ) N ; - - FILLER_10_36 sky130_fd_sc_hd__decap_4 + PLACED ( 22080 32640 ) N ; - - FILLER_10_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 32640 ) N ; - - FILLER_10_94 sky130_fd_sc_hd__fill_2 + PLACED ( 48760 32640 ) N ; - - FILLER_11_101 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 35360 ) FS ; - - FILLER_11_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 35360 ) FS ; - - FILLER_11_130 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 35360 ) FS ; - - FILLER_11_23 sky130_fd_sc_hd__fill_2 + PLACED ( 16100 35360 ) FS ; - - FILLER_11_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 35360 ) FS ; - - FILLER_11_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 35360 ) FS ; - - FILLER_11_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 35360 ) FS ; - - FILLER_11_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 35360 ) FS ; - - FILLER_11_77 sky130_fd_sc_hd__fill_2 + PLACED ( 40940 35360 ) FS ; - - FILLER_12_101 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 38080 ) N ; - - FILLER_12_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 38080 ) N ; - - FILLER_12_19 sky130_fd_sc_hd__fill_1 + PLACED ( 14260 38080 ) N ; - - FILLER_12_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 38080 ) N ; - - FILLER_12_39 sky130_fd_sc_hd__fill_2 + PLACED ( 23460 38080 ) N ; - - FILLER_12_48 sky130_fd_sc_hd__fill_2 + PLACED ( 27600 38080 ) N ; - - FILLER_12_68 sky130_fd_sc_hd__fill_2 + PLACED ( 36800 38080 ) N ; - - FILLER_12_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 38080 ) N ; - - FILLER_13_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 40800 ) FS ; - - FILLER_13_34 sky130_fd_sc_hd__fill_2 + PLACED ( 21160 40800 ) FS ; - - FILLER_13_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 40800 ) FS ; - - FILLER_14_112 sky130_fd_sc_hd__fill_2 + PLACED ( 57040 43520 ) N ; - - FILLER_14_13 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 43520 ) N ; - - FILLER_14_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 43520 ) N ; - - FILLER_14_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 43520 ) N ; - - FILLER_14_63 sky130_fd_sc_hd__decap_4 + PLACED ( 34500 43520 ) N ; - - FILLER_14_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 43520 ) N ; - - FILLER_14_85 sky130_fd_sc_hd__fill_2 + PLACED ( 44620 43520 ) N ; - - FILLER_15_109 sky130_fd_sc_hd__decap_3 + PLACED ( 55660 46240 ) FS ; - - FILLER_15_24 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 46240 ) FS ; - - FILLER_15_39 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 46240 ) FS ; - - FILLER_15_5 sky130_fd_sc_hd__fill_1 + PLACED ( 7820 46240 ) FS ; - - FILLER_15_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 46240 ) FS ; - - FILLER_15_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 46240 ) FS ; - - FILLER_15_76 sky130_fd_sc_hd__fill_2 + PLACED ( 40480 46240 ) FS ; - - FILLER_15_93 sky130_fd_sc_hd__decap_8 + PLACED ( 48300 46240 ) FS ; - - FILLER_16_115 sky130_fd_sc_hd__decap_3 + PLACED ( 58420 48960 ) N ; - - FILLER_16_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 48960 ) N ; - - FILLER_16_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 48960 ) N ; - - FILLER_16_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 48960 ) N ; - - FILLER_16_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 48960 ) N ; - - FILLER_16_59 sky130_fd_sc_hd__decap_3 + PLACED ( 32660 48960 ) N ; - - FILLER_16_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 48960 ) N ; - - FILLER_16_72 sky130_fd_sc_hd__fill_1 + PLACED ( 38640 48960 ) N ; - - FILLER_16_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 48960 ) N ; - - FILLER_16_98 sky130_fd_sc_hd__fill_1 + PLACED ( 50600 48960 ) N ; - - FILLER_17_100 sky130_fd_sc_hd__fill_1 + PLACED ( 51520 51680 ) FS ; - - FILLER_17_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 51680 ) FS ; - - FILLER_17_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 51680 ) FS ; - - FILLER_18_112 sky130_fd_sc_hd__fill_1 + PLACED ( 57040 54400 ) N ; - - FILLER_18_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 54400 ) N ; - - FILLER_18_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 54400 ) N ; - - FILLER_18_49 sky130_fd_sc_hd__fill_1 + PLACED ( 28060 54400 ) N ; - - FILLER_18_75 sky130_fd_sc_hd__fill_1 + PLACED ( 40020 54400 ) N ; - - FILLER_18_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 54400 ) N ; - - FILLER_19_100 sky130_fd_sc_hd__fill_1 + PLACED ( 51520 57120 ) FS ; - - FILLER_19_120 sky130_fd_sc_hd__fill_1 + PLACED ( 60720 57120 ) FS ; - - FILLER_19_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 57120 ) FS ; - - FILLER_19_28 sky130_fd_sc_hd__fill_1 + PLACED ( 18400 57120 ) FS ; - - FILLER_19_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 57120 ) FS ; - - FILLER_19_68 sky130_fd_sc_hd__fill_1 + PLACED ( 36800 57120 ) FS ; - - FILLER_19_87 sky130_fd_sc_hd__fill_1 + PLACED ( 45540 57120 ) FS ; - - FILLER_1_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 8160 ) FS ; - - FILLER_1_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 8160 ) FS ; - - FILLER_1_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 8160 ) FS ; - - FILLER_1_78 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 8160 ) FS ; - - FILLER_20_112 sky130_fd_sc_hd__fill_1 + PLACED ( 57040 59840 ) N ; - - FILLER_20_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 59840 ) N ; - - FILLER_20_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 59840 ) N ; - - FILLER_20_5 sky130_fd_sc_hd__decap_6 + PLACED ( 7820 59840 ) N ; - - FILLER_21_104 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 62560 ) FS ; - - FILLER_21_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 62560 ) FS ; - - FILLER_21_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 62560 ) FS ; - - FILLER_21_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 62560 ) FS ; - - FILLER_21_69 sky130_fd_sc_hd__fill_2 + PLACED ( 37260 62560 ) FS ; - - FILLER_22_133 sky130_fd_sc_hd__decap_3 + PLACED ( 66700 65280 ) N ; - - FILLER_22_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 65280 ) N ; - - FILLER_22_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 65280 ) N ; - - FILLER_22_42 sky130_fd_sc_hd__fill_2 + PLACED ( 24840 65280 ) N ; - - FILLER_22_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 65280 ) N ; - - FILLER_22_72 sky130_fd_sc_hd__decap_12 + PLACED ( 38640 65280 ) N ; - - FILLER_2_120 sky130_fd_sc_hd__fill_2 + PLACED ( 60720 10880 ) N ; - - FILLER_2_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 10880 ) N ; - - FILLER_2_18 sky130_fd_sc_hd__fill_1 + PLACED ( 13800 10880 ) N ; - - FILLER_2_5 sky130_fd_sc_hd__fill_1 + PLACED ( 7820 10880 ) N ; - - FILLER_2_78 sky130_fd_sc_hd__decap_3 + PLACED ( 41400 10880 ) N ; - - FILLER_3_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 13600 ) FS ; - - FILLER_3_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 13600 ) FS ; - - FILLER_3_28 sky130_fd_sc_hd__fill_2 + PLACED ( 18400 13600 ) FS ; - - FILLER_3_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 13600 ) FS ; - - FILLER_3_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 13600 ) FS ; - - FILLER_3_37 sky130_fd_sc_hd__fill_1 + PLACED ( 22540 13600 ) FS ; - - FILLER_3_48 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 13600 ) FS ; - - FILLER_3_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 13600 ) FS ; - - FILLER_3_71 sky130_fd_sc_hd__fill_2 + PLACED ( 38180 13600 ) FS ; - - FILLER_3_94 sky130_fd_sc_hd__fill_1 + PLACED ( 48760 13600 ) FS ; - - FILLER_4_120 sky130_fd_sc_hd__decap_3 + PLACED ( 60720 16320 ) N ; - - FILLER_4_129 sky130_fd_sc_hd__decap_6 + PLACED ( 64860 16320 ) N ; - - FILLER_4_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 16320 ) N ; - - FILLER_4_58 sky130_fd_sc_hd__fill_2 + PLACED ( 32200 16320 ) N ; - - FILLER_4_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 16320 ) N ; - - FILLER_5_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 19040 ) FS ; - - FILLER_5_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 19040 ) FS ; - - FILLER_5_19 sky130_fd_sc_hd__fill_1 + PLACED ( 14260 19040 ) FS ; - - FILLER_5_32 sky130_fd_sc_hd__decap_3 + PLACED ( 20240 19040 ) FS ; - - FILLER_5_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 19040 ) FS ; - - FILLER_5_69 sky130_fd_sc_hd__fill_1 + PLACED ( 37260 19040 ) FS ; - - FILLER_6_100 sky130_fd_sc_hd__decap_3 + PLACED ( 51520 21760 ) N ; - - FILLER_6_122 sky130_fd_sc_hd__decap_12 + PLACED ( 61640 21760 ) N ; - - FILLER_6_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 21760 ) N ; - - FILLER_6_17 sky130_fd_sc_hd__fill_1 + PLACED ( 13340 21760 ) N ; - - FILLER_6_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 21760 ) N ; - - FILLER_6_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 21760 ) N ; - - FILLER_6_38 sky130_fd_sc_hd__decap_3 + PLACED ( 23000 21760 ) N ; - - FILLER_6_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 21760 ) N ; - - FILLER_6_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 21760 ) N ; - - FILLER_6_71 sky130_fd_sc_hd__decap_12 + PLACED ( 38180 21760 ) N ; - - FILLER_6_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 21760 ) N ; - - FILLER_6_88 sky130_fd_sc_hd__fill_1 + PLACED ( 46000 21760 ) N ; - - FILLER_7_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 24480 ) FS ; - - FILLER_7_116 sky130_fd_sc_hd__decap_8 + PLACED ( 58880 24480 ) FS ; - - FILLER_7_127 sky130_fd_sc_hd__decap_8 + PLACED ( 63940 24480 ) FS ; - - FILLER_7_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 24480 ) FS ; - - FILLER_7_39 sky130_fd_sc_hd__decap_3 + PLACED ( 23460 24480 ) FS ; - - FILLER_7_81 sky130_fd_sc_hd__fill_2 + PLACED ( 42780 24480 ) FS ; - - FILLER_7_90 sky130_fd_sc_hd__decap_6 + PLACED ( 46920 24480 ) FS ; - - FILLER_7_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 24480 ) FS ; - - FILLER_8_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 27200 ) N ; - - FILLER_8_29 sky130_fd_sc_hd__fill_1 + PLACED ( 18860 27200 ) N ; - - FILLER_8_51 sky130_fd_sc_hd__decap_12 + PLACED ( 28980 27200 ) N ; - - FILLER_8_63 sky130_fd_sc_hd__decap_6 + PLACED ( 34500 27200 ) N ; - - FILLER_8_69 sky130_fd_sc_hd__fill_1 + PLACED ( 37260 27200 ) N ; - - FILLER_9_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 29920 ) FS ; - - FILLER_9_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 29920 ) FS ; - - FILLER_9_47 sky130_fd_sc_hd__fill_2 + PLACED ( 27140 29920 ) FS ; - - FILLER_9_5 sky130_fd_sc_hd__fill_1 + PLACED ( 7820 29920 ) FS ; - - FILLER_9_52 sky130_fd_sc_hd__fill_1 + PLACED ( 29440 29920 ) FS ; - - FILLER_9_78 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 29920 ) FS ; - - FILLER_9_87 sky130_fd_sc_hd__decap_3 + PLACED ( 45540 29920 ) FS ; - - PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 5440 ) N ; - - PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 5440 ) FN ; - - PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ; - - PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 19040 ) S ; - - PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ; - - PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 21760 ) FN ; - - PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ; - - PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 24480 ) S ; - - PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ; - - PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 27200 ) FN ; - - PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ; - - PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 29920 ) S ; - - PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 8160 ) FS ; - - PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ; - - PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 32640 ) FN ; - - PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ; - - PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 35360 ) S ; - - PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ; - - PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 38080 ) FN ; - - PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ; - - PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 40800 ) S ; - - PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ; - - PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 43520 ) FN ; - - PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 8160 ) S ; - - PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ; - - PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 46240 ) S ; - - PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ; - - PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 48960 ) FN ; - - PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ; - - PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 51680 ) S ; - - PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ; - - PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 54400 ) FN ; - - PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ; - - PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 57120 ) S ; - - PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ; - - PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ; - - PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 59840 ) FN ; - - PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ; - - PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 62560 ) S ; - - PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ; - - PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 65280 ) FN ; - - PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 10880 ) FN ; - - PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ; - - PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 13600 ) S ; - - PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ; - - PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 16320 ) FN ; - - TAP_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 5440 ) N ; - - TAP_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 5440 ) N ; - - TAP_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 5440 ) N ; - - TAP_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 5440 ) N ; - - TAP_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 8160 ) FS ; - - TAP_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 8160 ) FS ; - - TAP_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ; - - TAP_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ; - - TAP_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ; - - TAP_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ; - - TAP_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ; - - TAP_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ; - - TAP_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ; - - TAP_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ; - - TAP_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ; - - TAP_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ; - - TAP_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ; - - TAP_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ; - - TAP_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ; - - TAP_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ; - - TAP_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ; - - TAP_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ; - - TAP_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ; - - TAP_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ; - - TAP_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ; - - TAP_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ; - - TAP_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ; - - TAP_73 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ; - - TAP_74 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ; - - TAP_75 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ; - - TAP_76 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ; - - TAP_77 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ; - - TAP_78 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ; - - TAP_79 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ; - - TAP_80 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ; - - TAP_81 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ; - - TAP_82 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ; - - TAP_83 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ; - - TAP_84 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ; - - TAP_85 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ; - - TAP_86 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ; - - TAP_87 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ; - - TAP_88 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ; - - TAP_89 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ; - - TAP_90 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ; - - TAP_91 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ; - - TAP_92 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ; - - TAP_93 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 65280 ) N ; - - TAP_94 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ; - - TAP_95 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 65280 ) N ; - - _164_ sky130_fd_sc_hd__inv_2 + PLACED ( 18400 29920 ) S ; - - _165_ sky130_fd_sc_hd__inv_2 + PLACED ( 15640 32640 ) FN ; - - _166_ sky130_fd_sc_hd__inv_2 + PLACED ( 23000 10880 ) N ; - - _167_ sky130_fd_sc_hd__inv_2 + PLACED ( 29900 5440 ) FN ; - - _168_ sky130_fd_sc_hd__inv_2 + PLACED ( 31280 21760 ) FN ; - - _169_ sky130_fd_sc_hd__inv_2 + PLACED ( 35880 19040 ) S ; - - _170_ sky130_fd_sc_hd__inv_2 + PLACED ( 52440 38080 ) N ; - - _171_ sky130_fd_sc_hd__inv_2 + PLACED ( 40940 38080 ) N ; - - _172_ sky130_fd_sc_hd__inv_2 + PLACED ( 43700 40800 ) FS ; - - _173_ sky130_fd_sc_hd__inv_2 + PLACED ( 54280 46240 ) FS ; - - _174_ sky130_fd_sc_hd__inv_2 + PLACED ( 57500 35360 ) FS ; - - _175_ sky130_fd_sc_hd__inv_2 + PLACED ( 57500 24480 ) S ; - - _176_ sky130_fd_sc_hd__inv_2 + PLACED ( 46460 21760 ) FN ; - - _177_ sky130_fd_sc_hd__inv_2 + PLACED ( 15180 13600 ) S ; - - _178_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 63020 10880 ) N ; - - _179_ sky130_fd_sc_hd__inv_2 + PLACED ( 48300 10880 ) N ; - - _180_ sky130_fd_sc_hd__a22o_2 + PLACED ( 16560 24480 ) S ; - - _181_ sky130_fd_sc_hd__nand2_2 + PLACED ( 18860 32640 ) N ; - - _182_ sky130_fd_sc_hd__nor2_2 + PLACED ( 17940 35360 ) FS ; - - _183_ sky130_fd_sc_hd__a22o_2 + PLACED ( 14720 16320 ) N ; - - _184_ sky130_fd_sc_hd__a22o_2 + PLACED ( 18860 5440 ) FN ; - - _185_ sky130_fd_sc_hd__a22o_2 + PLACED ( 44620 10880 ) FN ; - - _186_ sky130_fd_sc_hd__a22o_2 + PLACED ( 32200 19040 ) FS ; - - _187_ sky130_fd_sc_hd__a22o_2 + PLACED ( 49220 13600 ) FS ; - - _188_ sky130_fd_sc_hd__a22o_2 + PLACED ( 51520 8160 ) S ; - - _189_ sky130_fd_sc_hd__or2_2 + PLACED ( 44620 5440 ) FN ; - - _190_ sky130_fd_sc_hd__nor2_2 + PLACED ( 14720 19040 ) FS ; - - _191_ sky130_fd_sc_hd__a21o_2 + PLACED ( 17020 19040 ) FS ; - - _192_ sky130_fd_sc_hd__nor2_2 + PLACED ( 16100 5440 ) FN ; - - _193_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32200 13600 ) FS ; - - _194_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 37260 10880 ) N ; - - _195_ sky130_fd_sc_hd__a22o_2 + PLACED ( 34500 13600 ) S ; - - _196_ sky130_fd_sc_hd__inv_2 + PLACED ( 19320 13600 ) FS ; - - _197_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 18860 10880 ) FN ; - - _198_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 13800 21760 ) N ; - - _199_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 17480 8160 ) S ; - - _200_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 14260 10880 ) N ; - - _201_ sky130_fd_sc_hd__a22oi_2 + PLACED ( 7360 21760 ) FN ; - - _202_ sky130_fd_sc_hd__or2_2 + PLACED ( 6900 24480 ) FS ; - - _203_ sky130_fd_sc_hd__inv_2 + PLACED ( 11960 21760 ) N ; - - _204_ sky130_fd_sc_hd__o211a_2 + PLACED ( 7820 16320 ) FN ; - - _205_ sky130_fd_sc_hd__inv_2 + PLACED ( 12880 19040 ) FS ; - - _206_ sky130_fd_sc_hd__o2bb2ai_2 + PLACED ( 31740 10880 ) FN ; - - _207_ sky130_fd_sc_hd__nand2_2 + PLACED ( 7360 13600 ) S ; - - _208_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 28060 13600 ) FS ; - - _209_ sky130_fd_sc_hd__inv_2 + PLACED ( 12420 10880 ) FN ; - - _210_ sky130_fd_sc_hd__o221a_2 + PLACED ( 8280 10880 ) N ; - - _211_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 11500 16320 ) FN ; - - _212_ sky130_fd_sc_hd__a22o_2 + PLACED ( 12880 24480 ) S ; - - _213_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 18860 21760 ) FN ; - - _214_ sky130_fd_sc_hd__or2_2 + PLACED ( 15640 27200 ) FN ; - - _215_ sky130_fd_sc_hd__a21bo_2 + PLACED ( 9200 24480 ) FS ; - - _216_ sky130_fd_sc_hd__nand2_2 + PLACED ( 7820 27200 ) FN ; - - _217_ sky130_fd_sc_hd__o221a_2 + PLACED ( 8740 19040 ) FS ; - - _218_ sky130_fd_sc_hd__o221ai_2 + PLACED ( 10120 27200 ) N ; - - _219_ sky130_fd_sc_hd__or2_2 + PLACED ( 35880 21760 ) N ; - - _220_ sky130_fd_sc_hd__inv_2 + PLACED ( 41400 24480 ) FS ; - - _221_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 43520 ) FN ; - - _222_ sky130_fd_sc_hd__inv_2 + PLACED ( 50600 43520 ) N ; - - _223_ sky130_fd_sc_hd__or3_2 + PLACED ( 45540 43520 ) N ; - - _224_ sky130_fd_sc_hd__or3_2 + PLACED ( 50140 24480 ) S ; - - _225_ sky130_fd_sc_hd__o2111ai_2 + PLACED ( 9660 13600 ) FS ; - - _226_ sky130_fd_sc_hd__and4_2 + PLACED ( 52900 13600 ) S ; - - _227_ sky130_fd_sc_hd__or2_2 + PLACED ( 54740 43520 ) N ; - - _228_ sky130_fd_sc_hd__inv_2 + PLACED ( 52440 40800 ) S ; - - _229_ sky130_fd_sc_hd__or2_2 + PLACED ( 41400 40800 ) FS ; - - _230_ sky130_fd_sc_hd__inv_2 + PLACED ( 42780 38080 ) FN ; - - _231_ sky130_fd_sc_hd__or2_2 + PLACED ( 51980 46240 ) FS ; - - _232_ sky130_fd_sc_hd__or2_2 + PLACED ( 50140 40800 ) S ; - - _233_ sky130_fd_sc_hd__or3_2 + PLACED ( 48300 16320 ) N ; - - _234_ sky130_fd_sc_hd__o221a_2 + PLACED ( 48760 19040 ) S ; - - _235_ sky130_fd_sc_hd__o31a_2 + PLACED ( 47840 21760 ) N ; - - _236_ sky130_fd_sc_hd__inv_2 + PLACED ( 37720 27200 ) N ; - - _237_ sky130_fd_sc_hd__a22o_2 + PLACED ( 53360 19040 ) FS ; - - _238_ sky130_fd_sc_hd__o22a_2 + PLACED ( 53360 24480 ) FS ; - - _239_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48760 38080 ) FN ; - - _240_ sky130_fd_sc_hd__a22o_2 + PLACED ( 49680 32640 ) FN ; - - _241_ sky130_fd_sc_hd__o32a_2 + PLACED ( 47840 35360 ) FS ; - - _242_ sky130_fd_sc_hd__a22o_2 + PLACED ( 41860 35360 ) FS ; - - _243_ sky130_fd_sc_hd__o22a_2 + PLACED ( 40480 32640 ) N ; - - _244_ sky130_fd_sc_hd__inv_2 + PLACED ( 34960 32640 ) FN ; - - _245_ sky130_fd_sc_hd__o32a_2 + PLACED ( 44620 32640 ) N ; - - _246_ sky130_fd_sc_hd__inv_2 + PLACED ( 39100 27200 ) FN ; - - _247_ sky130_fd_sc_hd__o22a_2 + PLACED ( 40480 27200 ) FN ; - - _248_ sky130_fd_sc_hd__inv_2 + PLACED ( 41860 29920 ) FS ; - - _249_ sky130_fd_sc_hd__a221o_2 + PLACED ( 44620 27200 ) N ; - - _250_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 43700 24480 ) FS ; - - _251_ sky130_fd_sc_hd__or2_2 + PLACED ( 45540 35360 ) FS ; - - _252_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 37720 38080 ) N ; - - _253_ sky130_fd_sc_hd__inv_2 + PLACED ( 33580 32640 ) FN ; - - _254_ sky130_fd_sc_hd__a221o_2 + PLACED ( 36340 32640 ) FN ; - - _255_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 33580 35360 ) FS ; - - _256_ sky130_fd_sc_hd__nand2_2 + PLACED ( 43240 29920 ) S ; - - _257_ sky130_fd_sc_hd__a32o_2 + PLACED ( 36800 35360 ) FS ; - - _258_ sky130_fd_sc_hd__or2_2 + PLACED ( 53360 29920 ) FS ; - - _259_ sky130_fd_sc_hd__mux2_1 + PLACED ( 52440 35360 ) FS ; - - _260_ sky130_fd_sc_hd__o211a_2 + PLACED ( 53820 32640 ) N ; - - _261_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 58420 32640 ) FN ; - - _262_ sky130_fd_sc_hd__nand2_2 + PLACED ( 46920 29920 ) S ; - - _263_ sky130_fd_sc_hd__a32o_2 + PLACED ( 49220 29920 ) FS ; - - _264_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 57500 21760 ) FN ; - - _265_ sky130_fd_sc_hd__o22ai_2 + PLACED ( 52900 21760 ) FN ; - - _266_ sky130_fd_sc_hd__o22a_2 + PLACED ( 44620 16320 ) N ; - - _267_ sky130_fd_sc_hd__or3_2 + PLACED ( 25300 5440 ) FN ; - - _268_ sky130_fd_sc_hd__inv_2 + PLACED ( 23000 13600 ) S ; - - _269_ sky130_fd_sc_hd__nand2_2 + PLACED ( 28980 24480 ) FS ; - - _270_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 20240 24480 ) S ; - - _271_ sky130_fd_sc_hd__o221a_2 + PLACED ( 24840 24480 ) FS ; - - _272_ sky130_fd_sc_hd__and4_2 + PLACED ( 28520 16320 ) N ; - - _273_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 24380 10880 ) FN ; - - _274_ sky130_fd_sc_hd__a31o_2 + PLACED ( 24380 13600 ) FS ; - - _275_ sky130_fd_sc_hd__o221a_2 + PLACED ( 27600 10880 ) N ; - - _276_ sky130_fd_sc_hd__or2_2 + PLACED ( 31740 5440 ) N ; - - _277_ sky130_fd_sc_hd__a311o_2 + PLACED ( 24380 21760 ) N ; - - _278_ sky130_fd_sc_hd__or2_2 + PLACED ( 38180 46240 ) S ; - - _279_ sky130_fd_sc_hd__or2_2 + PLACED ( 31740 51680 ) S ; - - _280_ sky130_fd_sc_hd__or2_2 + PLACED ( 39560 43520 ) FN ; - - _281_ sky130_fd_sc_hd__o31a_2 + PLACED ( 34040 51680 ) FS ; - - _282_ sky130_fd_sc_hd__o31a_2 + PLACED ( 27600 51680 ) FS ; - - _283_ sky130_fd_sc_hd__o31a_2 + PLACED ( 28520 54400 ) N ; - - _284_ sky130_fd_sc_hd__o21a_2 + PLACED ( 31740 57120 ) S ; - - _285_ sky130_fd_sc_hd__o41a_2 + PLACED ( 34040 48960 ) N ; - - _286_ sky130_fd_sc_hd__o41a_2 + PLACED ( 28060 48960 ) N ; - - _287_ sky130_fd_sc_hd__o41a_2 + PLACED ( 31740 46240 ) FS ; - - _288_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 51680 ) FS ; - - _289_ sky130_fd_sc_hd__o31a_2 + PLACED ( 30820 43520 ) N ; - - _290_ sky130_fd_sc_hd__a31o_2 + PLACED ( 36340 43520 ) N ; - - _291_ sky130_fd_sc_hd__a31o_2 + PLACED ( 38180 40800 ) FS ; - - _292_ sky130_fd_sc_hd__nand2_2 + PLACED ( 57500 57120 ) FS ; - - _293_ sky130_fd_sc_hd__o311a_2 + PLACED ( 51980 51680 ) S ; - - _294_ sky130_fd_sc_hd__or3_2 + PLACED ( 55660 48960 ) N ; - - _295_ sky130_fd_sc_hd__o311a_2 + PLACED ( 50140 54400 ) N ; - - _296_ sky130_fd_sc_hd__o41a_2 + PLACED ( 46920 51680 ) FS ; - - _297_ sky130_fd_sc_hd__or4_2 + PLACED ( 41400 46240 ) FS ; - - _298_ sky130_fd_sc_hd__o311a_2 + PLACED ( 44620 48960 ) N ; - - _299_ sky130_fd_sc_hd__and2_2 + PLACED ( 54280 54400 ) N ; - - _300_ sky130_fd_sc_hd__o41a_2 + PLACED ( 51060 48960 ) N ; - - _301_ sky130_fd_sc_hd__nor2_2 + PLACED ( 41860 54400 ) N ; - - _302_ sky130_fd_sc_hd__and3_2 + PLACED ( 51980 43520 ) FN ; - - _303_ sky130_fd_sc_hd__o31a_2 + PLACED ( 44620 46240 ) FS ; - - _304_ sky130_fd_sc_hd__o311a_2 + PLACED ( 46000 40800 ) FS ; - - _305_ sky130_fd_sc_hd__buf_1 + PLACED ( 12880 5440 ) N ; - - _306_ sky130_fd_sc_hd__buf_1 + PLACED ( 42780 10880 ) FN ; - - _307_ sky130_fd_sc_hd__buf_1 + PLACED ( 37720 19040 ) FS ; - - _308_ sky130_fd_sc_hd__buf_1 + PLACED ( 59340 10880 ) FN ; - - _309_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 8160 ) S ; - - _310_ sky130_fd_sc_hd__buf_1 + PLACED ( 46920 5440 ) N ; - - _311_ sky130_fd_sc_hd__buf_1 + PLACED ( 62560 24480 ) FS ; - - _312_ sky130_fd_sc_hd__buf_1 + PLACED ( 29900 29920 ) FS ; - - _313_ sky130_fd_sc_hd__buf_1 + PLACED ( 28060 29920 ) FS ; - - _314_ sky130_fd_sc_hd__buf_1 + PLACED ( 62560 32640 ) N ; - - _315_ sky130_fd_sc_hd__buf_1 + PLACED ( 55660 29920 ) S ; - - _316_ sky130_fd_sc_hd__buf_1 + PLACED ( 62100 16320 ) N ; - - _317_ sky130_fd_sc_hd__buf_1 + PLACED ( 44620 21760 ) FN ; - - _318_ sky130_fd_sc_hd__buf_1 + PLACED ( 25760 29920 ) S ; - - _319_ sky130_fd_sc_hd__buf_1 + PLACED ( 32660 21760 ) N ; - - _320_ sky130_fd_sc_hd__buf_1 + PLACED ( 28060 5440 ) FN ; - - _321_ sky130_fd_sc_hd__buf_1 + PLACED ( 36340 5440 ) N ; - - _322_ sky130_fd_sc_hd__buf_1 + PLACED ( 28520 21760 ) FN ; - - _323_ sky130_fd_sc_hd__buf_1 + PLACED ( 63480 16320 ) N ; - - _324_ sky130_fd_sc_hd__buf_1 + PLACED ( 61640 10880 ) N ; - - _325_ sky130_fd_sc_hd__buf_1 + PLACED ( 55660 5440 ) N ; - - _326_ sky130_fd_sc_hd__buf_1 + PLACED ( 65780 35360 ) S ; - - _327_ sky130_fd_sc_hd__buf_1 + PLACED ( 12880 32640 ) N ; - - _328_ sky130_fd_sc_hd__buf_2 + PLACED ( 7360 5440 ) FN ; - - _329_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51980 57120 ) S ; - - _330_ sky130_fd_sc_hd__mux2_1 + PLACED ( 23920 46240 ) S ; - - _331_ sky130_fd_sc_hd__mux2_1 + PLACED ( 61180 35360 ) FS ; - - _332_ sky130_fd_sc_hd__mux2_1 + PLACED ( 37720 51680 ) S ; - - _333_ sky130_fd_sc_hd__mux2_1 + PLACED ( 63940 32640 ) N ; - - _334_ sky130_fd_sc_hd__mux2_1 + PLACED ( 32200 54400 ) FN ; - - _335_ sky130_fd_sc_hd__mux2_1 + PLACED ( 63480 48960 ) N ; - - _336_ sky130_fd_sc_hd__mux2_1 + PLACED ( 33120 62560 ) S ; - - _337_ sky130_fd_sc_hd__mux2_1 + PLACED ( 57500 51680 ) FS ; - - _338_ sky130_fd_sc_hd__mux2_1 + PLACED ( 34500 65280 ) FN ; - - _339_ sky130_fd_sc_hd__mux2_1 + PLACED ( 45540 59840 ) FN ; - - _340_ sky130_fd_sc_hd__mux2_1 + PLACED ( 27140 59840 ) FN ; - - _341_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51980 59840 ) N ; - - _342_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 57120 ) S ; - - _343_ sky130_fd_sc_hd__mux2_1 + PLACED ( 44620 54400 ) N ; - - _344_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 59840 ) N ; - - _345_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51060 65280 ) N ; - - _346_ sky130_fd_sc_hd__mux2_1 + PLACED ( 6900 57120 ) FS ; - - _347_ sky130_fd_sc_hd__mux2_1 + PLACED ( 57500 65280 ) N ; - - _348_ sky130_fd_sc_hd__mux2_1 + PLACED ( 6900 51680 ) S ; - - _349_ sky130_fd_sc_hd__mux2_1 + PLACED ( 46000 57120 ) FS ; - - _350_ sky130_fd_sc_hd__mux2_1 + PLACED ( 7360 43520 ) FN ; - - _351_ sky130_fd_sc_hd__mux2_1 + PLACED ( 40020 48960 ) N ; - - _352_ sky130_fd_sc_hd__mux2_1 + PLACED ( 6900 38080 ) FN ; - - _353_ sky130_fd_sc_hd__mux2_1 + PLACED ( 34040 40800 ) FS ; - - _354_ sky130_fd_sc_hd__mux2_1 + PLACED ( 23920 48960 ) N ; - - _355_ sky130_fd_sc_hd__mux2_1 + PLACED ( 44620 38080 ) N ; - - _356_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 57500 8160 ) FS ; - - _357_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 5440 ) N ; - - _358_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 13600 ) FS ; - - _359_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 21620 19040 ) FS ; - - _360_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 8160 ) FS ; - - _361_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 20700 8160 ) S ; - - _362_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 24480 ) S ; - - _363_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 19320 27200 ) N ; - - _364_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 39100 19040 ) FS ; - - _365_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 57500 19040 ) FS ; - - _366_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 48760 27200 ) N ; - - _367_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 29920 ) FS ; - - _368_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 23920 32640 ) N ; - - _369_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 29920 ) FS ; - - _370_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 27200 ) N ; - - _371_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 41860 8160 ) FS ; - - _372_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 49680 10880 ) N ; - - _373_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 51060 16320 ) N ; - - _374_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 33120 16320 ) N ; - - _375_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 39100 13600 ) S ; - - _376_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 7820 8160 ) FS ; - - _377_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 18860 16320 ) FN ; - - _378_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 8280 29920 ) FS ; - - ringosc.dstage\[0\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 22080 43520 ) FN ; - - ringosc.dstage\[0\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17020 43520 ) N ; - - ringosc.dstage\[0\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11040 38080 ) N ; - - ringosc.dstage\[0\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 14720 38080 ) FN ; - - ringosc.dstage\[0\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 7820 40800 ) FS ; - - ringosc.dstage\[0\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 16100 40800 ) S ; - - ringosc.dstage\[0\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 14720 35360 ) S ; - - ringosc.dstage\[10\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 61640 48960 ) N ; - - ringosc.dstage\[10\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 65780 40800 ) S ; - - ringosc.dstage\[10\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 59800 43520 ) N ; - - ringosc.dstage\[10\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 63940 38080 ) N ; - - ringosc.dstage\[10\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 46240 ) FS ; - - ringosc.dstage\[10\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 63020 43520 ) N ; - - ringosc.dstage\[10\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 65780 46240 ) S ; - - ringosc.dstage\[11\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 57960 43520 ) N ; - - ringosc.dstage\[11\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 59800 35360 ) FS ; - - ringosc.dstage\[11\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 53820 40800 ) S ; - - ringosc.dstage\[11\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 55660 38080 ) FN ; - - ringosc.dstage\[11\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 40800 ) FS ; - - ringosc.dstage\[11\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 58880 38080 ) FN ; - - ringosc.dstage\[11\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 54280 38080 ) FN ; - - ringosc.dstage\[1\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 15180 43520 ) N ; - - ringosc.dstage\[1\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 46240 ) FS ; - - ringosc.dstage\[1\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11960 43520 ) N ; - - ringosc.dstage\[1\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 18860 43520 ) FN ; - - ringosc.dstage\[1\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 8280 46240 ) FS ; - - ringosc.dstage\[1\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 17020 46240 ) S ; - - ringosc.dstage\[1\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 18860 48960 ) N ; - - ringosc.dstage\[2\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 14260 48960 ) N ; - - ringosc.dstage\[2\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 51680 ) FS ; - - ringosc.dstage\[2\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11040 57120 ) FS ; - - ringosc.dstage\[2\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 14260 57120 ) FS ; - - ringosc.dstage\[2\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 7820 54400 ) N ; - - ringosc.dstage\[2\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 12880 51680 ) FS ; - - ringosc.dstage\[2\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 16100 54400 ) N ; - - ringosc.dstage\[3\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 15640 59840 ) N ; - - ringosc.dstage\[3\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15640 65280 ) N ; - - ringosc.dstage\[3\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 9200 65280 ) N ; - - ringosc.dstage\[3\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 12420 65280 ) N ; - - ringosc.dstage\[3\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 6900 62560 ) FS ; - - ringosc.dstage\[3\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 10580 59840 ) N ; - - ringosc.dstage\[3\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 17020 65280 ) N ; - - ringosc.dstage\[4\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 15640 62560 ) FS ; - - ringosc.dstage\[4\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 31740 62560 ) FS ; - - ringosc.dstage\[4\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 21620 65280 ) N ; - - ringosc.dstage\[4\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 25760 65280 ) N ; - - ringosc.dstage\[4\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 17480 62560 ) FS ; - - ringosc.dstage\[4\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 25760 62560 ) FS ; - - ringosc.dstage\[4\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 28980 65280 ) N ; - - ringosc.dstage\[5\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 24380 59840 ) N ; - - ringosc.dstage\[5\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 29440 57120 ) FS ; - - ringosc.dstage\[5\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 23000 57120 ) FS ; - - ringosc.dstage\[5\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 26220 57120 ) S ; - - ringosc.dstage\[5\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 19780 54400 ) N ; - - ringosc.dstage\[5\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 22540 51680 ) S ; - - ringosc.dstage\[5\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 21160 51680 ) S ; - - ringosc.dstage\[6\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 34960 57120 ) FS ; - - ringosc.dstage\[6\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40480 54400 ) FN ; - - ringosc.dstage\[6\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 39560 59840 ) N ; - - ringosc.dstage\[6\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 42320 57120 ) S ; - - ringosc.dstage\[6\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 31280 59840 ) N ; - - ringosc.dstage\[6\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 37260 57120 ) S ; - - ringosc.dstage\[6\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 42780 59840 ) N ; - - ringosc.dstage\[7\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 38180 62560 ) FS ; - - ringosc.dstage\[7\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 49680 59840 ) FN ; - - ringosc.dstage\[7\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 44620 65280 ) N ; - - ringosc.dstage\[7\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 47840 65280 ) N ; - - ringosc.dstage\[7\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 40020 62560 ) FS ; - - ringosc.dstage\[7\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 48300 62560 ) FS ; - - ringosc.dstage\[7\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 50140 57120 ) S ; - - ringosc.dstage\[8\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 57500 62560 ) FS ; - - ringosc.dstage\[8\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 62560 59840 ) N ; - - ringosc.dstage\[8\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 61640 65280 ) N ; - - ringosc.dstage\[8\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 53820 62560 ) FS ; - - ringosc.dstage\[8\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 59340 62560 ) FS ; - - ringosc.dstage\[8\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 57500 59840 ) N ; - - ringosc.dstage\[8\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 63940 59840 ) N ; - - ringosc.dstage\[9\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 65320 59840 ) N ; - - ringosc.dstage\[9\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 65780 54400 ) FN ; - - ringosc.dstage\[9\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 61640 51680 ) FS ; - - ringosc.dstage\[9\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 64860 51680 ) FS ; - - ringosc.dstage\[9\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 54400 ) N ; - - ringosc.dstage\[9\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 62560 57120 ) FS ; - - ringosc.dstage\[9\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 61180 57120 ) S ; - - ringosc.ibufp00 sky130_fd_sc_hd__clkinv_2 + PLACED ( 21160 35360 ) FS ; - - ringosc.ibufp01 sky130_fd_sc_hd__clkinv_8 + PLACED ( 19780 29920 ) FS ; - - ringosc.ibufp10 sky130_fd_sc_hd__clkinv_2 + PLACED ( 12880 35360 ) S ; - - ringosc.ibufp11 sky130_fd_sc_hd__clkinv_8 + PLACED ( 6900 35360 ) S ; - - ringosc.iss.const1 sky130_fd_sc_hd__conb_1 + PLACED ( 23920 43520 ) N ; - - ringosc.iss.ctrlen0 sky130_fd_sc_hd__or2_2 + PLACED ( 21160 38080 ) FN ; - - ringosc.iss.delaybuf0 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 32200 35360 ) S ; - - ringosc.iss.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 24380 38080 ) N ; - - ringosc.iss.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 33580 38080 ) FN ; - - ringosc.iss.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 22080 40800 ) FS ; - - ringosc.iss.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 28520 38080 ) FN ; - - ringosc.iss.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 31740 40800 ) S ; - - ringosc.iss.reseten0 sky130_fd_sc_hd__einvp_1 + PLACED ( 18860 38080 ) N ; -END COMPONENTS -PINS 39 ; - - VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE GROUND - + PORT - + LAYER met4 ( -800 -31520 ) ( 800 31520 ) - + LAYER met5 ( -36320 4330 ) ( 27620 5930 ) - + FIXED ( 41840 36720 ) N ; - - VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE POWER - + PORT - + LAYER met4 ( -800 -31520 ) ( 800 31520 ) - + LAYER met4 ( -40800 -31520 ) ( -39200 31520 ) - + LAYER met5 ( -56320 24330 ) ( 7620 25930 ) - + LAYER met5 ( -56320 -15670 ) ( 7620 -14070 ) - + FIXED ( 61840 36720 ) N ; - - clockp[0] + NET clockp[0] + DIRECTION OUTPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 2380 ) N ; - - clockp[1] + NET clockp[1] + DIRECTION OUTPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 6460 ) N ; - - dco + NET dco + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 39780 ) N ; - - div[0] + NET div[0] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 11220 ) N ; - - div[1] + NET div[1] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 15980 ) N ; - - div[2] + NET div[2] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 20740 ) N ; - - div[3] + NET div[3] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 25500 ) N ; - - div[4] + NET div[4] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 30260 ) N ; - - enable + NET enable + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 35020 ) N ; - - ext_trim[0] + NET ext_trim[0] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 43860 ) N ; - - ext_trim[10] + NET ext_trim[10] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 20010 73000 ) N ; - - ext_trim[11] + NET ext_trim[11] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 25990 73000 ) N ; - - ext_trim[12] + NET ext_trim[12] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 31510 73000 ) N ; - - ext_trim[13] + NET ext_trim[13] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 37490 73000 ) N ; - - ext_trim[14] + NET ext_trim[14] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 43010 73000 ) N ; - - ext_trim[15] + NET ext_trim[15] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 48990 73000 ) N ; - - ext_trim[16] + NET ext_trim[16] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 54510 73000 ) N ; - - ext_trim[17] + NET ext_trim[17] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 60490 73000 ) N ; - - ext_trim[18] + NET ext_trim[18] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 66010 73000 ) N ; - - ext_trim[19] + NET ext_trim[19] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 71990 73000 ) N ; - - ext_trim[1] + NET ext_trim[1] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 48620 ) N ; - - ext_trim[20] + NET ext_trim[20] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 73000 68340 ) N ; - - ext_trim[21] + NET ext_trim[21] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 73000 56100 ) N ; - - ext_trim[22] + NET ext_trim[22] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 73000 43860 ) N ; - - ext_trim[23] + NET ext_trim[23] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 73000 30940 ) N ; - - ext_trim[24] + NET ext_trim[24] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 73000 18700 ) N ; - - ext_trim[25] + NET ext_trim[25] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 73000 6460 ) N ; - - ext_trim[2] + NET ext_trim[2] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 53380 ) N ; - - ext_trim[3] + NET ext_trim[3] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 58140 ) N ; - - ext_trim[4] + NET ext_trim[4] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 62900 ) N ; - - ext_trim[5] + NET ext_trim[5] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 67660 ) N ; - - ext_trim[6] + NET ext_trim[6] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met3 ( -2000 -300 ) ( 2000 300 ) - + PLACED ( 2000 72420 ) N ; - - ext_trim[7] + NET ext_trim[7] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 2990 73000 ) N ; - - ext_trim[8] + NET ext_trim[8] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 8510 73000 ) N ; - - ext_trim[9] + NET ext_trim[9] + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 14490 73000 ) N ; - - osc + NET osc + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 55890 2000 ) N ; - - resetb + NET resetb + DIRECTION INPUT + USE SIGNAL - + PORT - + LAYER met2 ( -140 -2000 ) ( 140 2000 ) - + PLACED ( 18630 2000 ) N ; -END PINS -SPECIALNETS 2 ; - - VGND ( PIN VGND ) ( * VNB ) ( * VGND ) + USE GROUND - + ROUTED met3 0 + SHAPE STRIPE ( 41840 65280 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 65280 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 65280 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 59840 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 59840 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 59840 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 54400 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 54400 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 54400 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 48960 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 48960 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 48960 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 43520 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 43520 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 43520 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 38080 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 38080 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 38080 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 32640 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 32640 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 32640 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 27200 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 27200 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 27200 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 21760 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 21760 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 21760 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 16320 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 16320 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 16320 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 10880 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 10880 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 10880 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 41840 5440 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 41840 5440 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 41840 5440 ) via_1600x480 - NEW met4 0 + SHAPE STRIPE ( 41840 41850 ) via4_1600x1600 - NEW met5 1600 + SHAPE STRIPE ( 5520 41850 ) ( 69460 41850 ) - NEW met4 1600 + SHAPE STRIPE ( 41840 5200 ) ( 41840 68240 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 69460 65280 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 69460 59840 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 69460 54400 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 69460 48960 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 69460 43520 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 69460 38080 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 69460 32640 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 69460 27200 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 69460 21760 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 69460 16320 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 69460 10880 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 5440 ) ( 69460 5440 ) ; - - VPWR ( PIN VPWR ) ( * VPB ) ( * VPWR ) + USE POWER - + ROUTED met3 0 + SHAPE STRIPE ( 61840 68000 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 68000 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 68000 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 62560 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 62560 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 62560 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 57120 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 57120 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 57120 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 51680 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 51680 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 51680 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 46240 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 46240 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 46240 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 40800 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 40800 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 40800 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 35360 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 35360 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 35360 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 29920 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 29920 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 29920 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 24480 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 24480 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 24480 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 19040 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 19040 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 19040 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 13600 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 13600 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 13600 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 61840 8160 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 61840 8160 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 61840 8160 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21840 8160 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21840 8160 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21840 8160 ) via_1600x480 - NEW met4 0 + SHAPE STRIPE ( 61840 61850 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 21840 61850 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 61840 21850 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 21840 21850 ) via4_1600x1600 - NEW met5 1600 + SHAPE STRIPE ( 5520 61850 ) ( 69460 61850 ) - NEW met5 1600 + SHAPE STRIPE ( 5520 21850 ) ( 69460 21850 ) - NEW met4 1600 + SHAPE STRIPE ( 61840 5200 ) ( 61840 68240 ) - NEW met4 1600 + SHAPE STRIPE ( 21840 5200 ) ( 21840 68240 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 69460 68000 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 69460 62560 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 69460 57120 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 69460 51680 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 69460 46240 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 69460 40800 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 69460 35360 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 69460 29920 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 69460 24480 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 69460 19040 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 69460 13600 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 5520 8160 ) ( 69460 8160 ) ; -END SPECIALNETS -NETS 320 ; - - _000_ ( _329_ A1 ) ( _304_ C1 ) ( _293_ C1 ) ( _232_ B ) ( _231_ X ) + USE SIGNAL - + ROUTED met2 ( 52210 53210 ) ( * 58650 ) - NEW met1 ( 52210 58650 ) ( 53590 * ) - NEW met1 ( 54050 48110 ) ( * 48450 ) - NEW met1 ( 52210 48450 ) ( 54050 * ) - NEW met2 ( 52210 48450 ) ( * 53210 ) - NEW met2 ( 52210 42670 ) ( * 48450 ) - NEW met1 ( 49910 42330 ) ( 50370 * ) - NEW met1 ( 50370 42330 ) ( * 42670 ) - NEW met1 ( 50370 42670 ) ( 52210 * ) - NEW li1 ( 52210 53210 ) L1M1_PR_MR - NEW met1 ( 52210 53210 ) M1M2_PR - NEW met1 ( 52210 58650 ) M1M2_PR - NEW li1 ( 53590 58650 ) L1M1_PR_MR - NEW li1 ( 54050 48110 ) L1M1_PR_MR - NEW met1 ( 52210 48450 ) M1M2_PR - NEW li1 ( 52210 42670 ) L1M1_PR_MR - NEW met1 ( 52210 42670 ) M1M2_PR - NEW li1 ( 49910 42330 ) L1M1_PR_MR - NEW met1 ( 52210 53210 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 52210 42670 ) RECT ( -355 -70 0 70 ) ; - - _001_ ( _352_ A0 ) ( _233_ C ) ( _232_ X ) + USE SIGNAL - + ROUTED met2 ( 50830 38930 ) ( * 41310 ) - NEW met2 ( 48530 17510 ) ( * 38930 ) - NEW met2 ( 42090 38930 ) ( * 39100 ) - NEW met3 ( 8970 39100 ) ( 42090 * ) - NEW met2 ( 8970 38930 ) ( * 39100 ) - NEW met1 ( 42090 38930 ) ( 50830 * ) - NEW li1 ( 50830 41310 ) L1M1_PR_MR - NEW met1 ( 50830 41310 ) M1M2_PR - NEW met1 ( 50830 38930 ) M1M2_PR - NEW li1 ( 48530 17510 ) L1M1_PR_MR - NEW met1 ( 48530 17510 ) M1M2_PR - NEW met1 ( 48530 38930 ) M1M2_PR - NEW met1 ( 42090 38930 ) M1M2_PR - NEW met2 ( 42090 39100 ) M2M3_PR_M - NEW met2 ( 8970 39100 ) M2M3_PR_M - NEW li1 ( 8970 38930 ) L1M1_PR_MR - NEW met1 ( 8970 38930 ) M1M2_PR - NEW met1 ( 50830 41310 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 48530 17510 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 48530 38930 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 8970 38930 ) RECT ( -355 -70 0 70 ) ; - - _002_ ( _350_ A0 ) ( _289_ X ) + USE SIGNAL - + ROUTED met1 ( 9430 44710 ) ( 13800 * ) - NEW met1 ( 13800 44710 ) ( * 45730 ) - NEW met1 ( 13800 45730 ) ( 31510 * ) - NEW li1 ( 9430 44710 ) L1M1_PR_MR - NEW li1 ( 31510 45730 ) L1M1_PR_MR ; - - _003_ ( _348_ A0 ) ( _289_ B1 ) ( _287_ B1 ) ( _286_ B1 ) ( _285_ B1 ) ( _284_ X ) + USE SIGNAL - + ROUTED met1 ( 8970 52870 ) ( * 53210 ) - NEW met1 ( 8970 52870 ) ( 13800 * ) - NEW met1 ( 29670 50150 ) ( 30130 * ) - NEW met2 ( 29670 50150 ) ( * 52530 ) - NEW met1 ( 13800 52530 ) ( 29670 * ) - NEW met1 ( 13800 52530 ) ( * 52870 ) - NEW met1 ( 36110 49810 ) ( * 50150 ) - NEW met1 ( 30130 49810 ) ( 36110 * ) - NEW met1 ( 30130 49810 ) ( * 50150 ) - NEW met2 ( 33810 47770 ) ( * 49810 ) - NEW met2 ( 33810 44710 ) ( * 47770 ) - NEW met1 ( 33810 57630 ) ( 34270 * ) - NEW met2 ( 33810 49810 ) ( * 57630 ) - NEW li1 ( 8970 53210 ) L1M1_PR_MR - NEW li1 ( 30130 50150 ) L1M1_PR_MR - NEW met1 ( 29670 50150 ) M1M2_PR - NEW met1 ( 29670 52530 ) M1M2_PR - NEW li1 ( 36110 50150 ) L1M1_PR_MR - NEW li1 ( 33810 47770 ) L1M1_PR_MR - NEW met1 ( 33810 47770 ) M1M2_PR - NEW met1 ( 33810 49810 ) M1M2_PR - NEW li1 ( 33810 44710 ) L1M1_PR_MR - NEW met1 ( 33810 44710 ) M1M2_PR - NEW li1 ( 34270 57630 ) L1M1_PR_MR - NEW met1 ( 33810 57630 ) M1M2_PR - NEW met1 ( 33810 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 33810 49810 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 33810 44710 ) RECT ( 0 -70 355 70 ) ; - - _004_ ( _346_ A0 ) ( _288_ B ) ( _284_ B1 ) ( _283_ B1 ) ( _282_ B1 ) ( _281_ B1 ) ( _279_ B ) - ( _278_ X ) + USE SIGNAL - + ROUTED met1 ( 38410 48110 ) ( 38870 * ) - NEW met1 ( 32890 58650 ) ( 33350 * ) - NEW met2 ( 32890 58650 ) ( * 58820 ) - NEW met3 ( 8970 58820 ) ( 32890 * ) - NEW met2 ( 8970 58820 ) ( * 58990 ) - NEW met1 ( 31510 55590 ) ( * 55600 ) - NEW met1 ( 31510 55600 ) ( 31970 * ) - NEW met1 ( 31970 55590 ) ( * 55600 ) - NEW met1 ( 31970 55590 ) ( 32430 * ) - NEW met2 ( 32430 55590 ) ( * 58650 ) - NEW met2 ( 32430 58650 ) ( 32890 * ) - NEW met1 ( 30590 53210 ) ( 32430 * ) - NEW met2 ( 32430 53210 ) ( * 55590 ) - NEW met1 ( 32430 53550 ) ( 33810 * ) - NEW met1 ( 32430 53210 ) ( * 53550 ) - NEW met1 ( 37030 53210 ) ( * 53230 ) - NEW met1 ( 37030 53230 ) ( 37490 * ) - NEW met1 ( 37490 52870 ) ( * 53230 ) - NEW met1 ( 33810 52870 ) ( 37490 * ) - NEW met1 ( 33810 52870 ) ( * 53550 ) - NEW met1 ( 39330 53210 ) ( 42090 * ) - NEW met1 ( 39330 53210 ) ( * 53550 ) - NEW met1 ( 37490 53550 ) ( 39330 * ) - NEW met1 ( 37490 53230 ) ( * 53550 ) - NEW met2 ( 38870 48110 ) ( * 53550 ) - NEW li1 ( 38410 48110 ) L1M1_PR_MR - NEW met1 ( 38870 48110 ) M1M2_PR - NEW li1 ( 33350 58650 ) L1M1_PR_MR - NEW met1 ( 32890 58650 ) M1M2_PR - NEW met2 ( 32890 58820 ) M2M3_PR_M - NEW met2 ( 8970 58820 ) M2M3_PR_M - NEW li1 ( 8970 58990 ) L1M1_PR_MR - NEW met1 ( 8970 58990 ) M1M2_PR - NEW li1 ( 31510 55590 ) L1M1_PR_MR - NEW met1 ( 32430 55590 ) M1M2_PR - NEW li1 ( 30590 53210 ) L1M1_PR_MR - NEW met1 ( 32430 53210 ) M1M2_PR - NEW li1 ( 33810 53550 ) L1M1_PR_MR - NEW li1 ( 37030 53210 ) L1M1_PR_MR - NEW li1 ( 42090 53210 ) L1M1_PR_MR - NEW met1 ( 38870 53550 ) M1M2_PR - NEW met1 ( 8970 58990 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 38870 53550 ) RECT ( -595 -70 0 70 ) ; - - _005_ ( _344_ A0 ) ( _286_ X ) + USE SIGNAL - + ROUTED met2 ( 28750 51170 ) ( * 60690 ) - NEW met1 ( 20930 60690 ) ( 28750 * ) - NEW li1 ( 28750 51170 ) L1M1_PR_MR - NEW met1 ( 28750 51170 ) M1M2_PR - NEW met1 ( 28750 60690 ) M1M2_PR - NEW li1 ( 20930 60690 ) L1M1_PR_MR - NEW met1 ( 28750 51170 ) RECT ( -355 -70 0 70 ) ; - - _006_ ( _342_ A0 ) ( _283_ X ) + USE SIGNAL - + ROUTED met1 ( 28290 56610 ) ( 29210 * ) - NEW met2 ( 28290 56610 ) ( * 58650 ) - NEW met1 ( 20930 58650 ) ( 28290 * ) - NEW li1 ( 29210 56610 ) L1M1_PR_MR - NEW met1 ( 28290 56610 ) M1M2_PR - NEW met1 ( 28290 58650 ) M1M2_PR - NEW li1 ( 20930 58650 ) L1M1_PR_MR ; - - _007_ ( _340_ A0 ) ( _279_ X ) + USE SIGNAL - + ROUTED met2 ( 31970 53550 ) ( * 60350 ) - NEW met1 ( 29210 60350 ) ( 31970 * ) - NEW li1 ( 31970 53550 ) L1M1_PR_MR - NEW met1 ( 31970 53550 ) M1M2_PR - NEW met1 ( 31970 60350 ) M1M2_PR - NEW li1 ( 29210 60350 ) L1M1_PR_MR - NEW met1 ( 31970 53550 ) RECT ( -355 -70 0 70 ) ; - - _008_ ( _338_ A0 ) ( _290_ X ) + USE SIGNAL - + ROUTED met2 ( 36570 45390 ) ( * 65790 ) - NEW li1 ( 36570 65790 ) L1M1_PR_MR - NEW met1 ( 36570 65790 ) M1M2_PR - NEW li1 ( 36570 45390 ) L1M1_PR_MR - NEW met1 ( 36570 45390 ) M1M2_PR - NEW met1 ( 36570 65790 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 36570 45390 ) RECT ( -355 -70 0 70 ) ; - - _009_ ( _336_ A0 ) ( _281_ X ) + USE SIGNAL - + ROUTED met1 ( 34730 53890 ) ( 35190 * ) - NEW met2 ( 35190 53890 ) ( * 64090 ) - NEW li1 ( 35190 64090 ) L1M1_PR_MR - NEW met1 ( 35190 64090 ) M1M2_PR - NEW li1 ( 34730 53890 ) L1M1_PR_MR - NEW met1 ( 35190 53890 ) M1M2_PR - NEW met1 ( 35190 64090 ) RECT ( -355 -70 0 70 ) ; - - _010_ ( _334_ A0 ) ( _285_ X ) + USE SIGNAL - + ROUTED met2 ( 34730 51170 ) ( * 55250 ) - NEW met1 ( 34270 55250 ) ( 34730 * ) - NEW li1 ( 34730 51170 ) L1M1_PR_MR - NEW met1 ( 34730 51170 ) M1M2_PR - NEW met1 ( 34730 55250 ) M1M2_PR - NEW li1 ( 34270 55250 ) L1M1_PR_MR - NEW met1 ( 34730 51170 ) RECT ( -355 -70 0 70 ) ; - - _011_ ( _332_ A0 ) ( _288_ X ) + USE SIGNAL - + ROUTED met1 ( 39790 53550 ) ( 43930 * ) - NEW li1 ( 39790 53550 ) L1M1_PR_MR - NEW li1 ( 43930 53550 ) L1M1_PR_MR ; - - _012_ ( _330_ A0 ) ( _287_ X ) + USE SIGNAL - + ROUTED met1 ( 25990 47770 ) ( 32430 * ) - NEW li1 ( 32430 47770 ) L1M1_PR_MR - NEW li1 ( 25990 47770 ) L1M1_PR_MR ; - - _013_ ( _354_ A0 ) ( _282_ X ) + USE SIGNAL - + ROUTED met2 ( 25990 50150 ) ( * 52190 ) - NEW met1 ( 25990 52190 ) ( 28290 * ) - NEW li1 ( 25990 50150 ) L1M1_PR_MR - NEW met1 ( 25990 50150 ) M1M2_PR - NEW met1 ( 25990 52190 ) M1M2_PR - NEW li1 ( 28290 52190 ) L1M1_PR_MR - NEW met1 ( 25990 50150 ) RECT ( -355 -70 0 70 ) ; - - _014_ ( _353_ A0 ) ( _291_ X ) + USE SIGNAL - + ROUTED met1 ( 36110 42330 ) ( 38410 * ) - NEW li1 ( 36110 42330 ) L1M1_PR_MR - NEW li1 ( 38410 42330 ) L1M1_PR_MR ; - - _015_ ( _329_ A0 ) ( _292_ B ) ( _224_ C ) ( _223_ X ) + USE SIGNAL - + ROUTED met1 ( 47610 44030 ) ( 48990 * ) - NEW met2 ( 48990 26010 ) ( * 44030 ) - NEW met1 ( 48990 26010 ) ( 52670 * ) - NEW met1 ( 51750 58990 ) ( 54050 * ) - NEW li1 ( 51750 57630 ) ( * 58990 ) - NEW met1 ( 48990 57630 ) ( 51750 * ) - NEW met2 ( 48990 44030 ) ( * 57630 ) - NEW met1 ( 54050 58650 ) ( 57730 * ) - NEW met1 ( 54050 58650 ) ( * 58990 ) - NEW li1 ( 47610 44030 ) L1M1_PR_MR - NEW met1 ( 48990 44030 ) M1M2_PR - NEW met1 ( 48990 26010 ) M1M2_PR - NEW li1 ( 52670 26010 ) L1M1_PR_MR - NEW li1 ( 54050 58990 ) L1M1_PR_MR - NEW li1 ( 51750 58990 ) L1M1_PR_MR - NEW li1 ( 51750 57630 ) L1M1_PR_MR - NEW met1 ( 48990 57630 ) M1M2_PR - NEW li1 ( 57730 58650 ) L1M1_PR_MR ; - - _016_ ( _351_ A0 ) ( _298_ X ) + USE SIGNAL - + ROUTED met1 ( 42090 50150 ) ( 44850 * ) - NEW li1 ( 42090 50150 ) L1M1_PR_MR - NEW li1 ( 44850 50150 ) L1M1_PR_MR ; - - _017_ ( _349_ A0 ) ( _296_ B1 ) ( _295_ X ) + USE SIGNAL - + ROUTED met2 ( 50830 56610 ) ( * 58990 ) - NEW met1 ( 48070 58990 ) ( 50830 * ) - NEW met1 ( 48530 53210 ) ( 48990 * ) - NEW met2 ( 48530 53210 ) ( * 56610 ) - NEW met1 ( 48530 56610 ) ( 50830 * ) - NEW li1 ( 50830 56610 ) L1M1_PR_MR - NEW met1 ( 50830 56610 ) M1M2_PR - NEW met1 ( 50830 58990 ) M1M2_PR - NEW li1 ( 48070 58990 ) L1M1_PR_MR - NEW li1 ( 48990 53210 ) L1M1_PR_MR - NEW met1 ( 48530 53210 ) M1M2_PR - NEW met1 ( 48530 56610 ) M1M2_PR - NEW met1 ( 50830 56610 ) RECT ( -355 -70 0 70 ) ; - - _018_ ( _329_ X ) ( _299_ A ) + USE SIGNAL - + ROUTED met2 ( 54510 55930 ) ( * 57630 ) - NEW met1 ( 54510 57630 ) ( 55890 * ) - NEW li1 ( 54510 55930 ) L1M1_PR_MR - NEW met1 ( 54510 55930 ) M1M2_PR - NEW met1 ( 54510 57630 ) M1M2_PR - NEW li1 ( 55890 57630 ) L1M1_PR_MR - NEW met1 ( 54510 55930 ) RECT ( -355 -70 0 70 ) ; - - _019_ ( _347_ A0 ) ( _299_ X ) + USE SIGNAL - + ROUTED met1 ( 56350 56610 ) ( 59570 * ) - NEW met2 ( 59570 56610 ) ( * 65790 ) - NEW li1 ( 59570 65790 ) L1M1_PR_MR - NEW met1 ( 59570 65790 ) M1M2_PR - NEW li1 ( 56350 56610 ) L1M1_PR_MR - NEW met1 ( 59570 56610 ) M1M2_PR - NEW met1 ( 59570 65790 ) RECT ( -355 -70 0 70 ) ; - - _020_ ( _345_ A0 ) ( _300_ X ) + USE SIGNAL - + ROUTED met1 ( 51750 51170 ) ( 53130 * ) - NEW met2 ( 53130 51170 ) ( * 65790 ) - NEW li1 ( 51750 51170 ) L1M1_PR_MR - NEW met1 ( 53130 51170 ) M1M2_PR - NEW li1 ( 53130 65790 ) L1M1_PR_MR - NEW met1 ( 53130 65790 ) M1M2_PR - NEW met1 ( 53130 65790 ) RECT ( -355 -70 0 70 ) ; - - _021_ ( _343_ A0 ) ( _301_ Y ) + USE SIGNAL - + ROUTED met1 ( 43930 55250 ) ( 46690 * ) - NEW li1 ( 43930 55250 ) L1M1_PR_MR - NEW li1 ( 46690 55250 ) L1M1_PR_MR ; - - _022_ ( _341_ A0 ) ( _299_ B ) ( _292_ Y ) + USE SIGNAL - + ROUTED met2 ( 55430 55590 ) ( * 60350 ) - NEW met1 ( 54050 60350 ) ( 55430 * ) - NEW met1 ( 55430 57970 ) ( 58190 * ) - NEW li1 ( 55430 55590 ) L1M1_PR_MR - NEW met1 ( 55430 55590 ) M1M2_PR - NEW met1 ( 55430 60350 ) M1M2_PR - NEW li1 ( 54050 60350 ) L1M1_PR_MR - NEW li1 ( 58190 57970 ) L1M1_PR_MR - NEW met1 ( 55430 57970 ) M1M2_PR - NEW met1 ( 55430 55590 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 55430 57970 ) RECT ( -70 -485 70 0 ) ; - - _023_ ( _339_ A0 ) ( _303_ X ) + USE SIGNAL - + ROUTED met2 ( 45310 48110 ) ( * 60350 ) - NEW met1 ( 45310 60350 ) ( 47610 * ) - NEW li1 ( 45310 48110 ) L1M1_PR_MR - NEW met1 ( 45310 48110 ) M1M2_PR - NEW met1 ( 45310 60350 ) M1M2_PR - NEW li1 ( 47610 60350 ) L1M1_PR_MR - NEW met1 ( 45310 48110 ) RECT ( -355 -70 0 70 ) ; - - _024_ ( _337_ A0 ) ( _295_ C1 ) ( _293_ X ) + USE SIGNAL - + ROUTED met2 ( 55430 53890 ) ( * 54910 ) - NEW met1 ( 55430 54910 ) ( * 55250 ) - NEW met1 ( 54050 55250 ) ( 55430 * ) - NEW met1 ( 54050 55250 ) ( * 55590 ) - NEW met1 ( 55430 53210 ) ( 59570 * ) - NEW met2 ( 55430 53210 ) ( * 53890 ) - NEW li1 ( 55430 53890 ) L1M1_PR_MR - NEW met1 ( 55430 53890 ) M1M2_PR - NEW met1 ( 55430 54910 ) M1M2_PR - NEW li1 ( 54050 55590 ) L1M1_PR_MR - NEW li1 ( 59570 53210 ) L1M1_PR_MR - NEW met1 ( 55430 53210 ) M1M2_PR - NEW met1 ( 55430 53890 ) RECT ( -355 -70 0 70 ) ; - - _025_ ( _335_ A0 ) ( _300_ B1 ) ( _298_ C1 ) ( _296_ X ) + USE SIGNAL - + ROUTED met2 ( 53130 49980 ) ( * 50150 ) - NEW met3 ( 53130 49980 ) ( 65550 * ) - NEW met2 ( 65550 49810 ) ( * 49980 ) - NEW met1 ( 48530 50150 ) ( 53130 * ) - NEW met2 ( 48530 50150 ) ( * 52190 ) - NEW met1 ( 47610 52190 ) ( 48530 * ) - NEW li1 ( 47610 52190 ) L1M1_PR_MR - NEW li1 ( 53130 50150 ) L1M1_PR_MR - NEW met1 ( 53130 50150 ) M1M2_PR - NEW met2 ( 53130 49980 ) M2M3_PR_M - NEW met2 ( 65550 49980 ) M2M3_PR_M - NEW li1 ( 65550 49810 ) L1M1_PR_MR - NEW met1 ( 65550 49810 ) M1M2_PR - NEW li1 ( 48530 50150 ) L1M1_PR_MR - NEW met1 ( 48530 52190 ) M1M2_PR - NEW met1 ( 48530 50150 ) M1M2_PR - NEW met1 ( 53130 50150 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 65550 49810 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 48530 50150 ) RECT ( 0 -70 595 70 ) ; - - _026_ ( _333_ A0 ) ( _326_ X ) + USE SIGNAL - + ROUTED met2 ( 66010 33830 ) ( * 35870 ) - NEW li1 ( 66010 33830 ) L1M1_PR_MR - NEW met1 ( 66010 33830 ) M1M2_PR - NEW li1 ( 66010 35870 ) L1M1_PR_MR - NEW met1 ( 66010 35870 ) M1M2_PR - NEW met1 ( 66010 33830 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 66010 35870 ) RECT ( -355 -70 0 70 ) ; - - _027_ ( _331_ A0 ) ( _303_ B1 ) ( _302_ X ) + USE SIGNAL - + ROUTED met2 ( 52670 37230 ) ( * 44030 ) - NEW met1 ( 47610 47430 ) ( * 47770 ) - NEW met1 ( 47610 47430 ) ( 52670 * ) - NEW met2 ( 52670 44030 ) ( * 47430 ) - NEW met1 ( 52670 37230 ) ( 63250 * ) - NEW li1 ( 63250 37230 ) L1M1_PR_MR - NEW li1 ( 52670 44030 ) L1M1_PR_MR - NEW met1 ( 52670 44030 ) M1M2_PR - NEW met1 ( 52670 37230 ) M1M2_PR - NEW li1 ( 47610 47770 ) L1M1_PR_MR - NEW met1 ( 52670 47430 ) M1M2_PR - NEW met1 ( 52670 44030 ) RECT ( -355 -70 0 70 ) ; - - _028_ ( _355_ A0 ) ( _304_ X ) + USE SIGNAL - + ROUTED met2 ( 46690 39270 ) ( * 41310 ) - NEW li1 ( 46690 39270 ) L1M1_PR_MR - NEW met1 ( 46690 39270 ) M1M2_PR - NEW li1 ( 46690 41310 ) L1M1_PR_MR - NEW met1 ( 46690 41310 ) M1M2_PR - NEW met1 ( 46690 39270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 46690 41310 ) RECT ( -355 -70 0 70 ) ; - - _029_ ( _356_ RESET_B ) ( _325_ X ) + USE SIGNAL - + ROUTED met2 ( 56810 7650 ) ( * 10030 ) - NEW met1 ( 56810 10030 ) ( 61410 * 0 ) - NEW li1 ( 56810 7650 ) L1M1_PR_MR - NEW met1 ( 56810 7650 ) M1M2_PR - NEW met1 ( 56810 10030 ) M1M2_PR - NEW met1 ( 56810 7650 ) RECT ( -355 -70 0 70 ) ; - - _030_ ( _357_ RESET_B ) ( _324_ X ) + USE SIGNAL - + ROUTED met1 ( 62790 11390 ) ( 63250 * ) - NEW met2 ( 63250 6290 ) ( * 11390 ) - NEW li1 ( 62790 11390 ) L1M1_PR_MR - NEW met1 ( 63250 11390 ) M1M2_PR - NEW met1 ( 63250 6290 ) M1M2_PR ; - - _031_ ( _358_ RESET_B ) ( _323_ X ) + USE SIGNAL - + ROUTED met1 ( 64630 16830 ) ( 65090 * ) - NEW met2 ( 65090 15470 ) ( * 16830 ) - NEW li1 ( 64630 16830 ) L1M1_PR_MR - NEW met1 ( 65090 16830 ) M1M2_PR - NEW met1 ( 65090 15470 ) M1M2_PR ; - - _032_ ( _359_ RESET_B ) ( _322_ X ) + USE SIGNAL - + ROUTED met1 ( 28750 22270 ) ( 30590 * ) - NEW met2 ( 30590 20910 ) ( * 22270 ) - NEW met1 ( 29210 20910 0 ) ( 30590 * ) - NEW li1 ( 28750 22270 ) L1M1_PR_MR - NEW met1 ( 30590 22270 ) M1M2_PR - NEW met1 ( 30590 20910 ) M1M2_PR ; - - _033_ ( _360_ RESET_B ) ( _321_ X ) + USE SIGNAL - + ROUTED met1 ( 37030 7650 ) ( 37490 * ) - NEW met2 ( 37030 7650 ) ( * 10030 ) - NEW li1 ( 37490 7650 ) L1M1_PR_MR - NEW met1 ( 37030 7650 ) M1M2_PR - NEW met1 ( 37030 10030 ) M1M2_PR ; - - _034_ ( _361_ RESET_B ) ( _320_ X ) + USE SIGNAL - + ROUTED met1 ( 26450 5950 ) ( 28290 * ) - NEW met2 ( 26450 5950 ) ( * 10030 ) - NEW li1 ( 28290 5950 ) L1M1_PR_MR - NEW met1 ( 26450 5950 ) M1M2_PR - NEW met1 ( 26450 10030 ) M1M2_PR ; - - _035_ ( _362_ RESET_B ) ( _319_ X ) + USE SIGNAL - + ROUTED met1 ( 33810 23970 ) ( 34270 * ) - NEW met2 ( 34270 23970 ) ( * 26010 ) - NEW li1 ( 33810 23970 ) L1M1_PR_MR - NEW met1 ( 34270 23970 ) M1M2_PR - NEW met1 ( 34270 26010 ) M1M2_PR ; - - _036_ ( _363_ RESET_B ) ( _318_ X ) + USE SIGNAL - + ROUTED met2 ( 25990 28050 ) ( * 30430 ) - NEW li1 ( 25990 30430 ) L1M1_PR_MR - NEW met1 ( 25990 30430 ) M1M2_PR - NEW met1 ( 25990 28050 ) M1M2_PR - NEW met1 ( 25990 30430 ) RECT ( -355 -70 0 70 ) ; - - _037_ ( _364_ RESET_B ) ( _317_ X ) + USE SIGNAL - + ROUTED met1 ( 44390 22270 ) ( 44850 * ) - NEW met2 ( 44390 20910 ) ( * 22270 ) - NEW li1 ( 44850 22270 ) L1M1_PR_MR - NEW met1 ( 44390 22270 ) M1M2_PR - NEW met1 ( 44390 20910 ) M1M2_PR ; - - _038_ ( _365_ RESET_B ) ( _316_ X ) + USE SIGNAL - + ROUTED met1 ( 63250 18530 ) ( 64170 * ) - NEW met2 ( 64170 18530 ) ( * 20910 ) - NEW li1 ( 63250 18530 ) L1M1_PR_MR - NEW met1 ( 64170 18530 ) M1M2_PR - NEW met1 ( 64170 20910 ) M1M2_PR ; - - _039_ ( _366_ RESET_B ) ( _315_ X ) + USE SIGNAL - + ROUTED met2 ( 55890 28390 ) ( * 30430 ) - NEW li1 ( 55890 30430 ) L1M1_PR_MR - NEW met1 ( 55890 30430 ) M1M2_PR - NEW met1 ( 55890 28390 ) M1M2_PR - NEW met1 ( 55890 30430 ) RECT ( -355 -70 0 70 ) ; - - _040_ ( _367_ RESET_B ) ( _314_ X ) + USE SIGNAL - + ROUTED met2 ( 63710 31790 ) ( * 33150 ) - NEW li1 ( 63710 33150 ) L1M1_PR_MR - NEW met1 ( 63710 33150 ) M1M2_PR - NEW met1 ( 63710 31790 ) M1M2_PR - NEW met1 ( 63710 33150 ) RECT ( -355 -70 0 70 ) ; - - _041_ ( _368_ RESET_B ) ( _313_ X ) + USE SIGNAL - + ROUTED met2 ( 29210 32130 ) ( * 33490 ) - NEW li1 ( 29210 32130 ) L1M1_PR_MR - NEW met1 ( 29210 32130 ) M1M2_PR - NEW met1 ( 29210 33490 ) M1M2_PR - NEW met1 ( 29210 32130 ) RECT ( -355 -70 0 70 ) ; - - _042_ ( _369_ RESET_B ) ( _312_ X ) + USE SIGNAL - + ROUTED met1 ( 31050 31790 ) ( * 32130 ) - NEW met1 ( 31050 31790 ) ( 35650 * 0 ) - NEW li1 ( 31050 32130 ) L1M1_PR_MR ; - - _043_ ( _370_ RESET_B ) ( _311_ X ) + USE SIGNAL - + ROUTED met2 ( 63710 26690 ) ( * 28050 ) - NEW li1 ( 63710 26690 ) L1M1_PR_MR - NEW met1 ( 63710 26690 ) M1M2_PR - NEW met1 ( 63710 28050 ) M1M2_PR - NEW met1 ( 63710 26690 ) RECT ( -355 -70 0 70 ) ; - - _044_ ( _371_ RESET_B ) ( _310_ X ) + USE SIGNAL - + ROUTED met1 ( 47150 7650 ) ( 48070 * ) - NEW met2 ( 47150 7650 ) ( * 10030 ) - NEW li1 ( 48070 7650 ) L1M1_PR_MR - NEW met1 ( 47150 7650 ) M1M2_PR - NEW met1 ( 47150 10030 ) M1M2_PR ; - - _045_ ( _372_ RESET_B ) ( _309_ X ) + USE SIGNAL - + ROUTED met2 ( 55430 10370 ) ( * 11390 ) - NEW met1 ( 55430 11390 ) ( * 11730 0 ) - NEW li1 ( 55430 10370 ) L1M1_PR_MR - NEW met1 ( 55430 10370 ) M1M2_PR - NEW met1 ( 55430 11390 ) M1M2_PR - NEW met1 ( 55430 10370 ) RECT ( -355 -70 0 70 ) ; - - _046_ ( _373_ RESET_B ) ( _308_ X ) + USE SIGNAL - + ROUTED met1 ( 58650 17170 0 ) ( 59570 * ) - NEW met2 ( 59570 13090 ) ( * 17170 ) - NEW li1 ( 59570 13090 ) L1M1_PR_MR - NEW met1 ( 59570 13090 ) M1M2_PR - NEW met1 ( 59570 17170 ) M1M2_PR - NEW met1 ( 59570 13090 ) RECT ( -355 -70 0 70 ) ; - - _047_ ( _374_ RESET_B ) ( _307_ X ) + USE SIGNAL - + ROUTED met1 ( 38410 19550 ) ( 38870 * ) - NEW met2 ( 38410 17170 ) ( * 19550 ) - NEW li1 ( 38870 19550 ) L1M1_PR_MR - NEW met1 ( 38410 19550 ) M1M2_PR - NEW met1 ( 38410 17170 ) M1M2_PR ; - - _048_ ( _375_ RESET_B ) ( _306_ X ) + USE SIGNAL - + ROUTED met1 ( 43010 13090 ) ( 43470 * ) - NEW met2 ( 43470 13090 ) ( * 15470 ) - NEW li1 ( 43010 13090 ) L1M1_PR_MR - NEW met1 ( 43470 13090 ) M1M2_PR - NEW met1 ( 43470 15470 ) M1M2_PR ; - - _049_ ( _376_ RESET_B ) ( _305_ X ) + USE SIGNAL - + ROUTED met1 ( 14030 7650 ) ( 14490 * ) - NEW met2 ( 14490 7650 ) ( * 10030 ) - NEW li1 ( 14030 7650 ) L1M1_PR_MR - NEW met1 ( 14490 7650 ) M1M2_PR - NEW met1 ( 14490 10030 ) M1M2_PR ; - - _050_ ( _377_ RESET_B ) ( _327_ A ) ( _325_ A ) ( _324_ A ) ( _323_ A ) ( _322_ A ) ( _321_ A ) - ( _320_ A ) ( _319_ A ) ( _318_ A ) ( _317_ A ) ( _316_ A ) ( _315_ A ) ( _314_ A ) ( _313_ A ) - ( _312_ A ) ( _311_ A ) ( _310_ A ) ( _309_ A ) ( _308_ A ) ( _307_ A ) ( _306_ A ) ( _305_ A ) - ( _182_ Y ) + USE SIGNAL - + ROUTED met2 ( 13570 6630 ) ( * 11390 ) - NEW met1 ( 13110 6630 ) ( 13570 * ) - NEW met1 ( 63250 17510 ) ( 63710 * ) - NEW met1 ( 62330 17510 ) ( 63250 * ) - NEW met1 ( 62790 26010 ) ( 63250 * ) - NEW met2 ( 63250 17510 ) ( * 26010 ) - NEW met2 ( 63250 26010 ) ( * 30430 ) - NEW met1 ( 62790 33830 ) ( 63250 * ) - NEW met2 ( 63250 30430 ) ( * 33830 ) - NEW met2 ( 63250 13090 ) ( * 17510 ) - NEW met1 ( 36570 5950 ) ( * 6630 ) - NEW met1 ( 29670 5950 ) ( 36570 * ) - NEW li1 ( 29670 5950 ) ( * 6630 ) - NEW met1 ( 29210 6630 ) ( 29670 * ) - NEW met1 ( 36570 6630 ) ( 47150 * ) - NEW met2 ( 43930 6630 ) ( * 12070 ) - NEW met1 ( 43930 11390 ) ( 46690 * ) - NEW met1 ( 55890 6630 ) ( * 6970 ) - NEW met1 ( 47150 6970 ) ( 55890 * ) - NEW met1 ( 47150 6630 ) ( * 6970 ) - NEW met2 ( 56350 6970 ) ( * 9690 ) - NEW met1 ( 55890 6970 ) ( 56350 * ) - NEW met2 ( 60490 10370 ) ( * 12070 ) - NEW met1 ( 56350 10370 ) ( 60490 * ) - NEW met1 ( 56350 9690 ) ( * 10370 ) - NEW met1 ( 60490 12070 ) ( 61870 * ) - NEW met2 ( 61870 12070 ) ( * 13090 ) - NEW met2 ( 13570 11390 ) ( 14030 * ) - NEW met2 ( 61870 13090 ) ( 63250 * ) - NEW met2 ( 46690 11390 ) ( * 13800 ) - NEW met1 ( 56810 30430 ) ( * 31450 ) - NEW met2 ( 45770 13800 ) ( * 22950 ) - NEW met2 ( 45770 13800 ) ( 46690 * ) - NEW met1 ( 37950 20570 ) ( * 20910 ) - NEW met1 ( 37950 20910 ) ( 42090 * ) - NEW met2 ( 42090 20910 ) ( 43010 * ) - NEW met2 ( 43010 20910 ) ( * 22950 ) - NEW met1 ( 43010 22950 ) ( 45770 * ) - NEW met1 ( 32890 22950 ) ( * 23290 ) - NEW met1 ( 32890 23290 ) ( 41170 * ) - NEW met1 ( 41170 22950 ) ( * 23290 ) - NEW met1 ( 41170 22950 ) ( 43010 * ) - NEW met2 ( 29670 22950 ) ( * 23970 ) - NEW met1 ( 29670 23970 ) ( 32890 * ) - NEW met1 ( 32890 23290 ) ( * 23970 ) - NEW met1 ( 24610 17170 0 ) ( 25530 * ) - NEW met2 ( 25530 17170 ) ( * 23970 ) - NEW met1 ( 25530 23970 ) ( 29670 * ) - NEW met1 ( 25530 31450 ) ( 26910 * ) - NEW met2 ( 25530 23970 ) ( * 31450 ) - NEW met1 ( 26910 31450 ) ( 28290 * ) - NEW met1 ( 28290 31450 ) ( 30130 * ) - NEW met1 ( 20010 36550 ) ( 25530 * ) - NEW met2 ( 25530 31450 ) ( * 36550 ) - NEW met1 ( 14030 15470 ) ( 23690 * ) - NEW met1 ( 23690 15470 ) ( * 15810 ) - NEW met1 ( 23690 15810 ) ( 24610 * ) - NEW met2 ( 24610 15810 ) ( * 17170 ) - NEW met2 ( 15870 33830 ) ( * 36210 ) - NEW met1 ( 15870 36210 ) ( 20010 * ) - NEW met1 ( 20010 36210 ) ( * 36550 ) - NEW met1 ( 13110 33830 ) ( 15870 * ) - NEW met2 ( 14030 11390 ) ( * 15470 ) - NEW met1 ( 56810 30430 ) ( 63250 * ) - NEW met1 ( 13570 6630 ) M1M2_PR - NEW li1 ( 13110 6630 ) L1M1_PR_MR - NEW li1 ( 13110 33830 ) L1M1_PR_MR - NEW li1 ( 63710 17510 ) L1M1_PR_MR - NEW met1 ( 63250 17510 ) M1M2_PR - NEW li1 ( 62330 17510 ) L1M1_PR_MR - NEW li1 ( 62790 26010 ) L1M1_PR_MR - NEW met1 ( 63250 26010 ) M1M2_PR - NEW met1 ( 63250 30430 ) M1M2_PR - NEW li1 ( 62790 33830 ) L1M1_PR_MR - NEW met1 ( 63250 33830 ) M1M2_PR - NEW li1 ( 36570 6630 ) L1M1_PR_MR - NEW li1 ( 29670 5950 ) L1M1_PR_MR - NEW li1 ( 29670 6630 ) L1M1_PR_MR - NEW li1 ( 29210 6630 ) L1M1_PR_MR - NEW li1 ( 47150 6630 ) L1M1_PR_MR - NEW li1 ( 43930 12070 ) L1M1_PR_MR - NEW met1 ( 43930 12070 ) M1M2_PR - NEW met1 ( 43930 6630 ) M1M2_PR - NEW met1 ( 46690 11390 ) M1M2_PR - NEW met1 ( 43930 11390 ) M1M2_PR - NEW li1 ( 55890 6630 ) L1M1_PR_MR - NEW li1 ( 56350 9690 ) L1M1_PR_MR - NEW met1 ( 56350 9690 ) M1M2_PR - NEW met1 ( 56350 6970 ) M1M2_PR - NEW li1 ( 60490 12070 ) L1M1_PR_MR - NEW met1 ( 60490 12070 ) M1M2_PR - NEW met1 ( 60490 10370 ) M1M2_PR - NEW li1 ( 61870 12070 ) L1M1_PR_MR - NEW met1 ( 61870 12070 ) M1M2_PR - NEW li1 ( 56810 31450 ) L1M1_PR_MR - NEW li1 ( 45770 22950 ) L1M1_PR_MR - NEW met1 ( 45770 22950 ) M1M2_PR - NEW li1 ( 37950 20570 ) L1M1_PR_MR - NEW met1 ( 42090 20910 ) M1M2_PR - NEW met1 ( 43010 22950 ) M1M2_PR - NEW li1 ( 32890 22950 ) L1M1_PR_MR - NEW li1 ( 29670 22950 ) L1M1_PR_MR - NEW met1 ( 29670 22950 ) M1M2_PR - NEW met1 ( 29670 23970 ) M1M2_PR - NEW met1 ( 25530 17170 ) M1M2_PR - NEW met1 ( 25530 23970 ) M1M2_PR - NEW li1 ( 26910 31450 ) L1M1_PR_MR - NEW met1 ( 25530 31450 ) M1M2_PR - NEW li1 ( 28290 31450 ) L1M1_PR_MR - NEW li1 ( 30130 31450 ) L1M1_PR_MR - NEW li1 ( 20010 36550 ) L1M1_PR_MR - NEW met1 ( 25530 36550 ) M1M2_PR - NEW met1 ( 14030 15470 ) M1M2_PR - NEW met1 ( 24610 15810 ) M1M2_PR - NEW met1 ( 24610 17170 ) M1M2_PR - NEW met1 ( 15870 33830 ) M1M2_PR - NEW met1 ( 15870 36210 ) M1M2_PR - NEW met1 ( 43930 12070 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43930 6630 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 43930 11390 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 56350 9690 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 60490 12070 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 61870 12070 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 45770 22950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 29670 22950 ) RECT ( -355 -70 0 70 ) ; - - _051_ ( _378_ RESET_B ) ( _327_ X ) + USE SIGNAL - + ROUTED met1 ( 14030 31790 0 ) ( * 32130 ) - NEW met2 ( 14030 32130 ) ( * 33150 ) - NEW met1 ( 14030 32130 ) M1M2_PR - NEW li1 ( 14030 33150 ) L1M1_PR_MR - NEW met1 ( 14030 33150 ) M1M2_PR - NEW met1 ( 14030 33150 ) RECT ( 0 -70 355 70 ) ; - - _052_ ( _359_ D ) ( _277_ X ) + USE SIGNAL - + ROUTED met2 ( 23230 20910 ) ( * 22270 ) - NEW met1 ( 23230 22270 ) ( 25070 * ) - NEW li1 ( 23230 20910 ) L1M1_PR_MR - NEW met1 ( 23230 20910 ) M1M2_PR - NEW met1 ( 23230 22270 ) M1M2_PR - NEW li1 ( 25070 22270 ) L1M1_PR_MR - NEW met1 ( 23230 20910 ) RECT ( -355 -70 0 70 ) ; - - _053_ ( _360_ D ) ( _276_ X ) + USE SIGNAL - + ROUTED met2 ( 33350 7650 ) ( * 9350 ) - NEW li1 ( 33350 7650 ) L1M1_PR_MR - NEW met1 ( 33350 7650 ) M1M2_PR - NEW li1 ( 33350 9350 ) L1M1_PR_MR - NEW met1 ( 33350 9350 ) M1M2_PR - NEW met1 ( 33350 7650 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 33350 9350 ) RECT ( -355 -70 0 70 ) ; - - _054_ ( _361_ D ) ( _274_ X ) + USE SIGNAL - + ROUTED met1 ( 25070 14110 ) ( 28750 * ) - NEW met2 ( 25070 14110 ) ( * 15810 ) - NEW met2 ( 28750 10030 ) ( * 14110 ) - NEW li1 ( 28750 10030 ) L1M1_PR_MR - NEW met1 ( 28750 10030 ) M1M2_PR - NEW met1 ( 28750 14110 ) M1M2_PR - NEW met1 ( 25070 14110 ) M1M2_PR - NEW li1 ( 25070 15810 ) L1M1_PR_MR - NEW met1 ( 25070 15810 ) M1M2_PR - NEW met1 ( 28750 10030 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 25070 15810 ) RECT ( 0 -70 355 70 ) ; - - _055_ ( _362_ D ) ( _271_ X ) + USE SIGNAL - + ROUTED met1 ( 28750 25670 ) ( 39790 * ) - NEW li1 ( 39790 25670 ) L1M1_PR_MR - NEW li1 ( 28750 25670 ) L1M1_PR_MR ; - - _056_ ( _363_ D ) ( _270_ Y ) + USE SIGNAL - + ROUTED met2 ( 20930 26690 ) ( * 28050 ) - NEW li1 ( 20930 26690 ) L1M1_PR_MR - NEW met1 ( 20930 26690 ) M1M2_PR - NEW li1 ( 20930 28050 ) L1M1_PR_MR - NEW met1 ( 20930 28050 ) M1M2_PR - NEW met1 ( 20930 26690 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 20930 28050 ) RECT ( -355 -70 0 70 ) ; - - _057_ ( _364_ D ) ( _266_ X ) + USE SIGNAL - + ROUTED met1 ( 40710 18530 ) ( 45310 * ) - NEW met2 ( 40710 18530 ) ( * 20230 ) - NEW li1 ( 45310 18530 ) L1M1_PR_MR - NEW met1 ( 40710 18530 ) M1M2_PR - NEW li1 ( 40710 20230 ) L1M1_PR_MR - NEW met1 ( 40710 20230 ) M1M2_PR - NEW met1 ( 40710 20230 ) RECT ( -355 -70 0 70 ) ; - - _058_ ( _365_ D ) ( _265_ Y ) + USE SIGNAL - + ROUTED met2 ( 59110 20910 ) ( * 23290 ) - NEW met1 ( 55430 23290 ) ( 59110 * ) - NEW li1 ( 59110 20910 ) L1M1_PR_MR - NEW met1 ( 59110 20910 ) M1M2_PR - NEW met1 ( 59110 23290 ) M1M2_PR - NEW li1 ( 55430 23290 ) L1M1_PR_MR - NEW met1 ( 59110 20910 ) RECT ( -355 -70 0 70 ) ; - - _059_ ( _366_ D ) ( _263_ X ) + USE SIGNAL - + ROUTED met2 ( 50370 28730 ) ( * 30430 ) - NEW li1 ( 50370 28730 ) L1M1_PR_MR - NEW met1 ( 50370 28730 ) M1M2_PR - NEW li1 ( 50370 30430 ) L1M1_PR_MR - NEW met1 ( 50370 30430 ) M1M2_PR - NEW met1 ( 50370 28730 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 50370 30430 ) RECT ( -355 -70 0 70 ) ; - - _060_ ( _367_ D ) ( _261_ X ) + USE SIGNAL - + ROUTED met1 ( 60030 31790 ) ( 61410 * ) - NEW met2 ( 61410 31790 ) ( * 33150 ) - NEW met1 ( 61410 33150 ) ( 61870 * ) - NEW li1 ( 60030 31790 ) L1M1_PR_MR - NEW met1 ( 61410 31790 ) M1M2_PR - NEW met1 ( 61410 33150 ) M1M2_PR - NEW li1 ( 61870 33150 ) L1M1_PR_MR ; - - _061_ ( _368_ D ) ( _257_ X ) + USE SIGNAL - + ROUTED met1 ( 25465 34850 ) ( 25990 * ) - NEW met2 ( 25990 34850 ) ( * 35870 ) - NEW met1 ( 25990 35870 ) ( 37030 * ) - NEW li1 ( 25465 34850 ) L1M1_PR_MR - NEW met1 ( 25990 34850 ) M1M2_PR - NEW met1 ( 25990 35870 ) M1M2_PR - NEW li1 ( 37030 35870 ) L1M1_PR_MR ; - - _062_ ( _369_ D ) ( _255_ Y ) + USE SIGNAL - + ROUTED met1 ( 33350 31110 ) ( 35190 * ) - NEW met2 ( 35190 31110 ) ( * 36210 ) - NEW li1 ( 33350 31110 ) L1M1_PR_MR - NEW met1 ( 35190 31110 ) M1M2_PR - NEW li1 ( 35190 36210 ) L1M1_PR_MR - NEW met1 ( 35190 36210 ) M1M2_PR - NEW met1 ( 35190 36210 ) RECT ( -355 -70 0 70 ) ; - - _063_ ( _370_ D ) ( _250_ Y ) + USE SIGNAL - + ROUTED met1 ( 46230 26350 ) ( * 26690 ) - NEW met1 ( 46230 26690 ) ( 60030 * ) - NEW met2 ( 60030 26690 ) ( * 28050 ) - NEW li1 ( 46230 26350 ) L1M1_PR_MR - NEW met1 ( 60030 26690 ) M1M2_PR - NEW li1 ( 60030 28050 ) L1M1_PR_MR - NEW met1 ( 60030 28050 ) M1M2_PR - NEW met1 ( 60030 28050 ) RECT ( -355 -70 0 70 ) ; - - _064_ ( _371_ D ) ( _189_ X ) + USE SIGNAL - + ROUTED met1 ( 43470 7310 ) ( 44850 * ) - NEW met2 ( 43470 7310 ) ( * 9350 ) - NEW li1 ( 44850 7310 ) L1M1_PR_MR - NEW met1 ( 43470 7310 ) M1M2_PR - NEW li1 ( 43470 9350 ) L1M1_PR_MR - NEW met1 ( 43470 9350 ) M1M2_PR - NEW met1 ( 43470 9350 ) RECT ( -355 -70 0 70 ) ; - - _065_ ( _372_ D ) ( _188_ X ) + USE SIGNAL - + ROUTED met1 ( 51290 10370 ) ( 52125 * ) - NEW met2 ( 51290 10370 ) ( * 11730 ) - NEW li1 ( 52125 10370 ) L1M1_PR_MR - NEW met1 ( 51290 10370 ) M1M2_PR - NEW li1 ( 51290 11730 ) L1M1_PR_MR - NEW met1 ( 51290 11730 ) M1M2_PR - NEW met1 ( 51290 11730 ) RECT ( -355 -70 0 70 ) ; - - _066_ ( _373_ D ) ( _187_ X ) + USE SIGNAL - + ROUTED met2 ( 52210 14450 ) ( * 17170 ) - NEW met1 ( 52210 17170 ) ( 52670 * ) - NEW li1 ( 52210 14450 ) L1M1_PR_MR - NEW met1 ( 52210 14450 ) M1M2_PR - NEW met1 ( 52210 17170 ) M1M2_PR - NEW li1 ( 52670 17170 ) L1M1_PR_MR - NEW met1 ( 52210 14450 ) RECT ( -355 -70 0 70 ) ; - - _067_ ( _374_ D ) ( _186_ X ) + USE SIGNAL - + ROUTED met2 ( 34730 17850 ) ( * 19550 ) - NEW met1 ( 34730 19550 ) ( 35190 * ) - NEW li1 ( 34730 17850 ) L1M1_PR_MR - NEW met1 ( 34730 17850 ) M1M2_PR - NEW met1 ( 34730 19550 ) M1M2_PR - NEW li1 ( 35190 19550 ) L1M1_PR_MR - NEW met1 ( 34730 17850 ) RECT ( -355 -70 0 70 ) ; - - _068_ ( _375_ D ) ( _185_ X ) + USE SIGNAL - + ROUTED met1 ( 45310 13090 ) ( 47150 * ) - NEW met2 ( 47150 13090 ) ( * 14790 ) - NEW met1 ( 47150 13090 ) M1M2_PR - NEW li1 ( 45310 13090 ) L1M1_PR_MR - NEW li1 ( 47150 14790 ) L1M1_PR_MR - NEW met1 ( 47150 14790 ) M1M2_PR - NEW met1 ( 47150 14790 ) RECT ( -355 -70 0 70 ) ; - - _069_ ( _376_ D ) ( _184_ X ) + USE SIGNAL - + ROUTED met2 ( 16790 7650 ) ( * 9350 ) - NEW met1 ( 16790 7650 ) ( 19550 * ) - NEW met1 ( 9430 9350 ) ( 16790 * ) - NEW li1 ( 9430 9350 ) L1M1_PR_MR - NEW met1 ( 16790 9350 ) M1M2_PR - NEW met1 ( 16790 7650 ) M1M2_PR - NEW li1 ( 19550 7650 ) L1M1_PR_MR ; - - _070_ ( _377_ D ) ( _183_ X ) + USE SIGNAL - + ROUTED met1 ( 17710 17850 ) ( * 18190 ) - NEW met1 ( 17710 17850 ) ( 26910 * ) - NEW li1 ( 17710 18190 ) L1M1_PR_MR - NEW li1 ( 26910 17850 ) L1M1_PR_MR ; - - _071_ ( _378_ D ) ( _180_ X ) + USE SIGNAL - + ROUTED met2 ( 17250 25330 ) ( * 31110 ) - NEW met1 ( 9890 31110 ) ( 17250 * ) - NEW li1 ( 9890 31110 ) L1M1_PR_MR - NEW li1 ( 17250 25330 ) L1M1_PR_MR - NEW met1 ( 17250 25330 ) M1M2_PR - NEW met1 ( 17250 31110 ) M1M2_PR - NEW met1 ( 17250 25330 ) RECT ( 0 -70 355 70 ) ; - - _072_ ( _270_ A1 ) ( _218_ A1 ) ( _212_ B1 ) ( _164_ Y ) + USE SIGNAL - + ROUTED met1 ( 15410 28390 ) ( 18145 * ) - NEW met1 ( 18145 27710 ) ( * 28390 ) - NEW met1 ( 18145 27710 ) ( 22310 * ) - NEW met2 ( 22310 26010 ) ( * 27710 ) - NEW met2 ( 19090 27710 ) ( * 30430 ) - NEW met1 ( 15640 26010 ) ( 15870 * ) - NEW met2 ( 15870 26010 ) ( * 28390 ) - NEW li1 ( 15410 28390 ) L1M1_PR_MR - NEW met1 ( 22310 27710 ) M1M2_PR - NEW li1 ( 22310 26010 ) L1M1_PR_MR - NEW met1 ( 22310 26010 ) M1M2_PR - NEW li1 ( 19090 30430 ) L1M1_PR_MR - NEW met1 ( 19090 30430 ) M1M2_PR - NEW met1 ( 19090 27710 ) M1M2_PR - NEW li1 ( 15640 26010 ) L1M1_PR_MR - NEW met1 ( 15870 26010 ) M1M2_PR - NEW met1 ( 15870 28390 ) M1M2_PR - NEW met1 ( 22310 26010 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 19090 30430 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 19090 27710 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 15870 28390 ) RECT ( -595 -70 0 70 ) ; - - _073_ ( _218_ A2 ) ( _212_ B2 ) ( _165_ Y ) + USE SIGNAL - + ROUTED met2 ( 14490 28390 ) ( * 33490 ) - NEW met1 ( 14490 33490 ) ( 16330 * ) - NEW met1 ( 16330 26010 ) ( * 26350 ) - NEW met1 ( 14490 26350 ) ( 16330 * ) - NEW met1 ( 14490 26350 ) ( * 26690 ) - NEW met2 ( 14490 26690 ) ( * 28390 ) - NEW li1 ( 14490 28390 ) L1M1_PR_MR - NEW met1 ( 14490 28390 ) M1M2_PR - NEW met1 ( 14490 33490 ) M1M2_PR - NEW li1 ( 16330 33490 ) L1M1_PR_MR - NEW li1 ( 16330 26010 ) L1M1_PR_MR - NEW met1 ( 14490 26690 ) M1M2_PR - NEW met1 ( 14490 28390 ) RECT ( -355 -70 0 70 ) ; - - _074_ ( _273_ B1 ) ( _267_ C ) ( _166_ Y ) + USE SIGNAL - + ROUTED met2 ( 24610 6970 ) ( * 11730 ) - NEW met1 ( 24610 6970 ) ( 27830 * ) - NEW met1 ( 27830 6630 ) ( * 6970 ) - NEW met1 ( 23690 11730 ) ( 24610 * ) - NEW li1 ( 24610 11730 ) L1M1_PR_MR - NEW met1 ( 24610 11730 ) M1M2_PR - NEW met1 ( 24610 6970 ) M1M2_PR - NEW li1 ( 27830 6630 ) L1M1_PR_MR - NEW li1 ( 23690 11730 ) L1M1_PR_MR - NEW met1 ( 24610 11730 ) RECT ( -355 -70 0 70 ) ; - - _075_ ( _275_ A1 ) ( _273_ A1 ) ( _267_ A ) ( _167_ Y ) + USE SIGNAL - + ROUTED met1 ( 29210 12070 ) ( 30360 * ) - NEW met1 ( 29210 11730 ) ( * 12070 ) - NEW met1 ( 25530 11730 ) ( 29210 * ) - NEW met1 ( 25530 11730 ) ( * 12070 ) - NEW met2 ( 30590 7650 ) ( * 12070 ) - NEW met1 ( 30590 12070 ) ( * 12080 ) - NEW met1 ( 30455 12080 ) ( 30590 * ) - NEW met1 ( 30455 12070 ) ( * 12080 ) - NEW met1 ( 30360 12070 ) ( 30455 * ) - NEW met1 ( 27370 6290 ) ( * 6630 ) - NEW met1 ( 27370 6290 ) ( 30590 * ) - NEW met2 ( 30590 6290 ) ( * 7650 ) - NEW li1 ( 30360 12070 ) L1M1_PR_MR - NEW li1 ( 25530 12070 ) L1M1_PR_MR - NEW li1 ( 30590 7650 ) L1M1_PR_MR - NEW met1 ( 30590 7650 ) M1M2_PR - NEW met1 ( 30590 12070 ) M1M2_PR - NEW li1 ( 27370 6630 ) L1M1_PR_MR - NEW met1 ( 30590 6290 ) M1M2_PR - NEW met1 ( 30590 7650 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 30360 12070 ) RECT ( -345 -70 0 70 ) ; - - _076_ ( _277_ B1 ) ( _275_ A2 ) ( _273_ A2 ) ( _267_ B ) ( _208_ A1 ) ( _193_ A ) ( _168_ Y ) + USE SIGNAL - + ROUTED met1 ( 27370 22950 ) ( * 23290 ) - NEW met1 ( 27370 23290 ) ( 31970 * ) - NEW met1 ( 28750 15130 ) ( 29210 * ) - NEW met2 ( 28750 15130 ) ( * 23290 ) - NEW met1 ( 29210 15130 ) ( 32430 * ) - NEW met1 ( 29670 12410 ) ( * 12750 ) - NEW met1 ( 29670 12750 ) ( 30130 * ) - NEW met2 ( 30130 12750 ) ( * 15130 ) - NEW met1 ( 26450 12070 ) ( * 12410 ) - NEW met1 ( 26450 12410 ) ( 28290 * ) - NEW met2 ( 28290 12410 ) ( * 15130 ) - NEW met2 ( 28290 15130 ) ( 28750 * ) - NEW met1 ( 27830 7650 ) ( 28290 * ) - NEW met2 ( 28290 7650 ) ( * 12410 ) - NEW li1 ( 27370 22950 ) L1M1_PR_MR - NEW li1 ( 31970 23290 ) L1M1_PR_MR - NEW li1 ( 29210 15130 ) L1M1_PR_MR - NEW met1 ( 28750 15130 ) M1M2_PR - NEW met1 ( 28750 23290 ) M1M2_PR - NEW li1 ( 32430 15130 ) L1M1_PR_MR - NEW li1 ( 29670 12410 ) L1M1_PR_MR - NEW met1 ( 30130 12750 ) M1M2_PR - NEW met1 ( 30130 15130 ) M1M2_PR - NEW li1 ( 26450 12070 ) L1M1_PR_MR - NEW met1 ( 28290 12410 ) M1M2_PR - NEW li1 ( 27830 7650 ) L1M1_PR_MR - NEW met1 ( 28290 7650 ) M1M2_PR - NEW met1 ( 28750 23290 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 30130 15130 ) RECT ( -595 -70 0 70 ) ; - - _077_ ( _208_ A2 ) ( _193_ B ) ( _169_ Y ) + USE SIGNAL - + ROUTED met2 ( 33810 15130 ) ( * 19890 ) - NEW met1 ( 33810 19890 ) ( 36570 * ) - NEW met1 ( 27830 15130 ) ( 28290 * ) - NEW li1 ( 27830 15130 ) ( * 15810 ) - NEW met1 ( 27830 15810 ) ( 33810 * ) - NEW li1 ( 33810 15130 ) L1M1_PR_MR - NEW met1 ( 33810 15130 ) M1M2_PR - NEW met1 ( 33810 19890 ) M1M2_PR - NEW li1 ( 36570 19890 ) L1M1_PR_MR - NEW li1 ( 28290 15130 ) L1M1_PR_MR - NEW li1 ( 27830 15130 ) L1M1_PR_MR - NEW li1 ( 27830 15810 ) L1M1_PR_MR - NEW met1 ( 33810 15810 ) M1M2_PR - NEW met1 ( 33810 15130 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 33810 15810 ) RECT ( -70 -485 70 0 ) ; - - _078_ ( _301_ A ) ( _300_ A3 ) ( _298_ A1 ) ( _297_ D ) ( _296_ A4 ) ( _295_ A1 ) ( _294_ C ) - ( _292_ A ) ( _250_ A1 ) ( _247_ B1 ) ( _235_ A1 ) ( _170_ Y ) + USE SIGNAL - + ROUTED met2 ( 49450 22950 ) ( * 25670 ) - NEW met1 ( 52210 38590 ) ( 53130 * ) - NEW met2 ( 52210 35870 ) ( * 38590 ) - NEW met1 ( 49450 35870 ) ( 52210 * ) - NEW met2 ( 49450 25670 ) ( * 35870 ) - NEW met1 ( 46230 49810 ) ( * 50150 ) - NEW met2 ( 51750 41820 ) ( 52210 * ) - NEW met2 ( 52210 38590 ) ( * 41820 ) - NEW met1 ( 43930 49810 ) ( 46230 * ) - NEW met1 ( 42090 55250 ) ( * 55590 ) - NEW met1 ( 42090 55250 ) ( 43470 * ) - NEW met1 ( 43470 55250 ) ( * 55590 ) - NEW met1 ( 42550 28390 ) ( 43470 * ) - NEW met2 ( 43470 25670 ) ( * 28390 ) - NEW met1 ( 43470 25670 ) ( 45770 * ) - NEW met3 ( 41630 47940 ) ( 43930 * ) - NEW met2 ( 41630 47770 ) ( * 47940 ) - NEW met2 ( 43930 47940 ) ( * 49810 ) - NEW met1 ( 45770 25670 ) ( 49450 * ) - NEW met2 ( 51750 55590 ) ( * 56100 ) - NEW met3 ( 51750 56100 ) ( 58650 * ) - NEW met2 ( 58650 56100 ) ( * 58650 ) - NEW met1 ( 49450 53210 ) ( * 53890 ) - NEW met1 ( 49450 53890 ) ( 50370 * ) - NEW met2 ( 50370 53890 ) ( * 55590 ) - NEW met1 ( 54050 49810 ) ( * 50150 ) - NEW met1 ( 51750 49810 ) ( 54050 * ) - NEW met2 ( 51750 49810 ) ( * 55590 ) - NEW met1 ( 55890 50150 ) ( 56350 * ) - NEW met1 ( 56350 49470 ) ( * 50150 ) - NEW met1 ( 54050 49470 ) ( 56350 * ) - NEW met1 ( 54050 49470 ) ( * 49810 ) - NEW met1 ( 46230 49810 ) ( 51750 * ) - NEW met1 ( 43470 55590 ) ( 51750 * ) - NEW met2 ( 51750 41820 ) ( * 49810 ) - NEW li1 ( 49450 22950 ) L1M1_PR_MR - NEW met1 ( 49450 22950 ) M1M2_PR - NEW met1 ( 49450 25670 ) M1M2_PR - NEW li1 ( 53130 38590 ) L1M1_PR_MR - NEW met1 ( 52210 38590 ) M1M2_PR - NEW met1 ( 52210 35870 ) M1M2_PR - NEW met1 ( 49450 35870 ) M1M2_PR - NEW li1 ( 46230 50150 ) L1M1_PR_MR - NEW met1 ( 43930 49810 ) M1M2_PR - NEW li1 ( 42090 55590 ) L1M1_PR_MR - NEW li1 ( 45770 25670 ) L1M1_PR_MR - NEW li1 ( 42550 28390 ) L1M1_PR_MR - NEW met1 ( 43470 28390 ) M1M2_PR - NEW met1 ( 43470 25670 ) M1M2_PR - NEW met2 ( 43930 47940 ) M2M3_PR_M - NEW met2 ( 41630 47940 ) M2M3_PR_M - NEW li1 ( 41630 47770 ) L1M1_PR_MR - NEW met1 ( 41630 47770 ) M1M2_PR - NEW li1 ( 51750 55590 ) L1M1_PR_MR - NEW met1 ( 51750 55590 ) M1M2_PR - NEW met2 ( 51750 56100 ) M2M3_PR_M - NEW met2 ( 58650 56100 ) M2M3_PR_M - NEW li1 ( 58650 58650 ) L1M1_PR_MR - NEW met1 ( 58650 58650 ) M1M2_PR - NEW li1 ( 49450 53210 ) L1M1_PR_MR - NEW met1 ( 50370 53890 ) M1M2_PR - NEW met1 ( 50370 55590 ) M1M2_PR - NEW li1 ( 54050 50150 ) L1M1_PR_MR - NEW met1 ( 51750 49810 ) M1M2_PR - NEW li1 ( 55890 50150 ) L1M1_PR_MR - NEW met1 ( 49450 22950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 41630 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 51750 55590 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 58650 58650 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 50370 55590 ) RECT ( -595 -70 0 70 ) ; - - _079_ ( _303_ A1 ) ( _297_ A ) ( _289_ A1 ) ( _287_ A1 ) ( _286_ A1 ) ( _285_ A1 ) ( _255_ A1 ) - ( _243_ A1 ) ( _223_ A ) ( _171_ Y ) + USE SIGNAL - + ROUTED met1 ( 37950 49470 ) ( * 50150 ) - NEW met1 ( 35650 49470 ) ( 37950 * ) - NEW met1 ( 32430 50150 ) ( 35650 * ) - NEW met2 ( 35650 49470 ) ( * 50150 ) - NEW met1 ( 41630 38590 ) ( 43470 * ) - NEW met2 ( 43470 33830 ) ( * 38590 ) - NEW met2 ( 43470 33830 ) ( 43930 * ) - NEW met1 ( 35650 36210 ) ( * 36550 ) - NEW met1 ( 35650 36210 ) ( 43470 * ) - NEW met2 ( 46230 38590 ) ( * 44710 ) - NEW met1 ( 43470 38590 ) ( 46230 * ) - NEW met2 ( 35650 36550 ) ( * 47770 ) - NEW met2 ( 46230 44710 ) ( * 47770 ) - NEW met1 ( 42975 47770 ) ( 43010 * ) - NEW met1 ( 43010 47770 ) ( * 47790 ) - NEW met1 ( 43010 47790 ) ( 43470 * ) - NEW met1 ( 43470 47430 ) ( * 47790 ) - NEW met1 ( 43470 47430 ) ( 46230 * ) - NEW met1 ( 46230 47430 ) ( * 47770 ) - NEW met1 ( 32430 44710 ) ( 32910 * ) - NEW met1 ( 32910 44030 ) ( * 44710 ) - NEW met1 ( 32910 44030 ) ( 35650 * ) - NEW met2 ( 35650 47770 ) ( * 49470 ) - NEW li1 ( 37950 50150 ) L1M1_PR_MR - NEW met1 ( 35650 49470 ) M1M2_PR - NEW li1 ( 32430 50150 ) L1M1_PR_MR - NEW met1 ( 35650 50150 ) M1M2_PR - NEW li1 ( 41630 38590 ) L1M1_PR_MR - NEW met1 ( 43470 38590 ) M1M2_PR - NEW li1 ( 43930 33830 ) L1M1_PR_MR - NEW met1 ( 43930 33830 ) M1M2_PR - NEW li1 ( 35650 36550 ) L1M1_PR_MR - NEW met1 ( 43470 36210 ) M1M2_PR - NEW li1 ( 46230 44710 ) L1M1_PR_MR - NEW met1 ( 46230 44710 ) M1M2_PR - NEW met1 ( 46230 38590 ) M1M2_PR - NEW li1 ( 35650 47770 ) L1M1_PR_MR - NEW met1 ( 35650 47770 ) M1M2_PR - NEW met1 ( 35650 36550 ) M1M2_PR - NEW li1 ( 46230 47770 ) L1M1_PR_MR - NEW met1 ( 46230 47770 ) M1M2_PR - NEW li1 ( 42975 47770 ) L1M1_PR_MR - NEW li1 ( 32430 44710 ) L1M1_PR_MR - NEW met1 ( 35650 44030 ) M1M2_PR - NEW met1 ( 43930 33830 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 43470 36210 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 46230 44710 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 35650 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 35650 36550 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 46230 47770 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 35650 44030 ) RECT ( -70 -485 70 0 ) ; - - _080_ ( _280_ B ) ( _252_ A1 ) ( _242_ B1 ) ( _223_ B ) ( _172_ Y ) + USE SIGNAL - + ROUTED met1 ( 44390 43010 ) ( 45770 * ) - NEW met2 ( 45770 43010 ) ( * 45730 ) - NEW met1 ( 41630 44370 ) ( 45310 * ) - NEW met2 ( 45310 44370 ) ( 45770 * ) - NEW met2 ( 38410 39610 ) ( * 40290 ) - NEW met1 ( 38410 40290 ) ( 45770 * ) - NEW met2 ( 45770 40290 ) ( * 43010 ) - NEW met1 ( 42780 36890 ) ( 43010 * ) - NEW met1 ( 43010 36890 ) ( * 37060 ) - NEW met2 ( 43010 37060 ) ( * 40290 ) - NEW li1 ( 44390 43010 ) L1M1_PR_MR - NEW met1 ( 45770 43010 ) M1M2_PR - NEW li1 ( 45770 45730 ) L1M1_PR_MR - NEW met1 ( 45770 45730 ) M1M2_PR - NEW li1 ( 41630 44370 ) L1M1_PR_MR - NEW met1 ( 45310 44370 ) M1M2_PR - NEW li1 ( 38410 39610 ) L1M1_PR_MR - NEW met1 ( 38410 39610 ) M1M2_PR - NEW met1 ( 38410 40290 ) M1M2_PR - NEW met1 ( 45770 40290 ) M1M2_PR - NEW li1 ( 42780 36890 ) L1M1_PR_MR - NEW met1 ( 43010 37060 ) M1M2_PR - NEW met1 ( 43010 40290 ) M1M2_PR - NEW met1 ( 45770 45730 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 38410 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43010 40290 ) RECT ( -595 -70 0 70 ) ; - - _081_ ( _300_ A1 ) ( _298_ A3 ) ( _295_ A3 ) ( _221_ A ) ( _173_ Y ) + USE SIGNAL - + ROUTED met1 ( 54510 50150 ) ( 54970 * ) - NEW met2 ( 54510 50150 ) ( * 52020 ) - NEW met2 ( 54050 52020 ) ( 54510 * ) - NEW met2 ( 54050 52020 ) ( * 54910 ) - NEW met1 ( 52670 54910 ) ( 54050 * ) - NEW met1 ( 52670 54910 ) ( * 55590 ) - NEW met1 ( 54510 48450 ) ( 54970 * ) - NEW met2 ( 54510 48450 ) ( * 50150 ) - NEW met1 ( 47150 50490 ) ( 54510 * ) - NEW met1 ( 54510 50150 ) ( * 50490 ) - NEW met2 ( 49450 44370 ) ( * 50490 ) - NEW li1 ( 54970 50150 ) L1M1_PR_MR - NEW met1 ( 54510 50150 ) M1M2_PR - NEW met1 ( 54050 54910 ) M1M2_PR - NEW li1 ( 52670 55590 ) L1M1_PR_MR - NEW li1 ( 54970 48450 ) L1M1_PR_MR - NEW met1 ( 54510 48450 ) M1M2_PR - NEW li1 ( 47150 50490 ) L1M1_PR_MR - NEW li1 ( 49450 44370 ) L1M1_PR_MR - NEW met1 ( 49450 44370 ) M1M2_PR - NEW met1 ( 49450 50490 ) M1M2_PR - NEW met1 ( 49450 44370 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 49450 50490 ) RECT ( -595 -70 0 70 ) ; - - _082_ ( _296_ A2 ) ( _293_ A2 ) ( _260_ A1 ) ( _240_ B1 ) ( _221_ B ) ( _174_ Y ) + USE SIGNAL - + ROUTED met1 ( 52210 33490 ) ( 54510 * ) - NEW met2 ( 54510 33490 ) ( * 33660 ) - NEW met2 ( 54510 33660 ) ( 55430 * ) - NEW met2 ( 55430 33660 ) ( * 43010 ) - NEW met1 ( 50370 43010 ) ( 55430 * ) - NEW met2 ( 50370 43010 ) ( * 44370 ) - NEW met1 ( 55890 33830 ) ( 55895 * ) - NEW met2 ( 55430 33830 ) ( 55890 * ) - NEW met1 ( 55430 35870 ) ( 58190 * ) - NEW met1 ( 53130 52870 ) ( 54050 * ) - NEW met1 ( 53130 52190 ) ( * 52870 ) - NEW met1 ( 50370 52190 ) ( 53130 * ) - NEW met2 ( 50370 44370 ) ( * 52190 ) - NEW li1 ( 50370 44370 ) L1M1_PR_MR - NEW met1 ( 50370 44370 ) M1M2_PR - NEW li1 ( 52210 33490 ) L1M1_PR_MR - NEW met1 ( 54510 33490 ) M1M2_PR - NEW met1 ( 55430 43010 ) M1M2_PR - NEW met1 ( 50370 43010 ) M1M2_PR - NEW li1 ( 55895 33830 ) L1M1_PR_MR - NEW met1 ( 55890 33830 ) M1M2_PR - NEW li1 ( 58190 35870 ) L1M1_PR_MR - NEW met1 ( 55430 35870 ) M1M2_PR - NEW li1 ( 50370 52190 ) L1M1_PR_MR - NEW met1 ( 50370 52190 ) M1M2_PR - NEW li1 ( 54050 52870 ) L1M1_PR_MR - NEW met1 ( 50370 44370 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 55895 33830 ) RECT ( 0 -70 350 70 ) - NEW met2 ( 55430 35870 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 50370 52190 ) RECT ( 0 -70 355 70 ) ; - - _083_ ( _265_ A1 ) ( _238_ A1 ) ( _237_ B1 ) ( _224_ A ) ( _175_ Y ) + USE SIGNAL - + ROUTED met1 ( 56810 25330 ) ( * 25670 ) - NEW met1 ( 56810 25330 ) ( 58190 * ) - NEW met1 ( 52210 25670 ) ( 56810 * ) - NEW met2 ( 53590 22950 ) ( * 25670 ) - NEW met1 ( 54510 20910 ) ( * 21250 ) - NEW met1 ( 53590 21250 ) ( 54510 * ) - NEW met2 ( 53590 21250 ) ( * 22950 ) - NEW li1 ( 56810 25670 ) L1M1_PR_MR - NEW li1 ( 58190 25330 ) L1M1_PR_MR - NEW li1 ( 52210 25670 ) L1M1_PR_MR - NEW li1 ( 53590 22950 ) L1M1_PR_MR - NEW met1 ( 53590 22950 ) M1M2_PR - NEW met1 ( 53590 25670 ) M1M2_PR - NEW li1 ( 54510 20910 ) L1M1_PR_MR - NEW met1 ( 53590 21250 ) M1M2_PR - NEW met1 ( 53590 22950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 53590 25670 ) RECT ( -595 -70 0 70 ) ; - - _084_ ( _266_ B1 ) ( _264_ B1 ) ( _264_ A1_N ) ( _238_ B1 ) ( _224_ B ) ( _176_ Y ) + USE SIGNAL - + ROUTED met1 ( 46230 22270 ) ( 47150 * ) - NEW met2 ( 46230 17510 ) ( * 22270 ) - NEW met1 ( 51750 24990 ) ( * 25330 ) - NEW met1 ( 46230 25330 ) ( 51750 * ) - NEW met2 ( 46230 22270 ) ( * 25330 ) - NEW met1 ( 53130 26010 ) ( 54970 * ) - NEW li1 ( 53130 25330 ) ( * 26010 ) - NEW met1 ( 51750 25330 ) ( 53130 * ) - NEW met1 ( 47150 22610 ) ( 57730 * ) - NEW met1 ( 47150 22270 ) ( * 22610 ) - NEW met1 ( 60030 22950 ) ( 60130 * ) - NEW met1 ( 60030 22610 ) ( * 22950 ) - NEW met1 ( 57730 22610 ) ( 60030 * ) - NEW li1 ( 47150 22270 ) L1M1_PR_MR - NEW met1 ( 46230 22270 ) M1M2_PR - NEW li1 ( 46230 17510 ) L1M1_PR_MR - NEW met1 ( 46230 17510 ) M1M2_PR - NEW li1 ( 51750 24990 ) L1M1_PR_MR - NEW met1 ( 46230 25330 ) M1M2_PR - NEW li1 ( 54970 26010 ) L1M1_PR_MR - NEW li1 ( 53130 26010 ) L1M1_PR_MR - NEW li1 ( 53130 25330 ) L1M1_PR_MR - NEW li1 ( 57730 22610 ) L1M1_PR_MR - NEW li1 ( 60130 22950 ) L1M1_PR_MR - NEW met1 ( 46230 17510 ) RECT ( -355 -70 0 70 ) ; - - _085_ ( _225_ A1 ) ( _177_ Y ) + USE SIGNAL - + ROUTED met1 ( 14950 15130 ) ( 15870 * ) - NEW li1 ( 14950 15130 ) L1M1_PR_MR - NEW li1 ( 15870 15130 ) L1M1_PR_MR ; - - _086_ ( _275_ C1 ) ( _274_ A1 ) ( _272_ D ) ( _271_ C1 ) ( _188_ A2 ) ( _187_ B2 ) ( _186_ A2 ) - ( _185_ A2 ) ( _184_ A2 ) ( _183_ A2 ) ( _180_ A2 ) ( _179_ A ) ( _178_ X ) + USE SIGNAL - + ROUTED met1 ( 62100 12750 ) ( 63710 * ) - NEW met1 ( 34270 8670 ) ( 49450 * ) - NEW met1 ( 48530 12070 ) ( 49450 * ) - NEW met1 ( 46205 12070 ) ( 46230 * ) - NEW met2 ( 46230 8670 ) ( * 12070 ) - NEW met1 ( 49450 9690 ) ( 52870 * ) - NEW met1 ( 49450 9350 ) ( * 9690 ) - NEW met1 ( 62100 12410 ) ( * 12750 ) - NEW met1 ( 49450 12410 ) ( 62100 * ) - NEW met1 ( 49450 12070 ) ( * 12410 ) - NEW met1 ( 19550 6630 ) ( 20210 * ) - NEW met1 ( 22770 26010 ) ( 25070 * ) - NEW met1 ( 22770 25330 ) ( * 26010 ) - NEW met1 ( 20010 25330 ) ( 22770 * ) - NEW met2 ( 20010 25330 ) ( * 26690 ) - NEW met1 ( 18170 26690 ) ( 20010 * ) - NEW met1 ( 18170 26010 ) ( * 26690 ) - NEW met1 ( 18145 26010 ) ( 18170 * ) - NEW met1 ( 17050 17510 ) ( 20470 * ) - NEW met2 ( 20470 17510 ) ( * 24820 ) - NEW met2 ( 20010 24820 ) ( 20470 * ) - NEW met2 ( 20010 24820 ) ( * 25330 ) - NEW met1 ( 26450 15810 ) ( 26910 * ) - NEW met2 ( 26910 15810 ) ( * 18530 ) - NEW met1 ( 20470 18530 ) ( 26910 * ) - NEW met2 ( 26910 15810 ) ( 27830 * ) - NEW met1 ( 26910 17170 ) ( 30590 * ) - NEW met1 ( 30590 17170 ) ( 34270 * ) - NEW met1 ( 34270 20570 ) ( 34295 * ) - NEW met2 ( 34270 17170 ) ( * 20570 ) - NEW met2 ( 19550 6630 ) ( * 17510 ) - NEW met2 ( 27830 12070 ) ( * 15810 ) - NEW met2 ( 34270 8670 ) ( * 17170 ) - NEW met2 ( 49450 8670 ) ( * 15130 ) - NEW li1 ( 63710 12750 ) L1M1_PR_MR - NEW li1 ( 27830 12070 ) L1M1_PR_MR - NEW met1 ( 27830 12070 ) M1M2_PR - NEW met1 ( 49450 8670 ) M1M2_PR - NEW met1 ( 34270 8670 ) M1M2_PR - NEW li1 ( 48530 12070 ) L1M1_PR_MR - NEW met1 ( 49450 12070 ) M1M2_PR - NEW li1 ( 46205 12070 ) L1M1_PR_MR - NEW met1 ( 46230 12070 ) M1M2_PR - NEW met1 ( 46230 8670 ) M1M2_PR - NEW li1 ( 52870 9690 ) L1M1_PR_MR - NEW met1 ( 49450 9350 ) M1M2_PR - NEW met1 ( 19550 6630 ) M1M2_PR - NEW li1 ( 20210 6630 ) L1M1_PR_MR - NEW li1 ( 25070 26010 ) L1M1_PR_MR - NEW met1 ( 20010 25330 ) M1M2_PR - NEW met1 ( 20010 26690 ) M1M2_PR - NEW li1 ( 18145 26010 ) L1M1_PR_MR - NEW li1 ( 17050 17510 ) L1M1_PR_MR - NEW met1 ( 20470 17510 ) M1M2_PR - NEW met1 ( 19550 17510 ) M1M2_PR - NEW li1 ( 26450 15810 ) L1M1_PR_MR - NEW met1 ( 26910 15810 ) M1M2_PR - NEW met1 ( 26910 18530 ) M1M2_PR - NEW met1 ( 20470 18530 ) M1M2_PR - NEW li1 ( 30590 17170 ) L1M1_PR_MR - NEW met1 ( 26910 17170 ) M1M2_PR - NEW met1 ( 34270 17170 ) M1M2_PR - NEW li1 ( 34295 20570 ) L1M1_PR_MR - NEW met1 ( 34270 20570 ) M1M2_PR - NEW li1 ( 49450 15130 ) L1M1_PR_MR - NEW met1 ( 49450 15130 ) M1M2_PR - NEW met1 ( 27830 12070 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 49450 12070 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 46205 12070 ) RECT ( -330 -70 0 70 ) - NEW met1 ( 46230 8670 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 49450 9350 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 19550 17510 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 20470 18530 ) RECT ( -70 -485 70 0 ) - NEW met2 ( 26910 17170 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 34295 20570 ) RECT ( 0 -70 330 70 ) - NEW met1 ( 49450 15130 ) RECT ( -355 -70 0 70 ) ; - - _087_ ( _277_ C1 ) ( _270_ B1 ) ( _226_ B ) ( _189_ B ) ( _188_ B2 ) ( _187_ A2 ) ( _186_ B2 ) - ( _185_ B2 ) ( _184_ B2 ) ( _183_ B2 ) ( _180_ B2 ) ( _179_ Y ) + USE SIGNAL - + ROUTED met2 ( 48070 6290 ) ( * 12070 ) - NEW met1 ( 46690 6290 ) ( 48070 * ) - NEW met1 ( 48070 11730 ) ( 48990 * ) - NEW met1 ( 48070 11730 ) ( * 12070 ) - NEW met1 ( 21850 6630 ) ( 22310 * ) - NEW met1 ( 21850 6630 ) ( * 6970 ) - NEW met1 ( 18170 6970 ) ( 21850 * ) - NEW met1 ( 54970 15130 ) ( 55430 * ) - NEW met1 ( 51550 15130 ) ( 53590 * ) - NEW met2 ( 53590 15130 ) ( * 15810 ) - NEW met2 ( 53590 15810 ) ( 54970 * ) - NEW met2 ( 54970 15130 ) ( * 15810 ) - NEW met1 ( 48990 14450 ) ( 51290 * ) - NEW met2 ( 51290 14450 ) ( * 15130 ) - NEW met1 ( 51290 15130 ) ( 51550 * ) - NEW met2 ( 32430 14110 ) ( * 20570 ) - NEW met1 ( 32430 14110 ) ( 48990 * ) - NEW met1 ( 48990 14110 ) ( * 14450 ) - NEW met1 ( 28290 22610 ) ( * 22950 ) - NEW met1 ( 28290 22610 ) ( 32430 * ) - NEW met1 ( 32430 22270 ) ( * 22610 ) - NEW met2 ( 32430 20570 ) ( * 22270 ) - NEW met1 ( 18170 22610 ) ( 25530 * ) - NEW met1 ( 25530 22270 ) ( * 22610 ) - NEW met1 ( 25530 22270 ) ( 28290 * ) - NEW met1 ( 28290 22270 ) ( * 22610 ) - NEW met1 ( 19090 25670 ) ( 20470 * ) - NEW met1 ( 19090 25330 ) ( * 25670 ) - NEW met2 ( 19090 23630 ) ( * 25330 ) - NEW met1 ( 18170 23630 ) ( 19090 * ) - NEW met2 ( 18170 22610 ) ( * 23630 ) - NEW met1 ( 20010 25670 ) ( * 26010 ) - NEW met1 ( 14950 17170 ) ( * 17510 ) - NEW met1 ( 14950 17170 ) ( 18170 * ) - NEW met2 ( 18170 6970 ) ( * 22610 ) - NEW met2 ( 48990 11730 ) ( * 14450 ) - NEW met2 ( 54970 9690 ) ( * 15130 ) - NEW li1 ( 48070 12070 ) L1M1_PR_MR - NEW met1 ( 48070 12070 ) M1M2_PR - NEW met1 ( 48070 6290 ) M1M2_PR - NEW li1 ( 46690 6290 ) L1M1_PR_MR - NEW li1 ( 48990 11730 ) L1M1_PR_MR - NEW met1 ( 48990 11730 ) M1M2_PR - NEW li1 ( 22310 6630 ) L1M1_PR_MR - NEW met1 ( 18170 6970 ) M1M2_PR - NEW li1 ( 54970 9690 ) L1M1_PR_MR - NEW met1 ( 54970 9690 ) M1M2_PR - NEW li1 ( 55430 15130 ) L1M1_PR_MR - NEW met1 ( 54970 15130 ) M1M2_PR - NEW li1 ( 51550 15130 ) L1M1_PR_MR - NEW met1 ( 53590 15130 ) M1M2_PR - NEW met1 ( 48990 14450 ) M1M2_PR - NEW met1 ( 51290 14450 ) M1M2_PR - NEW met1 ( 51290 15130 ) M1M2_PR - NEW li1 ( 32430 20570 ) L1M1_PR_MR - NEW met1 ( 32430 20570 ) M1M2_PR - NEW met1 ( 32430 14110 ) M1M2_PR - NEW li1 ( 28290 22950 ) L1M1_PR_MR - NEW met1 ( 32430 22270 ) M1M2_PR - NEW met1 ( 18170 22610 ) M1M2_PR - NEW li1 ( 20470 25670 ) L1M1_PR_MR - NEW met1 ( 19090 25330 ) M1M2_PR - NEW met1 ( 19090 23630 ) M1M2_PR - NEW met1 ( 18170 23630 ) M1M2_PR - NEW li1 ( 20010 26010 ) L1M1_PR_MR - NEW li1 ( 14950 17510 ) L1M1_PR_MR - NEW met1 ( 18170 17170 ) M1M2_PR - NEW met1 ( 48070 12070 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 48990 11730 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 54970 9690 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 51550 15130 ) RECT ( 0 -70 335 70 ) - NEW met1 ( 32430 20570 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 20010 26010 ) RECT ( 0 -70 255 70 ) - NEW met2 ( 18170 17170 ) RECT ( -70 -485 70 0 ) ; - - _088_ ( _213_ B1 ) ( _191_ B1 ) ( _190_ Y ) + USE SIGNAL - + ROUTED met1 ( 18630 20570 ) ( 19090 * ) - NEW met2 ( 19090 20570 ) ( * 22950 ) - NEW met1 ( 16790 20570 ) ( 18630 * ) - NEW li1 ( 18630 20570 ) L1M1_PR_MR - NEW met1 ( 19090 20570 ) M1M2_PR - NEW li1 ( 19090 22950 ) L1M1_PR_MR - NEW met1 ( 19090 22950 ) M1M2_PR - NEW li1 ( 16790 20570 ) L1M1_PR_MR - NEW met1 ( 19090 22950 ) RECT ( -355 -70 0 70 ) ; - - _089_ ( _198_ B1 ) ( _198_ A1_N ) ( _191_ X ) + USE SIGNAL - + ROUTED met1 ( 15310 23290 ) ( 17710 * ) - NEW met2 ( 17710 20910 ) ( * 23290 ) - NEW li1 ( 17710 23290 ) L1M1_PR_MR - NEW li1 ( 15310 23290 ) L1M1_PR_MR - NEW li1 ( 17710 20910 ) L1M1_PR_MR - NEW met1 ( 17710 20910 ) M1M2_PR - NEW met1 ( 17710 23290 ) M1M2_PR - NEW met1 ( 17710 20910 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 17710 23290 ) RECT ( -595 -70 0 70 ) ; - - _090_ ( _199_ B1 ) ( _197_ B1 ) ( _192_ Y ) + USE SIGNAL - + ROUTED met1 ( 17710 9690 ) ( 19090 * ) - NEW met2 ( 19090 9690 ) ( * 12070 ) - NEW met1 ( 17710 5950 ) ( 19090 * ) - NEW met2 ( 19090 5950 ) ( * 9690 ) - NEW li1 ( 17710 9690 ) L1M1_PR_MR - NEW met1 ( 19090 9690 ) M1M2_PR - NEW li1 ( 19090 12070 ) L1M1_PR_MR - NEW met1 ( 19090 12070 ) M1M2_PR - NEW li1 ( 17710 5950 ) L1M1_PR_MR - NEW met1 ( 19090 5950 ) M1M2_PR - NEW met1 ( 19090 12070 ) RECT ( -355 -70 0 70 ) ; - - _091_ ( _208_ B1 ) ( _206_ B1 ) ( _206_ A1_N ) ( _195_ B1 ) ( _193_ Y ) + USE SIGNAL - + ROUTED met1 ( 33350 12410 ) ( 35650 * ) - NEW met1 ( 35650 12410 ) ( 37030 * ) - NEW met1 ( 34270 14790 ) ( 34730 * ) - NEW met1 ( 31050 14790 ) ( 34270 * ) - NEW met2 ( 34730 12410 ) ( * 14790 ) - NEW met2 ( 37030 12410 ) ( * 15130 ) - NEW li1 ( 35650 12410 ) L1M1_PR_MR - NEW li1 ( 33350 12410 ) L1M1_PR_MR - NEW met1 ( 34730 12410 ) M1M2_PR - NEW met1 ( 37030 12410 ) M1M2_PR - NEW li1 ( 37030 15130 ) L1M1_PR_MR - NEW met1 ( 37030 15130 ) M1M2_PR - NEW li1 ( 34270 14790 ) L1M1_PR_MR - NEW met1 ( 34730 14790 ) M1M2_PR - NEW li1 ( 31050 14790 ) L1M1_PR_MR - NEW met1 ( 34730 12410 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 37030 15130 ) RECT ( 0 -70 355 70 ) ; - - _092_ ( _206_ B2 ) ( _206_ A2_N ) ( _195_ B2 ) ( _194_ X ) + USE SIGNAL - + ROUTED met1 ( 36110 12070 ) ( 37950 * ) - NEW met2 ( 37950 12070 ) ( * 13090 ) - NEW met1 ( 32890 12070 ) ( 36110 * ) - NEW met2 ( 37950 13090 ) ( * 15130 ) - NEW li1 ( 37950 13090 ) L1M1_PR_MR - NEW met1 ( 37950 13090 ) M1M2_PR - NEW li1 ( 36110 12070 ) L1M1_PR_MR - NEW met1 ( 37950 12070 ) M1M2_PR - NEW li1 ( 32890 12070 ) L1M1_PR_MR - NEW li1 ( 37950 15130 ) L1M1_PR_MR - NEW met1 ( 37950 15130 ) M1M2_PR - NEW met1 ( 37950 13090 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 37950 15130 ) RECT ( 0 -70 355 70 ) ; - - _093_ ( _200_ B1 ) ( _200_ A1_N ) ( _196_ A ) ( _195_ X ) + USE SIGNAL - + ROUTED met1 ( 19550 15130 ) ( 20010 * ) - NEW met2 ( 20010 14620 ) ( * 15130 ) - NEW met3 ( 20010 14620 ) ( 35190 * ) - NEW met2 ( 35190 14450 ) ( * 14620 ) - NEW met1 ( 18170 12410 ) ( 20470 * ) - NEW met2 ( 20470 12410 ) ( * 14620 ) - NEW met2 ( 20010 14620 ) ( 20470 * ) - NEW met1 ( 15770 12410 ) ( 18170 * ) - NEW li1 ( 19550 15130 ) L1M1_PR_MR - NEW met1 ( 20010 15130 ) M1M2_PR - NEW met2 ( 20010 14620 ) M2M3_PR_M - NEW met2 ( 35190 14620 ) M2M3_PR_M - NEW li1 ( 35190 14450 ) L1M1_PR_MR - NEW met1 ( 35190 14450 ) M1M2_PR - NEW li1 ( 18170 12410 ) L1M1_PR_MR - NEW met1 ( 20470 12410 ) M1M2_PR - NEW li1 ( 15770 12410 ) L1M1_PR_MR - NEW met1 ( 35190 14450 ) RECT ( -355 -70 0 70 ) ; - - _094_ ( _197_ B2 ) ( _196_ Y ) + USE SIGNAL - + ROUTED met1 ( 19550 13090 ) ( 20010 * ) - NEW met2 ( 20010 13090 ) ( * 14110 ) - NEW met1 ( 20010 13090 ) M1M2_PR - NEW li1 ( 19550 13090 ) L1M1_PR_MR - NEW li1 ( 20010 14110 ) L1M1_PR_MR - NEW met1 ( 20010 14110 ) M1M2_PR - NEW met1 ( 20010 14110 ) RECT ( -355 -70 0 70 ) ; - - _095_ ( _213_ B2 ) ( _198_ B2 ) ( _198_ A2_N ) ( _197_ X ) + USE SIGNAL - + ROUTED met1 ( 22310 13090 ) ( 24150 * ) - NEW met1 ( 19550 23630 ) ( 24150 * ) - NEW met1 ( 17250 22950 ) ( 18170 * ) - NEW met1 ( 18170 22950 ) ( * 23290 ) - NEW met1 ( 18170 23290 ) ( 19550 * ) - NEW met1 ( 19550 23290 ) ( * 23630 ) - NEW met1 ( 15870 22950 ) ( 17250 * ) - NEW met2 ( 24150 13090 ) ( * 23630 ) - NEW li1 ( 22310 13090 ) L1M1_PR_MR - NEW met1 ( 24150 13090 ) M1M2_PR - NEW li1 ( 19550 23630 ) L1M1_PR_MR - NEW met1 ( 24150 23630 ) M1M2_PR - NEW li1 ( 17250 22950 ) L1M1_PR_MR - NEW li1 ( 15870 22950 ) L1M1_PR_MR ; - - _096_ ( _202_ B ) ( _201_ A2 ) ( _198_ X ) + USE SIGNAL - + ROUTED met1 ( 7590 22950 ) ( * 23630 ) - NEW met2 ( 7130 23630 ) ( * 26010 ) - NEW met1 ( 7130 23630 ) ( 7590 * ) - NEW met1 ( 7590 23630 ) ( 14490 * ) - NEW li1 ( 7590 22950 ) L1M1_PR_MR - NEW li1 ( 7130 26010 ) L1M1_PR_MR - NEW met1 ( 7130 26010 ) M1M2_PR - NEW met1 ( 7130 23630 ) M1M2_PR - NEW li1 ( 14490 23630 ) L1M1_PR_MR - NEW met1 ( 7130 26010 ) RECT ( -355 -70 0 70 ) ; - - _097_ ( _200_ B2 ) ( _200_ A2_N ) ( _199_ Y ) + USE SIGNAL - + ROUTED met2 ( 17710 10370 ) ( * 11730 ) - NEW met1 ( 17710 10370 ) ( 18170 * ) - NEW met1 ( 16330 11730 ) ( * 12070 ) - NEW met1 ( 16330 11730 ) ( 17710 * ) - NEW li1 ( 17710 11730 ) L1M1_PR_MR - NEW met1 ( 17710 11730 ) M1M2_PR - NEW met1 ( 17710 10370 ) M1M2_PR - NEW li1 ( 18170 10370 ) L1M1_PR_MR - NEW li1 ( 16330 12070 ) L1M1_PR_MR - NEW met1 ( 17710 11730 ) RECT ( -355 -70 0 70 ) ; - - _098_ ( _204_ A2 ) ( _201_ B2 ) ( _200_ X ) + USE SIGNAL - + ROUTED met1 ( 9890 17510 ) ( * 18530 ) - NEW met1 ( 11270 22610 ) ( * 22950 ) - NEW met2 ( 14950 18530 ) ( * 22610 ) - NEW met1 ( 9890 18530 ) ( 14950 * ) - NEW met1 ( 11270 22610 ) ( 14950 * ) - NEW met2 ( 14950 13090 ) ( * 18530 ) - NEW li1 ( 9890 17510 ) L1M1_PR_MR - NEW li1 ( 11270 22950 ) L1M1_PR_MR - NEW li1 ( 14950 13090 ) L1M1_PR_MR - NEW met1 ( 14950 13090 ) M1M2_PR - NEW met1 ( 14950 18530 ) M1M2_PR - NEW met1 ( 14950 22610 ) M1M2_PR - NEW met1 ( 14950 13090 ) RECT ( -355 -70 0 70 ) ; - - _099_ ( _217_ A1 ) ( _204_ C1 ) ( _201_ Y ) + USE SIGNAL - + ROUTED met2 ( 11730 20570 ) ( * 23290 ) - NEW met2 ( 11270 17510 ) ( * 20060 ) - NEW met2 ( 11270 20060 ) ( 11730 * ) - NEW met2 ( 11730 20060 ) ( * 20570 ) - NEW li1 ( 11730 20570 ) L1M1_PR_MR - NEW met1 ( 11730 20570 ) M1M2_PR - NEW li1 ( 11730 23290 ) L1M1_PR_MR - NEW met1 ( 11730 23290 ) M1M2_PR - NEW li1 ( 11270 17510 ) L1M1_PR_MR - NEW met1 ( 11270 17510 ) M1M2_PR - NEW met1 ( 11730 20570 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 11730 23290 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 11270 17510 ) RECT ( -355 -70 0 70 ) ; - - _100_ ( _204_ B1 ) ( _203_ A ) ( _202_ X ) + USE SIGNAL - + ROUTED met2 ( 12190 22950 ) ( * 24990 ) - NEW met1 ( 8510 24990 ) ( 12190 * ) - NEW met1 ( 10350 17170 ) ( * 17510 ) - NEW met1 ( 10350 17170 ) ( 12650 * ) - NEW met2 ( 12650 17170 ) ( * 22950 ) - NEW met2 ( 12190 22950 ) ( 12650 * ) - NEW li1 ( 12190 22950 ) L1M1_PR_MR - NEW met1 ( 12190 22950 ) M1M2_PR - NEW met1 ( 12190 24990 ) M1M2_PR - NEW li1 ( 8510 24990 ) L1M1_PR_MR - NEW li1 ( 10350 17510 ) L1M1_PR_MR - NEW met1 ( 12650 17170 ) M1M2_PR - NEW met1 ( 12190 22950 ) RECT ( -355 -70 0 70 ) ; - - _101_ ( _217_ A2 ) ( _203_ Y ) + USE SIGNAL - + ROUTED met2 ( 10810 20570 ) ( * 22270 ) - NEW met1 ( 10810 22270 ) ( 12650 * ) - NEW li1 ( 10810 20570 ) L1M1_PR_MR - NEW met1 ( 10810 20570 ) M1M2_PR - NEW met1 ( 10810 22270 ) M1M2_PR - NEW li1 ( 12650 22270 ) L1M1_PR_MR - NEW met1 ( 10810 20570 ) RECT ( -355 -70 0 70 ) ; - - _102_ ( _225_ C1 ) ( _205_ A ) ( _204_ X ) + USE SIGNAL - + ROUTED met1 ( 11270 15130 ) ( * 15470 ) - NEW met1 ( 11270 15470 ) ( 13110 * ) - NEW met1 ( 13110 15470 ) ( * 15810 ) - NEW met2 ( 13110 15810 ) ( * 20570 ) - NEW met1 ( 8050 16830 ) ( * 17170 ) - NEW met1 ( 8050 16830 ) ( 13110 * ) - NEW li1 ( 11270 15130 ) L1M1_PR_MR - NEW met1 ( 13110 15810 ) M1M2_PR - NEW li1 ( 13110 20570 ) L1M1_PR_MR - NEW met1 ( 13110 20570 ) M1M2_PR - NEW li1 ( 8050 17170 ) L1M1_PR_MR - NEW met1 ( 13110 16830 ) M1M2_PR - NEW met1 ( 13110 20570 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 13110 16830 ) RECT ( -70 -485 70 0 ) ; - - _103_ ( _217_ B1 ) ( _205_ Y ) + USE SIGNAL - + ROUTED met1 ( 9885 20570 ) ( 9890 * ) - NEW met1 ( 9890 19550 ) ( * 20570 ) - NEW met1 ( 9890 19550 ) ( 13570 * ) - NEW li1 ( 9885 20570 ) L1M1_PR_MR - NEW li1 ( 13570 19550 ) L1M1_PR_MR ; - - _104_ ( _211_ A2 ) ( _210_ A2 ) ( _207_ B ) ( _206_ Y ) + USE SIGNAL - + ROUTED met2 ( 10350 11390 ) ( * 12070 ) - NEW met1 ( 8970 15130 ) ( * 15470 ) - NEW met1 ( 8970 15470 ) ( 10350 * ) - NEW met2 ( 10350 12070 ) ( * 15470 ) - NEW met1 ( 10810 17850 ) ( 12880 * ) - NEW met2 ( 10810 15470 ) ( * 17850 ) - NEW met2 ( 10350 15470 ) ( 10810 * ) - NEW met1 ( 20470 11390 ) ( * 11730 ) - NEW met1 ( 20470 11730 ) ( 21850 * ) - NEW met1 ( 21850 11390 ) ( * 11730 ) - NEW met1 ( 21850 11390 ) ( 34270 * ) - NEW met1 ( 34270 11390 ) ( * 11730 ) - NEW met1 ( 10350 11390 ) ( 20470 * ) - NEW li1 ( 10350 12070 ) L1M1_PR_MR - NEW met1 ( 10350 12070 ) M1M2_PR - NEW met1 ( 10350 11390 ) M1M2_PR - NEW li1 ( 8970 15130 ) L1M1_PR_MR - NEW met1 ( 10350 15470 ) M1M2_PR - NEW li1 ( 12880 17850 ) L1M1_PR_MR - NEW met1 ( 10810 17850 ) M1M2_PR - NEW li1 ( 34270 11730 ) L1M1_PR_MR - NEW met1 ( 10350 12070 ) RECT ( 0 -70 355 70 ) ; - - _105_ ( _210_ C1 ) ( _207_ Y ) + USE SIGNAL - + ROUTED met2 ( 8510 12070 ) ( * 14110 ) - NEW met1 ( 8510 14110 ) ( 8970 * ) - NEW li1 ( 8510 12070 ) L1M1_PR_MR - NEW met1 ( 8510 12070 ) M1M2_PR - NEW met1 ( 8510 14110 ) M1M2_PR - NEW li1 ( 8970 14110 ) L1M1_PR_MR - NEW met1 ( 8510 12070 ) RECT ( -355 -70 0 70 ) ; - - _106_ ( _225_ A2 ) ( _209_ A ) ( _208_ Y ) + USE SIGNAL - + ROUTED met1 ( 13110 14790 ) ( * 15130 ) - NEW met1 ( 13110 14790 ) ( 30590 * ) - NEW met2 ( 13570 12070 ) ( * 14790 ) - NEW li1 ( 13110 15130 ) L1M1_PR_MR - NEW li1 ( 30590 14790 ) L1M1_PR_MR - NEW li1 ( 13570 12070 ) L1M1_PR_MR - NEW met1 ( 13570 12070 ) M1M2_PR - NEW met1 ( 13570 14790 ) M1M2_PR - NEW met1 ( 13570 12070 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 13570 14790 ) RECT ( -595 -70 0 70 ) ; - - _107_ ( _210_ B2 ) ( _209_ Y ) + USE SIGNAL - + ROUTED met1 ( 9890 12410 ) ( 13110 * ) - NEW li1 ( 9890 12410 ) L1M1_PR_MR - NEW li1 ( 13110 12410 ) L1M1_PR_MR ; - - _108_ ( _225_ B1 ) ( _211_ B1 ) ( _210_ X ) + USE SIGNAL - + ROUTED met2 ( 12190 12750 ) ( * 15130 ) - NEW met1 ( 11730 17510 ) ( 12190 * ) - NEW met2 ( 12190 15130 ) ( * 17510 ) - NEW li1 ( 12190 15130 ) L1M1_PR_MR - NEW met1 ( 12190 15130 ) M1M2_PR - NEW li1 ( 12190 12750 ) L1M1_PR_MR - NEW met1 ( 12190 12750 ) M1M2_PR - NEW li1 ( 11730 17510 ) L1M1_PR_MR - NEW met1 ( 12190 17510 ) M1M2_PR - NEW met1 ( 12190 15130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 12190 12750 ) RECT ( -355 -70 0 70 ) ; - - _109_ ( _217_ B2 ) ( _211_ Y ) + USE SIGNAL - + ROUTED met2 ( 10350 18190 ) ( * 20230 ) - NEW met1 ( 10350 18190 ) ( 12190 * ) - NEW li1 ( 10350 20230 ) L1M1_PR_MR - NEW met1 ( 10350 20230 ) M1M2_PR - NEW met1 ( 10350 18190 ) M1M2_PR - NEW li1 ( 12190 18190 ) L1M1_PR_MR - NEW met1 ( 10350 20230 ) RECT ( 0 -70 355 70 ) ; - - _110_ ( _215_ A1 ) ( _214_ A ) ( _212_ X ) + USE SIGNAL - + ROUTED met1 ( 12190 25330 ) ( * 25670 ) - NEW met1 ( 12190 25330 ) ( 13570 * ) - NEW met2 ( 14490 25330 ) ( * 26180 ) - NEW met2 ( 14030 26180 ) ( 14490 * ) - NEW met2 ( 14030 26180 ) ( * 28050 ) - NEW met1 ( 14030 28050 ) ( 16790 * ) - NEW met1 ( 13570 25330 ) ( 14490 * ) - NEW li1 ( 13570 25330 ) L1M1_PR_MR - NEW li1 ( 12190 25670 ) L1M1_PR_MR - NEW met1 ( 14490 25330 ) M1M2_PR - NEW met1 ( 14030 28050 ) M1M2_PR - NEW li1 ( 16790 28050 ) L1M1_PR_MR ; - - _111_ ( _215_ A2 ) ( _214_ B ) ( _213_ X ) + USE SIGNAL - + ROUTED met2 ( 17710 23970 ) ( * 28050 ) - NEW met1 ( 17710 23970 ) ( 22310 * ) - NEW met2 ( 14030 23970 ) ( * 25670 ) - NEW met1 ( 14030 23970 ) ( 17710 * ) - NEW met1 ( 12650 25670 ) ( 14030 * ) - NEW li1 ( 12650 25670 ) L1M1_PR_MR - NEW li1 ( 17710 28050 ) L1M1_PR_MR - NEW met1 ( 17710 28050 ) M1M2_PR - NEW met1 ( 17710 23970 ) M1M2_PR - NEW li1 ( 22310 23970 ) L1M1_PR_MR - NEW met1 ( 14030 25670 ) M1M2_PR - NEW met1 ( 14030 23970 ) M1M2_PR - NEW met1 ( 17710 28050 ) RECT ( -355 -70 0 70 ) ; - - _112_ ( _218_ C1 ) ( _215_ B1_N ) ( _214_ X ) + USE SIGNAL - + ROUTED met2 ( 10350 28390 ) ( * 29070 ) - NEW met1 ( 10350 26010 ) ( 10355 * ) - NEW met2 ( 10350 26010 ) ( * 28390 ) - NEW met1 ( 10350 29070 ) ( 15870 * ) - NEW li1 ( 10350 28390 ) L1M1_PR_MR - NEW met1 ( 10350 28390 ) M1M2_PR - NEW met1 ( 10350 29070 ) M1M2_PR - NEW li1 ( 10355 26010 ) L1M1_PR_MR - NEW met1 ( 10350 26010 ) M1M2_PR - NEW li1 ( 15870 29070 ) L1M1_PR_MR - NEW met1 ( 10350 28390 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 10355 26010 ) RECT ( 0 -70 350 70 ) ; - - _113_ ( _218_ B2 ) ( _216_ B ) ( _215_ X ) + USE SIGNAL - + ROUTED met1 ( 9890 28390 ) ( * 28730 ) - NEW met1 ( 9890 28730 ) ( 12650 * ) - NEW met1 ( 12650 28390 ) ( * 28730 ) - NEW met2 ( 9890 26690 ) ( * 28390 ) - NEW li1 ( 9890 28390 ) L1M1_PR_MR - NEW li1 ( 12650 28390 ) L1M1_PR_MR - NEW li1 ( 9890 26690 ) L1M1_PR_MR - NEW met1 ( 9890 26690 ) M1M2_PR - NEW met1 ( 9890 28390 ) M1M2_PR - NEW met1 ( 9890 26690 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 9890 28390 ) RECT ( -595 -70 0 70 ) ; - - _114_ ( _225_ D1 ) ( _217_ C1 ) ( _216_ Y ) + USE SIGNAL - + ROUTED met2 ( 8970 20570 ) ( * 29070 ) - NEW met1 ( 8970 29070 ) ( 9430 * ) - NEW met1 ( 9430 15130 ) ( 9890 * ) - NEW met2 ( 8970 15130 ) ( 9430 * ) - NEW met2 ( 8970 15130 ) ( * 20570 ) - NEW li1 ( 8970 20570 ) L1M1_PR_MR - NEW met1 ( 8970 20570 ) M1M2_PR - NEW met1 ( 8970 29070 ) M1M2_PR - NEW li1 ( 9430 29070 ) L1M1_PR_MR - NEW li1 ( 9890 15130 ) L1M1_PR_MR - NEW met1 ( 9430 15130 ) M1M2_PR - NEW met1 ( 8970 20570 ) RECT ( -355 -70 0 70 ) ; - - _115_ ( _219_ A ) ( _217_ X ) + USE SIGNAL - + ROUTED met1 ( 22310 19890 ) ( * 20230 ) - NEW met1 ( 22310 20230 ) ( 29210 * ) - NEW met1 ( 29210 20230 ) ( * 20570 ) - NEW met1 ( 29210 20570 ) ( 31970 * ) - NEW met1 ( 31970 20570 ) ( * 21250 ) - NEW met1 ( 31970 21250 ) ( 37030 * ) - NEW met2 ( 37030 21250 ) ( * 22610 ) - NEW met1 ( 12650 19890 ) ( 22310 * ) - NEW li1 ( 12650 19890 ) L1M1_PR_MR - NEW met1 ( 37030 21250 ) M1M2_PR - NEW li1 ( 37030 22610 ) L1M1_PR_MR - NEW met1 ( 37030 22610 ) M1M2_PR - NEW met1 ( 37030 22610 ) RECT ( -355 -70 0 70 ) ; - - _116_ ( _234_ A1 ) ( _219_ B ) ( _218_ Y ) + USE SIGNAL - + ROUTED met2 ( 36110 22950 ) ( * 29410 ) - NEW met1 ( 49910 20570 ) ( * 20910 ) - NEW met1 ( 47150 20910 ) ( 49910 * ) - NEW met1 ( 47150 20910 ) ( * 21250 ) - NEW met1 ( 40710 21250 ) ( 47150 * ) - NEW met2 ( 40710 21250 ) ( * 22950 ) - NEW met1 ( 36110 22950 ) ( 40710 * ) - NEW met1 ( 10810 29410 ) ( 36110 * ) - NEW li1 ( 10810 29410 ) L1M1_PR_MR - NEW li1 ( 36110 22950 ) L1M1_PR_MR - NEW met1 ( 36110 22950 ) M1M2_PR - NEW met1 ( 36110 29410 ) M1M2_PR - NEW li1 ( 49910 20570 ) L1M1_PR_MR - NEW met1 ( 40710 21250 ) M1M2_PR - NEW met1 ( 40710 22950 ) M1M2_PR - NEW met1 ( 36110 22950 ) RECT ( -355 -70 0 70 ) ; - - _117_ ( _260_ A2 ) ( _252_ A2 ) ( _247_ B2 ) ( _245_ B1 ) ( _243_ B2 ) ( _242_ B2 ) ( _241_ B1 ) - ( _240_ B2 ) ( _238_ A2 ) ( _237_ B2 ) ( _234_ B1 ) ( _220_ A ) ( _219_ X ) + USE SIGNAL - + ROUTED met2 ( 40710 26010 ) ( * 28220 ) - NEW met2 ( 40250 28220 ) ( * 33150 ) - NEW met2 ( 40250 28220 ) ( 40710 * ) - NEW met2 ( 38870 35870 ) ( * 39270 ) - NEW met1 ( 51755 20230 ) ( * 20570 ) - NEW met1 ( 51755 20230 ) ( 53590 * ) - NEW met1 ( 53590 20230 ) ( * 20570 ) - NEW met1 ( 54510 24990 ) ( 56350 * ) - NEW met2 ( 54510 19890 ) ( * 24990 ) - NEW met1 ( 53590 19890 ) ( 54510 * ) - NEW met1 ( 53590 19890 ) ( * 20230 ) - NEW met1 ( 55430 33150 ) ( * 33830 ) - NEW met2 ( 55430 24990 ) ( * 33150 ) - NEW met1 ( 52670 33830 ) ( 53130 * ) - NEW met2 ( 52670 33830 ) ( * 34510 ) - NEW met1 ( 52670 34510 ) ( 55430 * ) - NEW met1 ( 55430 33830 ) ( * 34510 ) - NEW met1 ( 51750 36550 ) ( * 36890 ) - NEW met1 ( 51750 36550 ) ( 52670 * ) - NEW met2 ( 52670 34510 ) ( * 36550 ) - NEW met1 ( 48530 33490 ) ( * 33830 ) - NEW met1 ( 48530 33490 ) ( 49450 * ) - NEW li1 ( 49450 33490 ) ( * 34170 ) - NEW met1 ( 49450 34170 ) ( 50830 * ) - NEW met1 ( 50830 34170 ) ( * 34510 ) - NEW met1 ( 50830 34510 ) ( 52670 * ) - NEW met1 ( 42550 33490 ) ( * 33830 ) - NEW met1 ( 42550 33490 ) ( 48530 * ) - NEW met1 ( 42550 33150 ) ( * 33490 ) - NEW met2 ( 42550 33830 ) ( * 35870 ) - NEW met1 ( 41630 36890 ) ( 42090 * ) - NEW li1 ( 41630 35870 ) ( * 36890 ) - NEW met2 ( 41630 23630 ) ( * 26010 ) - NEW met1 ( 41630 28390 ) ( 42090 * ) - NEW met2 ( 41630 28220 ) ( * 28390 ) - NEW met1 ( 37950 23630 ) ( 41630 * ) - NEW met2 ( 40710 26010 ) ( 41630 * ) - NEW met2 ( 40710 28220 ) ( 41630 * ) - NEW met1 ( 40250 33150 ) ( 42550 * ) - NEW met1 ( 38870 35870 ) ( 42550 * ) - NEW li1 ( 37950 23630 ) L1M1_PR_MR - NEW met1 ( 40250 33150 ) M1M2_PR - NEW li1 ( 38870 39270 ) L1M1_PR_MR - NEW met1 ( 38870 39270 ) M1M2_PR - NEW met1 ( 38870 35870 ) M1M2_PR - NEW li1 ( 51755 20570 ) L1M1_PR_MR - NEW li1 ( 53590 20570 ) L1M1_PR_MR - NEW li1 ( 56350 24990 ) L1M1_PR_MR - NEW met1 ( 54510 24990 ) M1M2_PR - NEW met1 ( 54510 19890 ) M1M2_PR - NEW li1 ( 55430 33830 ) L1M1_PR_MR - NEW met1 ( 55430 33150 ) M1M2_PR - NEW met1 ( 55430 24990 ) M1M2_PR - NEW li1 ( 53130 33830 ) L1M1_PR_MR - NEW met1 ( 52670 33830 ) M1M2_PR - NEW met1 ( 52670 34510 ) M1M2_PR - NEW li1 ( 51750 36890 ) L1M1_PR_MR - NEW met1 ( 52670 36550 ) M1M2_PR - NEW li1 ( 48530 33830 ) L1M1_PR_MR - NEW li1 ( 49450 33490 ) L1M1_PR_MR - NEW li1 ( 49450 34170 ) L1M1_PR_MR - NEW li1 ( 42550 33830 ) L1M1_PR_MR - NEW met1 ( 42550 35870 ) M1M2_PR - NEW met1 ( 42550 33830 ) M1M2_PR - NEW li1 ( 42090 36890 ) L1M1_PR_MR - NEW li1 ( 41630 36890 ) L1M1_PR_MR - NEW li1 ( 41630 35870 ) L1M1_PR_MR - NEW li1 ( 41630 26010 ) L1M1_PR_MR - NEW met1 ( 41630 26010 ) M1M2_PR - NEW met1 ( 41630 23630 ) M1M2_PR - NEW li1 ( 42090 28390 ) L1M1_PR_MR - NEW met1 ( 41630 28390 ) M1M2_PR - NEW met1 ( 38870 39270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 55430 24990 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 42550 33830 ) RECT ( 0 -70 595 70 ) - NEW met1 ( 41630 35870 ) RECT ( 0 -70 595 70 ) - NEW met1 ( 41630 26010 ) RECT ( 0 -70 355 70 ) ; - - _118_ ( _259_ A1 ) ( _247_ A2 ) ( _243_ A2 ) ( _242_ A2 ) ( _240_ A2 ) ( _237_ A2 ) ( _235_ A2 ) - ( _220_ Y ) + USE SIGNAL - + ROUTED met1 ( 49910 22950 ) ( * 23290 ) - NEW met1 ( 49910 23290 ) ( 54050 * ) - NEW met2 ( 54050 20230 ) ( * 23290 ) - NEW met1 ( 54050 20230 ) ( 55430 * ) - NEW met1 ( 55430 20230 ) ( * 20570 ) - NEW met1 ( 55430 20570 ) ( 55455 * ) - NEW met2 ( 42090 23290 ) ( * 24990 ) - NEW met1 ( 42090 23290 ) ( 49910 * ) - NEW met1 ( 41170 28730 ) ( 43010 * ) - NEW met2 ( 43010 24990 ) ( * 28730 ) - NEW met2 ( 42090 24990 ) ( 43010 * ) - NEW met1 ( 43010 34170 ) ( 43470 * ) - NEW met2 ( 43010 28730 ) ( * 34170 ) - NEW met1 ( 48990 33830 ) ( 51030 * ) - NEW met1 ( 48990 33830 ) ( * 34850 ) - NEW met1 ( 43930 34850 ) ( 48990 * ) - NEW met1 ( 43930 34170 ) ( * 34850 ) - NEW met1 ( 43470 34170 ) ( 43930 * ) - NEW met2 ( 54970 34850 ) ( * 36550 ) - NEW met1 ( 48990 34850 ) ( 54970 * ) - NEW met1 ( 43930 36890 ) ( 43955 * ) - NEW met2 ( 43930 34850 ) ( * 36890 ) - NEW li1 ( 49910 22950 ) L1M1_PR_MR - NEW met1 ( 54050 23290 ) M1M2_PR - NEW met1 ( 54050 20230 ) M1M2_PR - NEW li1 ( 55455 20570 ) L1M1_PR_MR - NEW li1 ( 42090 24990 ) L1M1_PR_MR - NEW met1 ( 42090 24990 ) M1M2_PR - NEW met1 ( 42090 23290 ) M1M2_PR - NEW li1 ( 41170 28730 ) L1M1_PR_MR - NEW met1 ( 43010 28730 ) M1M2_PR - NEW li1 ( 43470 34170 ) L1M1_PR_MR - NEW met1 ( 43010 34170 ) M1M2_PR - NEW li1 ( 51030 33830 ) L1M1_PR_MR - NEW li1 ( 54970 36550 ) L1M1_PR_MR - NEW met1 ( 54970 36550 ) M1M2_PR - NEW met1 ( 54970 34850 ) M1M2_PR - NEW li1 ( 43955 36890 ) L1M1_PR_MR - NEW met1 ( 43930 36890 ) M1M2_PR - NEW met1 ( 43930 34850 ) M1M2_PR - NEW met1 ( 42090 24990 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 54970 36550 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43955 36890 ) RECT ( 0 -70 330 70 ) - NEW met1 ( 43930 34850 ) RECT ( 0 -70 595 70 ) ; - - _119_ ( _223_ C ) ( _222_ A ) ( _221_ X ) + USE SIGNAL - + ROUTED met1 ( 45770 44370 ) ( 48530 * ) - NEW met1 ( 45770 44370 ) ( * 44710 ) - NEW met1 ( 48530 44710 ) ( 50830 * ) - NEW met1 ( 48530 44370 ) ( * 44710 ) - NEW li1 ( 48530 44370 ) L1M1_PR_MR - NEW li1 ( 45770 44710 ) L1M1_PR_MR - NEW li1 ( 50830 44710 ) L1M1_PR_MR ; - - _120_ ( _304_ A1 ) ( _288_ A ) ( _287_ A4 ) ( _283_ A3 ) ( _239_ A ) ( _222_ Y ) + USE SIGNAL - + ROUTED met2 ( 51290 45390 ) ( * 52870 ) - NEW met1 ( 50370 39270 ) ( 51290 * ) - NEW met2 ( 51290 39270 ) ( * 45390 ) - NEW met2 ( 47610 41820 ) ( * 42330 ) - NEW met2 ( 47610 41820 ) ( 48530 * ) - NEW met2 ( 48530 40290 ) ( * 41820 ) - NEW met1 ( 48530 40290 ) ( 51290 * ) - NEW met1 ( 32890 53890 ) ( 34270 * ) - NEW met2 ( 32890 53890 ) ( * 55930 ) - NEW met1 ( 31050 55930 ) ( 32890 * ) - NEW met1 ( 43010 52870 ) ( * 53210 ) - NEW met1 ( 41170 52870 ) ( 43010 * ) - NEW met1 ( 41170 52190 ) ( * 52870 ) - NEW met1 ( 34270 52190 ) ( 41170 * ) - NEW met2 ( 34270 47430 ) ( * 53890 ) - NEW met1 ( 43010 52870 ) ( 51290 * ) - NEW li1 ( 34270 47430 ) L1M1_PR_MR - NEW met1 ( 34270 47430 ) M1M2_PR - NEW li1 ( 51290 45390 ) L1M1_PR_MR - NEW met1 ( 51290 45390 ) M1M2_PR - NEW met1 ( 51290 52870 ) M1M2_PR - NEW li1 ( 50370 39270 ) L1M1_PR_MR - NEW met1 ( 51290 39270 ) M1M2_PR - NEW li1 ( 47610 42330 ) L1M1_PR_MR - NEW met1 ( 47610 42330 ) M1M2_PR - NEW met1 ( 48530 40290 ) M1M2_PR - NEW met1 ( 51290 40290 ) M1M2_PR - NEW met1 ( 34270 53890 ) M1M2_PR - NEW met1 ( 32890 53890 ) M1M2_PR - NEW met1 ( 32890 55930 ) M1M2_PR - NEW li1 ( 31050 55930 ) L1M1_PR_MR - NEW li1 ( 43010 53210 ) L1M1_PR_MR - NEW met1 ( 34270 52190 ) M1M2_PR - NEW met1 ( 34270 47430 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 51290 45390 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 47610 42330 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 51290 40290 ) RECT ( -70 -485 70 0 ) - NEW met2 ( 34270 52190 ) RECT ( -70 -485 70 0 ) ; - - _121_ ( _235_ A3 ) ( _224_ X ) + USE SIGNAL - + ROUTED met2 ( 50370 23630 ) ( * 24990 ) - NEW met1 ( 50370 24990 ) ( 50830 * ) - NEW li1 ( 50370 23630 ) L1M1_PR_MR - NEW met1 ( 50370 23630 ) M1M2_PR - NEW met1 ( 50370 24990 ) M1M2_PR - NEW li1 ( 50830 24990 ) L1M1_PR_MR - NEW met1 ( 50370 23630 ) RECT ( -355 -70 0 70 ) ; - - _122_ ( _234_ A2 ) ( _225_ Y ) + USE SIGNAL - + ROUTED met2 ( 23230 14450 ) ( * 19550 ) - NEW met1 ( 23230 19550 ) ( 28290 * ) - NEW met1 ( 28290 19550 ) ( * 19890 ) - NEW met1 ( 28290 19890 ) ( 33350 * ) - NEW met1 ( 33350 19890 ) ( * 20230 ) - NEW met1 ( 33350 20230 ) ( 37030 * ) - NEW met1 ( 37030 19890 ) ( * 20230 ) - NEW met1 ( 37030 19890 ) ( 39330 * ) - NEW met1 ( 39330 19550 ) ( * 19890 ) - NEW met1 ( 39330 19550 ) ( 50830 * ) - NEW met1 ( 50830 19550 ) ( * 20230 ) - NEW met1 ( 10350 14450 ) ( 23230 * ) - NEW li1 ( 10350 14450 ) L1M1_PR_MR - NEW met1 ( 23230 14450 ) M1M2_PR - NEW met1 ( 23230 19550 ) M1M2_PR - NEW li1 ( 50830 20230 ) L1M1_PR_MR ; - - _123_ ( _234_ C1 ) ( _226_ X ) + USE SIGNAL - + ROUTED met1 ( 52670 15810 ) ( 53590 * ) - NEW met2 ( 52670 15810 ) ( * 20570 ) - NEW li1 ( 53590 15810 ) L1M1_PR_MR - NEW met1 ( 52670 15810 ) M1M2_PR - NEW li1 ( 52670 20570 ) L1M1_PR_MR - NEW met1 ( 52670 20570 ) M1M2_PR - NEW met1 ( 52670 20570 ) RECT ( -355 -70 0 70 ) ; - - _124_ ( _297_ C ) ( _294_ A ) ( _290_ A3 ) ( _285_ A4 ) ( _281_ A1 ) ( _231_ A ) ( _228_ A ) - ( _227_ X ) + USE SIGNAL - + ROUTED met1 ( 36110 51170 ) ( 36570 * ) - NEW met2 ( 36110 51170 ) ( * 53210 ) - NEW met1 ( 35650 53210 ) ( 36110 * ) - NEW met1 ( 36110 44710 ) ( 37490 * ) - NEW met2 ( 36110 44710 ) ( * 51170 ) - NEW met1 ( 36110 47430 ) ( 42090 * ) - NEW met1 ( 53130 46750 ) ( * 47770 ) - NEW met1 ( 43470 46750 ) ( 53130 * ) - NEW met1 ( 43470 46750 ) ( * 47090 ) - NEW met1 ( 42090 47090 ) ( 43470 * ) - NEW met1 ( 42090 47090 ) ( * 47430 ) - NEW met1 ( 53590 42330 ) ( 55890 * ) - NEW met2 ( 55890 42330 ) ( * 46750 ) - NEW met1 ( 53130 46750 ) ( 55890 * ) - NEW met1 ( 55890 45730 ) ( 56350 * ) - NEW met2 ( 56350 47260 ) ( * 50490 ) - NEW met2 ( 55890 47260 ) ( 56350 * ) - NEW met2 ( 55890 46750 ) ( * 47260 ) - NEW li1 ( 36570 51170 ) L1M1_PR_MR - NEW met1 ( 36110 51170 ) M1M2_PR - NEW met1 ( 36110 53210 ) M1M2_PR - NEW li1 ( 35650 53210 ) L1M1_PR_MR - NEW li1 ( 37490 44710 ) L1M1_PR_MR - NEW met1 ( 36110 44710 ) M1M2_PR - NEW li1 ( 42090 47430 ) L1M1_PR_MR - NEW met1 ( 36110 47430 ) M1M2_PR - NEW li1 ( 53130 47770 ) L1M1_PR_MR - NEW li1 ( 53590 42330 ) L1M1_PR_MR - NEW met1 ( 55890 42330 ) M1M2_PR - NEW met1 ( 55890 46750 ) M1M2_PR - NEW li1 ( 56350 45730 ) L1M1_PR_MR - NEW met1 ( 55890 45730 ) M1M2_PR - NEW li1 ( 56350 50490 ) L1M1_PR_MR - NEW met1 ( 56350 50490 ) M1M2_PR - NEW met2 ( 36110 47430 ) RECT ( -70 -485 70 0 ) - NEW met2 ( 55890 45730 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 56350 50490 ) RECT ( 0 -70 355 70 ) ; - - _125_ ( _304_ A2 ) ( _241_ B2 ) ( _239_ B ) ( _228_ Y ) + USE SIGNAL - + ROUTED met1 ( 48070 41990 ) ( 53130 * ) - NEW met2 ( 49450 39270 ) ( * 41990 ) - NEW met1 ( 50830 36890 ) ( * 37230 ) - NEW met1 ( 49910 37230 ) ( 50830 * ) - NEW met2 ( 49910 37230 ) ( * 39100 ) - NEW met2 ( 49450 39100 ) ( 49910 * ) - NEW met2 ( 49450 39100 ) ( * 39270 ) - NEW li1 ( 48070 41990 ) L1M1_PR_MR - NEW li1 ( 53130 41990 ) L1M1_PR_MR - NEW li1 ( 49450 39270 ) L1M1_PR_MR - NEW met1 ( 49450 39270 ) M1M2_PR - NEW met1 ( 49450 41990 ) M1M2_PR - NEW li1 ( 50830 36890 ) L1M1_PR_MR - NEW met1 ( 49910 37230 ) M1M2_PR - NEW met1 ( 49450 39270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 49450 41990 ) RECT ( -595 -70 0 70 ) ; - - _126_ ( _304_ A3 ) ( _302_ B ) ( _295_ A2 ) ( _293_ A3 ) ( _278_ B ) ( _231_ B ) ( _230_ A ) - ( _229_ X ) + USE SIGNAL - + ROUTED met1 ( 52210 47770 ) ( * 48110 ) - NEW met1 ( 52210 48110 ) ( 53590 * ) - NEW met2 ( 53590 45730 ) ( * 48110 ) - NEW met2 ( 48530 42330 ) ( * 45730 ) - NEW met1 ( 48530 45730 ) ( 53590 * ) - NEW met1 ( 43470 42670 ) ( 48530 * ) - NEW met1 ( 48530 42330 ) ( * 42670 ) - NEW met1 ( 43930 39270 ) ( 44850 * ) - NEW met2 ( 44850 39270 ) ( * 42670 ) - NEW met1 ( 40250 48110 ) ( 44390 * ) - NEW met1 ( 44390 47770 ) ( * 48110 ) - NEW met1 ( 44390 47770 ) ( 44850 * ) - NEW met2 ( 44850 42670 ) ( * 47770 ) - NEW met1 ( 51290 55930 ) ( 52210 * ) - NEW met2 ( 51290 55420 ) ( * 55930 ) - NEW met3 ( 51290 55420 ) ( 53590 * ) - NEW met2 ( 53590 52530 ) ( * 55420 ) - NEW met2 ( 53590 48110 ) ( * 52530 ) - NEW li1 ( 52210 47770 ) L1M1_PR_MR - NEW met1 ( 53590 48110 ) M1M2_PR - NEW li1 ( 53590 45730 ) L1M1_PR_MR - NEW met1 ( 53590 45730 ) M1M2_PR - NEW li1 ( 48530 42330 ) L1M1_PR_MR - NEW met1 ( 48530 42330 ) M1M2_PR - NEW met1 ( 48530 45730 ) M1M2_PR - NEW li1 ( 43470 42670 ) L1M1_PR_MR - NEW li1 ( 43930 39270 ) L1M1_PR_MR - NEW met1 ( 44850 39270 ) M1M2_PR - NEW met1 ( 44850 42670 ) M1M2_PR - NEW li1 ( 40250 48110 ) L1M1_PR_MR - NEW met1 ( 44850 47770 ) M1M2_PR - NEW li1 ( 53590 52530 ) L1M1_PR_MR - NEW met1 ( 53590 52530 ) M1M2_PR - NEW li1 ( 52210 55930 ) L1M1_PR_MR - NEW met1 ( 51290 55930 ) M1M2_PR - NEW met2 ( 51290 55420 ) M2M3_PR_M - NEW met2 ( 53590 55420 ) M2M3_PR_M - NEW met1 ( 53590 45730 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 48530 42330 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 44850 42670 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 53590 52530 ) RECT ( 0 -70 355 70 ) ; - - _127_ ( _301_ B ) ( _245_ B2 ) ( _230_ Y ) + USE SIGNAL - + ROUTED met2 ( 43470 39610 ) ( * 42500 ) - NEW met2 ( 43010 42500 ) ( 43470 * ) - NEW met2 ( 43010 42500 ) ( * 55590 ) - NEW met1 ( 47610 34170 ) ( * 34510 ) - NEW met1 ( 45770 34510 ) ( 47610 * ) - NEW met2 ( 45770 34510 ) ( * 39610 ) - NEW met2 ( 45310 39610 ) ( 45770 * ) - NEW met1 ( 43470 39610 ) ( 45310 * ) - NEW li1 ( 43470 39610 ) L1M1_PR_MR - NEW met1 ( 43470 39610 ) M1M2_PR - NEW li1 ( 43010 55590 ) L1M1_PR_MR - NEW met1 ( 43010 55590 ) M1M2_PR - NEW li1 ( 47610 34170 ) L1M1_PR_MR - NEW met1 ( 45770 34510 ) M1M2_PR - NEW met1 ( 45310 39610 ) M1M2_PR - NEW met1 ( 43470 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43010 55590 ) RECT ( -355 -70 0 70 ) ; - - _128_ ( _234_ B2 ) ( _233_ X ) + USE SIGNAL - + ROUTED met1 ( 50370 18530 ) ( 51290 * ) - NEW met2 ( 51290 18530 ) ( * 20570 ) - NEW li1 ( 50370 18530 ) L1M1_PR_MR - NEW met1 ( 51290 18530 ) M1M2_PR - NEW li1 ( 51290 20570 ) L1M1_PR_MR - NEW met1 ( 51290 20570 ) M1M2_PR - NEW met1 ( 51290 20570 ) RECT ( -355 -70 0 70 ) ; - - _129_ ( _235_ B1 ) ( _234_ X ) + USE SIGNAL - + ROUTED met1 ( 49450 21250 ) ( 50830 * ) - NEW met2 ( 50830 21250 ) ( * 22950 ) - NEW li1 ( 49450 21250 ) L1M1_PR_MR - NEW met1 ( 50830 21250 ) M1M2_PR - NEW li1 ( 50830 22950 ) L1M1_PR_MR - NEW met1 ( 50830 22950 ) M1M2_PR - NEW met1 ( 50830 22950 ) RECT ( -355 -70 0 70 ) ; - - _130_ ( _266_ A2 ) ( _265_ A2 ) ( _263_ A1 ) ( _260_ B1 ) ( _257_ A1 ) ( _255_ A2 ) ( _250_ A2 ) - ( _236_ A ) ( _235_ X ) + USE SIGNAL - + ROUTED met1 ( 34730 37570 ) ( 39790 * ) - NEW met1 ( 34730 36890 ) ( * 37570 ) - NEW met1 ( 37490 28390 ) ( 37950 * ) - NEW met2 ( 37490 28390 ) ( * 37570 ) - NEW met1 ( 37950 27710 ) ( * 28390 ) - NEW met1 ( 51290 32130 ) ( 52210 * ) - NEW met2 ( 51290 32130 ) ( * 33150 ) - NEW met1 ( 51290 33150 ) ( 54970 * ) - NEW met1 ( 54970 33150 ) ( * 33830 ) - NEW met1 ( 54510 22950 ) ( * 23630 ) - NEW met1 ( 51290 23630 ) ( 54510 * ) - NEW met2 ( 51290 23630 ) ( * 32130 ) - NEW met1 ( 48530 23970 ) ( 51290 * ) - NEW met1 ( 51290 23630 ) ( * 23970 ) - NEW met2 ( 44850 23970 ) ( * 26010 ) - NEW met1 ( 44850 23970 ) ( 48530 * ) - NEW met2 ( 44850 26010 ) ( * 27710 ) - NEW met1 ( 47150 17850 ) ( 47610 * ) - NEW met2 ( 47150 17850 ) ( * 23970 ) - NEW met1 ( 37950 27710 ) ( 44850 * ) - NEW li1 ( 39790 37570 ) L1M1_PR_MR - NEW li1 ( 34730 36890 ) L1M1_PR_MR - NEW li1 ( 37950 28390 ) L1M1_PR_MR - NEW met1 ( 37490 28390 ) M1M2_PR - NEW met1 ( 37490 37570 ) M1M2_PR - NEW li1 ( 52210 32130 ) L1M1_PR_MR - NEW met1 ( 51290 32130 ) M1M2_PR - NEW met1 ( 51290 33150 ) M1M2_PR - NEW li1 ( 54970 33830 ) L1M1_PR_MR - NEW li1 ( 54510 22950 ) L1M1_PR_MR - NEW met1 ( 51290 23630 ) M1M2_PR - NEW li1 ( 48530 23970 ) L1M1_PR_MR - NEW li1 ( 44850 26010 ) L1M1_PR_MR - NEW met1 ( 44850 26010 ) M1M2_PR - NEW met1 ( 44850 23970 ) M1M2_PR - NEW met1 ( 44850 27710 ) M1M2_PR - NEW li1 ( 47610 17850 ) L1M1_PR_MR - NEW met1 ( 47150 17850 ) M1M2_PR - NEW met1 ( 47150 23970 ) M1M2_PR - NEW met1 ( 37490 37570 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 44850 26010 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 47150 23970 ) RECT ( -595 -70 0 70 ) ; - - _131_ ( _266_ B2 ) ( _265_ B1 ) ( _263_ B2 ) ( _257_ B2 ) ( _254_ C1 ) ( _249_ C1 ) ( _236_ Y ) + USE SIGNAL - + ROUTED met1 ( 50370 31450 ) ( 50830 * ) - NEW met2 ( 50830 28730 ) ( * 31450 ) - NEW met1 ( 50830 28730 ) ( 56350 * ) - NEW met2 ( 56350 22950 ) ( * 28730 ) - NEW met1 ( 56350 22950 ) ( 56810 * ) - NEW met2 ( 44850 28220 ) ( * 28390 ) - NEW met2 ( 44850 28220 ) ( 45310 * ) - NEW met2 ( 45310 27710 ) ( * 28220 ) - NEW met1 ( 45310 27710 ) ( 46690 * ) - NEW met1 ( 46690 27710 ) ( * 28050 ) - NEW met1 ( 46690 28050 ) ( 47610 * ) - NEW met1 ( 47610 28050 ) ( * 29410 ) - NEW met1 ( 47610 29410 ) ( 50830 * ) - NEW met2 ( 46690 17510 ) ( * 27710 ) - NEW met2 ( 38410 29410 ) ( * 30770 ) - NEW met1 ( 38410 30770 ) ( 43930 * ) - NEW met2 ( 43930 28390 ) ( * 30770 ) - NEW met1 ( 43930 28390 ) ( 44850 * ) - NEW met1 ( 40250 33830 ) ( * 34170 ) - NEW met1 ( 38870 34170 ) ( 40250 * ) - NEW met2 ( 38870 34170 ) ( * 34340 ) - NEW met2 ( 38410 34340 ) ( 38870 * ) - NEW met2 ( 38410 30770 ) ( * 34340 ) - NEW met1 ( 37950 36890 ) ( 38410 * ) - NEW met2 ( 38410 34340 ) ( * 36890 ) - NEW li1 ( 50370 31450 ) L1M1_PR_MR - NEW met1 ( 50830 31450 ) M1M2_PR - NEW met1 ( 50830 28730 ) M1M2_PR - NEW met1 ( 56350 28730 ) M1M2_PR - NEW met1 ( 56350 22950 ) M1M2_PR - NEW li1 ( 56810 22950 ) L1M1_PR_MR - NEW li1 ( 44850 28390 ) L1M1_PR_MR - NEW met1 ( 44850 28390 ) M1M2_PR - NEW met1 ( 45310 27710 ) M1M2_PR - NEW met1 ( 50830 29410 ) M1M2_PR - NEW li1 ( 46690 17510 ) L1M1_PR_MR - NEW met1 ( 46690 17510 ) M1M2_PR - NEW met1 ( 46690 27710 ) M1M2_PR - NEW li1 ( 38410 29410 ) L1M1_PR_MR - NEW met1 ( 38410 29410 ) M1M2_PR - NEW met1 ( 38410 30770 ) M1M2_PR - NEW met1 ( 43930 30770 ) M1M2_PR - NEW met1 ( 43930 28390 ) M1M2_PR - NEW li1 ( 40250 33830 ) L1M1_PR_MR - NEW met1 ( 38870 34170 ) M1M2_PR - NEW li1 ( 37950 36890 ) L1M1_PR_MR - NEW met1 ( 38410 36890 ) M1M2_PR - NEW met1 ( 44850 28390 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 50830 29410 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 46690 17510 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 46690 27710 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 38410 29410 ) RECT ( -355 -70 0 70 ) ; - - _132_ ( _264_ B2 ) ( _264_ A2_N ) ( _238_ B2 ) ( _237_ X ) + USE SIGNAL - + ROUTED met2 ( 58190 22950 ) ( * 26010 ) - NEW met1 ( 55430 26010 ) ( 58190 * ) - NEW met1 ( 58190 22950 ) ( 59570 * ) - NEW met1 ( 56350 19550 ) ( 58190 * ) - NEW met2 ( 58190 19550 ) ( * 22950 ) - NEW li1 ( 58190 22950 ) L1M1_PR_MR - NEW met1 ( 58190 22950 ) M1M2_PR - NEW met1 ( 58190 26010 ) M1M2_PR - NEW li1 ( 55430 26010 ) L1M1_PR_MR - NEW li1 ( 59570 22950 ) L1M1_PR_MR - NEW li1 ( 56350 19550 ) L1M1_PR_MR - NEW met1 ( 58190 19550 ) M1M2_PR - NEW met1 ( 58190 22950 ) RECT ( -355 -70 0 70 ) ; - - _133_ ( _262_ A ) ( _259_ S ) ( _258_ A ) ( _241_ A3 ) ( _238_ X ) + USE SIGNAL - + ROUTED met1 ( 54050 31450 ) ( 54510 * ) - NEW met2 ( 54050 26350 ) ( * 31450 ) - NEW met1 ( 48070 31450 ) ( * 31790 ) - NEW met1 ( 48070 31790 ) ( 54050 * ) - NEW met1 ( 54050 31450 ) ( * 31790 ) - NEW met1 ( 50370 36210 ) ( * 36550 ) - NEW met1 ( 50370 36210 ) ( 50830 * ) - NEW met2 ( 50830 32130 ) ( * 36210 ) - NEW met1 ( 50830 31790 ) ( * 32130 ) - NEW met1 ( 55430 36210 ) ( * 36550 ) - NEW met1 ( 50830 36210 ) ( 55430 * ) - NEW li1 ( 54510 31450 ) L1M1_PR_MR - NEW met1 ( 54050 31450 ) M1M2_PR - NEW li1 ( 54050 26350 ) L1M1_PR_MR - NEW met1 ( 54050 26350 ) M1M2_PR - NEW li1 ( 48070 31450 ) L1M1_PR_MR - NEW li1 ( 50370 36550 ) L1M1_PR_MR - NEW met1 ( 50830 36210 ) M1M2_PR - NEW met1 ( 50830 32130 ) M1M2_PR - NEW li1 ( 55430 36550 ) L1M1_PR_MR - NEW met1 ( 54050 26350 ) RECT ( -355 -70 0 70 ) ; - - _134_ ( _241_ A1 ) ( _239_ Y ) + USE SIGNAL - + ROUTED met2 ( 49450 36890 ) ( * 38590 ) - NEW li1 ( 49450 36890 ) L1M1_PR_MR - NEW met1 ( 49450 36890 ) M1M2_PR - NEW li1 ( 49450 38590 ) L1M1_PR_MR - NEW met1 ( 49450 38590 ) M1M2_PR - NEW met1 ( 49450 36890 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 49450 38590 ) RECT ( -355 -70 0 70 ) ; - - _135_ ( _262_ B ) ( _258_ B ) ( _241_ A2 ) ( _240_ X ) + USE SIGNAL - + ROUTED met1 ( 48990 31110 ) ( * 31450 ) - NEW met1 ( 48990 31110 ) ( 52210 * ) - NEW met1 ( 52210 31110 ) ( * 31450 ) - NEW met1 ( 52210 31450 ) ( 53590 * ) - NEW met1 ( 49910 34510 ) ( 50370 * ) - NEW met2 ( 49910 31110 ) ( * 34510 ) - NEW met2 ( 49910 34510 ) ( * 36550 ) - NEW li1 ( 48990 31450 ) L1M1_PR_MR - NEW li1 ( 53590 31450 ) L1M1_PR_MR - NEW li1 ( 50370 34510 ) L1M1_PR_MR - NEW met1 ( 49910 34510 ) M1M2_PR - NEW met1 ( 49910 31110 ) M1M2_PR - NEW li1 ( 49910 36550 ) L1M1_PR_MR - NEW met1 ( 49910 36550 ) M1M2_PR - NEW met1 ( 49910 31110 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 49910 36550 ) RECT ( -355 -70 0 70 ) ; - - _136_ ( _256_ A ) ( _251_ A ) ( _245_ A3 ) ( _241_ X ) + USE SIGNAL - + ROUTED met1 ( 44390 34170 ) ( 47150 * ) - NEW met1 ( 44390 33830 ) ( * 34170 ) - NEW met2 ( 44390 31450 ) ( * 33830 ) - NEW met1 ( 46690 36890 ) ( 47150 * ) - NEW met2 ( 47150 34170 ) ( * 36890 ) - NEW met1 ( 47150 36890 ) ( 48530 * ) - NEW li1 ( 47150 34170 ) L1M1_PR_MR - NEW met1 ( 44390 33830 ) M1M2_PR - NEW li1 ( 44390 31450 ) L1M1_PR_MR - NEW met1 ( 44390 31450 ) M1M2_PR - NEW li1 ( 46690 36890 ) L1M1_PR_MR - NEW met1 ( 47150 36890 ) M1M2_PR - NEW met1 ( 47150 34170 ) M1M2_PR - NEW li1 ( 48530 36890 ) L1M1_PR_MR - NEW met1 ( 44390 31450 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 47150 34170 ) RECT ( -595 -70 0 70 ) ; - - _137_ ( _256_ B ) ( _251_ B ) ( _245_ A1 ) ( _242_ X ) + USE SIGNAL - + ROUTED met1 ( 45310 33830 ) ( 46230 * ) - NEW met2 ( 45310 31450 ) ( * 33830 ) - NEW met1 ( 44850 35870 ) ( 45310 * ) - NEW met2 ( 45310 33830 ) ( * 35870 ) - NEW met1 ( 45310 36890 ) ( 45770 * ) - NEW met2 ( 45310 35870 ) ( * 36890 ) - NEW li1 ( 46230 33830 ) L1M1_PR_MR - NEW met1 ( 45310 33830 ) M1M2_PR - NEW li1 ( 45310 31450 ) L1M1_PR_MR - NEW met1 ( 45310 31450 ) M1M2_PR - NEW li1 ( 44850 35870 ) L1M1_PR_MR - NEW met1 ( 45310 35870 ) M1M2_PR - NEW li1 ( 45770 36890 ) L1M1_PR_MR - NEW met1 ( 45310 36890 ) M1M2_PR - NEW met1 ( 45310 31450 ) RECT ( -355 -70 0 70 ) ; - - _138_ ( _254_ B1 ) ( _245_ A2 ) ( _244_ A ) ( _243_ X ) + USE SIGNAL - + ROUTED met2 ( 41170 33490 ) ( * 33660 ) - NEW met3 ( 41170 33660 ) ( 46690 * ) - NEW met2 ( 46690 33660 ) ( * 33830 ) - NEW met1 ( 38870 33490 ) ( 41170 * ) - NEW met1 ( 35190 33830 ) ( 36110 * ) - NEW met1 ( 35190 33150 ) ( * 33830 ) - NEW met1 ( 35190 33150 ) ( 38870 * ) - NEW met1 ( 38870 33150 ) ( * 33490 ) - NEW li1 ( 41170 33490 ) L1M1_PR_MR - NEW met1 ( 41170 33490 ) M1M2_PR - NEW met2 ( 41170 33660 ) M2M3_PR_M - NEW met2 ( 46690 33660 ) M2M3_PR_M - NEW li1 ( 46690 33830 ) L1M1_PR_MR - NEW met1 ( 46690 33830 ) M1M2_PR - NEW li1 ( 38870 33490 ) L1M1_PR_MR - NEW li1 ( 36110 33830 ) L1M1_PR_MR - NEW met1 ( 41170 33490 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 46690 33830 ) RECT ( 0 -70 355 70 ) ; - - _139_ ( _254_ A1 ) ( _244_ Y ) + USE SIGNAL - + ROUTED met1 ( 35650 33490 ) ( 38410 * ) - NEW li1 ( 38410 33490 ) L1M1_PR_MR - NEW li1 ( 35650 33490 ) L1M1_PR_MR ; - - _140_ ( _249_ B1 ) ( _246_ A ) ( _245_ X ) + USE SIGNAL - + ROUTED met2 ( 46230 28390 ) ( * 33150 ) - NEW met1 ( 45310 33150 ) ( 46230 * ) - NEW met1 ( 40250 28050 ) ( * 28390 ) - NEW met1 ( 40250 28050 ) ( 46230 * ) - NEW met1 ( 46230 28050 ) ( * 28390 ) - NEW li1 ( 46230 28390 ) L1M1_PR_MR - NEW met1 ( 46230 28390 ) M1M2_PR - NEW met1 ( 46230 33150 ) M1M2_PR - NEW li1 ( 45310 33150 ) L1M1_PR_MR - NEW li1 ( 40250 28390 ) L1M1_PR_MR - NEW met1 ( 46230 28390 ) RECT ( -355 -70 0 70 ) ; - - _141_ ( _249_ A1 ) ( _246_ Y ) + USE SIGNAL - + ROUTED met1 ( 46690 28390 ) ( * 29070 ) - NEW met1 ( 39790 29070 ) ( 46690 * ) - NEW li1 ( 46690 28390 ) L1M1_PR_MR - NEW li1 ( 39790 29070 ) L1M1_PR_MR ; - - _142_ ( _249_ A2 ) ( _248_ A ) ( _247_ X ) + USE SIGNAL - + ROUTED met2 ( 43470 29410 ) ( * 31450 ) - NEW met1 ( 42090 31450 ) ( 43470 * ) - NEW met1 ( 47150 28390 ) ( 47175 * ) - NEW met1 ( 47150 28390 ) ( * 29410 ) - NEW met1 ( 43470 29410 ) ( 47150 * ) - NEW li1 ( 43470 29410 ) L1M1_PR_MR - NEW met1 ( 43470 29410 ) M1M2_PR - NEW met1 ( 43470 31450 ) M1M2_PR - NEW li1 ( 42090 31450 ) L1M1_PR_MR - NEW li1 ( 47175 28390 ) L1M1_PR_MR - NEW met1 ( 43470 29410 ) RECT ( -355 -70 0 70 ) ; - - _143_ ( _249_ B2 ) ( _248_ Y ) + USE SIGNAL - + ROUTED met1 ( 45310 28390 ) ( 45315 * ) - NEW met1 ( 45310 28390 ) ( * 28730 ) - NEW met1 ( 44390 28730 ) ( 45310 * ) - NEW met2 ( 44390 28730 ) ( * 30430 ) - NEW met1 ( 42550 30430 ) ( 44390 * ) - NEW li1 ( 45315 28390 ) L1M1_PR_MR - NEW met1 ( 44390 28730 ) M1M2_PR - NEW met1 ( 44390 30430 ) M1M2_PR - NEW li1 ( 42550 30430 ) L1M1_PR_MR ; - - _144_ ( _250_ B1 ) ( _249_ X ) + USE SIGNAL - + ROUTED met1 ( 46690 26350 ) ( 48070 * ) - NEW met2 ( 48070 26350 ) ( * 27710 ) - NEW li1 ( 46690 26350 ) L1M1_PR_MR - NEW met1 ( 48070 26350 ) M1M2_PR - NEW li1 ( 48070 27710 ) L1M1_PR_MR - NEW met1 ( 48070 27710 ) M1M2_PR - NEW met1 ( 48070 27710 ) RECT ( -355 -70 0 70 ) ; - - _145_ ( _257_ A2 ) ( _252_ B1 ) ( _251_ X ) + USE SIGNAL - + ROUTED met1 ( 40250 37570 ) ( 47610 * ) - NEW met1 ( 47610 37230 ) ( * 37570 ) - NEW met2 ( 40710 37570 ) ( * 38930 ) - NEW li1 ( 40250 37570 ) L1M1_PR_MR - NEW li1 ( 47610 37230 ) L1M1_PR_MR - NEW li1 ( 40710 38930 ) L1M1_PR_MR - NEW met1 ( 40710 38930 ) M1M2_PR - NEW met1 ( 40710 37570 ) M1M2_PR - NEW met1 ( 40710 38930 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 40710 37570 ) RECT ( 0 -70 595 70 ) ; - - _146_ ( _254_ A2 ) ( _253_ A ) ( _252_ Y ) + USE SIGNAL - + ROUTED met1 ( 37925 33830 ) ( 37950 * ) - NEW met2 ( 37950 33830 ) ( * 38590 ) - NEW met1 ( 37950 38590 ) ( 40250 * ) - NEW met1 ( 40250 38590 ) ( * 38930 ) - NEW met1 ( 33810 33830 ) ( 34730 * ) - NEW met1 ( 33810 33830 ) ( * 34510 ) - NEW met1 ( 33810 34510 ) ( 37950 * ) - NEW li1 ( 37925 33830 ) L1M1_PR_MR - NEW met1 ( 37950 33830 ) M1M2_PR - NEW met1 ( 37950 38590 ) M1M2_PR - NEW li1 ( 40250 38930 ) L1M1_PR_MR - NEW li1 ( 34730 33830 ) L1M1_PR_MR - NEW met1 ( 37950 34510 ) M1M2_PR - NEW met1 ( 37925 33830 ) RECT ( -330 -70 0 70 ) - NEW met2 ( 37950 34510 ) RECT ( -70 -485 70 0 ) ; - - _147_ ( _254_ B2 ) ( _253_ Y ) + USE SIGNAL - + ROUTED met1 ( 38410 33830 ) ( 39510 * ) - NEW met1 ( 38410 33830 ) ( * 34170 ) - NEW met1 ( 34270 34170 ) ( 38410 * ) - NEW li1 ( 39510 33830 ) L1M1_PR_MR - NEW li1 ( 34270 34170 ) L1M1_PR_MR ; - - _148_ ( _255_ B1 ) ( _254_ X ) + USE SIGNAL - + ROUTED met1 ( 36570 34850 ) ( 37030 * ) - NEW met2 ( 36570 34850 ) ( * 36890 ) - NEW li1 ( 37030 34850 ) L1M1_PR_MR - NEW met1 ( 36570 34850 ) M1M2_PR - NEW li1 ( 36570 36890 ) L1M1_PR_MR - NEW met1 ( 36570 36890 ) M1M2_PR - NEW met1 ( 36570 36890 ) RECT ( -355 -70 0 70 ) ; - - _149_ ( _257_ A3 ) ( _256_ Y ) + USE SIGNAL - + ROUTED met2 ( 44850 30770 ) ( * 36550 ) - NEW met1 ( 40710 36550 ) ( 44850 * ) - NEW li1 ( 44850 30770 ) L1M1_PR_MR - NEW met1 ( 44850 30770 ) M1M2_PR - NEW met1 ( 44850 36550 ) M1M2_PR - NEW li1 ( 40710 36550 ) L1M1_PR_MR - NEW met1 ( 44850 30770 ) RECT ( -355 -70 0 70 ) ; - - _150_ ( _263_ A2 ) ( _258_ X ) + USE SIGNAL - + ROUTED met1 ( 52670 32130 ) ( 55430 * ) - NEW met1 ( 55430 31790 ) ( * 32130 ) - NEW li1 ( 52670 32130 ) L1M1_PR_MR - NEW li1 ( 55430 31790 ) L1M1_PR_MR ; - - _151_ ( _260_ C1 ) ( _259_ X ) + USE SIGNAL - + ROUTED met2 ( 54050 33830 ) ( * 35870 ) - NEW met1 ( 52670 35870 ) ( 54050 * ) - NEW li1 ( 54050 33830 ) L1M1_PR_MR - NEW met1 ( 54050 33830 ) M1M2_PR - NEW met1 ( 54050 35870 ) M1M2_PR - NEW li1 ( 52670 35870 ) L1M1_PR_MR - NEW met1 ( 54050 33830 ) RECT ( -355 -70 0 70 ) ; - - _152_ ( _261_ B2 ) ( _261_ A2_N ) ( _260_ X ) + USE SIGNAL - + ROUTED met1 ( 59110 34170 ) ( * 34510 ) - NEW met1 ( 57270 34170 ) ( 59110 * ) - NEW met1 ( 57270 33150 ) ( 60950 * ) - NEW met1 ( 57270 33150 ) ( * 34170 ) - NEW li1 ( 59110 34510 ) L1M1_PR_MR - NEW li1 ( 57270 34170 ) L1M1_PR_MR - NEW li1 ( 60950 33150 ) L1M1_PR_MR ; - - _153_ ( _263_ A3 ) ( _262_ Y ) + USE SIGNAL - + ROUTED met1 ( 52670 31110 ) ( 53130 * ) - NEW met1 ( 52670 30770 ) ( * 31110 ) - NEW met1 ( 48530 30770 ) ( 52670 * ) - NEW li1 ( 53130 31110 ) L1M1_PR_MR - NEW li1 ( 48530 30770 ) L1M1_PR_MR ; - - _154_ ( _265_ B2 ) ( _264_ X ) + USE SIGNAL - + ROUTED met1 ( 54970 22950 ) ( 55890 * ) - NEW met1 ( 54970 22950 ) ( * 23630 ) - NEW met1 ( 54970 23630 ) ( 60950 * ) - NEW li1 ( 55890 22950 ) L1M1_PR_MR - NEW li1 ( 60950 23630 ) L1M1_PR_MR ; - - _155_ ( _274_ A2 ) ( _268_ A ) ( _267_ X ) + USE SIGNAL - + ROUTED met1 ( 25990 15470 ) ( * 15810 ) - NEW met1 ( 25990 15470 ) ( 26910 * ) - NEW met1 ( 26910 15130 ) ( * 15470 ) - NEW met2 ( 26910 7650 ) ( * 15130 ) - NEW met1 ( 25990 7650 ) ( 26910 * ) - NEW met1 ( 24150 15130 ) ( 25070 * ) - NEW met1 ( 25070 15130 ) ( * 15470 ) - NEW met1 ( 25070 15470 ) ( 25990 * ) - NEW li1 ( 25990 15810 ) L1M1_PR_MR - NEW met1 ( 26910 15130 ) M1M2_PR - NEW met1 ( 26910 7650 ) M1M2_PR - NEW li1 ( 25990 7650 ) L1M1_PR_MR - NEW li1 ( 24150 15130 ) L1M1_PR_MR ; - - _156_ ( _277_ A2 ) ( _272_ B ) ( _271_ A2 ) ( _269_ B ) ( _268_ Y ) + USE SIGNAL - + ROUTED met1 ( 23690 16830 ) ( 29670 * ) - NEW met2 ( 23690 14450 ) ( * 16830 ) - NEW met2 ( 26450 16830 ) ( * 22610 ) - NEW met2 ( 26910 22780 ) ( * 25670 ) - NEW met2 ( 26450 22780 ) ( 26910 * ) - NEW met2 ( 26450 22610 ) ( * 22780 ) - NEW met1 ( 28290 26010 ) ( 29210 * ) - NEW met1 ( 28290 25670 ) ( * 26010 ) - NEW met1 ( 26910 25670 ) ( 28290 * ) - NEW li1 ( 29670 16830 ) L1M1_PR_MR - NEW met1 ( 23690 16830 ) M1M2_PR - NEW li1 ( 23690 14450 ) L1M1_PR_MR - NEW met1 ( 23690 14450 ) M1M2_PR - NEW li1 ( 26450 22610 ) L1M1_PR_MR - NEW met1 ( 26450 22610 ) M1M2_PR - NEW met1 ( 26450 16830 ) M1M2_PR - NEW li1 ( 26910 25670 ) L1M1_PR_MR - NEW met1 ( 26910 25670 ) M1M2_PR - NEW li1 ( 29210 26010 ) L1M1_PR_MR - NEW met1 ( 23690 14450 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 26450 22610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 26450 16830 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 26910 25670 ) RECT ( 0 -70 355 70 ) ; - - _157_ ( _271_ B2 ) ( _270_ A2 ) ( _269_ Y ) + USE SIGNAL - + ROUTED met1 ( 26450 25330 ) ( * 25670 ) - NEW met1 ( 26450 25330 ) ( 29670 * ) - NEW met1 ( 23230 25670 ) ( 26450 * ) - NEW li1 ( 26450 25670 ) L1M1_PR_MR - NEW li1 ( 29670 25330 ) L1M1_PR_MR - NEW li1 ( 23230 25670 ) L1M1_PR_MR ; - - _158_ ( _276_ A ) ( _274_ B1 ) ( _272_ X ) + USE SIGNAL - + ROUTED met1 ( 31510 16830 ) ( 32890 * ) - NEW met1 ( 27370 15470 ) ( 32890 * ) - NEW met2 ( 32890 6630 ) ( * 16830 ) - NEW li1 ( 32890 6630 ) L1M1_PR_MR - NEW met1 ( 32890 6630 ) M1M2_PR - NEW li1 ( 31510 16830 ) L1M1_PR_MR - NEW met1 ( 32890 16830 ) M1M2_PR - NEW li1 ( 27370 15470 ) L1M1_PR_MR - NEW met1 ( 32890 15470 ) M1M2_PR - NEW met1 ( 32890 6630 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 32890 15470 ) RECT ( -70 -485 70 0 ) ; - - _159_ ( _274_ A3 ) ( _273_ Y ) + USE SIGNAL - + ROUTED met2 ( 25530 15130 ) ( 26450 * ) - NEW met1 ( 25690 15130 ) ( 26450 * ) - NEW met2 ( 25530 12750 ) ( * 15130 ) - NEW li1 ( 25530 12750 ) L1M1_PR_MR - NEW met1 ( 25530 12750 ) M1M2_PR - NEW met1 ( 26450 15130 ) M1M2_PR - NEW li1 ( 25690 15130 ) L1M1_PR_MR - NEW met1 ( 25530 12750 ) RECT ( -355 -70 0 70 ) ; - - _160_ ( _276_ B ) ( _275_ X ) + USE SIGNAL - + ROUTED met1 ( 31510 6630 ) ( 31970 * ) - NEW met2 ( 31510 6630 ) ( * 11730 ) - NEW li1 ( 31970 6630 ) L1M1_PR_MR - NEW met1 ( 31510 6630 ) M1M2_PR - NEW li1 ( 31510 11730 ) L1M1_PR_MR - NEW met1 ( 31510 11730 ) M1M2_PR - NEW met1 ( 31510 11730 ) RECT ( -355 -70 0 70 ) ; - - _161_ ( _302_ C ) ( _300_ A4 ) ( _298_ A2 ) ( _296_ A3 ) ( _294_ B ) ( _284_ A2 ) ( _283_ A2 ) - ( _282_ A2 ) ( _281_ A2 ) ( _280_ X ) + USE SIGNAL - + ROUTED met1 ( 39790 45390 ) ( 40710 * ) - NEW met1 ( 36130 52530 ) ( 40710 * ) - NEW met2 ( 40710 50830 ) ( * 52530 ) - NEW met1 ( 29670 53210 ) ( * 53550 ) - NEW met1 ( 29670 53550 ) ( 31050 * ) - NEW met2 ( 31050 52530 ) ( * 53550 ) - NEW met1 ( 31050 52530 ) ( 36130 * ) - NEW met1 ( 30590 56270 ) ( 30610 * ) - NEW met2 ( 30590 56270 ) ( 31050 * ) - NEW met2 ( 31050 53550 ) ( * 56270 ) - NEW met1 ( 30590 57970 ) ( 32430 * ) - NEW met2 ( 30590 56270 ) ( * 57970 ) - NEW met2 ( 40710 45390 ) ( * 50830 ) - NEW met2 ( 49910 50830 ) ( * 52190 ) - NEW met1 ( 46690 50830 ) ( 49910 * ) - NEW met1 ( 49910 50830 ) ( 53590 * ) - NEW met1 ( 53590 51170 ) ( 55890 * ) - NEW met1 ( 53590 50830 ) ( * 51170 ) - NEW met1 ( 53590 44370 ) ( * 45390 ) - NEW met1 ( 53590 45390 ) ( 54050 * ) - NEW met2 ( 54050 45390 ) ( * 51170 ) - NEW met1 ( 40710 50830 ) ( 46690 * ) - NEW li1 ( 39790 45390 ) L1M1_PR_MR - NEW met1 ( 40710 45390 ) M1M2_PR - NEW met1 ( 40710 50830 ) M1M2_PR - NEW li1 ( 36130 52530 ) L1M1_PR_MR - NEW met1 ( 40710 52530 ) M1M2_PR - NEW li1 ( 29670 53210 ) L1M1_PR_MR - NEW met1 ( 31050 53550 ) M1M2_PR - NEW met1 ( 31050 52530 ) M1M2_PR - NEW li1 ( 30610 56270 ) L1M1_PR_MR - NEW met1 ( 30590 56270 ) M1M2_PR - NEW li1 ( 32430 57970 ) L1M1_PR_MR - NEW met1 ( 30590 57970 ) M1M2_PR - NEW li1 ( 46690 50830 ) L1M1_PR_MR - NEW li1 ( 49910 52190 ) L1M1_PR_MR - NEW met1 ( 49910 52190 ) M1M2_PR - NEW met1 ( 49910 50830 ) M1M2_PR - NEW li1 ( 53590 50830 ) L1M1_PR_MR - NEW li1 ( 55890 51170 ) L1M1_PR_MR - NEW li1 ( 53590 44370 ) L1M1_PR_MR - NEW met1 ( 54050 45390 ) M1M2_PR - NEW met1 ( 54050 51170 ) M1M2_PR - NEW met1 ( 30590 56270 ) RECT ( -335 -70 0 70 ) - NEW met1 ( 49910 52190 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 54050 51170 ) RECT ( 0 -70 595 70 ) ; - - _162_ ( _295_ B1 ) ( _294_ X ) + USE SIGNAL - + ROUTED met1 ( 57270 51170 ) ( 57730 * ) - NEW met2 ( 57270 51170 ) ( * 56270 ) - NEW met1 ( 53130 56270 ) ( 57270 * ) - NEW met1 ( 53130 55590 ) ( * 56270 ) - NEW met1 ( 53125 55590 ) ( 53130 * ) - NEW li1 ( 57730 51170 ) L1M1_PR_MR - NEW met1 ( 57270 51170 ) M1M2_PR - NEW met1 ( 57270 56270 ) M1M2_PR - NEW li1 ( 53125 55590 ) L1M1_PR_MR ; - - _163_ ( _298_ B1 ) ( _297_ X ) + USE SIGNAL - + ROUTED met1 ( 43930 48450 ) ( 47610 * ) - NEW met2 ( 47610 48450 ) ( * 50150 ) - NEW li1 ( 43930 48450 ) L1M1_PR_MR - NEW met1 ( 47610 48450 ) M1M2_PR - NEW li1 ( 47610 50150 ) L1M1_PR_MR - NEW met1 ( 47610 50150 ) M1M2_PR - NEW met1 ( 47610 50150 ) RECT ( 0 -70 355 70 ) ; - - clockp[0] ( PIN clockp[0] ) ( _328_ X ) + USE SIGNAL - + ROUTED met3 ( 3220 2380 0 ) ( 8050 * ) - NEW met2 ( 8050 2380 ) ( * 5950 ) - NEW met2 ( 8050 2380 ) M2M3_PR_M - NEW li1 ( 8050 5950 ) L1M1_PR_MR - NEW met1 ( 8050 5950 ) M1M2_PR - NEW met1 ( 8050 5950 ) RECT ( -355 -70 0 70 ) ; - - clockp[1] ( PIN clockp[1] ) ( ringosc.ibufp11 Y ) + USE SIGNAL - + ROUTED met3 ( 3220 6460 0 ) ( 15410 * ) - NEW met2 ( 14950 25500 ) ( * 36210 ) - NEW met2 ( 14950 25500 ) ( 15410 * ) - NEW met1 ( 12190 36210 ) ( 14950 * ) - NEW met2 ( 15410 6460 ) ( * 25500 ) - NEW li1 ( 12190 36210 ) L1M1_PR_MR - NEW met2 ( 15410 6460 ) M2M3_PR_M - NEW met1 ( 14950 36210 ) M1M2_PR ; - - dco ( PIN dco ) ( ANTENNA__182__A DIODE ) ( ANTENNA__330__S DIODE ) ( ANTENNA__331__S DIODE ) ( ANTENNA__332__S DIODE ) ( ANTENNA__333__S DIODE ) ( ANTENNA__334__S DIODE ) - ( ANTENNA__335__S DIODE ) ( ANTENNA__336__S DIODE ) ( ANTENNA__337__S DIODE ) ( ANTENNA__338__S DIODE ) ( ANTENNA__339__S DIODE ) ( ANTENNA__340__S DIODE ) ( ANTENNA__341__S DIODE ) ( ANTENNA__342__S DIODE ) - ( ANTENNA__343__S DIODE ) ( ANTENNA__344__S DIODE ) ( ANTENNA__345__S DIODE ) ( ANTENNA__346__S DIODE ) ( ANTENNA__347__S DIODE ) ( ANTENNA__348__S DIODE ) ( ANTENNA__349__S DIODE ) ( ANTENNA__350__S DIODE ) - ( ANTENNA__351__S DIODE ) ( ANTENNA__352__S DIODE ) ( ANTENNA__353__S DIODE ) ( ANTENNA__354__S DIODE ) ( ANTENNA__355__S DIODE ) ( _355_ S ) ( _354_ S ) ( _353_ S ) - ( _352_ S ) ( _351_ S ) ( _350_ S ) ( _349_ S ) ( _348_ S ) ( _347_ S ) ( _346_ S ) ( _345_ S ) - ( _344_ S ) ( _343_ S ) ( _342_ S ) ( _341_ S ) ( _340_ S ) ( _339_ S ) ( _338_ S ) ( _337_ S ) - ( _336_ S ) ( _335_ S ) ( _334_ S ) ( _333_ S ) ( _332_ S ) ( _331_ S ) ( _330_ S ) ( _182_ A ) + USE SIGNAL - + ROUTED met2 ( 64630 34170 ) ( * 36550 ) - NEW met1 ( 64630 34170 ) ( 66930 * ) - NEW met2 ( 62330 36550 ) ( * 39950 ) - NEW met1 ( 62100 34170 ) ( 64630 * ) - NEW met1 ( 62100 34170 ) ( * 34850 ) - NEW met1 ( 58190 34850 ) ( 62100 * ) - NEW met1 ( 59570 36550 ) ( 64630 * ) - NEW met1 ( 60490 39950 ) ( 62330 * ) - NEW met1 ( 25070 47430 ) ( 27370 * ) - NEW met2 ( 7590 39610 ) ( * 39780 ) - NEW met3 ( 3220 39780 0 ) ( 7590 * ) - NEW met1 ( 7590 34170 ) ( 8050 * ) - NEW met2 ( 7590 34170 ) ( * 39610 ) - NEW met1 ( 7590 45050 ) ( 8050 * ) - NEW met2 ( 7590 39780 ) ( * 45050 ) - NEW met2 ( 7590 45050 ) ( * 46750 ) - NEW met1 ( 7590 36550 ) ( 17250 * ) - NEW met1 ( 18170 36550 ) ( * 36890 ) - NEW met1 ( 17250 36550 ) ( 18170 * ) - NEW met2 ( 37030 41310 ) ( * 41990 ) - NEW met1 ( 33810 41310 ) ( 37030 * ) - NEW met1 ( 37030 41310 ) ( 45310 * ) - NEW met2 ( 47610 39610 ) ( * 40460 ) - NEW met3 ( 45310 40460 ) ( 47610 * ) - NEW met2 ( 45310 40460 ) ( * 41310 ) - NEW met1 ( 47610 39610 ) ( * 39950 ) - NEW met1 ( 47610 39950 ) ( 60490 * ) - NEW met1 ( 7590 49470 ) ( 8050 * ) - NEW met2 ( 7590 49470 ) ( * 52870 ) - NEW met1 ( 9890 57970 ) ( * 58310 ) - NEW met1 ( 7590 57970 ) ( 9890 * ) - NEW met2 ( 7590 52870 ) ( * 57970 ) - NEW met2 ( 7590 57970 ) ( * 60350 ) - NEW met1 ( 7590 55250 ) ( 19090 * ) - NEW met2 ( 19550 55250 ) ( * 58310 ) - NEW met1 ( 19090 55250 ) ( 19550 * ) - NEW met1 ( 19550 51170 ) ( 22310 * ) - NEW met2 ( 19550 51170 ) ( * 55250 ) - NEW met1 ( 22310 51170 ) ( 23230 * ) - NEW met1 ( 19550 60350 ) ( 23690 * ) - NEW met2 ( 19550 58310 ) ( * 60350 ) - NEW met1 ( 21850 61030 ) ( * 61370 ) - NEW met1 ( 20470 61030 ) ( 21850 * ) - NEW met1 ( 20470 60350 ) ( * 61030 ) - NEW met1 ( 23230 50490 ) ( 26910 * ) - NEW met1 ( 23230 50490 ) ( * 51170 ) - NEW met1 ( 26910 50490 ) ( 27370 * ) - NEW met1 ( 21850 61370 ) ( 27830 * ) - NEW met2 ( 19550 60350 ) ( * 65790 ) - NEW met1 ( 27830 65790 ) ( 30590 * ) - NEW met2 ( 27830 61370 ) ( * 65790 ) - NEW met1 ( 30590 65790 ) ( 32890 * ) - NEW met2 ( 33810 63750 ) ( * 65790 ) - NEW met1 ( 32890 65790 ) ( 33810 * ) - NEW met1 ( 33810 66810 ) ( 35190 * ) - NEW met1 ( 33810 65790 ) ( * 66810 ) - NEW met1 ( 33810 62050 ) ( 44850 * ) - NEW met2 ( 33810 62050 ) ( * 63750 ) - NEW met1 ( 44850 61370 ) ( 46230 * ) - NEW met1 ( 44850 61370 ) ( * 62050 ) - NEW met1 ( 46230 60690 ) ( * 61370 ) - NEW met1 ( 33350 56610 ) ( 37490 * ) - NEW met1 ( 33350 55930 ) ( * 56610 ) - NEW met1 ( 37490 56610 ) ( 39330 * ) - NEW met2 ( 38410 52870 ) ( * 56610 ) - NEW met1 ( 37950 50830 ) ( 39790 * ) - NEW met2 ( 37950 50830 ) ( * 51340 ) - NEW met2 ( 37950 51340 ) ( 38410 * ) - NEW met2 ( 38410 51340 ) ( * 52870 ) - NEW met1 ( 42550 50490 ) ( 43010 * ) - NEW met2 ( 42550 50490 ) ( * 51170 ) - NEW met1 ( 39790 51170 ) ( 42550 * ) - NEW met1 ( 39790 50830 ) ( * 51170 ) - NEW met2 ( 44390 51170 ) ( * 52190 ) - NEW met1 ( 42550 51170 ) ( 44390 * ) - NEW met1 ( 44390 52190 ) ( 45310 * ) - NEW met1 ( 44390 55930 ) ( 47610 * ) - NEW met2 ( 44390 52190 ) ( * 55930 ) - NEW met2 ( 7590 46750 ) ( * 49470 ) - NEW met2 ( 27370 47430 ) ( * 50490 ) - NEW met1 ( 60950 66810 ) ( 66010 * ) - NEW met1 ( 56810 66470 ) ( 60950 * ) - NEW met1 ( 60950 66470 ) ( * 66810 ) - NEW met1 ( 54050 66470 ) ( * 66810 ) - NEW met1 ( 54050 66470 ) ( 56810 * ) - NEW met2 ( 55430 61370 ) ( * 66470 ) - NEW met1 ( 55890 59330 ) ( 56350 * ) - NEW met2 ( 55890 59330 ) ( * 61370 ) - NEW met2 ( 55430 61370 ) ( 55890 * ) - NEW met2 ( 56350 53890 ) ( * 59330 ) - NEW met2 ( 55890 59330 ) ( 56350 * ) - NEW met1 ( 56350 52870 ) ( 60490 * ) - NEW met2 ( 56350 52870 ) ( * 53890 ) - NEW met1 ( 60490 51170 ) ( 60950 * ) - NEW met2 ( 60490 51170 ) ( * 52870 ) - NEW met1 ( 66470 50490 ) ( * 50830 ) - NEW met1 ( 60950 50830 ) ( 66470 * ) - NEW met1 ( 60950 50830 ) ( * 51170 ) - NEW met1 ( 49450 58310 ) ( 51750 * ) - NEW met2 ( 51750 58310 ) ( * 59330 ) - NEW met2 ( 51750 59330 ) ( 52210 * ) - NEW met1 ( 52210 59330 ) ( 55890 * ) - NEW met2 ( 49450 55930 ) ( * 58310 ) - NEW met2 ( 51750 59330 ) ( * 60690 ) - NEW met1 ( 47610 55930 ) ( 49450 * ) - NEW met1 ( 46230 60690 ) ( 51750 * ) - NEW met2 ( 60490 39950 ) ( * 51170 ) - NEW li1 ( 64630 36550 ) L1M1_PR_MR - NEW met1 ( 64630 36550 ) M1M2_PR - NEW met1 ( 64630 34170 ) M1M2_PR - NEW li1 ( 66930 34170 ) L1M1_PR_MR - NEW met1 ( 62330 39950 ) M1M2_PR - NEW met1 ( 62330 36550 ) M1M2_PR - NEW li1 ( 59570 36550 ) L1M1_PR_MR - NEW li1 ( 58190 34850 ) L1M1_PR_MR - NEW met1 ( 60490 39950 ) M1M2_PR - NEW li1 ( 25070 47430 ) L1M1_PR_MR - NEW met1 ( 27370 47430 ) M1M2_PR - NEW li1 ( 7590 39610 ) L1M1_PR_MR - NEW met1 ( 7590 39610 ) M1M2_PR - NEW met2 ( 7590 39780 ) M2M3_PR_M - NEW li1 ( 8050 34170 ) L1M1_PR_MR - NEW met1 ( 7590 34170 ) M1M2_PR - NEW li1 ( 8050 45050 ) L1M1_PR_MR - NEW met1 ( 7590 45050 ) M1M2_PR - NEW li1 ( 7590 46750 ) L1M1_PR_MR - NEW met1 ( 7590 46750 ) M1M2_PR - NEW li1 ( 17250 36550 ) L1M1_PR_MR - NEW met1 ( 7590 36550 ) M1M2_PR - NEW li1 ( 18170 36890 ) L1M1_PR_MR - NEW li1 ( 37030 41990 ) L1M1_PR_MR - NEW met1 ( 37030 41990 ) M1M2_PR - NEW met1 ( 37030 41310 ) M1M2_PR - NEW li1 ( 33810 41310 ) L1M1_PR_MR - NEW li1 ( 45310 41310 ) L1M1_PR_MR - NEW li1 ( 47610 39610 ) L1M1_PR_MR - NEW met1 ( 47610 39610 ) M1M2_PR - NEW met2 ( 47610 40460 ) M2M3_PR_M - NEW met2 ( 45310 40460 ) M2M3_PR_M - NEW met1 ( 45310 41310 ) M1M2_PR - NEW li1 ( 8050 49470 ) L1M1_PR_MR - NEW met1 ( 7590 49470 ) M1M2_PR - NEW li1 ( 7590 52870 ) L1M1_PR_MR - NEW met1 ( 7590 52870 ) M1M2_PR - NEW li1 ( 9890 58310 ) L1M1_PR_MR - NEW met1 ( 7590 57970 ) M1M2_PR - NEW li1 ( 7590 60350 ) L1M1_PR_MR - NEW met1 ( 7590 60350 ) M1M2_PR - NEW li1 ( 19090 55250 ) L1M1_PR_MR - NEW met1 ( 7590 55250 ) M1M2_PR - NEW li1 ( 19550 58310 ) L1M1_PR_MR - NEW met1 ( 19550 58310 ) M1M2_PR - NEW met1 ( 19550 55250 ) M1M2_PR - NEW li1 ( 22310 51170 ) L1M1_PR_MR - NEW met1 ( 19550 51170 ) M1M2_PR - NEW li1 ( 23230 51170 ) L1M1_PR_MR - NEW li1 ( 23690 60350 ) L1M1_PR_MR - NEW met1 ( 19550 60350 ) M1M2_PR - NEW li1 ( 21850 61370 ) L1M1_PR_MR - NEW li1 ( 26910 50490 ) L1M1_PR_MR - NEW met1 ( 27370 50490 ) M1M2_PR - NEW li1 ( 27830 61370 ) L1M1_PR_MR - NEW li1 ( 19550 65790 ) L1M1_PR_MR - NEW met1 ( 19550 65790 ) M1M2_PR - NEW li1 ( 30590 65790 ) L1M1_PR_MR - NEW met1 ( 27830 65790 ) M1M2_PR - NEW met1 ( 27830 61370 ) M1M2_PR - NEW li1 ( 32890 65790 ) L1M1_PR_MR - NEW li1 ( 33810 63750 ) L1M1_PR_MR - NEW met1 ( 33810 63750 ) M1M2_PR - NEW met1 ( 33810 65790 ) M1M2_PR - NEW li1 ( 35190 66810 ) L1M1_PR_MR - NEW li1 ( 44850 62050 ) L1M1_PR_MR - NEW met1 ( 33810 62050 ) M1M2_PR - NEW li1 ( 46230 61370 ) L1M1_PR_MR - NEW li1 ( 37490 56610 ) L1M1_PR_MR - NEW li1 ( 33350 55930 ) L1M1_PR_MR - NEW li1 ( 39330 56610 ) L1M1_PR_MR - NEW li1 ( 38410 52870 ) L1M1_PR_MR - NEW met1 ( 38410 52870 ) M1M2_PR - NEW met1 ( 38410 56610 ) M1M2_PR - NEW li1 ( 39790 50830 ) L1M1_PR_MR - NEW met1 ( 37950 50830 ) M1M2_PR - NEW li1 ( 43010 50490 ) L1M1_PR_MR - NEW met1 ( 42550 50490 ) M1M2_PR - NEW met1 ( 42550 51170 ) M1M2_PR - NEW li1 ( 44390 52190 ) L1M1_PR_MR - NEW met1 ( 44390 52190 ) M1M2_PR - NEW met1 ( 44390 51170 ) M1M2_PR - NEW li1 ( 45310 52190 ) L1M1_PR_MR - NEW li1 ( 47610 55930 ) L1M1_PR_MR - NEW met1 ( 44390 55930 ) M1M2_PR - NEW li1 ( 60950 66810 ) L1M1_PR_MR - NEW li1 ( 66010 66810 ) L1M1_PR_MR - NEW li1 ( 56810 66470 ) L1M1_PR_MR - NEW li1 ( 54050 66810 ) L1M1_PR_MR - NEW li1 ( 55430 61370 ) L1M1_PR_MR - NEW met1 ( 55430 61370 ) M1M2_PR - NEW met1 ( 55430 66470 ) M1M2_PR - NEW li1 ( 56350 59330 ) L1M1_PR_MR - NEW met1 ( 55890 59330 ) M1M2_PR - NEW li1 ( 56350 53890 ) L1M1_PR_MR - NEW met1 ( 56350 53890 ) M1M2_PR - NEW li1 ( 60490 52870 ) L1M1_PR_MR - NEW met1 ( 56350 52870 ) M1M2_PR - NEW li1 ( 60950 51170 ) L1M1_PR_MR - NEW met1 ( 60490 51170 ) M1M2_PR - NEW met1 ( 60490 52870 ) M1M2_PR - NEW li1 ( 66470 50490 ) L1M1_PR_MR - NEW li1 ( 49450 58310 ) L1M1_PR_MR - NEW met1 ( 51750 58310 ) M1M2_PR - NEW met1 ( 52210 59330 ) M1M2_PR - NEW met1 ( 49450 55930 ) M1M2_PR - NEW met1 ( 49450 58310 ) M1M2_PR - NEW met1 ( 51750 60690 ) M1M2_PR - NEW met1 ( 64630 36550 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 62330 36550 ) RECT ( 0 -70 595 70 ) - NEW met1 ( 7590 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 7590 46750 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 7590 36550 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 37030 41990 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 47610 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 45310 41310 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 7590 52870 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 7590 60350 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 7590 55250 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 19550 58310 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 19550 65790 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 27830 61370 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 33810 63750 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 38410 52870 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 38410 56610 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 44390 52190 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 55430 61370 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 55430 66470 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 56350 53890 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 60490 52870 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 49450 58310 ) RECT ( 0 -70 595 70 ) ; - - div[0] ( PIN div[0] ) ( ANTENNA__177__A DIODE ) ( ANTENNA__210__B1 DIODE ) ( _210_ B1 ) ( _177_ A ) + USE SIGNAL - + ROUTED met1 ( 8970 12070 ) ( 9425 * ) - NEW met2 ( 8970 11220 ) ( * 12070 ) - NEW met3 ( 3220 11220 0 ) ( 8970 * ) - NEW met1 ( 8970 7650 ) ( 9430 * ) - NEW met2 ( 8970 7650 ) ( * 11220 ) - NEW met1 ( 9890 11730 ) ( * 12070 ) - NEW met1 ( 9425 12070 ) ( 9890 * ) - NEW met1 ( 9890 11730 ) ( 14490 * ) - NEW met1 ( 14490 14110 ) ( 16790 * ) - NEW met2 ( 16330 14110 ) ( * 15130 ) - NEW met2 ( 14490 11730 ) ( * 14110 ) - NEW li1 ( 9425 12070 ) L1M1_PR_MR - NEW met1 ( 8970 12070 ) M1M2_PR - NEW met2 ( 8970 11220 ) M2M3_PR_M - NEW li1 ( 9430 7650 ) L1M1_PR_MR - NEW met1 ( 8970 7650 ) M1M2_PR - NEW met1 ( 14490 11730 ) M1M2_PR - NEW li1 ( 16790 14110 ) L1M1_PR_MR - NEW met1 ( 14490 14110 ) M1M2_PR - NEW li1 ( 16330 15130 ) L1M1_PR_MR - NEW met1 ( 16330 15130 ) M1M2_PR - NEW met1 ( 16330 14110 ) M1M2_PR - NEW met1 ( 16330 15130 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 16330 14110 ) RECT ( -595 -70 0 70 ) ; - - div[1] ( PIN div[1] ) ( ANTENNA__207__A DIODE ) ( ANTENNA__210__A1 DIODE ) ( ANTENNA__211__A1 DIODE ) ( _211_ A1 ) ( _210_ A1 ) ( _207_ A ) + USE SIGNAL - + ROUTED met2 ( 8050 15130 ) ( * 15980 ) - NEW met3 ( 3220 15980 0 ) ( 8050 * ) - NEW met1 ( 7590 13090 ) ( 8050 * ) - NEW met2 ( 8050 13090 ) ( * 15130 ) - NEW met1 ( 7590 10370 ) ( 8050 * ) - NEW met2 ( 8050 10370 ) ( * 13090 ) - NEW met2 ( 11270 12070 ) ( * 13090 ) - NEW met1 ( 8050 13090 ) ( 11270 * ) - NEW met2 ( 13570 15300 ) ( * 17510 ) - NEW met2 ( 13110 15300 ) ( 13570 * ) - NEW met2 ( 13110 13090 ) ( * 15300 ) - NEW met1 ( 11270 13090 ) ( 13110 * ) - NEW met1 ( 13570 15810 ) ( 17710 * ) - NEW li1 ( 8050 15130 ) L1M1_PR_MR - NEW met1 ( 8050 15130 ) M1M2_PR - NEW met2 ( 8050 15980 ) M2M3_PR_M - NEW li1 ( 7590 13090 ) L1M1_PR_MR - NEW met1 ( 8050 13090 ) M1M2_PR - NEW li1 ( 7590 10370 ) L1M1_PR_MR - NEW met1 ( 8050 10370 ) M1M2_PR - NEW li1 ( 11270 12070 ) L1M1_PR_MR - NEW met1 ( 11270 12070 ) M1M2_PR - NEW met1 ( 11270 13090 ) M1M2_PR - NEW li1 ( 13570 17510 ) L1M1_PR_MR - NEW met1 ( 13570 17510 ) M1M2_PR - NEW met1 ( 13110 13090 ) M1M2_PR - NEW met1 ( 13570 15810 ) M1M2_PR - NEW li1 ( 17710 15810 ) L1M1_PR_MR - NEW met1 ( 8050 15130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 11270 12070 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 13570 17510 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 13570 15810 ) RECT ( -70 -485 70 0 ) ; - - div[2] ( PIN div[2] ) ( ANTENNA__201__B1 DIODE ) ( ANTENNA__204__A1 DIODE ) ( _204_ A1 ) ( _201_ B1 ) + USE SIGNAL - + ROUTED met2 ( 8050 20570 ) ( * 20740 ) - NEW met3 ( 3220 20740 0 ) ( 8050 * ) - NEW met1 ( 7590 18530 ) ( 8050 * ) - NEW met2 ( 8050 18530 ) ( * 20570 ) - NEW met1 ( 8050 17510 ) ( 9260 * ) - NEW met1 ( 8050 17510 ) ( * 18530 ) - NEW met1 ( 10350 22610 ) ( * 22950 ) - NEW met1 ( 8510 22610 ) ( 10350 * ) - NEW met2 ( 8510 20740 ) ( * 22610 ) - NEW met2 ( 8050 20740 ) ( 8510 * ) - NEW li1 ( 8050 20570 ) L1M1_PR_MR - NEW met1 ( 8050 20570 ) M1M2_PR - NEW met2 ( 8050 20740 ) M2M3_PR_M - NEW li1 ( 7590 18530 ) L1M1_PR_MR - NEW met1 ( 8050 18530 ) M1M2_PR - NEW li1 ( 9260 17510 ) L1M1_PR_MR - NEW li1 ( 10350 22950 ) L1M1_PR_MR - NEW met1 ( 8510 22610 ) M1M2_PR - NEW met1 ( 8050 20570 ) RECT ( -355 -70 0 70 ) ; - - div[3] ( PIN div[3] ) ( ANTENNA__201__A1 DIODE ) ( ANTENNA__202__A DIODE ) ( _202_ A ) ( _201_ A1 ) + USE SIGNAL - + ROUTED met2 ( 8050 25500 ) ( * 26010 ) - NEW met3 ( 3220 25500 0 ) ( 8050 * ) - NEW met2 ( 7590 26180 ) ( * 27710 ) - NEW met2 ( 7590 26180 ) ( 8050 * ) - NEW met2 ( 8050 26010 ) ( * 26180 ) - NEW met1 ( 8050 22950 ) ( 8970 * ) - NEW met2 ( 8050 22950 ) ( * 25500 ) - NEW met1 ( 7590 21250 ) ( 8050 * ) - NEW met2 ( 8050 21250 ) ( * 22950 ) - NEW li1 ( 8050 26010 ) L1M1_PR_MR - NEW met1 ( 8050 26010 ) M1M2_PR - NEW met2 ( 8050 25500 ) M2M3_PR_M - NEW li1 ( 7590 27710 ) L1M1_PR_MR - NEW met1 ( 7590 27710 ) M1M2_PR - NEW li1 ( 8970 22950 ) L1M1_PR_MR - NEW met1 ( 8050 22950 ) M1M2_PR - NEW li1 ( 7590 21250 ) L1M1_PR_MR - NEW met1 ( 8050 21250 ) M1M2_PR - NEW met1 ( 8050 26010 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 7590 27710 ) RECT ( -355 -70 0 70 ) ; - - div[4] ( PIN div[4] ) ( ANTENNA__216__A DIODE ) ( ANTENNA__218__B1 DIODE ) ( _218_ B1 ) ( _216_ A ) + USE SIGNAL - + ROUTED met2 ( 7130 30260 ) ( * 30430 ) - NEW met3 ( 3220 30260 0 ) ( 7130 * ) - NEW met1 ( 7130 28390 ) ( 8510 * ) - NEW met2 ( 7130 28390 ) ( * 30260 ) - NEW met1 ( 11270 27710 ) ( * 28390 ) - NEW met1 ( 8510 27710 ) ( 11270 * ) - NEW met1 ( 8510 27710 ) ( * 28390 ) - NEW met1 ( 7130 33150 ) ( 9430 * ) - NEW met2 ( 7130 30430 ) ( * 33150 ) - NEW li1 ( 7130 30430 ) L1M1_PR_MR - NEW met1 ( 7130 30430 ) M1M2_PR - NEW met2 ( 7130 30260 ) M2M3_PR_M - NEW li1 ( 8510 28390 ) L1M1_PR_MR - NEW met1 ( 7130 28390 ) M1M2_PR - NEW li1 ( 11270 28390 ) L1M1_PR_MR - NEW li1 ( 9430 33150 ) L1M1_PR_MR - NEW met1 ( 7130 33150 ) M1M2_PR - NEW met1 ( 7130 30430 ) RECT ( -355 -70 0 70 ) ; - - enable ( PIN enable ) ( ANTENNA__181__A DIODE ) ( _181_ A ) + USE SIGNAL - + ROUTED met2 ( 20010 33830 ) ( * 35020 ) - NEW met1 ( 20010 33830 ) ( 21390 * ) - NEW met3 ( 3220 35020 0 ) ( 20010 * ) - NEW li1 ( 20010 33830 ) L1M1_PR_MR - NEW met1 ( 20010 33830 ) M1M2_PR - NEW met2 ( 20010 35020 ) M2M3_PR_M - NEW li1 ( 21390 33830 ) L1M1_PR_MR - NEW met1 ( 20010 33830 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[0] ( PIN ext_trim[0] ) ( ANTENNA__352__A1 DIODE ) ( _352_ A1 ) + USE SIGNAL - + ROUTED met2 ( 8510 39610 ) ( * 43860 ) - NEW met3 ( 3220 43860 0 ) ( 8510 * ) - NEW met1 ( 7590 34850 ) ( 8510 * ) - NEW met2 ( 8510 34850 ) ( * 39610 ) - NEW li1 ( 8510 39610 ) L1M1_PR_MR - NEW met1 ( 8510 39610 ) M1M2_PR - NEW met2 ( 8510 43860 ) M2M3_PR_M - NEW li1 ( 7590 34850 ) L1M1_PR_MR - NEW met1 ( 8510 34850 ) M1M2_PR - NEW met1 ( 8510 39610 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[10] ( PIN ext_trim[10] ) ( ANTENNA__332__A1 DIODE ) ( _332_ A1 ) + USE SIGNAL - + ROUTED met1 ( 20470 54910 ) ( 36570 * ) - NEW met2 ( 20470 54910 ) ( * 58310 ) - NEW met2 ( 20010 58310 ) ( 20470 * ) - NEW met2 ( 20010 58310 ) ( * 71740 0 ) - NEW met1 ( 38870 52870 ) ( 39330 * ) - NEW met1 ( 38870 52870 ) ( * 53210 ) - NEW met1 ( 37950 53210 ) ( 38870 * ) - NEW met2 ( 37950 53210 ) ( * 54910 ) - NEW met1 ( 36570 54910 ) ( 37950 * ) - NEW li1 ( 36570 54910 ) L1M1_PR_MR - NEW met1 ( 20470 54910 ) M1M2_PR - NEW li1 ( 39330 52870 ) L1M1_PR_MR - NEW met1 ( 37950 53210 ) M1M2_PR - NEW met1 ( 37950 54910 ) M1M2_PR ; - - ext_trim[11] ( PIN ext_trim[11] ) ( ANTENNA__330__A1 DIODE ) ( _330_ A1 ) + USE SIGNAL - + ROUTED met2 ( 25530 66980 ) ( 25990 * ) - NEW met2 ( 25990 66980 ) ( * 71740 0 ) - NEW met1 ( 25530 48110 ) ( 28290 * ) - NEW met2 ( 25530 48110 ) ( * 66980 ) - NEW li1 ( 25530 48110 ) L1M1_PR_MR - NEW met1 ( 25530 48110 ) M1M2_PR - NEW li1 ( 28290 48110 ) L1M1_PR_MR - NEW met1 ( 25530 48110 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[12] ( PIN ext_trim[12] ) ( ANTENNA__354__A1 DIODE ) ( _354_ A1 ) + USE SIGNAL - + ROUTED met1 ( 29670 48110 ) ( 31510 * ) - NEW met1 ( 26450 49470 ) ( 31510 * ) - NEW met2 ( 31510 48110 ) ( * 71740 0 ) - NEW li1 ( 29670 48110 ) L1M1_PR_MR - NEW met1 ( 31510 48110 ) M1M2_PR - NEW li1 ( 26450 49470 ) L1M1_PR_MR - NEW met1 ( 31510 49470 ) M1M2_PR - NEW met2 ( 31510 49470 ) RECT ( -70 -485 70 0 ) ; - - ext_trim[13] ( PIN ext_trim[13] ) ( ANTENNA__353__A1 DIODE ) ( _353_ A1 ) + USE SIGNAL - + ROUTED met2 ( 37490 62100 ) ( * 71740 0 ) - NEW met1 ( 36570 43010 ) ( 37030 * ) - NEW met2 ( 37030 43010 ) ( * 62100 ) - NEW met2 ( 37030 62100 ) ( 37490 * ) - NEW met1 ( 37030 45730 ) ( 42090 * ) - NEW li1 ( 36570 43010 ) L1M1_PR_MR - NEW met1 ( 37030 43010 ) M1M2_PR - NEW li1 ( 42090 45730 ) L1M1_PR_MR - NEW met1 ( 37030 45730 ) M1M2_PR - NEW met2 ( 37030 45730 ) RECT ( -70 -485 70 0 ) ; - - ext_trim[14] ( PIN ext_trim[14] ) ( ANTENNA__351__A1 DIODE ) ( _351_ A1 ) + USE SIGNAL - + ROUTED met2 ( 43010 66300 ) ( 43470 * ) - NEW met2 ( 43010 66300 ) ( * 71740 0 ) - NEW met1 ( 43470 53890 ) ( 46230 * ) - NEW met1 ( 42550 49810 ) ( 43470 * ) - NEW met2 ( 43470 49810 ) ( * 53890 ) - NEW met2 ( 43470 53890 ) ( * 66300 ) - NEW li1 ( 46230 53890 ) L1M1_PR_MR - NEW met1 ( 43470 53890 ) M1M2_PR - NEW li1 ( 42550 49810 ) L1M1_PR_MR - NEW met1 ( 43470 49810 ) M1M2_PR ; - - ext_trim[15] ( PIN ext_trim[15] ) ( ANTENNA__349__A1 DIODE ) ( _349_ A1 ) + USE SIGNAL - + ROUTED met1 ( 48530 57970 ) ( * 58310 ) - NEW met1 ( 48530 57970 ) ( 53130 * ) - NEW met1 ( 53130 57970 ) ( * 58310 ) - NEW met1 ( 53130 58310 ) ( 60030 * ) - NEW met2 ( 48530 59500 ) ( 48990 * ) - NEW met2 ( 48530 58310 ) ( * 59500 ) - NEW met2 ( 48990 59500 ) ( * 71740 0 ) - NEW li1 ( 48530 58310 ) L1M1_PR_MR - NEW li1 ( 60030 58310 ) L1M1_PR_MR - NEW met1 ( 48530 58310 ) M1M2_PR - NEW met1 ( 48530 58310 ) RECT ( 0 -70 595 70 ) ; - - ext_trim[16] ( PIN ext_trim[16] ) ( ANTENNA__347__A1 DIODE ) ( _347_ A1 ) + USE SIGNAL - + ROUTED met1 ( 54510 66810 ) ( 60030 * ) - NEW met2 ( 54510 66810 ) ( * 71740 0 ) - NEW met1 ( 60030 67150 ) ( 65090 * ) - NEW met1 ( 60030 66810 ) ( * 67150 ) - NEW li1 ( 60030 66810 ) L1M1_PR_MR - NEW met1 ( 54510 66810 ) M1M2_PR - NEW li1 ( 65090 67150 ) L1M1_PR_MR ; - - ext_trim[17] ( PIN ext_trim[17] ) ( ANTENNA__345__A1 DIODE ) ( _345_ A1 ) + USE SIGNAL - + ROUTED met1 ( 55890 67490 ) ( 60490 * ) - NEW met2 ( 60490 67490 ) ( * 71740 0 ) - NEW met1 ( 53590 66810 ) ( * 67150 ) - NEW met1 ( 53590 67150 ) ( 55890 * ) - NEW met1 ( 55890 67150 ) ( * 67490 ) - NEW li1 ( 55890 67490 ) L1M1_PR_MR - NEW met1 ( 60490 67490 ) M1M2_PR - NEW li1 ( 53590 66810 ) L1M1_PR_MR ; - - ext_trim[18] ( PIN ext_trim[18] ) ( ANTENNA__343__A1 DIODE ) ( _343_ A1 ) + USE SIGNAL - + ROUTED met2 ( 65550 64260 ) ( 66010 * ) - NEW met2 ( 66010 64260 ) ( * 71740 0 ) - NEW met2 ( 65550 53890 ) ( * 64260 ) - NEW met1 ( 62100 53890 ) ( 65550 * ) - NEW met1 ( 49450 55250 ) ( 49910 * ) - NEW met2 ( 49910 53550 ) ( * 55250 ) - NEW met1 ( 49910 53550 ) ( 62100 * ) - NEW met1 ( 62100 53550 ) ( * 53890 ) - NEW met1 ( 47150 55250 ) ( 49450 * ) - NEW met1 ( 65550 53890 ) M1M2_PR - NEW li1 ( 49450 55250 ) L1M1_PR_MR - NEW met1 ( 49910 55250 ) M1M2_PR - NEW met1 ( 49910 53550 ) M1M2_PR - NEW li1 ( 47150 55250 ) L1M1_PR_MR ; - - ext_trim[19] ( PIN ext_trim[19] ) ( ANTENNA__341__A1 DIODE ) ( _341_ A1 ) + USE SIGNAL - + ROUTED met2 ( 71990 61370 ) ( * 71740 0 ) - NEW met1 ( 54510 61030 ) ( 56810 * ) - NEW met1 ( 56810 61030 ) ( * 61370 ) - NEW met1 ( 56810 61370 ) ( 71990 * ) - NEW met1 ( 71990 61370 ) M1M2_PR - NEW li1 ( 56810 61370 ) L1M1_PR_MR - NEW li1 ( 54510 61030 ) L1M1_PR_MR ; - - ext_trim[1] ( PIN ext_trim[1] ) ( ANTENNA__350__A1 DIODE ) ( _350_ A1 ) + USE SIGNAL - + ROUTED met2 ( 8970 45050 ) ( * 48620 ) - NEW met3 ( 3220 48620 0 ) ( 8970 * ) - NEW met1 ( 7590 43010 ) ( 8970 * ) - NEW met2 ( 8970 43010 ) ( * 45050 ) - NEW li1 ( 8970 45050 ) L1M1_PR_MR - NEW met1 ( 8970 45050 ) M1M2_PR - NEW met2 ( 8970 48620 ) M2M3_PR_M - NEW li1 ( 7590 43010 ) L1M1_PR_MR - NEW met1 ( 8970 43010 ) M1M2_PR - NEW met1 ( 8970 45050 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[20] ( PIN ext_trim[20] ) ( ANTENNA__339__A1 DIODE ) ( _339_ A1 ) + USE SIGNAL - + ROUTED met3 ( 51750 66980 ) ( 63940 * ) - NEW met3 ( 63940 66980 ) ( * 68340 ) - NEW met3 ( 63940 68340 ) ( 71300 * 0 ) - NEW met1 ( 47150 61370 ) ( 51750 * ) - NEW met1 ( 51750 61370 ) ( * 62050 ) - NEW met2 ( 51750 62050 ) ( * 66980 ) - NEW met2 ( 51750 66980 ) M2M3_PR_M - NEW li1 ( 51750 62050 ) L1M1_PR_MR - NEW met1 ( 51750 62050 ) M1M2_PR - NEW li1 ( 47150 61370 ) L1M1_PR_MR - NEW met1 ( 51750 62050 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[21] ( PIN ext_trim[21] ) ( ANTENNA__337__A1 DIODE ) ( _337_ A1 ) + USE SIGNAL - + ROUTED met2 ( 60030 53890 ) ( * 56100 ) - NEW met2 ( 60030 51170 ) ( * 53890 ) - NEW met3 ( 60030 56100 ) ( 71300 * 0 ) - NEW li1 ( 60030 53890 ) L1M1_PR_MR - NEW met1 ( 60030 53890 ) M1M2_PR - NEW met2 ( 60030 56100 ) M2M3_PR_M - NEW li1 ( 60030 51170 ) L1M1_PR_MR - NEW met1 ( 60030 51170 ) M1M2_PR - NEW met1 ( 60030 53890 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 60030 51170 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[22] ( PIN ext_trim[22] ) ( ANTENNA__335__A1 DIODE ) ( _335_ A1 ) + USE SIGNAL - + ROUTED met2 ( 67850 43860 ) ( * 46750 ) - NEW met3 ( 67850 43860 ) ( 71300 * 0 ) - NEW met1 ( 66010 49470 ) ( 67850 * ) - NEW met2 ( 67850 46750 ) ( * 49470 ) - NEW li1 ( 67850 46750 ) L1M1_PR_MR - NEW met1 ( 67850 46750 ) M1M2_PR - NEW met2 ( 67850 43860 ) M2M3_PR_M - NEW li1 ( 66010 49470 ) L1M1_PR_MR - NEW met1 ( 67850 49470 ) M1M2_PR - NEW met1 ( 67850 46750 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[23] ( PIN ext_trim[23] ) ( ANTENNA__333__A1 DIODE ) ( _333_ A1 ) + USE SIGNAL - + ROUTED met2 ( 66470 30940 ) ( * 33150 ) - NEW met3 ( 66470 30940 ) ( 71300 * 0 ) - NEW met1 ( 66470 38590 ) ( 67390 * ) - NEW met2 ( 66470 33150 ) ( * 38590 ) - NEW li1 ( 66470 33150 ) L1M1_PR_MR - NEW met1 ( 66470 33150 ) M1M2_PR - NEW met2 ( 66470 30940 ) M2M3_PR_M - NEW li1 ( 67390 38590 ) L1M1_PR_MR - NEW met1 ( 66470 38590 ) M1M2_PR - NEW met1 ( 66470 33150 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[24] ( PIN ext_trim[24] ) ( ANTENNA__331__A1 DIODE ) ( _331_ A1 ) + USE SIGNAL - + ROUTED met2 ( 67850 18700 ) ( * 35870 ) - NEW met3 ( 67850 18700 ) ( 71300 * 0 ) - NEW met1 ( 63710 36890 ) ( 66470 * ) - NEW met1 ( 66470 36550 ) ( * 36890 ) - NEW met1 ( 66470 36550 ) ( 67850 * ) - NEW met1 ( 67850 35870 ) ( * 36550 ) - NEW li1 ( 67850 35870 ) L1M1_PR_MR - NEW met1 ( 67850 35870 ) M1M2_PR - NEW met2 ( 67850 18700 ) M2M3_PR_M - NEW li1 ( 63710 36890 ) L1M1_PR_MR - NEW met1 ( 67850 35870 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[25] ( PIN ext_trim[25] ) ( ANTENNA__355__A1 DIODE ) ( _355_ A1 ) + USE SIGNAL - + ROUTED met3 ( 53130 6460 ) ( 71300 * 0 ) - NEW met1 ( 51750 39610 ) ( 53130 * ) - NEW met1 ( 47150 39270 ) ( 48530 * ) - NEW met1 ( 48530 39270 ) ( * 39610 ) - NEW met1 ( 48530 39610 ) ( 51750 * ) - NEW met2 ( 53130 6460 ) ( * 39610 ) - NEW met2 ( 53130 6460 ) M2M3_PR_M - NEW li1 ( 51750 39610 ) L1M1_PR_MR - NEW met1 ( 53130 39610 ) M1M2_PR - NEW li1 ( 47150 39270 ) L1M1_PR_MR ; - - ext_trim[2] ( PIN ext_trim[2] ) ( ANTENNA__348__A1 DIODE ) ( _348_ A1 ) + USE SIGNAL - + ROUTED met2 ( 8510 53210 ) ( * 53380 ) - NEW met3 ( 3220 53380 0 ) ( 8510 * ) - NEW met1 ( 7590 51170 ) ( 8510 * ) - NEW met2 ( 8510 51170 ) ( * 53210 ) - NEW li1 ( 8510 53210 ) L1M1_PR_MR - NEW met1 ( 8510 53210 ) M1M2_PR - NEW met2 ( 8510 53380 ) M2M3_PR_M - NEW li1 ( 7590 51170 ) L1M1_PR_MR - NEW met1 ( 8510 51170 ) M1M2_PR - NEW met1 ( 8510 53210 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[3] ( PIN ext_trim[3] ) ( ANTENNA__346__A1 DIODE ) ( _346_ A1 ) + USE SIGNAL - + ROUTED met2 ( 7130 56610 ) ( * 58140 ) - NEW met3 ( 3220 58140 0 ) ( 7130 * ) - NEW met1 ( 7130 58310 ) ( 9430 * ) - NEW met2 ( 7130 58140 ) ( * 58310 ) - NEW li1 ( 7130 56610 ) L1M1_PR_MR - NEW met1 ( 7130 56610 ) M1M2_PR - NEW met2 ( 7130 58140 ) M2M3_PR_M - NEW li1 ( 9430 58310 ) L1M1_PR_MR - NEW met1 ( 7130 58310 ) M1M2_PR - NEW met1 ( 7130 56610 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 7130 58310 ) RECT ( -70 0 70 315 ) ; - - ext_trim[4] ( PIN ext_trim[4] ) ( ANTENNA__344__A1 DIODE ) ( _344_ A1 ) + USE SIGNAL - + ROUTED met3 ( 3220 62900 0 ) ( 17710 * ) - NEW met1 ( 17710 61370 ) ( 21390 * ) - NEW met1 ( 17710 61370 ) ( * 62050 ) - NEW met2 ( 17710 62050 ) ( * 62900 ) - NEW met2 ( 17710 62900 ) M2M3_PR_M - NEW li1 ( 17710 62050 ) L1M1_PR_MR - NEW met1 ( 17710 62050 ) M1M2_PR - NEW li1 ( 21390 61370 ) L1M1_PR_MR - NEW met1 ( 17710 62050 ) RECT ( -355 -70 0 70 ) ; - - ext_trim[5] ( PIN ext_trim[5] ) ( ANTENNA__342__A1 DIODE ) ( _342_ A1 ) + USE SIGNAL - + ROUTED met3 ( 3220 67660 0 ) ( 17250 * ) - NEW met1 ( 17250 59330 ) ( 17710 * ) - NEW met1 ( 17710 59330 ) ( 20470 * ) - NEW met2 ( 17250 59330 ) ( * 67660 ) - NEW met2 ( 17250 67660 ) M2M3_PR_M - NEW li1 ( 17710 59330 ) L1M1_PR_MR - NEW met1 ( 17250 59330 ) M1M2_PR - NEW li1 ( 20470 59330 ) L1M1_PR_MR ; - - ext_trim[6] ( PIN ext_trim[6] ) ( ANTENNA__340__A1 DIODE ) ( _340_ A1 ) + USE SIGNAL - + ROUTED met3 ( 3220 72420 0 ) ( 20470 * ) - NEW met2 ( 20470 62100 ) ( * 72420 ) - NEW met1 ( 20930 61710 ) ( 26450 * ) - NEW met2 ( 20930 61710 ) ( * 62100 ) - NEW met2 ( 20470 62100 ) ( 20930 * ) - NEW met1 ( 28750 61370 ) ( * 61710 ) - NEW met1 ( 26450 61710 ) ( 28750 * ) - NEW met2 ( 20470 72420 ) M2M3_PR_M - NEW li1 ( 26450 61710 ) L1M1_PR_MR - NEW met1 ( 20930 61710 ) M1M2_PR - NEW li1 ( 28750 61370 ) L1M1_PR_MR ; - - ext_trim[7] ( PIN ext_trim[7] ) ( ANTENNA__338__A1 DIODE ) ( _338_ A1 ) + USE SIGNAL - + ROUTED met2 ( 2990 67150 ) ( * 71740 0 ) - NEW met1 ( 36110 66810 ) ( * 67150 ) - NEW met1 ( 2990 67150 ) ( 36110 * ) - NEW met1 ( 2990 67150 ) M1M2_PR - NEW li1 ( 33810 67150 ) L1M1_PR_MR - NEW li1 ( 36110 66810 ) L1M1_PR_MR - NEW met1 ( 33810 67150 ) RECT ( -595 -70 0 70 ) ; - - ext_trim[8] ( PIN ext_trim[8] ) ( ANTENNA__336__A1 DIODE ) ( _336_ A1 ) + USE SIGNAL - + ROUTED met1 ( 8510 67490 ) ( 31970 * ) - NEW met2 ( 8510 67490 ) ( * 71740 0 ) - NEW met2 ( 32430 64770 ) ( * 67490 ) - NEW met1 ( 31970 67490 ) ( 32430 * ) - NEW met1 ( 32430 64770 ) ( 34730 * ) - NEW li1 ( 31970 67490 ) L1M1_PR_MR - NEW met1 ( 8510 67490 ) M1M2_PR - NEW met1 ( 32430 64770 ) M1M2_PR - NEW met1 ( 32430 67490 ) M1M2_PR - NEW li1 ( 34730 64770 ) L1M1_PR_MR ; - - ext_trim[9] ( PIN ext_trim[9] ) ( ANTENNA__334__A1 DIODE ) ( _334_ A1 ) + USE SIGNAL - + ROUTED met1 ( 33350 55250 ) ( 33810 * ) - NEW met2 ( 33350 55250 ) ( * 68850 ) - NEW met1 ( 14490 68850 ) ( 33350 * ) - NEW met2 ( 14490 68850 ) ( * 71740 0 ) - NEW met1 ( 34270 55930 ) ( 38410 * ) - NEW met1 ( 34270 55590 ) ( * 55930 ) - NEW met1 ( 33810 55590 ) ( 34270 * ) - NEW met1 ( 33810 55590 ) ( * 55600 ) - NEW met1 ( 33350 55600 ) ( 33810 * ) - NEW met1 ( 33350 55250 ) ( * 55600 ) - NEW li1 ( 33810 55250 ) L1M1_PR_MR - NEW met1 ( 33350 55250 ) M1M2_PR - NEW met1 ( 33350 68850 ) M1M2_PR - NEW met1 ( 14490 68850 ) M1M2_PR - NEW li1 ( 38410 55930 ) L1M1_PR_MR ; - - osc ( PIN osc ) ( ANTENNA__356__D DIODE ) ( _356_ D ) + USE SIGNAL - + ROUTED met1 ( 55890 5950 ) ( 57730 * ) - NEW met2 ( 55890 3740 0 ) ( * 5950 ) - NEW met2 ( 59110 5950 ) ( * 9350 ) - NEW met1 ( 57730 5950 ) ( 59110 * ) - NEW li1 ( 57730 5950 ) L1M1_PR_MR - NEW met1 ( 55890 5950 ) M1M2_PR - NEW li1 ( 59110 9350 ) L1M1_PR_MR - NEW met1 ( 59110 9350 ) M1M2_PR - NEW met1 ( 59110 5950 ) M1M2_PR - NEW met1 ( 59110 9350 ) RECT ( -355 -70 0 70 ) ; - - pll_control.clock ( ringosc.ibufp01 Y ) ( _378_ CLK ) ( _377_ CLK ) ( _376_ CLK ) ( _375_ CLK ) ( _374_ CLK ) ( _373_ CLK ) - ( _372_ CLK ) ( _371_ CLK ) ( _370_ CLK ) ( _369_ CLK ) ( _368_ CLK ) ( _367_ CLK ) ( _366_ CLK ) ( _365_ CLK ) - ( _364_ CLK ) ( _363_ CLK ) ( _362_ CLK ) ( _361_ CLK ) ( _360_ CLK ) ( _359_ CLK ) ( _358_ CLK ) ( _357_ CLK ) - ( _356_ CLK ) ( _328_ A ) + USE SIGNAL - + ROUTED met2 ( 8050 6630 ) ( * 9350 ) - NEW met1 ( 8050 6630 ) ( 8970 * ) - NEW met1 ( 11270 10030 ) ( * 10370 ) - NEW met1 ( 8050 10030 ) ( 11270 * ) - NEW met1 ( 8050 9350 ) ( * 10030 ) - NEW met1 ( 8510 30430 ) ( * 31110 ) - NEW met1 ( 8510 30430 ) ( 13110 * ) - NEW met2 ( 13110 28730 ) ( * 30430 ) - NEW met1 ( 22310 9350 ) ( 30130 * ) - NEW met1 ( 22310 9350 ) ( * 10030 ) - NEW met1 ( 17250 10030 ) ( 22310 * ) - NEW met1 ( 17250 10030 ) ( * 10370 ) - NEW met1 ( 30130 9350 ) ( 31970 * ) - NEW met2 ( 29670 11220 ) ( 30130 * ) - NEW met2 ( 30130 9350 ) ( * 11220 ) - NEW met1 ( 42090 9690 ) ( * 10030 ) - NEW met1 ( 39790 10030 ) ( 42090 * ) - NEW met1 ( 39790 10030 ) ( * 10370 ) - NEW met1 ( 31970 10370 ) ( 39790 * ) - NEW met1 ( 31970 9350 ) ( * 10370 ) - NEW met1 ( 42090 10370 ) ( 49910 * ) - NEW met1 ( 42090 10030 ) ( * 10370 ) - NEW met1 ( 49910 11960 ) ( * 12070 ) - NEW met1 ( 57730 6970 ) ( 58650 * ) - NEW met2 ( 57730 6970 ) ( * 9690 ) - NEW met1 ( 11270 10370 ) ( 17250 * ) - NEW met1 ( 57730 14790 ) ( 58650 * ) - NEW met2 ( 57730 14790 ) ( * 20230 ) - NEW met1 ( 51290 16830 ) ( * 17510 ) - NEW met1 ( 51290 16830 ) ( 57730 * ) - NEW met1 ( 57730 28390 ) ( 58650 * ) - NEW met2 ( 57730 20230 ) ( * 28390 ) - NEW met1 ( 48990 27710 ) ( * 28390 ) - NEW met1 ( 48990 27710 ) ( 57730 * ) - NEW met1 ( 57730 31110 ) ( 58650 * ) - NEW met2 ( 57730 28390 ) ( * 31110 ) - NEW met1 ( 41170 26010 ) ( * 26350 ) - NEW met1 ( 41170 26350 ) ( 45310 * ) - NEW met1 ( 45310 26010 ) ( * 26350 ) - NEW met1 ( 45310 26010 ) ( 47610 * ) - NEW met2 ( 47610 26010 ) ( * 28220 ) - NEW met2 ( 47610 28220 ) ( 48070 * ) - NEW met2 ( 48070 28220 ) ( * 28390 ) - NEW met1 ( 48070 28390 ) ( 48990 * ) - NEW met2 ( 39330 20570 ) ( * 26350 ) - NEW met1 ( 39330 26350 ) ( 41170 * ) - NEW met2 ( 33350 17850 ) ( * 22270 ) - NEW met1 ( 33350 22270 ) ( 39330 * ) - NEW met1 ( 31970 30430 ) ( * 31110 ) - NEW met1 ( 31970 30430 ) ( 33350 * ) - NEW met2 ( 33350 22270 ) ( * 30430 ) - NEW met1 ( 29670 17850 ) ( 33350 * ) - NEW met1 ( 28290 17850 ) ( 29670 * ) - NEW met1 ( 25070 31110 ) ( 31970 * ) - NEW met1 ( 21850 20570 ) ( * 20910 ) - NEW met1 ( 21850 20910 ) ( 22310 * ) - NEW met1 ( 22310 20910 ) ( * 21250 ) - NEW met1 ( 22310 21250 ) ( 29670 * ) - NEW met2 ( 29670 17850 ) ( * 21250 ) - NEW met1 ( 19550 28730 ) ( 27830 * ) - NEW met2 ( 27830 28730 ) ( * 31110 ) - NEW met1 ( 24150 34170 ) ( 27830 * ) - NEW met2 ( 27830 31110 ) ( * 34170 ) - NEW met1 ( 48530 14790 ) ( 49910 * ) - NEW met1 ( 13110 28730 ) ( 19550 * ) - NEW met2 ( 29670 11220 ) ( * 17850 ) - NEW met2 ( 49910 10370 ) ( * 14790 ) - NEW met2 ( 57730 9690 ) ( * 14790 ) - NEW li1 ( 8050 9350 ) L1M1_PR_MR - NEW met1 ( 8050 9350 ) M1M2_PR - NEW met1 ( 8050 6630 ) M1M2_PR - NEW li1 ( 8970 6630 ) L1M1_PR_MR - NEW li1 ( 8510 31110 ) L1M1_PR_MR - NEW met1 ( 13110 30430 ) M1M2_PR - NEW met1 ( 13110 28730 ) M1M2_PR - NEW li1 ( 30130 9350 ) L1M1_PR_MR - NEW li1 ( 31970 9350 ) L1M1_PR_MR - NEW met1 ( 30130 9350 ) M1M2_PR - NEW li1 ( 42090 9690 ) L1M1_PR_MR - NEW met1 ( 49910 10370 ) M1M2_PR - NEW li1 ( 49910 12070 ) L1M1_PR_MR - NEW met1 ( 49910 11960 ) M1M2_PR - NEW li1 ( 57730 9690 ) L1M1_PR_MR - NEW met1 ( 57730 9690 ) M1M2_PR - NEW li1 ( 58650 6970 ) L1M1_PR_MR - NEW met1 ( 57730 6970 ) M1M2_PR - NEW li1 ( 58650 14790 ) L1M1_PR_MR - NEW met1 ( 57730 14790 ) M1M2_PR - NEW li1 ( 57730 20230 ) L1M1_PR_MR - NEW met1 ( 57730 20230 ) M1M2_PR - NEW li1 ( 51290 17510 ) L1M1_PR_MR - NEW met1 ( 57730 16830 ) M1M2_PR - NEW li1 ( 58650 28390 ) L1M1_PR_MR - NEW met1 ( 57730 28390 ) M1M2_PR - NEW li1 ( 48990 28390 ) L1M1_PR_MR - NEW met1 ( 57730 27710 ) M1M2_PR - NEW li1 ( 58650 31110 ) L1M1_PR_MR - NEW met1 ( 57730 31110 ) M1M2_PR - NEW li1 ( 41170 26010 ) L1M1_PR_MR - NEW met1 ( 47610 26010 ) M1M2_PR - NEW met1 ( 48070 28390 ) M1M2_PR - NEW li1 ( 39330 20570 ) L1M1_PR_MR - NEW met1 ( 39330 20570 ) M1M2_PR - NEW met1 ( 39330 26350 ) M1M2_PR - NEW li1 ( 33350 17850 ) L1M1_PR_MR - NEW met1 ( 33350 17850 ) M1M2_PR - NEW met1 ( 33350 22270 ) M1M2_PR - NEW met1 ( 39330 22270 ) M1M2_PR - NEW li1 ( 31970 31110 ) L1M1_PR_MR - NEW met1 ( 33350 30430 ) M1M2_PR - NEW met1 ( 29670 17850 ) M1M2_PR - NEW li1 ( 28290 17850 ) L1M1_PR_MR - NEW li1 ( 25070 31110 ) L1M1_PR_MR - NEW li1 ( 21850 20570 ) L1M1_PR_MR - NEW met1 ( 29670 21250 ) M1M2_PR - NEW li1 ( 19550 28730 ) L1M1_PR_MR - NEW met1 ( 27830 28730 ) M1M2_PR - NEW met1 ( 27830 31110 ) M1M2_PR - NEW li1 ( 24150 34170 ) L1M1_PR_MR - NEW met1 ( 27830 34170 ) M1M2_PR - NEW met1 ( 49910 14790 ) M1M2_PR - NEW li1 ( 48530 14790 ) L1M1_PR_MR - NEW met1 ( 8050 9350 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 30130 9350 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 49910 11960 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 57730 9690 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 57730 20230 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 57730 16830 ) RECT ( -70 -485 70 0 ) - NEW met2 ( 57730 27710 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 39330 20570 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 33350 17850 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 39330 22270 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 27830 31110 ) RECT ( -595 -70 0 70 ) ; - - pll_control.count0\[0\] ( _359_ Q ) ( _275_ B2 ) ( _186_ B1 ) ( _168_ A ) + USE SIGNAL - + ROUTED met1 ( 29210 12410 ) ( * 13090 ) - NEW met1 ( 29210 19550 ) ( 30590 * ) - NEW met1 ( 32890 20910 ) ( 33350 * ) - NEW met2 ( 32890 19550 ) ( * 20910 ) - NEW met1 ( 30590 19550 ) ( 32890 * ) - NEW met2 ( 32430 22950 ) ( 32890 * ) - NEW met2 ( 32890 20910 ) ( * 22950 ) - NEW met2 ( 29210 13090 ) ( * 19550 ) - NEW met1 ( 29210 13090 ) M1M2_PR - NEW li1 ( 29210 12410 ) L1M1_PR_MR - NEW li1 ( 30590 19550 ) L1M1_PR_MR - NEW met1 ( 29210 19550 ) M1M2_PR - NEW li1 ( 33350 20910 ) L1M1_PR_MR - NEW met1 ( 32890 20910 ) M1M2_PR - NEW met1 ( 32890 19550 ) M1M2_PR - NEW li1 ( 32430 22950 ) L1M1_PR_MR - NEW met1 ( 32430 22950 ) M1M2_PR - NEW met1 ( 32430 22950 ) RECT ( -355 -70 0 70 ) ; - - pll_control.count0\[1\] ( _360_ Q ) ( _275_ B1 ) ( _195_ A1 ) ( _194_ B1 ) ( _194_ A1_N ) ( _185_ B1 ) ( _167_ A ) + USE SIGNAL - + ROUTED met1 ( 28745 12070 ) ( * 12750 ) - NEW met1 ( 27370 12750 ) ( 28745 * ) - NEW met2 ( 27370 7310 ) ( * 12750 ) - NEW met1 ( 27370 7310 ) ( 31050 * ) - NEW met1 ( 31050 6630 ) ( * 7310 ) - NEW met1 ( 38410 12070 ) ( 38560 * ) - NEW met2 ( 38410 7310 ) ( * 12070 ) - NEW met1 ( 31050 7310 ) ( 38410 * ) - NEW met1 ( 38410 9350 ) ( 40710 * ) - NEW met1 ( 38560 12070 ) ( 41170 * ) - NEW met1 ( 36570 15470 ) ( 38410 * ) - NEW met2 ( 38410 12070 ) ( * 15470 ) - NEW met1 ( 41170 11730 ) ( 47150 * ) - NEW met1 ( 41170 11730 ) ( * 12070 ) - NEW li1 ( 28745 12070 ) L1M1_PR_MR - NEW met1 ( 27370 12750 ) M1M2_PR - NEW met1 ( 27370 7310 ) M1M2_PR - NEW li1 ( 31050 6630 ) L1M1_PR_MR - NEW li1 ( 38560 12070 ) L1M1_PR_MR - NEW met1 ( 38410 12070 ) M1M2_PR - NEW met1 ( 38410 7310 ) M1M2_PR - NEW li1 ( 40710 9350 ) L1M1_PR_MR - NEW met1 ( 38410 9350 ) M1M2_PR - NEW li1 ( 41170 12070 ) L1M1_PR_MR - NEW li1 ( 36570 15470 ) L1M1_PR_MR - NEW met1 ( 38410 15470 ) M1M2_PR - NEW li1 ( 47150 11730 ) L1M1_PR_MR - NEW met2 ( 38410 9350 ) RECT ( -70 -485 70 0 ) ; - - pll_control.count0\[2\] ( _361_ Q ) ( _199_ A1 ) ( _197_ A1_N ) ( _192_ A ) ( _184_ B1 ) ( _166_ A ) + USE SIGNAL - + ROUTED met2 ( 21390 6290 ) ( * 7310 ) - NEW met1 ( 17710 7310 ) ( 21390 * ) - NEW met1 ( 17710 6630 ) ( * 7310 ) - NEW met1 ( 21390 8670 ) ( 23230 * ) - NEW met2 ( 23230 7310 ) ( * 8670 ) - NEW met1 ( 21390 7310 ) ( 23230 * ) - NEW met1 ( 19550 9350 ) ( * 9690 ) - NEW met1 ( 19550 9350 ) ( 21390 * ) - NEW met1 ( 21390 8670 ) ( * 9350 ) - NEW met2 ( 23230 8670 ) ( * 12070 ) - NEW met1 ( 21700 12070 ) ( 23230 * ) - NEW li1 ( 21390 6290 ) L1M1_PR_MR - NEW met1 ( 21390 6290 ) M1M2_PR - NEW met1 ( 21390 7310 ) M1M2_PR - NEW li1 ( 17710 6630 ) L1M1_PR_MR - NEW li1 ( 21390 8670 ) L1M1_PR_MR - NEW met1 ( 23230 8670 ) M1M2_PR - NEW met1 ( 23230 7310 ) M1M2_PR - NEW li1 ( 19550 9690 ) L1M1_PR_MR - NEW li1 ( 23230 12070 ) L1M1_PR_MR - NEW met1 ( 23230 12070 ) M1M2_PR - NEW li1 ( 21700 12070 ) L1M1_PR_MR - NEW met1 ( 21390 6290 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 23230 12070 ) RECT ( -355 -70 0 70 ) ; - - pll_control.count0\[3\] ( _362_ Q ) ( _277_ A1 ) ( _272_ A ) ( _271_ A1 ) ( _269_ A ) ( _213_ A1_N ) ( _191_ A1 ) - ( _190_ A ) ( _183_ B1 ) + USE SIGNAL - + ROUTED met1 ( 15410 20570 ) ( 15870 * ) - NEW met2 ( 15870 17510 ) ( * 20570 ) - NEW met1 ( 15870 21250 ) ( 19550 * ) - NEW met1 ( 15870 20570 ) ( * 21250 ) - NEW met1 ( 19550 22950 ) ( 21400 * ) - NEW met2 ( 19550 21250 ) ( * 22950 ) - NEW met1 ( 26910 22950 ) ( * 23290 ) - NEW met1 ( 25530 23290 ) ( 26910 * ) - NEW met1 ( 25530 22950 ) ( * 23290 ) - NEW met1 ( 21400 22950 ) ( 25530 * ) - NEW met1 ( 28750 18190 ) ( * 18530 ) - NEW met1 ( 27830 18530 ) ( 28750 * ) - NEW met2 ( 27830 18530 ) ( * 22610 ) - NEW met1 ( 26910 22610 ) ( 27830 * ) - NEW met1 ( 26910 22610 ) ( * 22950 ) - NEW met2 ( 27830 22610 ) ( * 26010 ) - NEW met1 ( 30130 26010 ) ( * 26350 ) - NEW met1 ( 27830 26350 ) ( 30130 * ) - NEW met1 ( 27830 26010 ) ( * 26350 ) - NEW met1 ( 32430 26350 ) ( * 26690 ) - NEW met1 ( 30130 26350 ) ( 32430 * ) - NEW li1 ( 15410 20570 ) L1M1_PR_MR - NEW met1 ( 15870 20570 ) M1M2_PR - NEW li1 ( 15870 17510 ) L1M1_PR_MR - NEW met1 ( 15870 17510 ) M1M2_PR - NEW li1 ( 19550 21250 ) L1M1_PR_MR - NEW li1 ( 21400 22950 ) L1M1_PR_MR - NEW met1 ( 19550 22950 ) M1M2_PR - NEW met1 ( 19550 21250 ) M1M2_PR - NEW li1 ( 26910 22950 ) L1M1_PR_MR - NEW li1 ( 28750 18190 ) L1M1_PR_MR - NEW met1 ( 27830 18530 ) M1M2_PR - NEW met1 ( 27830 22610 ) M1M2_PR - NEW li1 ( 27830 26010 ) L1M1_PR_MR - NEW met1 ( 27830 26010 ) M1M2_PR - NEW li1 ( 30130 26010 ) L1M1_PR_MR - NEW li1 ( 32430 26690 ) L1M1_PR_MR - NEW met1 ( 15870 17510 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 19550 21250 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 27830 26010 ) RECT ( -355 -70 0 70 ) ; - - pll_control.count0\[4\] ( _363_ Q ) ( _277_ A3 ) ( _272_ C ) ( _271_ B1 ) ( _212_ A1 ) ( _180_ B1 ) ( _164_ A ) + USE SIGNAL - + ROUTED met2 ( 25990 22950 ) ( * 23630 ) - NEW met1 ( 25990 23630 ) ( 30130 * ) - NEW met2 ( 30130 17510 ) ( * 23630 ) - NEW met1 ( 25985 26010 ) ( 25990 * ) - NEW met2 ( 25990 23630 ) ( * 26010 ) - NEW met2 ( 28290 23630 ) ( * 27710 ) - NEW met1 ( 19090 26010 ) ( 19550 * ) - NEW met1 ( 19550 26010 ) ( * 26350 ) - NEW met1 ( 19550 26350 ) ( 25985 * ) - NEW met1 ( 25985 26010 ) ( * 26350 ) - NEW met2 ( 19550 26350 ) ( * 31450 ) - NEW met1 ( 14950 25330 ) ( * 26010 ) - NEW met1 ( 14950 25330 ) ( 15410 * ) - NEW met1 ( 15410 25330 ) ( * 25670 ) - NEW met1 ( 15410 25670 ) ( 18630 * ) - NEW met1 ( 18630 25670 ) ( * 26010 ) - NEW met1 ( 18630 26010 ) ( 19090 * ) - NEW li1 ( 25990 22950 ) L1M1_PR_MR - NEW met1 ( 25990 22950 ) M1M2_PR - NEW met1 ( 25990 23630 ) M1M2_PR - NEW met1 ( 30130 23630 ) M1M2_PR - NEW li1 ( 30130 17510 ) L1M1_PR_MR - NEW met1 ( 30130 17510 ) M1M2_PR - NEW li1 ( 25985 26010 ) L1M1_PR_MR - NEW met1 ( 25990 26010 ) M1M2_PR - NEW li1 ( 28290 27710 ) L1M1_PR_MR - NEW met1 ( 28290 27710 ) M1M2_PR - NEW met1 ( 28290 23630 ) M1M2_PR - NEW li1 ( 19090 26010 ) L1M1_PR_MR - NEW li1 ( 19550 31450 ) L1M1_PR_MR - NEW met1 ( 19550 31450 ) M1M2_PR - NEW met1 ( 19550 26350 ) M1M2_PR - NEW li1 ( 14950 26010 ) L1M1_PR_MR - NEW met1 ( 25990 22950 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 30130 17510 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 25985 26010 ) RECT ( -350 -70 0 70 ) - NEW met1 ( 28290 27710 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 28290 23630 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 19550 31450 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 19550 26350 ) RECT ( 0 -70 595 70 ) ; - - pll_control.count1\[0\] ( _374_ Q ) ( _186_ A1 ) ( _169_ A ) + USE SIGNAL - + ROUTED met2 ( 37030 17850 ) ( * 20570 ) - NEW met1 ( 37030 17850 ) ( 42090 * ) - NEW met1 ( 33810 20910 ) ( 37030 * ) - NEW met1 ( 37030 20570 ) ( * 20910 ) - NEW li1 ( 37030 20570 ) L1M1_PR_MR - NEW met1 ( 37030 20570 ) M1M2_PR - NEW met1 ( 37030 17850 ) M1M2_PR - NEW li1 ( 42090 17850 ) L1M1_PR_MR - NEW li1 ( 33810 20910 ) L1M1_PR_MR - NEW met1 ( 37030 20570 ) RECT ( -355 -70 0 70 ) ; - - pll_control.count1\[1\] ( _375_ Q ) ( _195_ A2 ) ( _194_ B2 ) ( _194_ A2_N ) ( _185_ A1 ) + USE SIGNAL - + ROUTED met1 ( 40710 12750 ) ( 46690 * ) - NEW met1 ( 46690 12070 ) ( * 12750 ) - NEW met2 ( 38870 11390 ) ( * 12750 ) - NEW met1 ( 38870 12750 ) ( 40710 * ) - NEW met1 ( 38870 14450 ) ( 39790 * ) - NEW met2 ( 38870 12750 ) ( * 14450 ) - NEW met1 ( 36085 15130 ) ( 36570 * ) - NEW met1 ( 36570 14790 ) ( * 15130 ) - NEW met1 ( 36570 14790 ) ( 38870 * ) - NEW met1 ( 38870 14450 ) ( * 14790 ) - NEW li1 ( 40710 12750 ) L1M1_PR_MR - NEW li1 ( 46690 12070 ) L1M1_PR_MR - NEW li1 ( 38870 11390 ) L1M1_PR_MR - NEW met1 ( 38870 11390 ) M1M2_PR - NEW met1 ( 38870 12750 ) M1M2_PR - NEW li1 ( 39790 14450 ) L1M1_PR_MR - NEW met1 ( 38870 14450 ) M1M2_PR - NEW li1 ( 36085 15130 ) L1M1_PR_MR - NEW met1 ( 38870 11390 ) RECT ( -355 -70 0 70 ) ; - - pll_control.count1\[2\] ( _376_ Q ) ( _199_ A2 ) ( _197_ A2_N ) ( _192_ B ) ( _184_ A1 ) + USE SIGNAL - + ROUTED met1 ( 20470 9690 ) ( 21390 * ) - NEW met2 ( 21390 9690 ) ( * 11390 ) - NEW met2 ( 20930 6630 ) ( * 7140 ) - NEW met2 ( 20470 7140 ) ( 20930 * ) - NEW met2 ( 20470 7140 ) ( * 9180 ) - NEW met2 ( 20470 9180 ) ( 21390 * ) - NEW met2 ( 21390 9180 ) ( * 9690 ) - NEW met1 ( 17250 6290 ) ( * 6630 ) - NEW met1 ( 17250 6290 ) ( 20930 * ) - NEW met1 ( 20930 6290 ) ( * 6630 ) - NEW met1 ( 16790 8670 ) ( 20470 * ) - NEW li1 ( 20470 9690 ) L1M1_PR_MR - NEW met1 ( 21390 9690 ) M1M2_PR - NEW li1 ( 21390 11390 ) L1M1_PR_MR - NEW met1 ( 21390 11390 ) M1M2_PR - NEW li1 ( 20930 6630 ) L1M1_PR_MR - NEW met1 ( 20930 6630 ) M1M2_PR - NEW li1 ( 17250 6630 ) L1M1_PR_MR - NEW li1 ( 16790 8670 ) L1M1_PR_MR - NEW met1 ( 20470 8670 ) M1M2_PR - NEW met1 ( 21390 11390 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 20930 6630 ) RECT ( 0 -70 355 70 ) - NEW met2 ( 20470 8670 ) RECT ( -70 -485 70 0 ) ; - - pll_control.count1\[3\] ( _377_ Q ) ( _213_ A2_N ) ( _191_ A2 ) ( _190_ B ) ( _183_ A1 ) + USE SIGNAL - + ROUTED met2 ( 20010 20910 ) ( * 22270 ) - NEW met1 ( 20010 22270 ) ( 21390 * ) - NEW met1 ( 19550 18530 ) ( 20010 * ) - NEW met2 ( 20010 18530 ) ( * 20910 ) - NEW met1 ( 16330 20230 ) ( * 20570 ) - NEW met1 ( 16330 20230 ) ( 20010 * ) - NEW met1 ( 16330 17510 ) ( * 18530 ) - NEW met1 ( 16330 18530 ) ( 19550 * ) - NEW li1 ( 20010 20910 ) L1M1_PR_MR - NEW met1 ( 20010 20910 ) M1M2_PR - NEW met1 ( 20010 22270 ) M1M2_PR - NEW li1 ( 21390 22270 ) L1M1_PR_MR - NEW li1 ( 19550 18530 ) L1M1_PR_MR - NEW met1 ( 20010 18530 ) M1M2_PR - NEW li1 ( 16330 20570 ) L1M1_PR_MR - NEW met1 ( 20010 20230 ) M1M2_PR - NEW li1 ( 16330 17510 ) L1M1_PR_MR - NEW met1 ( 20010 20910 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 20010 20230 ) RECT ( -70 -485 70 0 ) ; - - pll_control.count1\[4\] ( _378_ Q ) ( _212_ A2 ) ( _180_ A1 ) ( _165_ A ) + USE SIGNAL - + ROUTED met2 ( 18630 26180 ) ( * 26350 ) - NEW met3 ( 13570 26180 ) ( 18630 * ) - NEW met2 ( 13570 26010 ) ( * 26180 ) - NEW met1 ( 13570 26010 ) ( 14465 * ) - NEW met1 ( 17250 30430 ) ( 18630 * ) - NEW met2 ( 18630 26350 ) ( * 30430 ) - NEW met1 ( 16790 33830 ) ( 18630 * ) - NEW met2 ( 18630 30430 ) ( * 33830 ) - NEW li1 ( 18630 26350 ) L1M1_PR_MR - NEW met1 ( 18630 26350 ) M1M2_PR - NEW met2 ( 18630 26180 ) M2M3_PR_M - NEW met2 ( 13570 26180 ) M2M3_PR_M - NEW met1 ( 13570 26010 ) M1M2_PR - NEW li1 ( 14465 26010 ) L1M1_PR_MR - NEW li1 ( 17250 30430 ) L1M1_PR_MR - NEW met1 ( 18630 30430 ) M1M2_PR - NEW li1 ( 16790 33830 ) L1M1_PR_MR - NEW met1 ( 18630 33830 ) M1M2_PR - NEW met1 ( 18630 26350 ) RECT ( 0 -70 355 70 ) ; - - pll_control.oscbuf\[0\] ( _357_ D ) ( _356_ Q ) + USE SIGNAL - + ROUTED met2 ( 60030 6970 ) ( * 8670 ) - NEW met1 ( 60030 8670 ) ( 66470 * ) - NEW li1 ( 66470 8670 ) L1M1_PR_MR - NEW met1 ( 60030 8670 ) M1M2_PR - NEW li1 ( 60030 6970 ) L1M1_PR_MR - NEW met1 ( 60030 6970 ) M1M2_PR - NEW met1 ( 60030 6970 ) RECT ( -355 -70 0 70 ) ; - - pll_control.oscbuf\[1\] ( _358_ D ) ( _357_ Q ) ( _178_ B1 ) ( _178_ A1_N ) + USE SIGNAL - + ROUTED met1 ( 66930 11730 ) ( 67390 * ) - NEW met2 ( 67390 7650 ) ( * 11730 ) - NEW met1 ( 64530 12070 ) ( 64630 * ) - NEW met1 ( 64630 11730 ) ( * 12070 ) - NEW met1 ( 64630 11730 ) ( 66930 * ) - NEW met1 ( 63710 12070 ) ( 64530 * ) - NEW met2 ( 63710 12070 ) ( * 14790 ) - NEW met1 ( 60030 14790 ) ( 63710 * ) - NEW li1 ( 66930 11730 ) L1M1_PR_MR - NEW met1 ( 67390 11730 ) M1M2_PR - NEW li1 ( 67390 7650 ) L1M1_PR_MR - NEW met1 ( 67390 7650 ) M1M2_PR - NEW li1 ( 64530 12070 ) L1M1_PR_MR - NEW met1 ( 63710 12070 ) M1M2_PR - NEW met1 ( 63710 14790 ) M1M2_PR - NEW li1 ( 60030 14790 ) L1M1_PR_MR - NEW met1 ( 67390 7650 ) RECT ( -355 -70 0 70 ) ; - - pll_control.oscbuf\[2\] ( _358_ Q ) ( _178_ B2 ) ( _178_ A2_N ) + USE SIGNAL - + ROUTED met1 ( 66470 12410 ) ( 67390 * ) - NEW met1 ( 65090 12070 ) ( * 12410 ) - NEW met1 ( 65090 12410 ) ( 66470 * ) - NEW met2 ( 67390 12410 ) ( * 14110 ) - NEW li1 ( 66470 12410 ) L1M1_PR_MR - NEW met1 ( 67390 12410 ) M1M2_PR - NEW li1 ( 65090 12070 ) L1M1_PR_MR - NEW li1 ( 67390 14110 ) L1M1_PR_MR - NEW met1 ( 67390 14110 ) M1M2_PR - NEW met1 ( 67390 14110 ) RECT ( -355 -70 0 70 ) ; - - pll_control.prep\[0\] ( _371_ Q ) ( _226_ D ) ( _189_ A ) ( _188_ B1 ) + USE SIGNAL - + ROUTED met1 ( 50830 9350 ) ( 54050 * ) - NEW met1 ( 54050 9350 ) ( * 9690 ) - NEW met1 ( 45770 5950 ) ( * 6290 ) - NEW met1 ( 45770 5950 ) ( 50830 * ) - NEW met2 ( 50830 5950 ) ( * 9350 ) - NEW met1 ( 54050 15130 ) ( 54510 * ) - NEW met2 ( 54050 9690 ) ( * 15130 ) - NEW li1 ( 54050 9690 ) L1M1_PR_MR - NEW met1 ( 54050 9690 ) M1M2_PR - NEW li1 ( 50830 9350 ) L1M1_PR_MR - NEW li1 ( 45770 6290 ) L1M1_PR_MR - NEW met1 ( 50830 5950 ) M1M2_PR - NEW met1 ( 50830 9350 ) M1M2_PR - NEW met1 ( 54050 15130 ) M1M2_PR - NEW li1 ( 54510 15130 ) L1M1_PR_MR - NEW met1 ( 54050 9690 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 50830 9350 ) RECT ( -595 -70 0 70 ) ; - - pll_control.prep\[1\] ( _372_ Q ) ( _226_ A ) ( _188_ A1 ) ( _187_ A1 ) + USE SIGNAL - + ROUTED met1 ( 55890 11390 ) ( 58650 * ) - NEW met2 ( 55890 10030 ) ( * 11390 ) - NEW met1 ( 53590 10030 ) ( 55890 * ) - NEW met1 ( 50830 14790 ) ( 56350 * ) - NEW met1 ( 50830 14790 ) ( * 15130 ) - NEW met2 ( 55890 11390 ) ( * 14790 ) - NEW li1 ( 58650 11390 ) L1M1_PR_MR - NEW met1 ( 55890 11390 ) M1M2_PR - NEW met1 ( 55890 10030 ) M1M2_PR - NEW li1 ( 53590 10030 ) L1M1_PR_MR - NEW li1 ( 56350 14790 ) L1M1_PR_MR - NEW li1 ( 50830 15130 ) L1M1_PR_MR - NEW met1 ( 55890 14790 ) M1M2_PR - NEW met1 ( 55890 14790 ) RECT ( -595 -70 0 70 ) ; - - pll_control.prep\[2\] ( _373_ Q ) ( _226_ C ) ( _187_ B1 ) + USE SIGNAL - + ROUTED met1 ( 54970 15810 ) ( 60030 * ) - NEW met2 ( 60030 15810 ) ( * 16830 ) - NEW met1 ( 50370 15470 ) ( 54970 * ) - NEW met1 ( 54970 15470 ) ( * 15810 ) - NEW li1 ( 54970 15810 ) L1M1_PR_MR - NEW met1 ( 60030 15810 ) M1M2_PR - NEW li1 ( 60030 16830 ) L1M1_PR_MR - NEW met1 ( 60030 16830 ) M1M2_PR - NEW li1 ( 50370 15470 ) L1M1_PR_MR - NEW met1 ( 60030 16830 ) RECT ( -355 -70 0 70 ) ; - - pll_control.tint\[0\] ( _366_ Q ) ( _300_ A2 ) ( _263_ B1 ) ( _259_ A0 ) ( _240_ A1 ) ( _227_ B ) ( _174_ A ) + USE SIGNAL - + ROUTED met1 ( 54510 37570 ) ( 54970 * ) - NEW met1 ( 54510 36890 ) ( 57730 * ) - NEW met2 ( 54510 36890 ) ( * 37060 ) - NEW met2 ( 54510 37060 ) ( 54970 * ) - NEW met2 ( 54970 37060 ) ( * 37570 ) - NEW met1 ( 51750 33830 ) ( * 34170 ) - NEW met1 ( 51750 34170 ) ( 54510 * ) - NEW met2 ( 54510 34170 ) ( * 36890 ) - NEW met1 ( 51650 31450 ) ( 51750 * ) - NEW met2 ( 51750 31450 ) ( * 33830 ) - NEW met1 ( 52210 29410 ) ( 57730 * ) - NEW met2 ( 52210 29410 ) ( * 29580 ) - NEW met2 ( 51750 29580 ) ( 52210 * ) - NEW met2 ( 51750 29580 ) ( * 31450 ) - NEW met1 ( 54975 44370 ) ( 55430 * ) - NEW met1 ( 55430 44030 ) ( * 44370 ) - NEW met2 ( 54970 44030 ) ( 55430 * ) - NEW met2 ( 54970 44030 ) ( * 50830 ) - NEW met1 ( 54510 50830 ) ( 54970 * ) - NEW met2 ( 54970 37570 ) ( * 44030 ) - NEW li1 ( 54510 37570 ) L1M1_PR_MR - NEW met1 ( 54970 37570 ) M1M2_PR - NEW li1 ( 57730 36890 ) L1M1_PR_MR - NEW met1 ( 54510 36890 ) M1M2_PR - NEW li1 ( 51750 33830 ) L1M1_PR_MR - NEW met1 ( 54510 34170 ) M1M2_PR - NEW li1 ( 51650 31450 ) L1M1_PR_MR - NEW met1 ( 51750 31450 ) M1M2_PR - NEW met1 ( 51750 33830 ) M1M2_PR - NEW li1 ( 57730 29410 ) L1M1_PR_MR - NEW met1 ( 52210 29410 ) M1M2_PR - NEW li1 ( 54975 44370 ) L1M1_PR_MR - NEW met1 ( 55430 44030 ) M1M2_PR - NEW met1 ( 54970 50830 ) M1M2_PR - NEW li1 ( 54510 50830 ) L1M1_PR_MR - NEW met1 ( 51750 33830 ) RECT ( 0 -70 595 70 ) ; - - pll_control.tint\[1\] ( _367_ Q ) ( _303_ A3 ) ( _296_ A1 ) ( _293_ A1 ) ( _291_ A3 ) ( _286_ A4 ) ( _282_ A3 ) - ( _279_ A ) ( _261_ B1 ) ( _261_ A1_N ) ( _227_ A ) ( _173_ A ) + USE SIGNAL - + ROUTED met1 ( 61260 33830 ) ( 61870 * ) - NEW met2 ( 61870 32130 ) ( * 33830 ) - NEW met1 ( 58650 33830 ) ( 61260 * ) - NEW met1 ( 55890 44370 ) ( 57730 * ) - NEW met2 ( 57730 33830 ) ( * 44370 ) - NEW met1 ( 57730 33830 ) ( 58650 * ) - NEW met1 ( 54510 47430 ) ( * 47770 ) - NEW met1 ( 54510 47430 ) ( 57730 * ) - NEW met1 ( 57730 47090 ) ( * 47430 ) - NEW met2 ( 57730 44370 ) ( * 47090 ) - NEW met1 ( 54510 52870 ) ( * 53210 ) - NEW met1 ( 54510 52870 ) ( 55890 * ) - NEW met2 ( 55890 47770 ) ( * 52870 ) - NEW met1 ( 55890 47430 ) ( * 47770 ) - NEW met2 ( 50830 53210 ) ( * 53890 ) - NEW met1 ( 50830 53890 ) ( 54510 * ) - NEW met2 ( 54510 53210 ) ( * 53890 ) - NEW met2 ( 50830 47090 ) ( * 53210 ) - NEW met1 ( 30590 50490 ) ( 40710 * ) - NEW met1 ( 40710 49470 ) ( * 50490 ) - NEW met1 ( 40710 49470 ) ( 50830 * ) - NEW met2 ( 32890 50490 ) ( * 53210 ) - NEW met1 ( 30130 52870 ) ( 32890 * ) - NEW met1 ( 32890 52870 ) ( * 53210 ) - NEW met1 ( 61870 32130 ) ( 67390 * ) - NEW met2 ( 38410 41990 ) ( 38870 * ) - NEW met1 ( 38870 41990 ) ( 39330 * ) - NEW met1 ( 39330 41990 ) ( * 42330 ) - NEW met2 ( 38410 41990 ) ( * 50490 ) - NEW met1 ( 47150 47090 ) ( 50830 * ) - NEW li1 ( 67390 32130 ) L1M1_PR_MR - NEW li1 ( 61260 33830 ) L1M1_PR_MR - NEW met1 ( 61870 33830 ) M1M2_PR - NEW met1 ( 61870 32130 ) M1M2_PR - NEW li1 ( 58650 33830 ) L1M1_PR_MR - NEW li1 ( 55890 44370 ) L1M1_PR_MR - NEW met1 ( 57730 44370 ) M1M2_PR - NEW met1 ( 57730 33830 ) M1M2_PR - NEW li1 ( 54510 47770 ) L1M1_PR_MR - NEW met1 ( 57730 47090 ) M1M2_PR - NEW li1 ( 54510 53210 ) L1M1_PR_MR - NEW met1 ( 55890 52870 ) M1M2_PR - NEW met1 ( 55890 47770 ) M1M2_PR - NEW li1 ( 50830 53210 ) L1M1_PR_MR - NEW met1 ( 50830 53210 ) M1M2_PR - NEW met1 ( 50830 53890 ) M1M2_PR - NEW met1 ( 54510 53890 ) M1M2_PR - NEW met1 ( 54510 53210 ) M1M2_PR - NEW met1 ( 50830 47090 ) M1M2_PR - NEW li1 ( 30590 50490 ) L1M1_PR_MR - NEW met1 ( 50830 49470 ) M1M2_PR - NEW li1 ( 32890 53210 ) L1M1_PR_MR - NEW met1 ( 32890 53210 ) M1M2_PR - NEW met1 ( 32890 50490 ) M1M2_PR - NEW li1 ( 30130 52870 ) L1M1_PR_MR - NEW met1 ( 38410 50490 ) M1M2_PR - NEW li1 ( 47150 47090 ) L1M1_PR_MR - NEW met1 ( 38870 41990 ) M1M2_PR - NEW li1 ( 39330 42330 ) L1M1_PR_MR - NEW met1 ( 50830 53210 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 54510 53210 ) RECT ( 0 -70 595 70 ) - NEW met2 ( 50830 49470 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 32890 53210 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 32890 50490 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 38410 50490 ) RECT ( -595 -70 0 70 ) ; - - pll_control.tint\[2\] ( _368_ Q ) ( _303_ A2 ) ( _297_ B ) ( _291_ A2 ) ( _290_ A2 ) ( _289_ A2 ) ( _287_ A2 ) - ( _286_ A2 ) ( _285_ A2 ) ( _257_ B1 ) ( _242_ A1 ) ( _229_ B ) ( _172_ A ) + USE SIGNAL - + ROUTED met1 ( 31510 50830 ) ( 37490 * ) - NEW met2 ( 37490 50150 ) ( * 50830 ) - NEW met2 ( 37490 48300 ) ( * 50150 ) - NEW met1 ( 36110 36550 ) ( 39230 * ) - NEW met2 ( 36110 34850 ) ( * 36550 ) - NEW met1 ( 32890 34850 ) ( 36110 * ) - NEW met1 ( 39790 42330 ) ( 41630 * ) - NEW met2 ( 39790 36550 ) ( * 42330 ) - NEW met1 ( 39230 36550 ) ( 39790 * ) - NEW met1 ( 39790 42330 ) ( * 43010 ) - NEW met2 ( 37950 43010 ) ( * 44030 ) - NEW met1 ( 37950 43010 ) ( 39790 * ) - NEW met1 ( 35190 46750 ) ( 37950 * ) - NEW met2 ( 37950 44030 ) ( * 46750 ) - NEW met1 ( 32910 45390 ) ( 35190 * ) - NEW met2 ( 35190 45390 ) ( * 46750 ) - NEW met1 ( 37950 46750 ) ( 41630 * ) - NEW met2 ( 37490 48300 ) ( 37950 * ) - NEW met2 ( 37950 46750 ) ( * 48300 ) - NEW met1 ( 41630 42330 ) ( 43930 * ) - NEW met1 ( 43470 37230 ) ( 44390 * ) - NEW met2 ( 44390 37230 ) ( * 42330 ) - NEW met1 ( 43930 42330 ) ( 44390 * ) - NEW met1 ( 46690 47090 ) ( * 47770 ) - NEW met1 ( 44390 47090 ) ( 46690 * ) - NEW met2 ( 44390 42330 ) ( * 47090 ) - NEW li1 ( 37490 50150 ) L1M1_PR_MR - NEW met1 ( 37490 50150 ) M1M2_PR - NEW li1 ( 31510 50830 ) L1M1_PR_MR - NEW met1 ( 37490 50830 ) M1M2_PR - NEW li1 ( 39230 36550 ) L1M1_PR_MR - NEW met1 ( 36110 36550 ) M1M2_PR - NEW met1 ( 36110 34850 ) M1M2_PR - NEW li1 ( 32890 34850 ) L1M1_PR_MR - NEW li1 ( 41630 42330 ) L1M1_PR_MR - NEW met1 ( 39790 42330 ) M1M2_PR - NEW met1 ( 39790 36550 ) M1M2_PR - NEW li1 ( 39790 43010 ) L1M1_PR_MR - NEW li1 ( 37950 44030 ) L1M1_PR_MR - NEW met1 ( 37950 44030 ) M1M2_PR - NEW met1 ( 37950 43010 ) M1M2_PR - NEW li1 ( 35190 46750 ) L1M1_PR_MR - NEW met1 ( 37950 46750 ) M1M2_PR - NEW li1 ( 32910 45390 ) L1M1_PR_MR - NEW met1 ( 35190 45390 ) M1M2_PR - NEW met1 ( 35190 46750 ) M1M2_PR - NEW li1 ( 41630 46750 ) L1M1_PR_MR - NEW li1 ( 43930 42330 ) L1M1_PR_MR - NEW li1 ( 43470 37230 ) L1M1_PR_MR - NEW met1 ( 44390 37230 ) M1M2_PR - NEW met1 ( 44390 42330 ) M1M2_PR - NEW li1 ( 46690 47770 ) L1M1_PR_MR - NEW met1 ( 44390 47090 ) M1M2_PR - NEW met1 ( 37490 50150 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 37950 44030 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 35190 46750 ) RECT ( -595 -70 0 70 ) ; - - pll_control.tint\[3\] ( _369_ Q ) ( _291_ A1 ) ( _290_ A1 ) ( _280_ A ) ( _243_ B1 ) ( _229_ A ) ( _171_ A ) + USE SIGNAL - + ROUTED met1 ( 40710 33830 ) ( 42090 * ) - NEW met2 ( 40710 32130 ) ( * 33830 ) - NEW met1 ( 41170 39280 ) ( * 39610 ) - NEW met1 ( 40250 39610 ) ( 41170 * ) - NEW met2 ( 40250 33830 ) ( * 39610 ) - NEW met2 ( 40250 33830 ) ( 40710 * ) - NEW met2 ( 40250 39610 ) ( * 43010 ) - NEW met1 ( 42550 42670 ) ( * 43010 ) - NEW met1 ( 40250 43010 ) ( 42550 * ) - NEW met1 ( 40250 44370 ) ( 40710 * ) - NEW met2 ( 40250 43010 ) ( * 44370 ) - NEW met1 ( 38410 44030 ) ( 40250 * ) - NEW met1 ( 40250 44030 ) ( * 44370 ) - NEW li1 ( 42090 33830 ) L1M1_PR_MR - NEW met1 ( 40710 33830 ) M1M2_PR - NEW li1 ( 40710 32130 ) L1M1_PR_MR - NEW met1 ( 40710 32130 ) M1M2_PR - NEW li1 ( 41170 39280 ) L1M1_PR_MR - NEW met1 ( 40250 39610 ) M1M2_PR - NEW li1 ( 40250 43010 ) L1M1_PR_MR - NEW met1 ( 40250 43010 ) M1M2_PR - NEW li1 ( 42550 42670 ) L1M1_PR_MR - NEW li1 ( 40710 44370 ) L1M1_PR_MR - NEW met1 ( 40250 44370 ) M1M2_PR - NEW li1 ( 38410 44030 ) L1M1_PR_MR - NEW met1 ( 40710 32130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 40250 43010 ) RECT ( 0 -70 355 70 ) ; - - pll_control.tint\[4\] ( _370_ Q ) ( _329_ S ) ( _326_ A ) ( _304_ B1 ) ( _302_ A ) ( _293_ B1 ) ( _291_ B1 ) - ( _290_ B1 ) ( _289_ A3 ) ( _287_ A3 ) ( _286_ A3 ) ( _285_ A3 ) ( _284_ A1 ) ( _283_ A1 ) ( _282_ A1 ) - ( _281_ A3 ) ( _278_ A ) ( _247_ A1 ) ( _232_ A ) ( _170_ A ) + USE SIGNAL - + ROUTED met2 ( 66930 29410 ) ( * 36890 ) - NEW met1 ( 66930 29410 ) ( 67390 * ) - NEW met1 ( 65550 38590 ) ( * 38930 ) - NEW met1 ( 65550 38930 ) ( 66930 * ) - NEW met2 ( 66930 36890 ) ( * 38930 ) - NEW met1 ( 52670 39270 ) ( 53590 * ) - NEW met1 ( 53590 38590 ) ( * 39270 ) - NEW met1 ( 53590 38590 ) ( 65550 * ) - NEW met2 ( 39330 28730 ) ( * 44370 ) - NEW met1 ( 39330 28730 ) ( 40710 * ) - NEW met2 ( 41170 42500 ) ( * 42670 ) - NEW met3 ( 39330 42500 ) ( 41170 * ) - NEW met2 ( 39330 44370 ) ( * 47770 ) - NEW met1 ( 34730 47770 ) ( * 48110 ) - NEW met1 ( 34730 48110 ) ( 36110 * ) - NEW met1 ( 36110 47770 ) ( * 48110 ) - NEW met1 ( 36110 47770 ) ( 39330 * ) - NEW met1 ( 33350 44370 ) ( * 44710 ) - NEW met1 ( 33350 44370 ) ( 39330 * ) - NEW met1 ( 31970 48110 ) ( 34730 * ) - NEW met1 ( 31050 50150 ) ( 31970 * ) - NEW met2 ( 29210 52190 ) ( * 53210 ) - NEW met1 ( 29210 52190 ) ( 31970 * ) - NEW met2 ( 31970 50150 ) ( * 52190 ) - NEW met1 ( 30085 55590 ) ( 30130 * ) - NEW met2 ( 30130 53210 ) ( * 55590 ) - NEW met2 ( 29210 53210 ) ( 30130 * ) - NEW met1 ( 31050 58650 ) ( 31970 * ) - NEW met2 ( 30130 58650 ) ( 31050 * ) - NEW met2 ( 30130 55590 ) ( * 58650 ) - NEW met1 ( 37030 51170 ) ( 39330 * ) - NEW met1 ( 36570 53210 ) ( * 53890 ) - NEW met1 ( 36570 53890 ) ( 39330 * ) - NEW met2 ( 39330 51170 ) ( * 53890 ) - NEW met2 ( 31970 48110 ) ( * 50150 ) - NEW met2 ( 39330 47770 ) ( * 51170 ) - NEW met1 ( 48990 42330 ) ( 49450 * ) - NEW met1 ( 49450 42330 ) ( * 42670 ) - NEW met2 ( 49450 42500 ) ( * 42670 ) - NEW met1 ( 50830 42330 ) ( 51290 * ) - NEW met2 ( 50830 42330 ) ( * 42500 ) - NEW met3 ( 49450 42500 ) ( 50830 * ) - NEW met3 ( 50830 42500 ) ( 53590 * ) - NEW met1 ( 52670 53210 ) ( 53130 * ) - NEW met2 ( 52670 49300 ) ( * 53210 ) - NEW met2 ( 52670 49300 ) ( 53130 * ) - NEW met2 ( 53130 45220 ) ( * 49300 ) - NEW met2 ( 53130 45220 ) ( 53590 * ) - NEW met2 ( 53590 42500 ) ( * 45220 ) - NEW met2 ( 52670 53210 ) ( * 58310 ) - NEW met1 ( 54050 44370 ) ( 54460 * ) - NEW met1 ( 54050 44030 ) ( * 44370 ) - NEW met1 ( 53130 44030 ) ( 54050 * ) - NEW met2 ( 53130 44030 ) ( * 45220 ) - NEW met3 ( 41170 42500 ) ( 49450 * ) - NEW met2 ( 53590 39270 ) ( * 42500 ) - NEW li1 ( 66930 36890 ) L1M1_PR_MR - NEW met1 ( 66930 36890 ) M1M2_PR - NEW met1 ( 66930 29410 ) M1M2_PR - NEW li1 ( 67390 29410 ) L1M1_PR_MR - NEW met1 ( 66930 38930 ) M1M2_PR - NEW li1 ( 52670 39270 ) L1M1_PR_MR - NEW met1 ( 53590 39270 ) M1M2_PR - NEW li1 ( 39330 44370 ) L1M1_PR_MR - NEW met1 ( 39330 44370 ) M1M2_PR - NEW met1 ( 39330 28730 ) M1M2_PR - NEW li1 ( 40710 28730 ) L1M1_PR_MR - NEW li1 ( 41170 42670 ) L1M1_PR_MR - NEW met1 ( 41170 42670 ) M1M2_PR - NEW met2 ( 41170 42500 ) M2M3_PR_M - NEW met2 ( 39330 42500 ) M2M3_PR_M - NEW li1 ( 39330 47770 ) L1M1_PR_MR - NEW met1 ( 39330 47770 ) M1M2_PR - NEW li1 ( 34730 47770 ) L1M1_PR_MR - NEW li1 ( 33350 44710 ) L1M1_PR_MR - NEW met1 ( 31970 48110 ) M1M2_PR - NEW li1 ( 31050 50150 ) L1M1_PR_MR - NEW met1 ( 31970 50150 ) M1M2_PR - NEW li1 ( 29210 53210 ) L1M1_PR_MR - NEW met1 ( 29210 53210 ) M1M2_PR - NEW met1 ( 29210 52190 ) M1M2_PR - NEW met1 ( 31970 52190 ) M1M2_PR - NEW li1 ( 30085 55590 ) L1M1_PR_MR - NEW met1 ( 30130 55590 ) M1M2_PR - NEW li1 ( 31970 58650 ) L1M1_PR_MR - NEW met1 ( 31050 58650 ) M1M2_PR - NEW li1 ( 37030 51170 ) L1M1_PR_MR - NEW met1 ( 39330 51170 ) M1M2_PR - NEW li1 ( 36570 53210 ) L1M1_PR_MR - NEW met1 ( 39330 53890 ) M1M2_PR - NEW li1 ( 48990 42330 ) L1M1_PR_MR - NEW met1 ( 49450 42670 ) M1M2_PR - NEW met2 ( 49450 42500 ) M2M3_PR_M - NEW li1 ( 51290 42330 ) L1M1_PR_MR - NEW met1 ( 50830 42330 ) M1M2_PR - NEW met2 ( 50830 42500 ) M2M3_PR_M - NEW met2 ( 53590 42500 ) M2M3_PR_M - NEW li1 ( 53130 53210 ) L1M1_PR_MR - NEW met1 ( 52670 53210 ) M1M2_PR - NEW li1 ( 52670 58310 ) L1M1_PR_MR - NEW met1 ( 52670 58310 ) M1M2_PR - NEW li1 ( 54460 44370 ) L1M1_PR_MR - NEW met1 ( 53130 44030 ) M1M2_PR - NEW met1 ( 66930 36890 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 53590 39270 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 39330 44370 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 41170 42670 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 39330 42500 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 39330 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 29210 53210 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 30085 55590 ) RECT ( -310 -70 0 70 ) - NEW met1 ( 52670 58310 ) RECT ( -355 -70 0 70 ) ; - - pll_control.tval\[0\] ( _364_ Q ) ( _266_ A1 ) ( _233_ B ) ( _176_ A ) + USE SIGNAL - + ROUTED met1 ( 47610 21250 ) ( 48070 * ) - NEW met2 ( 47610 21250 ) ( * 22950 ) - NEW met1 ( 47610 18530 ) ( 48530 * ) - NEW met2 ( 47610 18530 ) ( * 21250 ) - NEW met1 ( 48070 17850 ) ( * 18530 ) - NEW li1 ( 48070 21250 ) L1M1_PR_MR - NEW met1 ( 47610 21250 ) M1M2_PR - NEW li1 ( 47610 22950 ) L1M1_PR_MR - NEW met1 ( 47610 22950 ) M1M2_PR - NEW li1 ( 48530 18530 ) L1M1_PR_MR - NEW met1 ( 47610 18530 ) M1M2_PR - NEW li1 ( 48070 17850 ) L1M1_PR_MR - NEW met1 ( 47610 22950 ) RECT ( -355 -70 0 70 ) ; - - pll_control.tval\[1\] ( _365_ Q ) ( _237_ A1 ) ( _233_ A ) ( _175_ A ) + USE SIGNAL - + ROUTED met2 ( 54970 17850 ) ( * 20570 ) - NEW met1 ( 48990 17850 ) ( 54970 * ) - NEW met1 ( 58190 19890 ) ( * 20230 ) - NEW met1 ( 54970 19890 ) ( 58190 * ) - NEW met1 ( 58650 26010 ) ( * 26350 ) - NEW met1 ( 54510 26350 ) ( 58650 * ) - NEW met2 ( 54510 26180 ) ( * 26350 ) - NEW met2 ( 54510 26180 ) ( 54970 * ) - NEW met2 ( 54970 20570 ) ( * 26180 ) - NEW met1 ( 58190 20230 ) ( 66470 * ) - NEW li1 ( 66470 20230 ) L1M1_PR_MR - NEW li1 ( 54970 20570 ) L1M1_PR_MR - NEW met1 ( 54970 20570 ) M1M2_PR - NEW met1 ( 54970 17850 ) M1M2_PR - NEW li1 ( 48990 17850 ) L1M1_PR_MR - NEW met1 ( 54970 19890 ) M1M2_PR - NEW li1 ( 58650 26010 ) L1M1_PR_MR - NEW met1 ( 54510 26350 ) M1M2_PR - NEW met1 ( 54970 20570 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 54970 19890 ) RECT ( -70 -485 70 0 ) ; - - resetb ( PIN resetb ) ( ANTENNA__181__B DIODE ) ( _181_ B ) + USE SIGNAL - + ROUTED met1 ( 17710 33150 ) ( 18170 * ) - NEW met2 ( 18170 25500 ) ( * 33150 ) - NEW met2 ( 18170 25500 ) ( 18630 * ) - NEW met1 ( 19090 33490 ) ( * 33830 ) - NEW met1 ( 18170 33490 ) ( 19090 * ) - NEW met1 ( 18170 33150 ) ( * 33490 ) - NEW met2 ( 18630 3740 0 ) ( * 25500 ) - NEW li1 ( 17710 33150 ) L1M1_PR_MR - NEW met1 ( 18170 33150 ) M1M2_PR - NEW li1 ( 19090 33830 ) L1M1_PR_MR ; - - ringosc.c\[0\] ( ringosc.ibufp01 A ) ( ringosc.ibufp00 Y ) + USE SIGNAL - + ROUTED met1 ( 22310 35870 ) ( 23230 * ) - NEW met2 ( 23230 31450 ) ( * 35870 ) - NEW li1 ( 22310 35870 ) L1M1_PR_MR - NEW met1 ( 23230 35870 ) M1M2_PR - NEW li1 ( 23230 31450 ) L1M1_PR_MR - NEW met1 ( 23230 31450 ) M1M2_PR - NEW met1 ( 23230 31450 ) RECT ( -355 -70 0 70 ) ; - - ringosc.c\[1\] ( ringosc.ibufp11 A ) ( ringosc.ibufp10 Y ) + USE SIGNAL - + ROUTED met1 ( 12190 36890 ) ( 13110 * ) - NEW li1 ( 12190 36890 ) L1M1_PR_MR - NEW li1 ( 13110 36890 ) L1M1_PR_MR ; - - ringosc.dstage\[0\].id.d0 ( ringosc.dstage\[0\].id.delayen1 A ) ( ringosc.dstage\[0\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met1 ( 14950 39610 ) ( 17250 * ) - NEW met2 ( 17250 39610 ) ( * 44030 ) - NEW li1 ( 14950 39610 ) L1M1_PR_MR - NEW met1 ( 17250 39610 ) M1M2_PR - NEW li1 ( 17250 44030 ) L1M1_PR_MR - NEW met1 ( 17250 44030 ) M1M2_PR - NEW met1 ( 17250 44030 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[0\].id.d1 ( ringosc.dstage\[0\].id.delayint0 A ) ( ringosc.dstage\[0\].id.delayenb1 Z ) ( ringosc.dstage\[0\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 15410 39950 ) ( 16790 * ) - NEW met2 ( 16790 39950 ) ( * 42330 ) - NEW met1 ( 16790 42330 ) ( 17250 * ) - NEW met1 ( 15870 37570 ) ( 16790 * ) - NEW met2 ( 16790 37570 ) ( * 39950 ) - NEW li1 ( 15410 39950 ) L1M1_PR_MR - NEW met1 ( 16790 39950 ) M1M2_PR - NEW met1 ( 16790 42330 ) M1M2_PR - NEW li1 ( 17250 42330 ) L1M1_PR_MR - NEW li1 ( 15870 37570 ) L1M1_PR_MR - NEW met1 ( 16790 37570 ) M1M2_PR ; - - ringosc.dstage\[0\].id.d2 ( ringosc.dstage\[0\].id.delayint0 Y ) ( ringosc.dstage\[0\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 14030 37570 ) ( 15410 * ) - NEW met2 ( 14030 37570 ) ( * 38930 ) - NEW li1 ( 15410 37570 ) L1M1_PR_MR - NEW met1 ( 14030 37570 ) M1M2_PR - NEW li1 ( 14030 38930 ) L1M1_PR_MR - NEW met1 ( 14030 38930 ) M1M2_PR - NEW met1 ( 14030 38930 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[0\].id.in ( ringosc.iss.reseten0 Z ) ( ringosc.iss.delayenb0 Z ) ( ringosc.iss.delayen0 Z ) ( ringosc.ibufp00 A ) ( ringosc.dstage\[0\].id.delaybuf0 A ) + USE SIGNAL - + ROUTED met2 ( 26910 39950 ) ( * 41650 ) - NEW met1 ( 26910 41650 ) ( 28750 * ) - NEW met1 ( 23230 44370 ) ( 26910 * ) - NEW met2 ( 26910 41650 ) ( * 44370 ) - NEW met1 ( 20930 38590 ) ( 26910 * ) - NEW met2 ( 26910 38590 ) ( * 39950 ) - NEW met2 ( 22310 36890 ) ( * 38590 ) - NEW li1 ( 26910 39950 ) L1M1_PR_MR - NEW met1 ( 26910 39950 ) M1M2_PR - NEW met1 ( 26910 41650 ) M1M2_PR - NEW li1 ( 28750 41650 ) L1M1_PR_MR - NEW li1 ( 23230 44370 ) L1M1_PR_MR - NEW met1 ( 26910 44370 ) M1M2_PR - NEW li1 ( 20930 38590 ) L1M1_PR_MR - NEW met1 ( 26910 38590 ) M1M2_PR - NEW li1 ( 22310 36890 ) L1M1_PR_MR - NEW met1 ( 22310 36890 ) M1M2_PR - NEW met1 ( 22310 38590 ) M1M2_PR - NEW met1 ( 26910 39950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 22310 36890 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 22310 38590 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[0\].id.out ( ringosc.dstage\[1\].id.delaybuf0 A ) ( ringosc.dstage\[0\].id.delayenb0 Z ) ( ringosc.dstage\[0\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met2 ( 15870 42670 ) ( * 44370 ) - NEW met2 ( 15870 39270 ) ( * 42670 ) - NEW met1 ( 13570 39270 ) ( 15870 * ) - NEW li1 ( 13570 39270 ) L1M1_PR_MR - NEW li1 ( 15870 42670 ) L1M1_PR_MR - NEW met1 ( 15870 42670 ) M1M2_PR - NEW li1 ( 15870 44370 ) L1M1_PR_MR - NEW met1 ( 15870 44370 ) M1M2_PR - NEW met1 ( 15870 39270 ) M1M2_PR - NEW met1 ( 15870 42670 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 15870 44370 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[0\].id.trim\[0\] ( ringosc.dstage\[0\].id.delayenb0 TE_B ) ( ringosc.dstage\[0\].id.delayen0 TE ) ( _352_ X ) + USE SIGNAL - + ROUTED met1 ( 8050 40290 ) ( 10810 * ) - NEW met2 ( 8050 40290 ) ( * 42330 ) - NEW met1 ( 10810 39610 ) ( 11270 * ) - NEW met1 ( 10810 39610 ) ( * 40290 ) - NEW li1 ( 10810 40290 ) L1M1_PR_MR - NEW met1 ( 8050 40290 ) M1M2_PR - NEW li1 ( 8050 42330 ) L1M1_PR_MR - NEW met1 ( 8050 42330 ) M1M2_PR - NEW li1 ( 11270 39610 ) L1M1_PR_MR - NEW met1 ( 8050 42330 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[0\].id.trim\[1\] ( ringosc.dstage\[0\].id.delayenb1 TE_B ) ( ringosc.dstage\[0\].id.delayen1 TE ) ( _353_ X ) + USE SIGNAL - + ROUTED met1 ( 20990 42330 ) ( 21850 * ) - NEW met1 ( 21850 41990 ) ( * 42330 ) - NEW met1 ( 21850 41990 ) ( 34270 * ) - NEW met1 ( 34270 41650 ) ( * 41990 ) - NEW met2 ( 17710 39610 ) ( * 42330 ) - NEW met1 ( 17710 42330 ) ( 20990 * ) - NEW li1 ( 20990 42330 ) L1M1_PR_MR - NEW li1 ( 34270 41650 ) L1M1_PR_MR - NEW li1 ( 17710 39610 ) L1M1_PR_MR - NEW met1 ( 17710 39610 ) M1M2_PR - NEW met1 ( 17710 42330 ) M1M2_PR - NEW met1 ( 17710 39610 ) RECT ( 0 -70 355 70 ) ; - - ringosc.dstage\[0\].id.ts ( ringosc.dstage\[0\].id.delayenb1 A ) ( ringosc.dstage\[0\].id.delayenb0 A ) ( ringosc.dstage\[0\].id.delaybuf1 A ) ( ringosc.dstage\[0\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met1 ( 18170 44710 ) ( 22310 * ) - NEW met1 ( 16330 42670 ) ( 18170 * ) - NEW met2 ( 18170 42670 ) ( * 44710 ) - NEW met1 ( 15410 42330 ) ( 16330 * ) - NEW met1 ( 16330 42330 ) ( * 42670 ) - NEW li1 ( 18170 44710 ) L1M1_PR_MR - NEW li1 ( 22310 44710 ) L1M1_PR_MR - NEW li1 ( 16330 42670 ) L1M1_PR_MR - NEW met1 ( 18170 42670 ) M1M2_PR - NEW met1 ( 18170 44710 ) M1M2_PR - NEW li1 ( 15410 42330 ) L1M1_PR_MR - NEW met1 ( 18170 44710 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[10\].id.d0 ( ringosc.dstage\[10\].id.delayen1 A ) ( ringosc.dstage\[10\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met2 ( 66930 39610 ) ( * 41310 ) - NEW li1 ( 66930 39610 ) L1M1_PR_MR - NEW met1 ( 66930 39610 ) M1M2_PR - NEW li1 ( 66930 41310 ) L1M1_PR_MR - NEW met1 ( 66930 41310 ) M1M2_PR - NEW met1 ( 66930 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 66930 41310 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[10\].id.d1 ( ringosc.dstage\[10\].id.delayint0 A ) ( ringosc.dstage\[10\].id.delayenb1 Z ) ( ringosc.dstage\[10\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met2 ( 66930 44710 ) ( * 47770 ) - NEW met2 ( 66470 39950 ) ( * 43860 ) - NEW met2 ( 66470 43860 ) ( 66930 * ) - NEW met2 ( 66930 43860 ) ( * 44710 ) - NEW li1 ( 66930 44710 ) L1M1_PR_MR - NEW met1 ( 66930 44710 ) M1M2_PR - NEW li1 ( 66930 47770 ) L1M1_PR_MR - NEW met1 ( 66930 47770 ) M1M2_PR - NEW li1 ( 66470 39950 ) L1M1_PR_MR - NEW met1 ( 66470 39950 ) M1M2_PR - NEW met1 ( 66930 44710 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 66930 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 66470 39950 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[10\].id.d2 ( ringosc.dstage\[10\].id.delayint0 Y ) ( ringosc.dstage\[10\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 62790 45050 ) ( 66470 * ) - NEW met2 ( 66470 45050 ) ( * 46750 ) - NEW li1 ( 62790 45050 ) L1M1_PR_MR - NEW met1 ( 66470 45050 ) M1M2_PR - NEW li1 ( 66470 46750 ) L1M1_PR_MR - NEW met1 ( 66470 46750 ) M1M2_PR - NEW met1 ( 66470 46750 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[10\].id.in ( ringosc.dstage\[9\].id.delayenb0 Z ) ( ringosc.dstage\[9\].id.delayen0 Z ) ( ringosc.dstage\[10\].id.delaybuf0 A ) + USE SIGNAL - + ROUTED met2 ( 64170 53210 ) ( * 56270 ) - NEW met1 ( 62330 49810 ) ( 64170 * ) - NEW met2 ( 64170 49810 ) ( * 53210 ) - NEW li1 ( 64170 53210 ) L1M1_PR_MR - NEW met1 ( 64170 53210 ) M1M2_PR - NEW li1 ( 64170 56270 ) L1M1_PR_MR - NEW met1 ( 64170 56270 ) M1M2_PR - NEW li1 ( 62330 49810 ) L1M1_PR_MR - NEW met1 ( 64170 49810 ) M1M2_PR - NEW met1 ( 64170 53210 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 64170 56270 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[10\].id.out ( ringosc.dstage\[11\].id.delaybuf0 A ) ( ringosc.dstage\[10\].id.delayenb0 Z ) ( ringosc.dstage\[10\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met1 ( 62330 45050 ) ( * 45390 ) - NEW met1 ( 62330 45390 ) ( 64170 * ) - NEW met2 ( 64170 45390 ) ( * 47090 ) - NEW met1 ( 58650 44710 ) ( * 45050 ) - NEW met1 ( 58650 45050 ) ( 62330 * ) - NEW li1 ( 62330 45050 ) L1M1_PR_MR - NEW met1 ( 64170 45390 ) M1M2_PR - NEW li1 ( 64170 47090 ) L1M1_PR_MR - NEW met1 ( 64170 47090 ) M1M2_PR - NEW li1 ( 58650 44710 ) L1M1_PR_MR - NEW met1 ( 64170 47090 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[10\].id.trim\[0\] ( ringosc.dstage\[10\].id.delayenb0 TE_B ) ( ringosc.dstage\[10\].id.delayen0 TE ) ( _332_ X ) + USE SIGNAL - + ROUTED met2 ( 60030 44710 ) ( * 47770 ) - NEW met1 ( 57730 47770 ) ( 60030 * ) - NEW met2 ( 42090 52530 ) ( 42550 * ) - NEW met1 ( 41630 52530 ) ( 42090 * ) - NEW met2 ( 49450 52020 ) ( * 52530 ) - NEW met3 ( 49450 52020 ) ( 57730 * ) - NEW met1 ( 42550 52530 ) ( 49450 * ) - NEW met2 ( 57730 47770 ) ( * 52020 ) - NEW li1 ( 57730 47770 ) L1M1_PR_MR - NEW met1 ( 57730 47770 ) M1M2_PR - NEW li1 ( 60030 44710 ) L1M1_PR_MR - NEW met1 ( 60030 44710 ) M1M2_PR - NEW met1 ( 60030 47770 ) M1M2_PR - NEW met1 ( 42550 52530 ) M1M2_PR - NEW met1 ( 42090 52530 ) M1M2_PR - NEW li1 ( 41630 52530 ) L1M1_PR_MR - NEW met1 ( 49450 52530 ) M1M2_PR - NEW met2 ( 49450 52020 ) M2M3_PR_M - NEW met2 ( 57730 52020 ) M2M3_PR_M - NEW met1 ( 57730 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 60030 44710 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[10\].id.trim\[1\] ( ringosc.dstage\[10\].id.delayenb1 TE_B ) ( ringosc.dstage\[10\].id.delayen1 TE ) ( _333_ X ) + USE SIGNAL - + ROUTED met1 ( 63250 39610 ) ( 64170 * ) - NEW met2 ( 63250 39610 ) ( * 44710 ) - NEW met1 ( 63250 34850 ) ( 64170 * ) - NEW met2 ( 63250 34850 ) ( * 39610 ) - NEW li1 ( 64170 39610 ) L1M1_PR_MR - NEW met1 ( 63250 39610 ) M1M2_PR - NEW li1 ( 63250 44710 ) L1M1_PR_MR - NEW met1 ( 63250 44710 ) M1M2_PR - NEW li1 ( 64170 34850 ) L1M1_PR_MR - NEW met1 ( 63250 34850 ) M1M2_PR - NEW met1 ( 63250 44710 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[10\].id.ts ( ringosc.dstage\[10\].id.delayenb1 A ) ( ringosc.dstage\[10\].id.delayenb0 A ) ( ringosc.dstage\[10\].id.delaybuf1 A ) ( ringosc.dstage\[10\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met2 ( 62790 47770 ) ( * 49470 ) - NEW met1 ( 63710 44370 ) ( 67850 * ) - NEW met2 ( 63710 44370 ) ( * 47770 ) - NEW met2 ( 62790 47770 ) ( 63710 * ) - NEW met2 ( 66010 42330 ) ( * 44370 ) - NEW li1 ( 62790 47770 ) L1M1_PR_MR - NEW met1 ( 62790 47770 ) M1M2_PR - NEW li1 ( 62790 49470 ) L1M1_PR_MR - NEW met1 ( 62790 49470 ) M1M2_PR - NEW li1 ( 67850 44370 ) L1M1_PR_MR - NEW met1 ( 63710 44370 ) M1M2_PR - NEW li1 ( 66010 42330 ) L1M1_PR_MR - NEW met1 ( 66010 42330 ) M1M2_PR - NEW met1 ( 66010 44370 ) M1M2_PR - NEW met1 ( 62790 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 62790 49470 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 66010 42330 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 66010 44370 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[11\].id.d0 ( ringosc.dstage\[11\].id.delayen1 A ) ( ringosc.dstage\[11\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met1 ( 55890 37570 ) ( 60030 * ) - NEW met2 ( 55890 37570 ) ( * 38930 ) - NEW li1 ( 60030 37570 ) L1M1_PR_MR - NEW met1 ( 55890 37570 ) M1M2_PR - NEW li1 ( 55890 38930 ) L1M1_PR_MR - NEW met1 ( 55890 38930 ) M1M2_PR - NEW met1 ( 55890 38930 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[11\].id.d1 ( ringosc.dstage\[11\].id.delayint0 A ) ( ringosc.dstage\[11\].id.delayenb1 Z ) ( ringosc.dstage\[11\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 56350 39610 ) ( 60030 * ) - NEW met1 ( 60030 39270 ) ( * 39610 ) - NEW met1 ( 55430 39270 ) ( * 39610 ) - NEW met1 ( 55430 39610 ) ( 56350 * ) - NEW li1 ( 56350 39610 ) L1M1_PR_MR - NEW li1 ( 60030 39270 ) L1M1_PR_MR - NEW li1 ( 55430 39270 ) L1M1_PR_MR ; - - ringosc.dstage\[11\].id.d2 ( ringosc.dstage\[11\].id.delayint0 Y ) ( ringosc.dstage\[11\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 54050 40290 ) ( 54970 * ) - NEW met2 ( 54050 40290 ) ( * 41990 ) - NEW li1 ( 54970 40290 ) L1M1_PR_MR - NEW met1 ( 54050 40290 ) M1M2_PR - NEW li1 ( 54050 41990 ) L1M1_PR_MR - NEW met1 ( 54050 41990 ) M1M2_PR - NEW met1 ( 54050 41990 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[11\].id.out ( ringosc.iss.delayenb1 A ) ( ringosc.iss.delayenb0 A ) ( ringosc.iss.delaybuf0 A ) ( ringosc.dstage\[11\].id.delayenb0 Z ) ( ringosc.dstage\[11\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met1 ( 58190 41650 ) ( * 42670 ) - NEW met1 ( 54510 42670 ) ( 65550 * ) - NEW met2 ( 29670 41820 ) ( * 42330 ) - NEW met3 ( 29670 41820 ) ( 40710 * ) - NEW met2 ( 40710 41650 ) ( * 41820 ) - NEW met1 ( 28750 39270 ) ( 29670 * ) - NEW met2 ( 29670 39270 ) ( * 41820 ) - NEW met1 ( 29670 36890 ) ( 32430 * ) - NEW met2 ( 29670 36890 ) ( * 39270 ) - NEW met1 ( 40710 41650 ) ( 58190 * ) - NEW li1 ( 65550 42670 ) L1M1_PR_MR - NEW li1 ( 54510 42670 ) L1M1_PR_MR - NEW li1 ( 29670 42330 ) L1M1_PR_MR - NEW met1 ( 29670 42330 ) M1M2_PR - NEW met2 ( 29670 41820 ) M2M3_PR_M - NEW met2 ( 40710 41820 ) M2M3_PR_M - NEW met1 ( 40710 41650 ) M1M2_PR - NEW li1 ( 28750 39270 ) L1M1_PR_MR - NEW met1 ( 29670 39270 ) M1M2_PR - NEW li1 ( 32430 36890 ) L1M1_PR_MR - NEW met1 ( 29670 36890 ) M1M2_PR - NEW met1 ( 29670 42330 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[11\].id.trim\[0\] ( ringosc.dstage\[11\].id.delayenb0 TE_B ) ( ringosc.dstage\[11\].id.delayen0 TE ) ( _330_ X ) + USE SIGNAL - + ROUTED met2 ( 27830 45050 ) ( * 46750 ) - NEW met2 ( 56810 42330 ) ( * 43180 ) - NEW met3 ( 49910 43180 ) ( 56810 * ) - NEW met2 ( 49910 43180 ) ( * 45050 ) - NEW met1 ( 56810 42330 ) ( 57730 * ) - NEW met1 ( 27830 45050 ) ( 49910 * ) - NEW met1 ( 27830 45050 ) M1M2_PR - NEW li1 ( 27830 46750 ) L1M1_PR_MR - NEW met1 ( 27830 46750 ) M1M2_PR - NEW li1 ( 56810 42330 ) L1M1_PR_MR - NEW met1 ( 56810 42330 ) M1M2_PR - NEW met2 ( 56810 43180 ) M2M3_PR_M - NEW met2 ( 49910 43180 ) M2M3_PR_M - NEW met1 ( 49910 45050 ) M1M2_PR - NEW li1 ( 57730 42330 ) L1M1_PR_MR - NEW met1 ( 27830 46750 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 56810 42330 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[11\].id.trim\[1\] ( ringosc.dstage\[11\].id.delayenb1 TE_B ) ( ringosc.dstage\[11\].id.delayen1 TE ) ( _331_ X ) + USE SIGNAL - + ROUTED met1 ( 62100 39270 ) ( 63710 * ) - NEW met1 ( 62100 38930 ) ( * 39270 ) - NEW met1 ( 58650 38930 ) ( 62100 * ) - NEW met1 ( 58650 38930 ) ( * 39270 ) - NEW met2 ( 61410 37570 ) ( * 38930 ) - NEW li1 ( 63710 39270 ) L1M1_PR_MR - NEW li1 ( 58650 39270 ) L1M1_PR_MR - NEW li1 ( 61410 37570 ) L1M1_PR_MR - NEW met1 ( 61410 37570 ) M1M2_PR - NEW met1 ( 61410 38930 ) M1M2_PR - NEW met1 ( 61410 37570 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 61410 38930 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[11\].id.ts ( ringosc.dstage\[11\].id.delayenb1 A ) ( ringosc.dstage\[11\].id.delayenb0 A ) ( ringosc.dstage\[11\].id.delaybuf1 A ) ( ringosc.dstage\[11\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met2 ( 59110 39270 ) ( * 44030 ) - NEW met1 ( 59110 36890 ) ( 60950 * ) - NEW met2 ( 59110 36890 ) ( * 39270 ) - NEW met1 ( 59110 42330 ) ( 62330 * ) - NEW li1 ( 62330 42330 ) L1M1_PR_MR - NEW li1 ( 59110 39270 ) L1M1_PR_MR - NEW met1 ( 59110 39270 ) M1M2_PR - NEW li1 ( 59110 44030 ) L1M1_PR_MR - NEW met1 ( 59110 44030 ) M1M2_PR - NEW met1 ( 59110 42330 ) M1M2_PR - NEW li1 ( 60950 36890 ) L1M1_PR_MR - NEW met1 ( 59110 36890 ) M1M2_PR - NEW met1 ( 59110 39270 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 59110 44030 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 59110 42330 ) RECT ( -70 -485 70 0 ) ; - - ringosc.dstage\[1\].id.d0 ( ringosc.dstage\[1\].id.delayen1 A ) ( ringosc.dstage\[1\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met2 ( 19090 45050 ) ( * 46750 ) - NEW met1 ( 19090 46750 ) ( 22310 * ) - NEW li1 ( 19090 45050 ) L1M1_PR_MR - NEW met1 ( 19090 45050 ) M1M2_PR - NEW met1 ( 19090 46750 ) M1M2_PR - NEW li1 ( 22310 46750 ) L1M1_PR_MR - NEW met1 ( 19090 45050 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[1\].id.d1 ( ringosc.dstage\[1\].id.delayint0 A ) ( ringosc.dstage\[1\].id.delayenb1 Z ) ( ringosc.dstage\[1\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 18630 47770 ) ( 19550 * ) - NEW met2 ( 19550 45390 ) ( * 47770 ) - NEW met1 ( 19090 50150 ) ( 19550 * ) - NEW met2 ( 19550 47770 ) ( * 50150 ) - NEW li1 ( 18630 47770 ) L1M1_PR_MR - NEW met1 ( 19550 47770 ) M1M2_PR - NEW li1 ( 19550 45390 ) L1M1_PR_MR - NEW met1 ( 19550 45390 ) M1M2_PR - NEW li1 ( 19090 50150 ) L1M1_PR_MR - NEW met1 ( 19550 50150 ) M1M2_PR - NEW met1 ( 19550 45390 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[1\].id.d2 ( ringosc.dstage\[1\].id.delayint0 Y ) ( ringosc.dstage\[1\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 14950 44710 ) ( 16330 * ) - NEW met2 ( 16330 44710 ) ( * 49470 ) - NEW met1 ( 16330 49470 ) ( 19550 * ) - NEW li1 ( 14950 44710 ) L1M1_PR_MR - NEW met1 ( 16330 44710 ) M1M2_PR - NEW met1 ( 16330 49470 ) M1M2_PR - NEW li1 ( 19550 49470 ) L1M1_PR_MR ; - - ringosc.dstage\[1\].id.out ( ringosc.dstage\[2\].id.delaybuf0 A ) ( ringosc.dstage\[1\].id.delayenb0 Z ) ( ringosc.dstage\[1\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met2 ( 14950 47090 ) ( * 49810 ) - NEW met1 ( 14490 45390 ) ( 14950 * ) - NEW met2 ( 14950 45390 ) ( * 47090 ) - NEW li1 ( 14950 47090 ) L1M1_PR_MR - NEW met1 ( 14950 47090 ) M1M2_PR - NEW li1 ( 14950 49810 ) L1M1_PR_MR - NEW met1 ( 14950 49810 ) M1M2_PR - NEW li1 ( 14490 45390 ) L1M1_PR_MR - NEW met1 ( 14950 45390 ) M1M2_PR - NEW met1 ( 14950 47090 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 14950 49810 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[1\].id.trim\[0\] ( ringosc.dstage\[1\].id.delayenb0 TE_B ) ( ringosc.dstage\[1\].id.delayen0 TE ) ( _350_ X ) + USE SIGNAL - + ROUTED met2 ( 11270 45730 ) ( * 47770 ) - NEW met1 ( 8510 47770 ) ( 11270 * ) - NEW met1 ( 11270 45050 ) ( 12190 * ) - NEW met1 ( 11270 45050 ) ( * 45730 ) - NEW li1 ( 11270 45730 ) L1M1_PR_MR - NEW met1 ( 11270 45730 ) M1M2_PR - NEW met1 ( 11270 47770 ) M1M2_PR - NEW li1 ( 8510 47770 ) L1M1_PR_MR - NEW li1 ( 12190 45050 ) L1M1_PR_MR - NEW met1 ( 11270 45730 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[1\].id.trim\[1\] ( ringosc.dstage\[1\].id.delayenb1 TE_B ) ( ringosc.dstage\[1\].id.delayen1 TE ) ( _351_ X ) + USE SIGNAL - + ROUTED met1 ( 21850 47090 ) ( * 47770 ) - NEW met1 ( 21850 47090 ) ( 40250 * ) - NEW met2 ( 40250 47090 ) ( * 49470 ) - NEW met1 ( 21850 45050 ) ( 23230 * ) - NEW met2 ( 23230 45050 ) ( * 47090 ) - NEW li1 ( 21850 47770 ) L1M1_PR_MR - NEW met1 ( 40250 47090 ) M1M2_PR - NEW li1 ( 40250 49470 ) L1M1_PR_MR - NEW met1 ( 40250 49470 ) M1M2_PR - NEW li1 ( 21850 45050 ) L1M1_PR_MR - NEW met1 ( 23230 45050 ) M1M2_PR - NEW met1 ( 23230 47090 ) M1M2_PR - NEW met1 ( 40250 49470 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 23230 47090 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[1\].id.ts ( ringosc.dstage\[1\].id.delayenb1 A ) ( ringosc.dstage\[1\].id.delayenb0 A ) ( ringosc.dstage\[1\].id.delaybuf1 A ) ( ringosc.dstage\[1\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met1 ( 17250 48110 ) ( 23230 * ) - NEW met1 ( 23230 47770 ) ( * 48110 ) - NEW met1 ( 15870 47770 ) ( * 48110 ) - NEW met1 ( 15870 48110 ) ( 17250 * ) - NEW met2 ( 16790 45390 ) ( * 48110 ) - NEW li1 ( 17250 48110 ) L1M1_PR_MR - NEW li1 ( 23230 47770 ) L1M1_PR_MR - NEW li1 ( 15870 47770 ) L1M1_PR_MR - NEW li1 ( 16790 45390 ) L1M1_PR_MR - NEW met1 ( 16790 45390 ) M1M2_PR - NEW met1 ( 16790 48110 ) M1M2_PR - NEW met1 ( 16790 45390 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 16790 48110 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[2\].id.d0 ( ringosc.dstage\[2\].id.delayen1 A ) ( ringosc.dstage\[2\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met1 ( 17250 53890 ) ( 18170 * ) - NEW met2 ( 17250 53890 ) ( * 58310 ) - NEW li1 ( 18170 53890 ) L1M1_PR_MR - NEW met1 ( 17250 53890 ) M1M2_PR - NEW li1 ( 17250 58310 ) L1M1_PR_MR - NEW met1 ( 17250 58310 ) M1M2_PR - NEW met1 ( 17250 58310 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[2\].id.d1 ( ringosc.dstage\[2\].id.delayint0 A ) ( ringosc.dstage\[2\].id.delayenb1 Z ) ( ringosc.dstage\[2\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 16330 55590 ) ( 16790 * ) - NEW met2 ( 16790 55590 ) ( * 57970 ) - NEW met2 ( 16790 53550 ) ( * 55590 ) - NEW li1 ( 16330 55590 ) L1M1_PR_MR - NEW met1 ( 16790 55590 ) M1M2_PR - NEW li1 ( 16790 57970 ) L1M1_PR_MR - NEW met1 ( 16790 57970 ) M1M2_PR - NEW li1 ( 16790 53550 ) L1M1_PR_MR - NEW met1 ( 16790 53550 ) M1M2_PR - NEW met1 ( 16790 57970 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 16790 53550 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[2\].id.d2 ( ringosc.dstage\[2\].id.delayint0 Y ) ( ringosc.dstage\[2\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 14030 56610 ) ( 16790 * ) - NEW met2 ( 14030 56610 ) ( * 58310 ) - NEW li1 ( 16790 56610 ) L1M1_PR_MR - NEW met1 ( 14030 56610 ) M1M2_PR - NEW li1 ( 14030 58310 ) L1M1_PR_MR - NEW met1 ( 14030 58310 ) M1M2_PR - NEW met1 ( 14030 58310 ) RECT ( 0 -70 355 70 ) ; - - ringosc.dstage\[2\].id.out ( ringosc.dstage\[3\].id.delaybuf0 A ) ( ringosc.dstage\[2\].id.delayenb0 Z ) ( ringosc.dstage\[2\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met2 ( 16330 58990 ) ( * 60690 ) - NEW met1 ( 15410 56270 ) ( 16330 * ) - NEW met2 ( 16330 56270 ) ( * 58990 ) - NEW met1 ( 13570 58990 ) ( 16330 * ) - NEW li1 ( 13570 58990 ) L1M1_PR_MR - NEW met1 ( 16330 58990 ) M1M2_PR - NEW li1 ( 16330 60690 ) L1M1_PR_MR - NEW met1 ( 16330 60690 ) M1M2_PR - NEW li1 ( 15410 56270 ) L1M1_PR_MR - NEW met1 ( 16330 56270 ) M1M2_PR - NEW met1 ( 16330 60690 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[2\].id.trim\[0\] ( ringosc.dstage\[2\].id.delayenb0 TE_B ) ( ringosc.dstage\[2\].id.delayen0 TE ) ( _348_ X ) + USE SIGNAL - + ROUTED met1 ( 10810 53890 ) ( 11270 * ) - NEW met2 ( 11270 53890 ) ( * 58310 ) - NEW met1 ( 8050 55590 ) ( 11270 * ) - NEW li1 ( 10810 53890 ) L1M1_PR_MR - NEW met1 ( 11270 53890 ) M1M2_PR - NEW li1 ( 11270 58310 ) L1M1_PR_MR - NEW met1 ( 11270 58310 ) M1M2_PR - NEW li1 ( 8050 55590 ) L1M1_PR_MR - NEW met1 ( 11270 55590 ) M1M2_PR - NEW met1 ( 11270 58310 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 11270 55590 ) RECT ( -70 -485 70 0 ) ; - - ringosc.dstage\[2\].id.trim\[1\] ( ringosc.dstage\[2\].id.delayenb1 TE_B ) ( ringosc.dstage\[2\].id.delayen1 TE ) ( _349_ X ) + USE SIGNAL - + ROUTED met1 ( 14490 58650 ) ( 20470 * ) - NEW met1 ( 20470 58650 ) ( * 58990 ) - NEW met1 ( 20470 58990 ) ( 30130 * ) - NEW met1 ( 30130 58990 ) ( * 59330 ) - NEW met1 ( 30130 59330 ) ( 46230 * ) - NEW met2 ( 14490 53210 ) ( * 58650 ) - NEW met1 ( 13110 53210 ) ( 14490 * ) - NEW li1 ( 13110 53210 ) L1M1_PR_MR - NEW li1 ( 14490 58650 ) L1M1_PR_MR - NEW li1 ( 46230 59330 ) L1M1_PR_MR - NEW met1 ( 14490 53210 ) M1M2_PR - NEW met1 ( 14490 58650 ) M1M2_PR - NEW met1 ( 14490 58650 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[2\].id.ts ( ringosc.dstage\[2\].id.delayenb1 A ) ( ringosc.dstage\[2\].id.delayenb0 A ) ( ringosc.dstage\[2\].id.delaybuf1 A ) ( ringosc.dstage\[2\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met1 ( 15410 53210 ) ( 17710 * ) - NEW met2 ( 15410 53210 ) ( * 55590 ) - NEW met1 ( 17710 53210 ) ( 19090 * ) - NEW met2 ( 15410 51170 ) ( * 53210 ) - NEW li1 ( 17710 53210 ) L1M1_PR_MR - NEW met1 ( 15410 53210 ) M1M2_PR - NEW li1 ( 15410 55590 ) L1M1_PR_MR - NEW met1 ( 15410 55590 ) M1M2_PR - NEW li1 ( 19090 53210 ) L1M1_PR_MR - NEW li1 ( 15410 51170 ) L1M1_PR_MR - NEW met1 ( 15410 51170 ) M1M2_PR - NEW met1 ( 15410 55590 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 15410 51170 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[3\].id.d0 ( ringosc.dstage\[3\].id.delayen1 A ) ( ringosc.dstage\[3\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met1 ( 15410 65790 ) ( * 66130 ) - NEW met1 ( 15410 65790 ) ( 15870 * ) - NEW li1 ( 15410 66130 ) L1M1_PR_MR - NEW li1 ( 15870 65790 ) L1M1_PR_MR ; - - ringosc.dstage\[3\].id.d1 ( ringosc.dstage\[3\].id.delayint0 A ) ( ringosc.dstage\[3\].id.delayenb1 Z ) ( ringosc.dstage\[3\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 15870 66130 ) ( 17250 * ) - NEW met1 ( 15870 66130 ) ( * 66470 ) - NEW met1 ( 14950 66470 ) ( 15870 * ) - NEW met1 ( 14490 61030 ) ( 14950 * ) - NEW met2 ( 14950 61030 ) ( * 66470 ) - NEW li1 ( 14950 66470 ) L1M1_PR_MR - NEW met1 ( 14950 66470 ) M1M2_PR - NEW li1 ( 17250 66130 ) L1M1_PR_MR - NEW li1 ( 14490 61030 ) L1M1_PR_MR - NEW met1 ( 14950 61030 ) M1M2_PR - NEW met1 ( 14950 66470 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[3\].id.d2 ( ringosc.dstage\[3\].id.delayint0 Y ) ( ringosc.dstage\[3\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 12190 66810 ) ( 17710 * ) - NEW li1 ( 12190 66810 ) L1M1_PR_MR - NEW li1 ( 17710 66810 ) L1M1_PR_MR ; - - ringosc.dstage\[3\].id.out ( ringosc.dstage\[4\].id.delaybuf0 A ) ( ringosc.dstage\[3\].id.delayenb0 Z ) ( ringosc.dstage\[3\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met1 ( 14490 64430 ) ( 14950 * ) - NEW met2 ( 14490 64430 ) ( * 66130 ) - NEW met1 ( 11730 66130 ) ( 14490 * ) - NEW met1 ( 14950 64430 ) ( 16330 * ) - NEW li1 ( 14950 64430 ) L1M1_PR_MR - NEW met1 ( 14490 64430 ) M1M2_PR - NEW met1 ( 14490 66130 ) M1M2_PR - NEW li1 ( 11730 66130 ) L1M1_PR_MR - NEW li1 ( 16330 64430 ) L1M1_PR_MR ; - - ringosc.dstage\[3\].id.trim\[0\] ( ringosc.dstage\[3\].id.delayenb0 TE_B ) ( ringosc.dstage\[3\].id.delayen0 TE ) ( _346_ X ) + USE SIGNAL - + ROUTED met2 ( 7130 59330 ) ( * 64090 ) - NEW met1 ( 7130 66470 ) ( 9430 * ) - NEW met2 ( 7130 64090 ) ( * 66470 ) - NEW li1 ( 7130 64090 ) L1M1_PR_MR - NEW met1 ( 7130 64090 ) M1M2_PR - NEW li1 ( 7130 59330 ) L1M1_PR_MR - NEW met1 ( 7130 59330 ) M1M2_PR - NEW li1 ( 9430 66470 ) L1M1_PR_MR - NEW met1 ( 7130 66470 ) M1M2_PR - NEW met1 ( 7130 64090 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 7130 59330 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[3\].id.trim\[1\] ( ringosc.dstage\[3\].id.delayenb1 TE_B ) ( ringosc.dstage\[3\].id.delayen1 TE ) ( _347_ X ) + USE SIGNAL - + ROUTED met2 ( 12650 66470 ) ( * 68510 ) - NEW met1 ( 10810 61030 ) ( 12650 * ) - NEW met2 ( 12650 61030 ) ( * 66470 ) - NEW met2 ( 57730 67150 ) ( * 68510 ) - NEW met1 ( 12650 68510 ) ( 57730 * ) - NEW li1 ( 12650 66470 ) L1M1_PR_MR - NEW met1 ( 12650 66470 ) M1M2_PR - NEW met1 ( 12650 68510 ) M1M2_PR - NEW li1 ( 10810 61030 ) L1M1_PR_MR - NEW met1 ( 12650 61030 ) M1M2_PR - NEW li1 ( 57730 67150 ) L1M1_PR_MR - NEW met1 ( 57730 67150 ) M1M2_PR - NEW met1 ( 57730 68510 ) M1M2_PR - NEW met1 ( 12650 66470 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 57730 67150 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[3\].id.ts ( ringosc.dstage\[3\].id.delayenb1 A ) ( ringosc.dstage\[3\].id.delayenb0 A ) ( ringosc.dstage\[3\].id.delaybuf1 A ) ( ringosc.dstage\[3\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met1 ( 14030 64090 ) ( 16790 * ) - NEW met1 ( 15410 61030 ) ( 16790 * ) - NEW met2 ( 16790 61030 ) ( * 62050 ) - NEW met2 ( 16790 62050 ) ( * 66470 ) - NEW li1 ( 16790 66470 ) L1M1_PR_MR - NEW met1 ( 16790 66470 ) M1M2_PR - NEW li1 ( 14030 64090 ) L1M1_PR_MR - NEW met1 ( 16790 64090 ) M1M2_PR - NEW li1 ( 16790 62050 ) L1M1_PR_MR - NEW met1 ( 16790 62050 ) M1M2_PR - NEW li1 ( 15410 61030 ) L1M1_PR_MR - NEW met1 ( 16790 61030 ) M1M2_PR - NEW met1 ( 16790 66470 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 16790 64090 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 16790 62050 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[4\].id.d0 ( ringosc.dstage\[4\].id.delayen1 A ) ( ringosc.dstage\[4\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met1 ( 28750 64770 ) ( 31970 * ) - NEW met2 ( 28750 64770 ) ( * 66130 ) - NEW li1 ( 31970 64770 ) L1M1_PR_MR - NEW met1 ( 28750 64770 ) M1M2_PR - NEW li1 ( 28750 66130 ) L1M1_PR_MR - NEW met1 ( 28750 66130 ) M1M2_PR - NEW met1 ( 28750 66130 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[4\].id.d1 ( ringosc.dstage\[4\].id.delayint0 A ) ( ringosc.dstage\[4\].id.delayenb1 Z ) ( ringosc.dstage\[4\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 28290 66470 ) ( 29210 * ) - NEW met2 ( 29210 64430 ) ( * 66470 ) - NEW li1 ( 29210 66470 ) L1M1_PR_MR - NEW li1 ( 28290 66470 ) L1M1_PR_MR - NEW li1 ( 29210 64430 ) L1M1_PR_MR - NEW met1 ( 29210 64430 ) M1M2_PR - NEW met1 ( 29210 66470 ) M1M2_PR - NEW met1 ( 29210 64430 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 29210 66470 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[4\].id.d2 ( ringosc.dstage\[4\].id.delayint0 Y ) ( ringosc.dstage\[4\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 24610 66810 ) ( 29670 * ) - NEW li1 ( 24610 66810 ) L1M1_PR_MR - NEW li1 ( 29670 66810 ) L1M1_PR_MR ; - - ringosc.dstage\[4\].id.out ( ringosc.dstage\[5\].id.delaybuf0 A ) ( ringosc.dstage\[4\].id.delayenb0 Z ) ( ringosc.dstage\[4\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met2 ( 24150 63410 ) ( * 66130 ) - NEW met1 ( 24150 61030 ) ( 25070 * ) - NEW met2 ( 24150 61030 ) ( * 63410 ) - NEW li1 ( 24150 63410 ) L1M1_PR_MR - NEW met1 ( 24150 63410 ) M1M2_PR - NEW li1 ( 24150 66130 ) L1M1_PR_MR - NEW met1 ( 24150 66130 ) M1M2_PR - NEW met1 ( 24150 61030 ) M1M2_PR - NEW li1 ( 25070 61030 ) L1M1_PR_MR - NEW met1 ( 24150 63410 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 24150 66130 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[4\].id.trim\[0\] ( ringosc.dstage\[4\].id.delayenb0 TE_B ) ( ringosc.dstage\[4\].id.delayen0 TE ) ( _344_ X ) + USE SIGNAL - + ROUTED met1 ( 17710 64090 ) ( 18170 * ) - NEW met2 ( 18170 64090 ) ( * 66470 ) - NEW met1 ( 18170 66470 ) ( 21850 * ) - NEW met1 ( 18170 62050 ) ( 19090 * ) - NEW met2 ( 18170 62050 ) ( * 64090 ) - NEW li1 ( 17710 64090 ) L1M1_PR_MR - NEW met1 ( 18170 64090 ) M1M2_PR - NEW met1 ( 18170 66470 ) M1M2_PR - NEW li1 ( 21850 66470 ) L1M1_PR_MR - NEW li1 ( 19090 62050 ) L1M1_PR_MR - NEW met1 ( 18170 62050 ) M1M2_PR ; - - ringosc.dstage\[4\].id.trim\[1\] ( ringosc.dstage\[4\].id.delayenb1 TE_B ) ( ringosc.dstage\[4\].id.delayen1 TE ) ( _345_ X ) + USE SIGNAL - + ROUTED met1 ( 25990 64090 ) ( 29670 * ) - NEW met1 ( 29670 64090 ) ( * 64430 ) - NEW met2 ( 25990 64090 ) ( * 66470 ) - NEW met2 ( 43010 64430 ) ( * 65790 ) - NEW met1 ( 43010 65790 ) ( 51290 * ) - NEW met1 ( 29670 64430 ) ( 43010 * ) - NEW li1 ( 25990 64090 ) L1M1_PR_MR - NEW li1 ( 25990 66470 ) L1M1_PR_MR - NEW met1 ( 25990 66470 ) M1M2_PR - NEW met1 ( 25990 64090 ) M1M2_PR - NEW met1 ( 43010 64430 ) M1M2_PR - NEW met1 ( 43010 65790 ) M1M2_PR - NEW li1 ( 51290 65790 ) L1M1_PR_MR - NEW met1 ( 25990 66470 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 25990 64090 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[4\].id.ts ( ringosc.dstage\[4\].id.delayenb1 A ) ( ringosc.dstage\[4\].id.delayenb0 A ) ( ringosc.dstage\[4\].id.delaybuf1 A ) ( ringosc.dstage\[4\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met1 ( 22310 63750 ) ( * 64090 ) - NEW met1 ( 17250 63750 ) ( 22310 * ) - NEW met1 ( 30590 63750 ) ( * 64090 ) - NEW met1 ( 22310 63750 ) ( 30590 * ) - NEW met1 ( 30590 64090 ) ( 32890 * ) - NEW li1 ( 22310 64090 ) L1M1_PR_MR - NEW li1 ( 17250 63750 ) L1M1_PR_MR - NEW li1 ( 30590 64090 ) L1M1_PR_MR - NEW li1 ( 32890 64090 ) L1M1_PR_MR ; - - ringosc.dstage\[5\].id.d0 ( ringosc.dstage\[5\].id.delayen1 A ) ( ringosc.dstage\[5\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met2 ( 26450 58310 ) ( * 59330 ) - NEW met1 ( 26450 59330 ) ( 29670 * ) - NEW li1 ( 26450 58310 ) L1M1_PR_MR - NEW met1 ( 26450 58310 ) M1M2_PR - NEW met1 ( 26450 59330 ) M1M2_PR - NEW li1 ( 29670 59330 ) L1M1_PR_MR - NEW met1 ( 26450 58310 ) RECT ( 0 -70 355 70 ) ; - - ringosc.dstage\[5\].id.d1 ( ringosc.dstage\[5\].id.delayint0 A ) ( ringosc.dstage\[5\].id.delayenb1 Z ) ( ringosc.dstage\[5\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 24150 53550 ) ( 26910 * ) - NEW met2 ( 26910 53550 ) ( * 57970 ) - NEW met1 ( 22310 53550 ) ( 24150 * ) - NEW li1 ( 24150 53550 ) L1M1_PR_MR - NEW met1 ( 26910 53550 ) M1M2_PR - NEW li1 ( 26910 57970 ) L1M1_PR_MR - NEW met1 ( 26910 57970 ) M1M2_PR - NEW li1 ( 22310 53550 ) L1M1_PR_MR - NEW met1 ( 26910 57970 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[5\].id.d2 ( ringosc.dstage\[5\].id.delayint0 Y ) ( ringosc.dstage\[5\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 21850 53890 ) ( 25990 * ) - NEW met2 ( 25990 53890 ) ( * 58310 ) - NEW li1 ( 21850 53890 ) L1M1_PR_MR - NEW met1 ( 25990 53890 ) M1M2_PR - NEW li1 ( 25990 58310 ) L1M1_PR_MR - NEW met1 ( 25990 58310 ) M1M2_PR - NEW met1 ( 25990 58310 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[5\].id.out ( ringosc.ibufp10 A ) ( ringosc.dstage\[6\].id.delaybuf0 A ) ( ringosc.dstage\[5\].id.delayenb0 Z ) ( ringosc.dstage\[5\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met1 ( 14490 37230 ) ( 26450 * ) - NEW met1 ( 14490 36890 ) ( * 37230 ) - NEW met1 ( 25070 57970 ) ( 25530 * ) - NEW met2 ( 25070 56270 ) ( * 57970 ) - NEW met1 ( 25070 56270 ) ( 26450 * ) - NEW met2 ( 35650 58140 ) ( * 58650 ) - NEW met3 ( 25070 58140 ) ( 35650 * ) - NEW met2 ( 25070 57970 ) ( * 58140 ) - NEW met2 ( 26450 37230 ) ( * 56270 ) - NEW met1 ( 26450 37230 ) M1M2_PR - NEW li1 ( 14490 36890 ) L1M1_PR_MR - NEW li1 ( 26450 56270 ) L1M1_PR_MR - NEW met1 ( 26450 56270 ) M1M2_PR - NEW li1 ( 25530 57970 ) L1M1_PR_MR - NEW met1 ( 25070 57970 ) M1M2_PR - NEW met1 ( 25070 56270 ) M1M2_PR - NEW li1 ( 35650 58650 ) L1M1_PR_MR - NEW met1 ( 35650 58650 ) M1M2_PR - NEW met2 ( 35650 58140 ) M2M3_PR_M - NEW met2 ( 25070 58140 ) M2M3_PR_M - NEW met1 ( 26450 56270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 35650 58650 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[5\].id.trim\[0\] ( ringosc.dstage\[5\].id.delayenb0 TE_B ) ( ringosc.dstage\[5\].id.delayen0 TE ) ( _342_ X ) + USE SIGNAL - + ROUTED met1 ( 20010 57630 ) ( 22770 * ) - NEW met2 ( 20010 55590 ) ( * 57630 ) - NEW met1 ( 22770 58310 ) ( 23230 * ) - NEW met1 ( 22770 57630 ) ( * 58310 ) - NEW li1 ( 22770 57630 ) L1M1_PR_MR - NEW met1 ( 20010 57630 ) M1M2_PR - NEW li1 ( 20010 55590 ) L1M1_PR_MR - NEW met1 ( 20010 55590 ) M1M2_PR - NEW li1 ( 23230 58310 ) L1M1_PR_MR - NEW met1 ( 20010 55590 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[5\].id.trim\[1\] ( ringosc.dstage\[5\].id.delayenb1 TE_B ) ( ringosc.dstage\[5\].id.delayen1 TE ) ( _343_ X ) + USE SIGNAL - + ROUTED met1 ( 29210 58310 ) ( 32890 * ) - NEW met1 ( 32890 57970 ) ( * 58310 ) - NEW met1 ( 32890 57970 ) ( 44850 * ) - NEW met2 ( 44850 56610 ) ( * 57970 ) - NEW met1 ( 27370 53210 ) ( * 53550 ) - NEW met1 ( 27370 53550 ) ( 29210 * ) - NEW met1 ( 29210 53550 ) ( * 53890 ) - NEW met2 ( 29210 53890 ) ( * 58310 ) - NEW li1 ( 29210 58310 ) L1M1_PR_MR - NEW met1 ( 44850 57970 ) M1M2_PR - NEW li1 ( 44850 56610 ) L1M1_PR_MR - NEW met1 ( 44850 56610 ) M1M2_PR - NEW li1 ( 27370 53210 ) L1M1_PR_MR - NEW met1 ( 29210 53890 ) M1M2_PR - NEW met1 ( 29210 58310 ) M1M2_PR - NEW met1 ( 44850 56610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 29210 58310 ) RECT ( 0 -70 595 70 ) ; - - ringosc.dstage\[5\].id.ts ( ringosc.dstage\[5\].id.delayenb1 A ) ( ringosc.dstage\[5\].id.delayenb0 A ) ( ringosc.dstage\[5\].id.delaybuf1 A ) ( ringosc.dstage\[5\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met1 ( 22770 55590 ) ( 24610 * ) - NEW met2 ( 22770 53210 ) ( * 55590 ) - NEW met1 ( 24610 60350 ) ( 25530 * ) - NEW met2 ( 24610 55590 ) ( * 60350 ) - NEW met1 ( 28745 58650 ) ( 30590 * ) - NEW met1 ( 28745 57630 ) ( * 58650 ) - NEW met1 ( 24610 57630 ) ( 28745 * ) - NEW li1 ( 24610 55590 ) L1M1_PR_MR - NEW met1 ( 22770 55590 ) M1M2_PR - NEW li1 ( 22770 53210 ) L1M1_PR_MR - NEW met1 ( 22770 53210 ) M1M2_PR - NEW li1 ( 25530 60350 ) L1M1_PR_MR - NEW met1 ( 24610 60350 ) M1M2_PR - NEW met1 ( 24610 55590 ) M1M2_PR - NEW li1 ( 30590 58650 ) L1M1_PR_MR - NEW met1 ( 24610 57630 ) M1M2_PR - NEW met1 ( 22770 53210 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 24610 55590 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 24610 57630 ) RECT ( -70 -485 70 0 ) ; - - ringosc.dstage\[6\].id.d0 ( ringosc.dstage\[6\].id.delayen1 A ) ( ringosc.dstage\[6\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met2 ( 41630 56610 ) ( * 58310 ) - NEW met1 ( 41630 58310 ) ( 42550 * ) - NEW li1 ( 41630 56610 ) L1M1_PR_MR - NEW met1 ( 41630 56610 ) M1M2_PR - NEW met1 ( 41630 58310 ) M1M2_PR - NEW li1 ( 42550 58310 ) L1M1_PR_MR - NEW met1 ( 41630 56610 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[6\].id.d1 ( ringosc.dstage\[6\].id.delayint0 A ) ( ringosc.dstage\[6\].id.delayenb1 Z ) ( ringosc.dstage\[6\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 38870 58990 ) ( 43010 * ) - NEW met2 ( 43010 58990 ) ( * 60350 ) - NEW li1 ( 43010 58990 ) L1M1_PR_MR - NEW li1 ( 38870 58990 ) L1M1_PR_MR - NEW li1 ( 43010 60350 ) L1M1_PR_MR - NEW met1 ( 43010 60350 ) M1M2_PR - NEW met1 ( 43010 58990 ) M1M2_PR - NEW met1 ( 43010 60350 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43010 58990 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[6\].id.d2 ( ringosc.dstage\[6\].id.delayint0 Y ) ( ringosc.dstage\[6\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 42550 60690 ) ( 43470 * ) - NEW li1 ( 42550 60690 ) L1M1_PR_MR - NEW li1 ( 43470 60690 ) L1M1_PR_MR ; - - ringosc.dstage\[6\].id.out ( ringosc.dstage\[7\].id.delaybuf0 A ) ( ringosc.dstage\[6\].id.delayenb0 Z ) ( ringosc.dstage\[6\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met1 ( 38870 61710 ) ( 42090 * ) - NEW met2 ( 38870 61710 ) ( * 64090 ) - NEW li1 ( 38870 64090 ) L1M1_PR_MR - NEW met1 ( 38870 64090 ) M1M2_PR - NEW li1 ( 38870 61710 ) L1M1_PR_MR - NEW met1 ( 38870 61710 ) M1M2_PR - NEW li1 ( 42090 61710 ) L1M1_PR_MR - NEW met1 ( 38870 64090 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 38870 61710 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[6\].id.trim\[0\] ( ringosc.dstage\[6\].id.delayenb0 TE_B ) ( ringosc.dstage\[6\].id.delayen0 TE ) ( _340_ X ) + USE SIGNAL - + ROUTED met1 ( 31510 61030 ) ( * 61370 ) - NEW met1 ( 31510 61370 ) ( 39790 * ) - NEW met1 ( 31050 61370 ) ( * 61710 ) - NEW met1 ( 31050 61370 ) ( 31510 * ) - NEW li1 ( 31510 61030 ) L1M1_PR_MR - NEW li1 ( 39790 61370 ) L1M1_PR_MR - NEW li1 ( 31050 61710 ) L1M1_PR_MR ; - - ringosc.dstage\[6\].id.trim\[1\] ( ringosc.dstage\[6\].id.delayenb1 TE_B ) ( ringosc.dstage\[6\].id.delayen1 TE ) ( _341_ X ) + USE SIGNAL - + ROUTED met1 ( 45310 58310 ) ( 48070 * ) - NEW met2 ( 48070 58310 ) ( * 60350 ) - NEW met1 ( 48070 60350 ) ( 52210 * ) - NEW met1 ( 42090 58650 ) ( 45310 * ) - NEW met1 ( 45310 58310 ) ( * 58650 ) - NEW li1 ( 45310 58310 ) L1M1_PR_MR - NEW met1 ( 48070 58310 ) M1M2_PR - NEW met1 ( 48070 60350 ) M1M2_PR - NEW li1 ( 52210 60350 ) L1M1_PR_MR - NEW li1 ( 42090 58650 ) L1M1_PR_MR ; - - ringosc.dstage\[6\].id.ts ( ringosc.dstage\[6\].id.delayenb1 A ) ( ringosc.dstage\[6\].id.delayenb0 A ) ( ringosc.dstage\[6\].id.delaybuf1 A ) ( ringosc.dstage\[6\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met2 ( 37490 55590 ) ( * 58650 ) - NEW met1 ( 37490 55590 ) ( 40710 * ) - NEW met1 ( 36570 58650 ) ( 37490 * ) - NEW met2 ( 37490 58650 ) ( * 61030 ) - NEW li1 ( 37490 58650 ) L1M1_PR_MR - NEW met1 ( 37490 58650 ) M1M2_PR - NEW met1 ( 37490 55590 ) M1M2_PR - NEW li1 ( 40710 55590 ) L1M1_PR_MR - NEW li1 ( 36570 58650 ) L1M1_PR_MR - NEW li1 ( 37490 61030 ) L1M1_PR_MR - NEW met1 ( 37490 61030 ) M1M2_PR - NEW met1 ( 37490 58650 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 37490 61030 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[7\].id.d0 ( ringosc.dstage\[7\].id.delayen1 A ) ( ringosc.dstage\[7\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met2 ( 50830 62050 ) ( * 66130 ) - NEW li1 ( 50830 66130 ) L1M1_PR_MR - NEW met1 ( 50830 66130 ) M1M2_PR - NEW li1 ( 50830 62050 ) L1M1_PR_MR - NEW met1 ( 50830 62050 ) M1M2_PR - NEW met1 ( 50830 66130 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 50830 62050 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[7\].id.d1 ( ringosc.dstage\[7\].id.delayint0 A ) ( ringosc.dstage\[7\].id.delayenb1 Z ) ( ringosc.dstage\[7\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 50370 64430 ) ( 51750 * ) - NEW met2 ( 50370 64430 ) ( * 66130 ) - NEW met1 ( 50370 59330 ) ( 51290 * ) - NEW met2 ( 50370 59330 ) ( * 64430 ) - NEW li1 ( 51750 64430 ) L1M1_PR_MR - NEW met1 ( 50370 64430 ) M1M2_PR - NEW li1 ( 50370 66130 ) L1M1_PR_MR - NEW met1 ( 50370 66130 ) M1M2_PR - NEW li1 ( 51290 59330 ) L1M1_PR_MR - NEW met1 ( 50370 59330 ) M1M2_PR - NEW met1 ( 50370 66130 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[7\].id.d2 ( ringosc.dstage\[7\].id.delayint0 Y ) ( ringosc.dstage\[7\].id.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 47610 66130 ) ( 49450 * ) - NEW met1 ( 49910 58650 ) ( 50370 * ) - NEW met2 ( 49910 58650 ) ( * 58820 ) - NEW met2 ( 49450 58820 ) ( 49910 * ) - NEW met2 ( 49450 58820 ) ( * 66130 ) - NEW met1 ( 49450 66130 ) M1M2_PR - NEW li1 ( 47610 66130 ) L1M1_PR_MR - NEW li1 ( 50370 58650 ) L1M1_PR_MR - NEW met1 ( 49910 58650 ) M1M2_PR ; - - ringosc.dstage\[7\].id.out ( ringosc.dstage\[8\].id.delaybuf0 A ) ( ringosc.dstage\[7\].id.delayenb0 Z ) ( ringosc.dstage\[7\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met1 ( 48070 64430 ) ( * 64770 ) - NEW met1 ( 48070 64770 ) ( 58190 * ) - NEW met1 ( 58190 64430 ) ( * 64770 ) - NEW met2 ( 47150 64770 ) ( * 66130 ) - NEW met1 ( 47150 64770 ) ( 48070 * ) - NEW li1 ( 48070 64430 ) L1M1_PR_MR - NEW li1 ( 58190 64430 ) L1M1_PR_MR - NEW li1 ( 47150 66130 ) L1M1_PR_MR - NEW met1 ( 47150 66130 ) M1M2_PR - NEW met1 ( 47150 64770 ) M1M2_PR - NEW met1 ( 47150 66130 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[7\].id.trim\[0\] ( ringosc.dstage\[7\].id.delayenb0 TE_B ) ( ringosc.dstage\[7\].id.delayen0 TE ) ( _338_ X ) + USE SIGNAL - + ROUTED met1 ( 38410 66810 ) ( * 67150 ) - NEW met1 ( 38410 66810 ) ( 44850 * ) - NEW met2 ( 40250 64090 ) ( * 66810 ) - NEW li1 ( 38410 67150 ) L1M1_PR_MR - NEW li1 ( 44850 66810 ) L1M1_PR_MR - NEW li1 ( 40250 64090 ) L1M1_PR_MR - NEW met1 ( 40250 64090 ) M1M2_PR - NEW met1 ( 40250 66810 ) M1M2_PR - NEW met1 ( 40250 64090 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 40250 66810 ) RECT ( -595 -70 0 70 ) ; - - ringosc.dstage\[7\].id.trim\[1\] ( ringosc.dstage\[7\].id.delayenb1 TE_B ) ( ringosc.dstage\[7\].id.delayen1 TE ) ( _339_ X ) + USE SIGNAL - + ROUTED met1 ( 48070 66470 ) ( 48530 * ) - NEW met2 ( 48530 64090 ) ( * 66470 ) - NEW met1 ( 48530 62050 ) ( 49450 * ) - NEW met2 ( 48530 62050 ) ( * 64090 ) - NEW li1 ( 48530 64090 ) L1M1_PR_MR - NEW met1 ( 48530 64090 ) M1M2_PR - NEW li1 ( 48070 66470 ) L1M1_PR_MR - NEW met1 ( 48530 66470 ) M1M2_PR - NEW li1 ( 49450 62050 ) L1M1_PR_MR - NEW met1 ( 48530 62050 ) M1M2_PR - NEW met1 ( 48530 64090 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[7\].id.ts ( ringosc.dstage\[7\].id.delayenb1 A ) ( ringosc.dstage\[7\].id.delayenb0 A ) ( ringosc.dstage\[7\].id.delaybuf1 A ) ( ringosc.dstage\[7\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met1 ( 44850 63750 ) ( * 64090 ) - NEW met1 ( 39790 63750 ) ( 44850 * ) - NEW met1 ( 44850 63750 ) ( 49910 * ) - NEW met1 ( 49910 64090 ) ( 53130 * ) - NEW met1 ( 49910 63750 ) ( * 64090 ) - NEW met2 ( 49910 61030 ) ( * 63750 ) - NEW li1 ( 44850 64090 ) L1M1_PR_MR - NEW li1 ( 39790 63750 ) L1M1_PR_MR - NEW met1 ( 49910 63750 ) M1M2_PR - NEW li1 ( 53130 64090 ) L1M1_PR_MR - NEW li1 ( 49910 61030 ) L1M1_PR_MR - NEW met1 ( 49910 61030 ) M1M2_PR - NEW met1 ( 49910 61030 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[8\].id.d0 ( ringosc.dstage\[8\].id.delayen1 A ) ( ringosc.dstage\[8\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met2 ( 56810 62050 ) ( * 63750 ) - NEW met1 ( 56810 62050 ) ( 62790 * ) - NEW li1 ( 56810 63750 ) L1M1_PR_MR - NEW met1 ( 56810 63750 ) M1M2_PR - NEW li1 ( 62790 62050 ) L1M1_PR_MR - NEW met1 ( 56810 62050 ) M1M2_PR - NEW met1 ( 56810 63750 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[8\].id.d1 ( ringosc.dstage\[8\].id.delayint0 A ) ( ringosc.dstage\[8\].id.delayenb1 Z ) ( ringosc.dstage\[8\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 56350 63410 ) ( 60490 * ) - NEW met1 ( 60490 61030 ) ( 60950 * ) - NEW met1 ( 60950 60690 ) ( * 61030 ) - NEW met2 ( 60490 61030 ) ( * 63410 ) - NEW met1 ( 60950 60690 ) ( 64170 * ) - NEW met1 ( 60490 63410 ) M1M2_PR - NEW li1 ( 56350 63410 ) L1M1_PR_MR - NEW li1 ( 64170 60690 ) L1M1_PR_MR - NEW li1 ( 60950 61030 ) L1M1_PR_MR - NEW met1 ( 60490 61030 ) M1M2_PR ; - - ringosc.dstage\[8\].id.d2 ( ringosc.dstage\[8\].id.delayint0 Y ) ( ringosc.dstage\[8\].id.delayen0 A ) + USE SIGNAL - + ROUTED met2 ( 64630 62050 ) ( * 66130 ) - NEW li1 ( 64630 66130 ) L1M1_PR_MR - NEW met1 ( 64630 66130 ) M1M2_PR - NEW li1 ( 64630 62050 ) L1M1_PR_MR - NEW met1 ( 64630 62050 ) M1M2_PR - NEW met1 ( 64630 66130 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 64630 62050 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[8\].id.out ( ringosc.dstage\[9\].id.delaybuf0 A ) ( ringosc.dstage\[8\].id.delayenb0 Z ) ( ringosc.dstage\[8\].id.delayen0 Z ) + USE SIGNAL - + ROUTED met1 ( 64170 63410 ) ( 66010 * ) - NEW met2 ( 64170 63410 ) ( * 66130 ) - NEW met2 ( 66010 61030 ) ( * 63410 ) - NEW li1 ( 66010 63410 ) L1M1_PR_MR - NEW met1 ( 64170 63410 ) M1M2_PR - NEW li1 ( 64170 66130 ) L1M1_PR_MR - NEW met1 ( 64170 66130 ) M1M2_PR - NEW met1 ( 66010 63410 ) M1M2_PR - NEW li1 ( 66010 61030 ) L1M1_PR_MR - NEW met1 ( 66010 61030 ) M1M2_PR - NEW met1 ( 64170 66130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 66010 63410 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 66010 61030 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[8\].id.trim\[0\] ( ringosc.dstage\[8\].id.delayenb0 TE_B ) ( ringosc.dstage\[8\].id.delayen0 TE ) ( _336_ X ) + USE SIGNAL - + ROUTED met1 ( 57730 64090 ) ( 59570 * ) - NEW met1 ( 57730 64090 ) ( * 64430 ) - NEW met1 ( 53590 64430 ) ( 57730 * ) - NEW met1 ( 53590 63410 ) ( * 64430 ) - NEW met1 ( 37030 63410 ) ( 53590 * ) - NEW met2 ( 61870 64090 ) ( * 66470 ) - NEW met1 ( 59570 64090 ) ( 61870 * ) - NEW li1 ( 59570 64090 ) L1M1_PR_MR - NEW li1 ( 37030 63410 ) L1M1_PR_MR - NEW li1 ( 61870 66470 ) L1M1_PR_MR - NEW met1 ( 61870 66470 ) M1M2_PR - NEW met1 ( 61870 64090 ) M1M2_PR - NEW met1 ( 61870 66470 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[8\].id.trim\[1\] ( ringosc.dstage\[8\].id.delayenb1 TE_B ) ( ringosc.dstage\[8\].id.delayen1 TE ) ( _337_ X ) + USE SIGNAL - + ROUTED met1 ( 54050 64090 ) ( 57270 * ) - NEW met2 ( 57270 62100 ) ( * 64090 ) - NEW met2 ( 57730 53890 ) ( * 61030 ) - NEW met2 ( 57270 62100 ) ( 57730 * ) - NEW met2 ( 57730 61030 ) ( * 62100 ) - NEW li1 ( 54050 64090 ) L1M1_PR_MR - NEW met1 ( 57270 64090 ) M1M2_PR - NEW li1 ( 57730 61030 ) L1M1_PR_MR - NEW met1 ( 57730 61030 ) M1M2_PR - NEW li1 ( 57730 53890 ) L1M1_PR_MR - NEW met1 ( 57730 53890 ) M1M2_PR - NEW met1 ( 57730 61030 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 57730 53890 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[8\].id.ts ( ringosc.dstage\[8\].id.delayenb1 A ) ( ringosc.dstage\[8\].id.delayenb0 A ) ( ringosc.dstage\[8\].id.delaybuf1 A ) ( ringosc.dstage\[8\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met1 ( 63710 64090 ) ( 64170 * ) - NEW met1 ( 59110 63750 ) ( 63710 * ) - NEW met1 ( 63710 63750 ) ( * 64090 ) - NEW met1 ( 62330 61030 ) ( 63710 * ) - NEW met2 ( 63710 61030 ) ( * 64090 ) - NEW met1 ( 63710 64090 ) M1M2_PR - NEW li1 ( 64170 64090 ) L1M1_PR_MR - NEW li1 ( 59110 63750 ) L1M1_PR_MR - NEW li1 ( 63710 61030 ) L1M1_PR_MR - NEW met1 ( 63710 61030 ) M1M2_PR - NEW li1 ( 62330 61030 ) L1M1_PR_MR - NEW met1 ( 63710 61030 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[9\].id.d0 ( ringosc.dstage\[9\].id.delayen1 A ) ( ringosc.dstage\[9\].id.delaybuf1 X ) + USE SIGNAL - + ROUTED met1 ( 66930 53550 ) ( 67850 * ) - NEW met2 ( 66930 53550 ) ( * 54910 ) - NEW li1 ( 67850 53550 ) L1M1_PR_MR - NEW met1 ( 66930 53550 ) M1M2_PR - NEW li1 ( 66930 54910 ) L1M1_PR_MR - NEW met1 ( 66930 54910 ) M1M2_PR - NEW met1 ( 66930 54910 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[9\].id.d1 ( ringosc.dstage\[9\].id.delayint0 A ) ( ringosc.dstage\[9\].id.delayenb1 Z ) ( ringosc.dstage\[9\].id.delayen1 Z ) + USE SIGNAL - + ROUTED met2 ( 66470 53210 ) ( * 58650 ) - NEW met1 ( 66470 53210 ) ( 67390 * ) - NEW met1 ( 62330 58990 ) ( 66470 * ) - NEW met1 ( 66470 58650 ) ( * 58990 ) - NEW li1 ( 66470 58650 ) L1M1_PR_MR - NEW met1 ( 66470 58650 ) M1M2_PR - NEW met1 ( 66470 53210 ) M1M2_PR - NEW li1 ( 67390 53210 ) L1M1_PR_MR - NEW li1 ( 62330 58990 ) L1M1_PR_MR - NEW met1 ( 66470 58650 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[9\].id.d2 ( ringosc.dstage\[9\].id.delayint0 Y ) ( ringosc.dstage\[9\].id.delayen0 A ) + USE SIGNAL - + ROUTED met2 ( 64630 53550 ) ( * 57630 ) - NEW met1 ( 61870 57630 ) ( 64630 * ) - NEW li1 ( 64630 53550 ) L1M1_PR_MR - NEW met1 ( 64630 53550 ) M1M2_PR - NEW met1 ( 64630 57630 ) M1M2_PR - NEW li1 ( 61870 57630 ) L1M1_PR_MR - NEW met1 ( 64630 53550 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[9\].id.trim\[0\] ( ringosc.dstage\[9\].id.delayenb0 TE_B ) ( ringosc.dstage\[9\].id.delayen0 TE ) ( _334_ X ) + USE SIGNAL - + ROUTED met1 ( 55890 55590 ) ( 57730 * ) - NEW met2 ( 55890 55590 ) ( * 56610 ) - NEW met1 ( 52670 56610 ) ( 55890 * ) - NEW met1 ( 52670 56270 ) ( * 56610 ) - NEW met1 ( 36110 56270 ) ( 52670 * ) - NEW met2 ( 61870 53210 ) ( * 55590 ) - NEW met1 ( 57730 55590 ) ( 61870 * ) - NEW li1 ( 57730 55590 ) L1M1_PR_MR - NEW met1 ( 55890 55590 ) M1M2_PR - NEW met1 ( 55890 56610 ) M1M2_PR - NEW li1 ( 36110 56270 ) L1M1_PR_MR - NEW li1 ( 61870 53210 ) L1M1_PR_MR - NEW met1 ( 61870 53210 ) M1M2_PR - NEW met1 ( 61870 55590 ) M1M2_PR - NEW met1 ( 61870 53210 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[9\].id.trim\[1\] ( ringosc.dstage\[9\].id.delayenb1 TE_B ) ( ringosc.dstage\[9\].id.delayen1 TE ) ( _335_ X ) + USE SIGNAL - + ROUTED met2 ( 65090 53210 ) ( * 58650 ) - NEW met1 ( 62790 58650 ) ( 65090 * ) - NEW met1 ( 63710 51170 ) ( 65090 * ) - NEW met2 ( 65090 51170 ) ( * 53210 ) - NEW li1 ( 65090 53210 ) L1M1_PR_MR - NEW met1 ( 65090 53210 ) M1M2_PR - NEW met1 ( 65090 58650 ) M1M2_PR - NEW li1 ( 62790 58650 ) L1M1_PR_MR - NEW li1 ( 63710 51170 ) L1M1_PR_MR - NEW met1 ( 65090 51170 ) M1M2_PR - NEW met1 ( 65090 53210 ) RECT ( -355 -70 0 70 ) ; - - ringosc.dstage\[9\].id.ts ( ringosc.dstage\[9\].id.delayenb1 A ) ( ringosc.dstage\[9\].id.delayenb0 A ) ( ringosc.dstage\[9\].id.delaybuf1 A ) ( ringosc.dstage\[9\].id.delaybuf0 X ) + USE SIGNAL - + ROUTED met2 ( 67390 58990 ) ( * 60350 ) - NEW met1 ( 66470 60350 ) ( 67390 * ) - NEW met1 ( 66010 55590 ) ( 67390 * ) - NEW met2 ( 67390 55590 ) ( * 58990 ) - NEW met1 ( 65090 55590 ) ( 66010 * ) - NEW li1 ( 67390 58990 ) L1M1_PR_MR - NEW met1 ( 67390 58990 ) M1M2_PR - NEW met1 ( 67390 60350 ) M1M2_PR - NEW li1 ( 66470 60350 ) L1M1_PR_MR - NEW li1 ( 66010 55590 ) L1M1_PR_MR - NEW met1 ( 67390 55590 ) M1M2_PR - NEW li1 ( 65090 55590 ) L1M1_PR_MR - NEW met1 ( 67390 58990 ) RECT ( -355 -70 0 70 ) ; - - ringosc.iss.ctrl0 ( ringosc.iss.delayenb0 TE_B ) ( ringosc.iss.ctrlen0 X ) + USE SIGNAL - + ROUTED met1 ( 21850 40290 ) ( 23230 * ) - NEW met2 ( 23230 40290 ) ( * 42330 ) - NEW met1 ( 22310 42330 ) ( 23230 * ) - NEW li1 ( 21850 40290 ) L1M1_PR_MR - NEW met1 ( 23230 40290 ) M1M2_PR - NEW met1 ( 23230 42330 ) M1M2_PR - NEW li1 ( 22310 42330 ) L1M1_PR_MR ; - - ringosc.iss.d0 ( ringosc.iss.delayen1 A ) ( ringosc.iss.delaybuf0 X ) + USE SIGNAL - + ROUTED met1 ( 33350 37570 ) ( 33810 * ) - NEW met2 ( 33810 37570 ) ( * 38930 ) - NEW li1 ( 33350 37570 ) L1M1_PR_MR - NEW met1 ( 33810 37570 ) M1M2_PR - NEW li1 ( 33810 38930 ) L1M1_PR_MR - NEW met1 ( 33810 38930 ) M1M2_PR - NEW met1 ( 33810 38930 ) RECT ( -355 -70 0 70 ) ; - - ringosc.iss.d1 ( ringosc.iss.delayint0 A ) ( ringosc.iss.delayenb1 Z ) ( ringosc.iss.delayen1 Z ) + USE SIGNAL - + ROUTED met1 ( 32890 39610 ) ( 34270 * ) - NEW met1 ( 32890 39270 ) ( * 39610 ) - NEW met1 ( 30130 39270 ) ( 32890 * ) - NEW met2 ( 32890 39610 ) ( * 42330 ) - NEW li1 ( 34270 39610 ) L1M1_PR_MR - NEW li1 ( 30130 39270 ) L1M1_PR_MR - NEW li1 ( 32890 42330 ) L1M1_PR_MR - NEW met1 ( 32890 42330 ) M1M2_PR - NEW met1 ( 32890 39610 ) M1M2_PR - NEW met1 ( 32890 42330 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 32890 39610 ) RECT ( 0 -70 595 70 ) ; - - ringosc.iss.d2 ( ringosc.iss.delayint0 Y ) ( ringosc.iss.delayen0 A ) + USE SIGNAL - + ROUTED met1 ( 27370 39610 ) ( 32430 * ) - NEW met2 ( 32430 39610 ) ( * 41310 ) - NEW li1 ( 27370 39610 ) L1M1_PR_MR - NEW met1 ( 32430 39610 ) M1M2_PR - NEW li1 ( 32430 41310 ) L1M1_PR_MR - NEW met1 ( 32430 41310 ) M1M2_PR - NEW met1 ( 32430 41310 ) RECT ( -355 -70 0 70 ) ; - - ringosc.iss.one ( ringosc.iss.reseten0 A ) ( ringosc.iss.const1 HI ) + USE SIGNAL - + ROUTED met1 ( 20930 39950 ) ( 24150 * ) - NEW met2 ( 24150 39950 ) ( * 44030 ) - NEW li1 ( 20930 39950 ) L1M1_PR_MR - NEW met1 ( 24150 39950 ) M1M2_PR - NEW li1 ( 24150 44030 ) L1M1_PR_MR - NEW met1 ( 24150 44030 ) M1M2_PR - NEW met1 ( 24150 44030 ) RECT ( -355 -70 0 70 ) ; - - ringosc.iss.reset ( ringosc.iss.reseten0 TE ) ( ringosc.iss.ctrlen0 A ) ( _182_ B ) ( _181_ Y ) + USE SIGNAL - + ROUTED met1 ( 19090 39270 ) ( 22310 * ) - NEW met2 ( 19550 36890 ) ( * 39270 ) - NEW met2 ( 19550 34850 ) ( * 36890 ) - NEW li1 ( 19090 39270 ) L1M1_PR_MR - NEW li1 ( 22310 39270 ) L1M1_PR_MR - NEW li1 ( 19550 36890 ) L1M1_PR_MR - NEW met1 ( 19550 36890 ) M1M2_PR - NEW met1 ( 19550 39270 ) M1M2_PR - NEW li1 ( 19550 34850 ) L1M1_PR_MR - NEW met1 ( 19550 34850 ) M1M2_PR - NEW met1 ( 19550 36890 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 19550 39270 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 19550 34850 ) RECT ( -355 -70 0 70 ) ; - - ringosc.iss.trim\[0\] ( ringosc.iss.delayen0 TE ) ( ringosc.iss.ctrlen0 B ) ( _354_ X ) + USE SIGNAL - + ROUTED met2 ( 24610 39610 ) ( * 49470 ) - NEW met1 ( 24150 49470 ) ( 24610 * ) - NEW met1 ( 23230 39270 ) ( * 39610 ) - NEW met1 ( 23230 39610 ) ( 24610 * ) - NEW li1 ( 24610 39610 ) L1M1_PR_MR - NEW met1 ( 24610 39610 ) M1M2_PR - NEW met1 ( 24610 49470 ) M1M2_PR - NEW li1 ( 24150 49470 ) L1M1_PR_MR - NEW li1 ( 23230 39270 ) L1M1_PR_MR - NEW met1 ( 24610 39610 ) RECT ( -355 -70 0 70 ) ; - - ringosc.iss.trim\[1\] ( ringosc.iss.delayenb1 TE_B ) ( ringosc.iss.delayen1 TE ) ( _355_ X ) + USE SIGNAL - + ROUTED met1 ( 36570 39610 ) ( * 39950 ) - NEW met1 ( 36570 39950 ) ( 44850 * ) - NEW met1 ( 33350 39270 ) ( 36570 * ) - NEW met1 ( 36570 39270 ) ( * 39610 ) - NEW li1 ( 36570 39610 ) L1M1_PR_MR - NEW li1 ( 44850 39950 ) L1M1_PR_MR - NEW li1 ( 33350 39270 ) L1M1_PR_MR ; -END NETS -END DESIGN diff --git a/signoff/digital_pll/OPENLANE_VERSION b/signoff/digital_pll/OPENLANE_VERSION deleted file mode 100644 index c185049e..00000000 --- a/signoff/digital_pll/OPENLANE_VERSION +++ /dev/null @@ -1 +0,0 @@ -OpenLane e3a5189a1b0fc4290686fcf2ae46cd6d7947cf9f diff --git a/signoff/digital_pll/PDK_SOURCES b/signoff/digital_pll/PDK_SOURCES deleted file mode 100644 index 64834074..00000000 --- a/signoff/digital_pll/PDK_SOURCES +++ /dev/null @@ -1 +0,0 @@ -open_pdks de752ec0ba4da0ecb1fbcd309eeec4993d88f5bc diff --git a/signoff/digital_pll/digital_pll.sdc b/signoff/digital_pll/digital_pll.sdc deleted file mode 100644 index 76fd37f2..00000000 --- a/signoff/digital_pll/digital_pll.sdc +++ /dev/null @@ -1,95 +0,0 @@ -### Digital PLL Signoff SDC -### Rev 2 -### Date: 17/10/2022 - -set pll_clk_t 11.76 -############################################################################### -# Timing Constraints -############################################################################### -create_clock -name pll_control_clock -period $pll_clk_t [get_pins {ringosc.ibufp01/Y}] -set_clock_transition 0.1000 [get_clocks {pll_control_clock}] -set_clock_uncertainty 0.100 pll_control_clock -set_input_delay 1.0000 -add_delay [get_ports {dco}] -set_input_delay 1.0000 -add_delay [get_ports {div[0]}] -set_input_delay 1.0000 -add_delay [get_ports {div[1]}] -set_input_delay 1.0000 -add_delay [get_ports {div[2]}] -set_input_delay 1.0000 -add_delay [get_ports {div[3]}] -set_input_delay 1.0000 -add_delay [get_ports {div[4]}] -set_input_delay 1.0000 -add_delay [get_ports {enable}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[0]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[10]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[11]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[12]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[13]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[14]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[15]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[16]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[17]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[18]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[19]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[1]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[20]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[21]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[22]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[23]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[24]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[25]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[2]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[3]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[4]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[5]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[6]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[7]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[8]}] -set_input_delay 1.0000 -add_delay [get_ports {ext_trim[9]}] -set_input_delay 1.0000 -add_delay [get_ports {osc}] -set_input_delay 1.0000 -add_delay [get_ports {resetb}] -set_output_delay 1.0000 -add_delay [get_ports {clockp[0]}] -set_output_delay 1.0000 -add_delay [get_ports {clockp[1]}] - -############################################################################### -# Environment -############################################################################### -set_load -pin_load 0.0334 [get_ports {clockp[1]}] -set_load -pin_load 0.0334 [get_ports {clockp[0]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {dco}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {enable}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {osc}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {resetb}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[4]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[3]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[2]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[1]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[0]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[25]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[24]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[23]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[22]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[21]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[20]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[19]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[18]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[17]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[16]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[15]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[14]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[13]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[12]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[11]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[10]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[9]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[8]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[7]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[6]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[5]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[4]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[3]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[2]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[1]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[0]}] - -############################################################################### -# Design Rules -############################################################################### -set_max_fanout 7.0000 [current_design] -set_max_transition 0.75 [current_design] \ No newline at end of file diff --git a/signoff/digital_pll/metrics.csv b/signoff/digital_pll/metrics.csv deleted file mode 100644 index 718a0b93..00000000 --- a/signoff/digital_pll/metrics.csv +++ /dev/null @@ -1,2 +0,0 @@ -design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY -/home/kareem_farid/caravel/openlane/digital_pll,digital_pll,22_10_18_06_51,flow completed,0h1m7s0ms,0h0m46s0ms,-2.0,0.0075,-1,67.08,534.82,-1,0,0,0,0,0,0,0,-1,-1,-1,-1,8402,2566,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,6100018.0,0.0,28.69,22.89,0.65,0.0,0.0,580,776,121,305,0,0,0,614,5,3,17,11,297,19,12,27,56,70,13,46,75,0,121,5554.0768,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10.0,AREA 0,7,50,1,40,40,0.68,0,sky130_fd_sc_hd,4 diff --git a/signoff/digital_pll/openlane-signoff/15-parasitics_extraction.min.log b/signoff/digital_pll/openlane-signoff/15-parasitics_extraction.min.log deleted file mode 100644 index cbbcde4b..00000000 --- a/signoff/digital_pll/openlane-signoff/15-parasitics_extraction.min.log +++ /dev/null @@ -1,40 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.min.lef -[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later. -The LEF parser will ignore this statement. -To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.min.lef at line 930. - -[INFO ODB-0223] Created 13 technology layers -[INFO ODB-0224] Created 25 technology vias -[INFO ODB-0225] Created 441 library cells -[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.min.lef -[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -[INFO ODB-0128] Design: digital_pll -[INFO ODB-0130] Created 39 pins. -[INFO ODB-0131] Created 1093 components and 5448 component-terminals. -[INFO ODB-0132] Created 2 special nets and 4222 connections. -[INFO ODB-0133] Created 371 nets and 1225 connections. -[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre'... -[INFO RCX-0431] Defined process_corner X with ext_model_index 0 -[INFO RCX-0029] Defined extraction corner X -[INFO RCX-0008] extracting parasitics of digital_pll ... -[INFO RCX-0435] Reading extraction model file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre ... -[INFO RCX-0436] RC segment generation digital_pll (max_merge_res 50.0) ... -[INFO RCX-0040] Final 1412 rc segments -[INFO RCX-0439] Coupling Cap extraction digital_pll ... -[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded. -[INFO RCX-0043] 2698 wires to be extracted -[INFO RCX-0442] 52% completion -- 1411 wires have been extracted -[INFO RCX-0442] 100% completion -- 2698 wires have been extracted -[INFO RCX-0045] Extract 371 nets, 1783 rsegs, 1783 caps, 2905 ccs -[INFO RCX-0015] Finished extracting digital_pll. -Writing result to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_min/digital_pll.spef... -Setting global connections for newly added cells... -[WARNING] Did not save OpenROAD database! -Writing extracted parasitics to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_min/digital_pll.spef... -[INFO RCX-0016] Writing SPEF ... -[INFO RCX-0443] 371 nets finished -[INFO RCX-0017] Finished writing SPEF ... diff --git a/signoff/digital_pll/openlane-signoff/16-rcx_mcsta.min.log b/signoff/digital_pll/openlane-signoff/16-rcx_mcsta.min.log deleted file mode 100644 index 028d9c53..00000000 --- a/signoff/digital_pll/openlane-signoff/16-rcx_mcsta.min.log +++ /dev/null @@ -1,6568 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.odb -min_report - -=========================================================================== -report_checks -path_delay min (Hold) -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.67 0.67 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.07 0.00 0.67 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.67 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.07 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -0.67 data arrival time ------------------------------------------------------------------------------ - 0.48 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.15 0.75 0.76 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.15 0.00 0.76 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.76 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.08 0.17 library hold time - 0.17 data required time ------------------------------------------------------------------------------ - 0.17 data required time - -0.76 data arrival time ------------------------------------------------------------------------------ - 0.59 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.70 0.71 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.10 0.00 0.71 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.06 0.19 0.90 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.06 0.00 0.90 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.90 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -0.90 data arrival time ------------------------------------------------------------------------------ - 0.71 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.18 0.78 0.78 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.18 0.00 0.79 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.05 0.27 1.06 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.05 0.00 1.06 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 1.06 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.06 data arrival time ------------------------------------------------------------------------------ - 0.87 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.70 0.71 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.10 0.00 0.71 ^ _345_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.26 0.96 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.06 0.00 0.96 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.12 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.05 0.00 1.12 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 1.12 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.12 data arrival time ------------------------------------------------------------------------------ - 0.93 slack (MET) - - -Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.69 0.70 ^ _457_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.prep[2] (net) - 0.09 0.00 0.70 ^ _343_/A1 (sky130_fd_sc_hd__mux2_2) - 0.06 0.26 0.96 ^ _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.06 0.00 0.96 ^ _344_/A (sky130_fd_sc_hd__buf_2) - 0.06 0.17 1.13 ^ _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _025_ (net) - 0.06 0.00 1.13 ^ _457_/D (sky130_fd_sc_hd__dfrtp_2) - 1.13 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.13 data arrival time ------------------------------------------------------------------------------ - 0.93 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.83 0.84 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.25 0.00 0.84 ^ _332_/A1 (sky130_fd_sc_hd__o21a_2) - 0.05 0.29 1.13 ^ _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.05 0.00 1.13 ^ _462_/D (sky130_fd_sc_hd__dfrtp_2) - 1.13 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.13 data arrival time ------------------------------------------------------------------------------ - 0.94 slack (MET) - - -Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.12 0.73 0.73 ^ _464_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.tval[1] (net) - 0.12 0.00 0.73 ^ _326_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.27 1.00 ^ _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.06 0.00 1.00 ^ _327_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.15 1.15 ^ _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.04 0.00 1.15 ^ _464_/D (sky130_fd_sc_hd__dfrtp_2) - 1.15 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.15 data arrival time ------------------------------------------------------------------------------ - 0.96 slack (MET) - - -Startpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.74 0.74 ^ _474_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.count1[1] (net) - 0.14 0.00 0.74 ^ _223_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.28 1.02 ^ _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.07 0.00 1.02 ^ _224_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.16 1.18 ^ _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.04 0.00 1.18 ^ _474_/D (sky130_fd_sc_hd__dfrtp_2) - 1.18 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.18 data arrival time ------------------------------------------------------------------------------ - 0.99 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.83 0.84 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.25 0.00 0.84 ^ _336_/A (sky130_fd_sc_hd__nand2_2) - 0.15 0.22 1.06 v _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.15 0.00 1.06 v _342_/C (sky130_fd_sc_hd__nand3_2) - 0.07 0.13 1.19 ^ _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.07 0.00 1.19 ^ _458_/D (sky130_fd_sc_hd__dfrtp_2) - 1.19 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.07 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.19 data arrival time ------------------------------------------------------------------------------ - 1.00 slack (MET) - - -Startpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.22 0.82 0.82 ^ _465_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[0] (net) - 0.22 0.00 0.82 ^ _323_/B1 (sky130_fd_sc_hd__o2bb2a_2) - 0.07 0.37 1.19 ^ _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.07 0.00 1.19 ^ _465_/D (sky130_fd_sc_hd__dfrtp_2) - 1.19 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.07 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.19 data arrival time ------------------------------------------------------------------------------ - 1.00 slack (MET) - - -Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.12 0.73 0.73 ^ _466_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.tint[1] (net) - 0.12 0.00 0.73 ^ _275_/A (sky130_fd_sc_hd__inv_2) - 0.19 0.20 0.93 v _275_/Y (sky130_fd_sc_hd__inv_2) - 7 0.04 _099_ (net) - 0.19 0.00 0.93 v _321_/A (sky130_fd_sc_hd__xnor2_2) - 0.06 0.27 1.19 ^ _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.06 0.00 1.19 ^ _466_/D (sky130_fd_sc_hd__dfrtp_2) - 1.19 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.19 data arrival time ------------------------------------------------------------------------------ - 1.01 slack (MET) - - -Startpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.16 0.76 0.76 ^ _476_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[3] (net) - 0.16 0.00 0.76 ^ _219_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.29 1.05 ^ _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.07 0.00 1.05 ^ _220_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.16 1.21 ^ _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.04 0.00 1.21 ^ _476_/D (sky130_fd_sc_hd__dfrtp_2) - 1.21 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.21 data arrival time ------------------------------------------------------------------------------ - 1.02 slack (MET) - - -Startpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.77 0.77 ^ _477_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.02 pll_control.count1[4] (net) - 0.17 0.00 0.77 ^ _217_/A1 (sky130_fd_sc_hd__mux2_2) - 0.06 0.29 1.06 ^ _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.06 0.00 1.06 ^ _218_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.15 1.22 ^ _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.04 0.00 1.22 ^ _477_/D (sky130_fd_sc_hd__dfrtp_2) - 1.22 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.22 data arrival time ------------------------------------------------------------------------------ - 1.02 slack (MET) - - -Startpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.16 0.77 0.77 ^ _475_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[2] (net) - 0.16 0.00 0.77 ^ _221_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.29 1.06 ^ _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.07 0.00 1.06 ^ _222_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.22 ^ _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.05 0.00 1.22 ^ _475_/D (sky130_fd_sc_hd__dfrtp_2) - 1.22 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.22 data arrival time ------------------------------------------------------------------------------ - 1.03 slack (MET) - - -Startpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.22 0.82 0.82 ^ _461_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[3] (net) - 0.22 0.00 0.82 ^ _334_/A1 (sky130_fd_sc_hd__o211a_2) - 0.07 0.40 1.22 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.07 0.00 1.22 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 1.22 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.07 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.22 data arrival time ------------------------------------------------------------------------------ - 1.03 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.28 0.85 0.86 ^ _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.28 0.00 0.86 ^ _340_/A (sky130_fd_sc_hd__xnor2_2) - 0.08 0.27 1.13 ^ _340_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.01 _154_ (net) - 0.08 0.00 1.13 ^ _341_/A2 (sky130_fd_sc_hd__a21boi_2) - 0.07 0.10 1.23 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.07 0.00 1.23 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 1.23 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.07 0.18 library hold time - 0.18 data required time ------------------------------------------------------------------------------ - 0.18 data required time - -1.23 data arrival time ------------------------------------------------------------------------------ - 1.04 slack (MET) - - -Startpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.77 0.77 ^ _473_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[0] (net) - 0.17 0.00 0.77 ^ _226_/A1 (sky130_fd_sc_hd__mux2_2) - 0.08 0.30 1.07 ^ _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.08 0.00 1.07 ^ _227_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.17 1.24 ^ _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.05 0.00 1.24 ^ _473_/D (sky130_fd_sc_hd__dfrtp_2) - 1.24 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.24 data arrival time ------------------------------------------------------------------------------ - 1.05 slack (MET) - - -Startpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.21 0.81 0.81 ^ _468_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[3] (net) - 0.21 0.00 0.81 ^ _314_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.30 1.11 ^ _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.06 0.00 1.11 ^ _315_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.15 1.27 ^ _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.04 0.00 1.27 ^ _468_/D (sky130_fd_sc_hd__dfrtp_2) - 1.27 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.27 data arrival time ------------------------------------------------------------------------------ - 1.07 slack (MET) - - -Startpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 0.80 0.81 ^ _460_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.02 pll_control.count0[2] (net) - 0.20 0.00 0.81 ^ _335_/B1 (sky130_fd_sc_hd__a21oi_2) - 0.06 0.10 0.91 v _335_/Y (sky130_fd_sc_hd__a21oi_2) - 1 0.00 _150_ (net) - 0.06 0.00 0.91 v _337_/A2 (sky130_fd_sc_hd__o21ai_2) - 0.07 0.15 1.06 ^ _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.07 0.00 1.06 ^ _338_/B (sky130_fd_sc_hd__and2_2) - 0.07 0.25 1.31 ^ _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.07 0.00 1.31 ^ _339_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.46 ^ _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.05 0.00 1.46 ^ _460_/D (sky130_fd_sc_hd__dfrtp_2) - 1.46 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.46 data arrival time ------------------------------------------------------------------------------ - 1.27 slack (MET) - - -Startpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.78 0.78 ^ _467_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[2] (net) - 0.17 0.00 0.78 ^ _273_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.35 1.13 ^ _273_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _097_ (net) - 0.24 0.00 1.13 ^ _317_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.32 1.45 ^ _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.06 0.00 1.45 ^ _318_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.61 ^ _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.05 0.00 1.61 ^ _467_/D (sky130_fd_sc_hd__dfrtp_2) - 1.61 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.61 data arrival time ------------------------------------------------------------------------------ - 1.41 slack (MET) - - -Startpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.30 0.87 0.87 ^ _469_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.04 pll_control.tint[4] (net) - 0.30 0.00 0.88 ^ _228_/A (sky130_fd_sc_hd__buf_2) - 0.33 0.46 1.33 ^ _228_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _052_ (net) - 0.33 0.00 1.34 ^ _311_/A1 (sky130_fd_sc_hd__o22a_2) - 0.06 0.36 1.70 ^ _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.06 0.00 1.70 ^ _469_/D (sky130_fd_sc_hd__dfrtp_2) - 1.70 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.70 data arrival time ------------------------------------------------------------------------------ - 1.51 slack (MET) - - - -======================= Typical Corner =================================== - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.33 0.34 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.04 0.00 0.34 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.34 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.34 data arrival time ------------------------------------------------------------------------------ - 0.11 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.38 0.38 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.09 0.00 0.38 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.38 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.04 0.22 library hold time - 0.22 data required time ------------------------------------------------------------------------------ - 0.22 data required time - -0.38 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.35 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.35 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.04 0.10 0.46 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.04 0.00 0.46 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.46 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.46 data arrival time ------------------------------------------------------------------------------ - 0.23 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.39 0.40 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.11 0.00 0.40 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.13 0.53 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.03 0.00 0.53 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 0.53 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.53 data arrival time ------------------------------------------------------------------------------ - 0.30 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.35 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.35 ^ _345_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.13 0.48 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.03 0.00 0.48 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.56 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.03 0.00 0.56 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.56 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.56 data arrival time ------------------------------------------------------------------------------ - 0.33 slack (MET) - - -Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.34 0.35 ^ _457_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.prep[2] (net) - 0.05 0.00 0.35 ^ _343_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.13 0.47 ^ _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.04 0.00 0.47 ^ _344_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.09 0.56 ^ _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _025_ (net) - 0.04 0.00 0.57 ^ _457_/D (sky130_fd_sc_hd__dfrtp_2) - 0.57 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.57 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.15 0.43 0.43 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.15 0.00 0.43 ^ _332_/A1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.14 0.57 ^ _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.03 0.00 0.57 ^ _462_/D (sky130_fd_sc_hd__dfrtp_2) - 0.57 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.57 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - -Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.36 0.37 ^ _464_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.tval[1] (net) - 0.07 0.00 0.37 ^ _326_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.13 0.50 ^ _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.03 0.00 0.50 ^ _327_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.58 ^ _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.03 0.00 0.58 ^ _464_/D (sky130_fd_sc_hd__dfrtp_2) - 0.58 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.58 data arrival time ------------------------------------------------------------------------------ - 0.35 slack (MET) - - -Startpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.37 0.38 ^ _474_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.count1[1] (net) - 0.08 0.00 0.38 ^ _223_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.14 0.51 ^ _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.04 0.00 0.51 ^ _224_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.59 ^ _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.03 0.00 0.59 ^ _474_/D (sky130_fd_sc_hd__dfrtp_2) - 0.59 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.59 data arrival time ------------------------------------------------------------------------------ - 0.37 slack (MET) - - -Startpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.42 0.42 ^ _461_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[3] (net) - 0.14 0.00 0.42 ^ _334_/A1 (sky130_fd_sc_hd__o211a_2) - 0.04 0.17 0.60 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.04 0.00 0.60 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 0.60 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.60 data arrival time ------------------------------------------------------------------------------ - 0.37 slack (MET) - - -Startpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.42 0.42 ^ _465_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[0] (net) - 0.14 0.00 0.42 ^ _323_/B1 (sky130_fd_sc_hd__o2bb2a_2) - 0.04 0.18 0.60 ^ _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.04 0.00 0.60 ^ _465_/D (sky130_fd_sc_hd__dfrtp_2) - 0.60 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.60 data arrival time ------------------------------------------------------------------------------ - 0.37 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.15 0.43 0.43 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.15 0.00 0.43 ^ _336_/A (sky130_fd_sc_hd__nand2_2) - 0.07 0.10 0.53 v _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.07 0.00 0.53 v _342_/C (sky130_fd_sc_hd__nand3_2) - 0.04 0.07 0.60 ^ _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.04 0.00 0.60 ^ _458_/D (sky130_fd_sc_hd__dfrtp_2) - 0.60 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.60 data arrival time ------------------------------------------------------------------------------ - 0.38 slack (MET) - - -Startpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.38 0.38 ^ _476_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[3] (net) - 0.09 0.00 0.38 ^ _219_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.14 0.53 ^ _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.04 0.00 0.53 ^ _220_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.61 ^ _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.03 0.00 0.61 ^ _476_/D (sky130_fd_sc_hd__dfrtp_2) - 0.61 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.61 data arrival time ------------------------------------------------------------------------------ - 0.38 slack (MET) - - -Startpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.39 ^ _477_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.02 pll_control.count1[4] (net) - 0.10 0.00 0.39 ^ _217_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.14 0.53 ^ _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.04 0.00 0.53 ^ _218_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.61 ^ _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.03 0.00 0.61 ^ _477_/D (sky130_fd_sc_hd__dfrtp_2) - 0.61 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.61 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.36 0.37 ^ _466_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.tint[1] (net) - 0.07 0.00 0.37 ^ _275_/A (sky130_fd_sc_hd__inv_2) - 0.10 0.10 0.47 v _275_/Y (sky130_fd_sc_hd__inv_2) - 7 0.04 _099_ (net) - 0.10 0.00 0.47 v _321_/A (sky130_fd_sc_hd__xnor2_2) - 0.03 0.14 0.61 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.03 0.00 0.61 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 0.61 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.61 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.39 ^ _475_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[2] (net) - 0.10 0.00 0.39 ^ _221_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.14 0.53 ^ _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.04 0.00 0.53 ^ _222_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.62 ^ _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.03 0.00 0.62 ^ _475_/D (sky130_fd_sc_hd__dfrtp_2) - 0.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.62 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.44 0.44 ^ _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.17 0.00 0.44 ^ _340_/A (sky130_fd_sc_hd__xnor2_2) - 0.05 0.13 0.58 ^ _340_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.01 _154_ (net) - 0.05 0.00 0.58 ^ _341_/A2 (sky130_fd_sc_hd__a21boi_2) - 0.03 0.05 0.62 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.03 0.00 0.62 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 0.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.62 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.39 ^ _473_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[0] (net) - 0.10 0.00 0.39 ^ _226_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.15 0.54 ^ _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.04 0.00 0.54 ^ _227_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.09 0.63 ^ _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.03 0.00 0.63 ^ _473_/D (sky130_fd_sc_hd__dfrtp_2) - 0.63 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.63 data arrival time ------------------------------------------------------------------------------ - 0.40 slack (MET) - - -Startpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.41 0.41 ^ _468_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[3] (net) - 0.13 0.00 0.41 ^ _314_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.15 0.56 ^ _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.03 0.00 0.56 ^ _315_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.64 ^ _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.03 0.00 0.64 ^ _468_/D (sky130_fd_sc_hd__dfrtp_2) - 0.64 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.64 data arrival time ------------------------------------------------------------------------------ - 0.42 slack (MET) - - -Startpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.41 0.41 ^ _460_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.02 pll_control.count0[2] (net) - 0.13 0.00 0.41 ^ _335_/B1 (sky130_fd_sc_hd__a21oi_2) - 0.04 0.04 0.46 v _335_/Y (sky130_fd_sc_hd__a21oi_2) - 1 0.00 _150_ (net) - 0.04 0.00 0.46 v _337_/A2 (sky130_fd_sc_hd__o21ai_2) - 0.04 0.09 0.54 ^ _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.04 0.00 0.54 ^ _338_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.12 0.67 ^ _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.04 0.00 0.67 ^ _339_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.75 ^ _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.03 0.00 0.75 ^ _460_/D (sky130_fd_sc_hd__dfrtp_2) - 0.75 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.75 data arrival time ------------------------------------------------------------------------------ - 0.52 slack (MET) - - -Startpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.39 ^ _467_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[2] (net) - 0.10 0.00 0.39 ^ _273_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 0.59 ^ _273_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _097_ (net) - 0.15 0.00 0.59 ^ _317_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.16 0.75 ^ _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.03 0.00 0.75 ^ _318_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.83 ^ _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.03 0.00 0.83 ^ _467_/D (sky130_fd_sc_hd__dfrtp_2) - 0.83 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.83 data arrival time ------------------------------------------------------------------------------ - 0.60 slack (MET) - - -Startpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.18 0.45 0.45 ^ _469_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.04 pll_control.tint[4] (net) - 0.18 0.00 0.45 ^ _228_/A (sky130_fd_sc_hd__buf_2) - 0.20 0.25 0.71 ^ _228_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _052_ (net) - 0.20 0.00 0.71 ^ _311_/A1 (sky130_fd_sc_hd__o22a_2) - 0.03 0.17 0.88 ^ _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.03 0.00 0.88 ^ _469_/D (sky130_fd_sc_hd__dfrtp_2) - 0.88 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.88 data arrival time ------------------------------------------------------------------------------ - 0.65 slack (MET) - - - -======================= Fastest Corner =================================== - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.21 0.21 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.03 0.00 0.21 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.21 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.21 data arrival time ------------------------------------------------------------------------------ - -0.02 slack (VIOLATED) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.24 0.25 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.06 0.00 0.25 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.25 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.25 data arrival time ------------------------------------------------------------------------------ - 0.01 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.22 0.22 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.04 0.00 0.22 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.03 0.07 0.29 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.03 0.00 0.29 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.29 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.29 data arrival time ------------------------------------------------------------------------------ - 0.06 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.25 0.26 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.08 0.00 0.26 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.02 0.08 0.33 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.02 0.00 0.33 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 0.33 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.33 data arrival time ------------------------------------------------------------------------------ - 0.10 slack (MET) - - -Startpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.22 0.22 ^ _456_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[1] (net) - 0.04 0.00 0.23 ^ _345_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.08 0.31 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.02 0.00 0.31 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.36 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.02 0.00 0.36 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.36 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.36 data arrival time ------------------------------------------------------------------------------ - 0.12 slack (MET) - - -Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.22 0.22 ^ _457_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.prep[2] (net) - 0.03 0.00 0.22 ^ _343_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.08 0.30 ^ _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.02 0.00 0.30 ^ _344_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.06 0.36 ^ _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _025_ (net) - 0.03 0.00 0.36 ^ _457_/D (sky130_fd_sc_hd__dfrtp_2) - 0.36 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.36 data arrival time ------------------------------------------------------------------------------ - 0.12 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.28 0.28 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.11 0.00 0.28 ^ _332_/A1 (sky130_fd_sc_hd__o21a_2) - 0.02 0.08 0.36 ^ _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.02 0.00 0.36 ^ _462_/D (sky130_fd_sc_hd__dfrtp_2) - 0.36 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.36 data arrival time ------------------------------------------------------------------------------ - 0.13 slack (MET) - - -Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.23 0.23 ^ _464_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.tval[1] (net) - 0.05 0.00 0.23 ^ _326_/A0 (sky130_fd_sc_hd__mux2_2) - 0.02 0.08 0.32 ^ _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.02 0.00 0.32 ^ _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.37 ^ _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 0.37 ^ _464_/D (sky130_fd_sc_hd__dfrtp_2) - 0.37 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.37 data arrival time ------------------------------------------------------------------------------ - 0.13 slack (MET) - - -Startpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.27 0.27 ^ _461_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[3] (net) - 0.10 0.00 0.27 ^ _334_/A1 (sky130_fd_sc_hd__o211a_2) - 0.02 0.10 0.37 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.02 0.00 0.37 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 0.37 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.37 data arrival time ------------------------------------------------------------------------------ - 0.14 slack (MET) - - -Startpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.24 0.24 ^ _474_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.count1[1] (net) - 0.06 0.00 0.24 ^ _223_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.09 0.32 ^ _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.02 0.00 0.32 ^ _224_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.38 ^ _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.02 0.00 0.38 ^ _474_/D (sky130_fd_sc_hd__dfrtp_2) - 0.38 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.38 data arrival time ------------------------------------------------------------------------------ - 0.14 slack (MET) - - -Startpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.27 0.27 ^ _465_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[0] (net) - 0.10 0.00 0.27 ^ _323_/B1 (sky130_fd_sc_hd__o2bb2a_2) - 0.03 0.11 0.38 ^ _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.03 0.00 0.38 ^ _465_/D (sky130_fd_sc_hd__dfrtp_2) - 0.38 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.38 data arrival time ------------------------------------------------------------------------------ - 0.15 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.28 0.28 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.11 0.00 0.28 ^ _336_/A (sky130_fd_sc_hd__nand2_2) - 0.05 0.06 0.34 v _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.05 0.00 0.34 v _342_/C (sky130_fd_sc_hd__nand3_2) - 0.03 0.05 0.39 ^ _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.03 0.00 0.39 ^ _458_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.15 slack (MET) - - -Startpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.24 0.24 ^ _476_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[3] (net) - 0.07 0.00 0.24 ^ _219_/A1 (sky130_fd_sc_hd__mux2_2) - 0.03 0.09 0.33 ^ _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.03 0.00 0.33 ^ _220_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.39 ^ _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.02 0.00 0.39 ^ _476_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.25 ^ _477_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.02 pll_control.count1[4] (net) - 0.07 0.00 0.25 ^ _217_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.09 0.34 ^ _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.02 0.00 0.34 ^ _218_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.39 ^ _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.02 0.00 0.39 ^ _477_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.25 ^ _475_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[2] (net) - 0.07 0.00 0.25 ^ _221_/A1 (sky130_fd_sc_hd__mux2_2) - 0.03 0.09 0.34 ^ _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.03 0.00 0.34 ^ _222_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.39 ^ _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.02 0.00 0.39 ^ _475_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.29 0.29 ^ _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.13 0.00 0.29 ^ _340_/A (sky130_fd_sc_hd__xnor2_2) - 0.03 0.08 0.37 ^ _340_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.01 _154_ (net) - 0.03 0.00 0.37 ^ _341_/A2 (sky130_fd_sc_hd__a21boi_2) - 0.02 0.03 0.40 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.02 0.00 0.40 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.23 0.23 ^ _466_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.tint[1] (net) - 0.05 0.00 0.23 ^ _275_/A (sky130_fd_sc_hd__inv_2) - 0.07 0.07 0.30 v _275_/Y (sky130_fd_sc_hd__inv_2) - 7 0.04 _099_ (net) - 0.07 0.00 0.30 v _321_/A (sky130_fd_sc_hd__xnor2_2) - 0.02 0.10 0.40 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.02 0.00 0.40 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.25 ^ _473_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[0] (net) - 0.07 0.00 0.25 ^ _226_/A1 (sky130_fd_sc_hd__mux2_2) - 0.03 0.09 0.34 ^ _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.03 0.00 0.34 ^ _227_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.06 0.40 ^ _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.02 0.00 0.40 ^ _473_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.26 0.27 ^ _468_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[3] (net) - 0.10 0.00 0.27 ^ _314_/A0 (sky130_fd_sc_hd__mux2_2) - 0.02 0.09 0.36 ^ _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.02 0.00 0.36 ^ _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.41 ^ _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 0.41 ^ _468_/D (sky130_fd_sc_hd__dfrtp_2) - 0.41 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.41 data arrival time ------------------------------------------------------------------------------ - 0.18 slack (MET) - - -Startpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.26 0.27 ^ _460_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.02 pll_control.count0[2] (net) - 0.09 0.00 0.27 ^ _335_/B1 (sky130_fd_sc_hd__a21oi_2) - 0.03 0.02 0.29 v _335_/Y (sky130_fd_sc_hd__a21oi_2) - 1 0.00 _150_ (net) - 0.03 0.00 0.29 v _337_/A2 (sky130_fd_sc_hd__o21ai_2) - 0.02 0.06 0.35 ^ _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.02 0.00 0.35 ^ _338_/B (sky130_fd_sc_hd__and2_2) - 0.03 0.07 0.42 ^ _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.03 0.00 0.42 ^ _339_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.47 ^ _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.02 0.00 0.47 ^ _460_/D (sky130_fd_sc_hd__dfrtp_2) - 0.47 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.47 data arrival time ------------------------------------------------------------------------------ - 0.24 slack (MET) - - -Startpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.25 0.25 ^ _467_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[2] (net) - 0.08 0.00 0.25 ^ _273_/A (sky130_fd_sc_hd__buf_2) - 0.12 0.13 0.39 ^ _273_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _097_ (net) - 0.12 0.00 0.39 ^ _317_/A0 (sky130_fd_sc_hd__mux2_2) - 0.02 0.10 0.49 ^ _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.02 0.00 0.49 ^ _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.54 ^ _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 0.54 ^ _467_/D (sky130_fd_sc_hd__dfrtp_2) - 0.54 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.54 data arrival time ------------------------------------------------------------------------------ - 0.31 slack (MET) - - -Startpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.29 0.30 ^ _469_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.04 pll_control.tint[4] (net) - 0.14 0.00 0.30 ^ _228_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.47 ^ _228_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _052_ (net) - 0.15 0.00 0.47 ^ _311_/A1 (sky130_fd_sc_hd__o22a_2) - 0.02 0.09 0.56 ^ _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.02 0.00 0.56 ^ _469_/D (sky130_fd_sc_hd__dfrtp_2) - 0.56 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.56 data arrival time ------------------------------------------------------------------------------ - 0.32 slack (MET) - - -min_report_end -max_report - -=========================================================================== -report_checks -path_delay max (Setup) -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.03 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.03 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.12 0.76 2.24 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.12 0.00 2.24 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.73 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.73 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.76 3.49 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.49 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.80 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.80 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.19 0.83 4.63 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.19 0.00 4.64 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.06 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.06 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.13 0.54 5.60 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.13 0.00 5.60 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.92 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.92 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.23 0.34 6.26 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.23 0.00 6.26 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.76 7.02 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.09 0.00 7.02 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.26 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.04 0.00 7.26 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 7.26 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -7.26 data arrival time ------------------------------------------------------------------------------ - -1.09 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.03 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.03 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.12 0.76 2.24 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.12 0.00 2.24 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.73 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.73 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.76 3.49 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.49 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.80 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.80 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.19 0.83 4.63 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.19 0.00 4.64 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.06 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.06 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.13 0.54 5.60 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.13 0.00 5.60 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.92 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.92 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.23 0.34 6.26 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.23 0.00 6.26 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.02 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.08 0.00 7.02 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.26 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.04 0.00 7.26 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 7.26 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -7.26 data arrival time ------------------------------------------------------------------------------ - -1.08 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.03 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.03 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.12 0.76 2.24 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.12 0.00 2.24 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.73 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.73 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.76 3.49 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.49 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.80 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.80 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.19 0.83 4.63 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.19 0.00 4.64 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.06 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.06 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.13 0.54 5.60 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.13 0.00 5.60 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.92 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.92 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.23 0.34 6.26 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.23 0.00 6.26 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.75 7.02 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.08 0.00 7.02 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.26 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.04 0.00 7.26 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 7.26 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -7.26 data arrival time ------------------------------------------------------------------------------ - -1.08 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.03 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.03 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.12 0.76 2.24 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.12 0.00 2.24 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.73 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.73 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.76 3.49 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.49 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.80 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.80 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.19 0.83 4.63 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.19 0.00 4.64 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.12 0.51 5.14 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.12 0.00 5.14 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.13 0.60 5.74 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.13 0.00 5.74 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.09 0.55 6.30 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.09 0.00 6.30 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.07 0.38 6.67 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.07 0.00 6.67 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.07 0.40 7.07 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.07 0.00 7.07 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 7.07 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.26 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -7.07 data arrival time ------------------------------------------------------------------------------ - -0.91 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.03 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.03 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.12 0.76 2.24 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.12 0.00 2.24 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.73 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.73 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.76 3.49 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.49 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.80 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.80 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.19 0.83 4.63 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.19 0.00 4.64 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.06 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.06 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.13 0.54 5.60 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.13 0.00 5.60 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.92 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.92 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.23 0.34 6.26 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.23 0.00 6.26 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.08 0.50 6.77 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.08 0.00 6.77 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 6.77 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.26 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -6.77 data arrival time ------------------------------------------------------------------------------ - -0.61 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.03 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.03 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.12 0.76 2.24 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.12 0.00 2.24 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.73 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.73 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.76 3.49 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.49 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.80 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.80 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.19 0.83 4.63 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.19 0.00 4.64 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.06 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.06 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.13 0.54 5.60 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.13 0.00 5.60 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.92 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.92 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.23 0.34 6.26 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.23 0.00 6.26 ^ _328_/B (sky130_fd_sc_hd__nand2_2) - 0.06 0.15 6.41 v _328_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 _146_ (net) - 0.06 0.00 6.41 v _329_/B1 (sky130_fd_sc_hd__o21a_2) - 0.06 0.21 6.63 v _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.06 0.00 6.63 v _463_/D (sky130_fd_sc_hd__dfrtp_2) - 6.63 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -6.63 data arrival time ------------------------------------------------------------------------------ - -0.46 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.03 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.03 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.12 0.76 2.24 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.12 0.00 2.24 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.73 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.73 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.76 3.49 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.49 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.80 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.80 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.19 0.83 4.63 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.19 0.00 4.64 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.06 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.06 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.13 0.54 5.60 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.13 0.00 5.60 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.92 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.92 ^ _320_/C1 (sky130_fd_sc_hd__o211a_2) - 0.14 0.43 6.35 ^ _320_/X (sky130_fd_sc_hd__o211a_2) - 1 0.01 _141_ (net) - 0.14 0.00 6.35 ^ _321_/B (sky130_fd_sc_hd__xnor2_2) - 0.10 0.14 6.50 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.10 0.00 6.50 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 6.50 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.27 6.15 library setup time - 6.15 data required time ------------------------------------------------------------------------------ - 6.15 data required time - -6.50 data arrival time ------------------------------------------------------------------------------ - -0.35 slack (VIOLATED) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.90 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.90 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.39 1.29 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.18 0.00 1.29 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.10 0.56 1.85 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.10 0.00 1.85 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.34 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.34 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.16 0.20 2.54 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.16 0.00 2.54 ^ _337_/B1 (sky130_fd_sc_hd__o21ai_2) - 0.06 0.13 2.67 v _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.06 0.00 2.67 v _338_/B (sky130_fd_sc_hd__and2_2) - 0.07 0.41 3.08 v _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.07 0.00 3.08 v _339_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.23 3.31 v _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.04 0.00 3.31 v _460_/D (sky130_fd_sc_hd__dfrtp_2) - 3.31 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -3.31 data arrival time ------------------------------------------------------------------------------ - 2.86 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.95 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.95 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.28 1.23 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.23 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.42 1.65 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.28 0.00 1.65 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.27 0.46 2.11 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _045_ (net) - 0.27 0.00 2.11 ^ _217_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.77 2.88 v _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.09 0.00 2.88 v _218_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 3.12 v _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.04 0.00 3.12 v _477_/D (sky130_fd_sc_hd__dfrtp_2) - 3.12 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -3.12 data arrival time ------------------------------------------------------------------------------ - 3.05 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.90 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.90 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.39 1.29 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.18 0.00 1.29 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.10 0.56 1.85 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.10 0.00 1.85 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.34 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.34 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.16 0.20 2.54 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.16 0.00 2.54 ^ _342_/C (sky130_fd_sc_hd__nand3_2) - 0.11 0.18 2.72 v _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.11 0.00 2.72 v _458_/D (sky130_fd_sc_hd__dfrtp_2) - 2.72 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.28 6.14 library setup time - 6.14 data required time ------------------------------------------------------------------------------ - 6.14 data required time - -2.72 data arrival time ------------------------------------------------------------------------------ - 3.43 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.90 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.90 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.39 1.29 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.18 0.00 1.29 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.10 0.56 1.85 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.10 0.00 1.85 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.34 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.34 v _332_/A2 (sky130_fd_sc_hd__o21a_2) - 0.05 0.38 2.72 v _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.05 0.00 2.72 v _462_/D (sky130_fd_sc_hd__dfrtp_2) - 2.72 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.72 data arrival time ------------------------------------------------------------------------------ - 3.45 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.95 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.95 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.28 1.23 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.23 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.42 1.65 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.28 0.00 1.66 ^ _226_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.79 2.45 v _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.09 0.00 2.45 v _227_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.25 2.70 v _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.05 0.00 2.70 v _473_/D (sky130_fd_sc_hd__dfrtp_2) - 2.70 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.70 data arrival time ------------------------------------------------------------------------------ - 3.47 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.90 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.90 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.39 1.29 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.18 0.00 1.29 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.10 0.56 1.85 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.10 0.00 1.85 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.34 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.34 v _333_/B (sky130_fd_sc_hd__nand2b_2) - 0.07 0.12 2.45 ^ _333_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _149_ (net) - 0.07 0.00 2.45 ^ _334_/B1 (sky130_fd_sc_hd__o211a_2) - 0.07 0.37 2.82 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.07 0.00 2.82 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 2.82 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.13 6.29 library setup time - 6.29 data required time ------------------------------------------------------------------------------ - 6.29 data required time - -2.82 data arrival time ------------------------------------------------------------------------------ - 3.47 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.90 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.90 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.39 1.29 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.18 0.00 1.29 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.10 0.56 1.85 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.10 0.00 1.85 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.34 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.34 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.16 0.20 2.54 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.16 0.00 2.54 ^ _341_/A1 (sky130_fd_sc_hd__a21boi_2) - 0.08 0.14 2.68 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.08 0.00 2.68 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 2.68 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.26 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -2.68 data arrival time ------------------------------------------------------------------------------ - 3.48 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.95 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.95 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.28 1.23 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.23 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.42 1.65 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.28 0.00 1.65 ^ _343_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.78 2.43 v _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.09 0.00 2.43 v _344_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.25 2.69 v _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _025_ (net) - 0.05 0.00 2.69 v _457_/D (sky130_fd_sc_hd__dfrtp_2) - 2.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.69 data arrival time ------------------------------------------------------------------------------ - 3.48 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.95 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.95 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.28 1.23 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.23 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.42 1.65 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.28 0.00 1.66 ^ _221_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.78 2.44 v _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.09 0.00 2.44 v _222_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 2.68 v _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.04 0.00 2.68 v _475_/D (sky130_fd_sc_hd__dfrtp_2) - 2.68 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.68 data arrival time ------------------------------------------------------------------------------ - 3.49 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.95 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.95 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.28 1.23 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.23 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.42 1.65 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.28 0.00 1.66 ^ _219_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.78 2.44 v _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.09 0.00 2.44 v _220_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 2.68 v _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.04 0.00 2.68 v _476_/D (sky130_fd_sc_hd__dfrtp_2) - 2.68 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.68 data arrival time ------------------------------------------------------------------------------ - 3.49 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.95 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.95 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.28 1.23 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.23 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.42 1.65 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.28 0.00 1.66 ^ _223_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.77 2.43 v _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.09 0.00 2.43 v _224_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 2.67 v _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.04 0.00 2.67 v _474_/D (sky130_fd_sc_hd__dfrtp_2) - 2.67 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.67 data arrival time ------------------------------------------------------------------------------ - 3.50 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.95 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.95 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.28 1.23 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.23 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.42 1.65 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.28 0.00 1.65 ^ _345_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.77 2.43 v _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.08 0.00 2.43 v _346_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 2.67 v _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.04 0.00 2.67 v _456_/D (sky130_fd_sc_hd__dfrtp_2) - 2.67 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.67 data arrival time ------------------------------------------------------------------------------ - 3.50 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.95 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.95 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.28 1.23 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.23 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.42 1.65 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.28 0.00 1.65 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.27 0.46 2.11 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _045_ (net) - 0.27 0.00 2.11 ^ _347_/B (sky130_fd_sc_hd__nand2b_2) - 0.09 0.17 2.28 v _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.09 0.00 2.28 v _455_/D (sky130_fd_sc_hd__dfrtp_2) - 2.28 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.27 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -2.28 data arrival time ------------------------------------------------------------------------------ - 3.87 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.95 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.95 v _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.95 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.28 6.14 library setup time - 6.14 data required time ------------------------------------------------------------------------------ - 6.14 data required time - -0.95 data arrival time ------------------------------------------------------------------------------ - 5.19 slack (MET) - - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.86 0.87 v _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.07 0.00 0.87 v _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.26 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.29 slack (MET) - - - -======================= Typical Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.50 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.75 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.75 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.15 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.15 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.38 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.38 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.78 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.78 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.94 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.94 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.43 2.38 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.38 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.58 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.58 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.84 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.84 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.15 2.99 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 2.99 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.19 3.19 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.19 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.54 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.54 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.66 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.66 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.66 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.66 data arrival time ------------------------------------------------------------------------------ - 2.66 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.50 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.75 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.75 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.15 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.15 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.38 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.38 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.78 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.78 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.94 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.94 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.43 2.38 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.38 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.58 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.58 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.84 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.84 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.15 2.99 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 2.99 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.19 3.19 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.19 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.54 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.05 0.00 3.54 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.66 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 3.66 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 3.66 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.66 data arrival time ------------------------------------------------------------------------------ - 2.66 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.50 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.75 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.75 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.15 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.15 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.38 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.38 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.78 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.78 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.94 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.94 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.43 2.38 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.38 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.58 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.58 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.84 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.84 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.15 2.99 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 2.99 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.19 3.19 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.19 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.04 0.36 3.54 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.04 0.00 3.54 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.66 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 3.66 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 3.66 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.66 data arrival time ------------------------------------------------------------------------------ - 2.66 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.50 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.75 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.75 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.15 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.15 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.38 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.38 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.78 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.78 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.94 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.94 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.43 2.38 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.38 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.07 0.27 2.64 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.07 0.00 2.64 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.07 0.33 2.97 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.07 0.00 2.97 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.05 0.30 3.27 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.05 0.00 3.27 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.04 0.18 3.45 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.04 0.00 3.45 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.04 0.20 3.65 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.04 0.00 3.65 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 3.65 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.65 data arrival time ------------------------------------------------------------------------------ - 2.67 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.50 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.75 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.75 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.15 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.15 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.38 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.38 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.78 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.78 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.94 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.94 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.43 2.38 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.38 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.58 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.58 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.84 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.84 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.15 2.99 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 2.99 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.19 3.19 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.19 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.05 0.24 3.43 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.05 0.00 3.43 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 3.43 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.11 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.43 data arrival time ------------------------------------------------------------------------------ - 2.89 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.50 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.75 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.75 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.15 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.15 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.38 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.38 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.78 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.78 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.94 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.94 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.43 2.38 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.38 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.58 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.58 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.84 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.84 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.15 2.99 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 2.99 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.19 3.19 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.19 ^ _328_/B (sky130_fd_sc_hd__nand2_2) - 0.04 0.06 3.25 v _328_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 _146_ (net) - 0.04 0.00 3.25 v _329_/B1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.11 3.36 v _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.03 0.00 3.36 v _463_/D (sky130_fd_sc_hd__dfrtp_2) - 3.36 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.36 data arrival time ------------------------------------------------------------------------------ - 2.96 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.50 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.75 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.75 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.15 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.15 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.38 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.38 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.78 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.78 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.94 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.94 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.43 2.38 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.38 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.58 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.58 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.84 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.84 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.15 2.99 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 2.99 ^ _320_/C1 (sky130_fd_sc_hd__o211a_2) - 0.08 0.20 3.19 ^ _320_/X (sky130_fd_sc_hd__o211a_2) - 1 0.01 _141_ (net) - 0.08 0.00 3.19 ^ _321_/B (sky130_fd_sc_hd__xnor2_2) - 0.11 0.11 3.31 ^ _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.11 0.00 3.31 ^ _466_/D (sky130_fd_sc_hd__dfrtp_2) - 3.31 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -3.31 data arrival time ------------------------------------------------------------------------------ - 3.04 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.63 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.63 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.90 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.90 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.13 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.13 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.10 0.12 1.25 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.10 0.00 1.25 ^ _337_/B1 (sky130_fd_sc_hd__o21ai_2) - 0.04 0.06 1.31 v _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.04 0.00 1.31 v _338_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.19 1.49 v _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.04 0.00 1.49 v _339_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.61 v _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.02 0.00 1.61 v _460_/D (sky130_fd_sc_hd__dfrtp_2) - 1.61 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.61 data arrival time ------------------------------------------------------------------------------ - 4.71 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.46 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.46 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.63 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.63 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.24 0.87 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.87 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.17 0.25 1.13 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _045_ (net) - 0.17 0.00 1.13 ^ _217_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 1.49 v _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.05 0.00 1.49 v _218_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.61 v _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.02 0.00 1.61 v _477_/D (sky130_fd_sc_hd__dfrtp_2) - 1.61 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.61 data arrival time ------------------------------------------------------------------------------ - 4.72 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.46 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.46 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.63 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.63 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.24 0.87 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.87 ^ _226_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.38 1.25 v _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.05 0.00 1.25 v _227_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.13 1.38 v _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.03 0.00 1.38 v _473_/D (sky130_fd_sc_hd__dfrtp_2) - 1.38 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.38 data arrival time ------------------------------------------------------------------------------ - 4.94 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.46 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.46 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.63 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.63 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.24 0.87 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.87 ^ _343_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.24 v _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.05 0.00 1.24 v _344_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.13 1.37 v _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _025_ (net) - 0.03 0.00 1.37 v _457_/D (sky130_fd_sc_hd__dfrtp_2) - 1.37 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.37 data arrival time ------------------------------------------------------------------------------ - 4.96 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.46 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.46 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.63 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.63 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.24 0.87 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.87 ^ _221_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.24 v _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.05 0.00 1.24 v _222_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.37 v _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.02 0.00 1.37 v _475_/D (sky130_fd_sc_hd__dfrtp_2) - 1.37 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.37 data arrival time ------------------------------------------------------------------------------ - 4.96 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.46 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.46 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.63 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.63 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.24 0.87 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.87 ^ _219_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.24 v _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.05 0.00 1.24 v _220_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.36 v _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.02 0.00 1.36 v _476_/D (sky130_fd_sc_hd__dfrtp_2) - 1.36 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.36 data arrival time ------------------------------------------------------------------------------ - 4.96 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.46 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.46 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.63 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.63 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.24 0.87 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.87 ^ _223_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.24 v _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.05 0.00 1.24 v _224_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.36 v _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.02 0.00 1.36 v _474_/D (sky130_fd_sc_hd__dfrtp_2) - 1.36 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.36 data arrival time ------------------------------------------------------------------------------ - 4.96 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.46 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.46 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.63 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.63 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.24 0.87 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.87 ^ _345_/S (sky130_fd_sc_hd__mux2_2) - 0.04 0.36 1.24 v _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.04 0.00 1.24 v _346_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.36 v _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.02 0.00 1.36 v _456_/D (sky130_fd_sc_hd__dfrtp_2) - 1.36 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.36 data arrival time ------------------------------------------------------------------------------ - 4.97 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.63 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.63 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.90 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.90 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.13 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.13 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.10 0.12 1.25 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.10 0.00 1.25 ^ _342_/C (sky130_fd_sc_hd__nand3_2) - 0.06 0.08 1.32 v _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.06 0.00 1.32 v _458_/D (sky130_fd_sc_hd__dfrtp_2) - 1.32 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.11 6.31 library setup time - 6.31 data required time ------------------------------------------------------------------------------ - 6.31 data required time - -1.32 data arrival time ------------------------------------------------------------------------------ - 4.99 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.63 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.63 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.90 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.90 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.13 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.13 v _332_/A2 (sky130_fd_sc_hd__o21a_2) - 0.03 0.20 1.32 v _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.03 0.00 1.32 v _462_/D (sky130_fd_sc_hd__dfrtp_2) - 1.32 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.32 data arrival time ------------------------------------------------------------------------------ - 5.00 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.63 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.63 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.90 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.90 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.13 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.13 v _333_/B (sky130_fd_sc_hd__nand2b_2) - 0.04 0.07 1.19 ^ _333_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _149_ (net) - 0.04 0.00 1.19 ^ _334_/B1 (sky130_fd_sc_hd__o211a_2) - 0.04 0.16 1.36 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.04 0.00 1.36 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 1.36 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.37 library setup time - 6.37 data required time ------------------------------------------------------------------------------ - 6.37 data required time - -1.36 data arrival time ------------------------------------------------------------------------------ - 5.01 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.63 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.63 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.90 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.90 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.13 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.13 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.10 0.12 1.25 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.10 0.00 1.25 ^ _341_/A1 (sky130_fd_sc_hd__a21boi_2) - 0.04 0.06 1.31 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.04 0.00 1.31 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 1.31 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.31 data arrival time ------------------------------------------------------------------------------ - 5.01 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.46 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.46 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.63 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.63 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.24 0.87 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.87 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.17 0.25 1.13 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _045_ (net) - 0.17 0.00 1.13 ^ _347_/B (sky130_fd_sc_hd__nand2b_2) - 0.05 0.08 1.20 v _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.05 0.00 1.20 v _455_/D (sky130_fd_sc_hd__dfrtp_2) - 1.20 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.11 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.20 data arrival time ------------------------------------------------------------------------------ - 5.11 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.46 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.46 v _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.46 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.11 6.31 library setup time - 6.31 data required time ------------------------------------------------------------------------------ - 6.31 data required time - -0.46 data arrival time ------------------------------------------------------------------------------ - 5.84 slack (MET) - - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.42 0.42 v _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.04 0.00 0.42 v _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.42 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -0.42 data arrival time ------------------------------------------------------------------------------ - 5.90 slack (MET) - - - -======================= Fastest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.32 0.32 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.07 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.73 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.73 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.87 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.87 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.11 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.11 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.21 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.21 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.48 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.48 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.12 1.61 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.61 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.77 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.77 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.07 1.85 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.85 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.13 1.98 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 1.98 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.19 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.03 0.00 2.19 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 2.27 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 2.27 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 2.27 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.27 data arrival time ------------------------------------------------------------------------------ - 4.09 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.32 0.32 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.07 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.73 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.73 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.87 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.87 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.11 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.11 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.21 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.21 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.48 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.48 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.12 1.61 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.61 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.77 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.77 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.07 1.85 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.85 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.13 1.98 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 1.98 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.19 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.03 0.00 2.19 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 2.26 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 2.26 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 2.26 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.26 data arrival time ------------------------------------------------------------------------------ - 4.09 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.32 0.32 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.07 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.73 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.73 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.87 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.87 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.11 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.11 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.21 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.21 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.48 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.48 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.12 1.61 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.61 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.77 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.77 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.07 1.85 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.85 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.13 1.98 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 1.98 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.19 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.03 0.00 2.19 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 2.26 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 2.26 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 2.26 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.26 data arrival time ------------------------------------------------------------------------------ - 4.09 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.32 0.32 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.07 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.73 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.73 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.87 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.87 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.11 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.11 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.21 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.21 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.48 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.48 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.05 0.17 1.65 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.05 0.00 1.65 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.05 0.18 1.83 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.05 0.00 1.83 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.04 0.16 1.99 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.04 0.00 1.99 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.03 0.11 2.10 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.03 0.00 2.10 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.03 0.12 2.22 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.03 0.00 2.22 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 2.22 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -2.22 data arrival time ------------------------------------------------------------------------------ - 4.14 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.32 0.32 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.07 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.73 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.73 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.87 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.87 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.11 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.11 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.21 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.21 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.48 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.48 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.12 1.61 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.61 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.77 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.77 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.07 1.85 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.85 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.13 1.98 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 1.98 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.03 0.14 2.12 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.03 0.00 2.12 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 2.12 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -2.12 data arrival time ------------------------------------------------------------------------------ - 4.23 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.32 0.32 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.07 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.73 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.73 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.87 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.87 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.11 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.11 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.21 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.21 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.48 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.48 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.12 1.61 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.61 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.77 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.77 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.07 1.85 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.85 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.13 1.98 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 1.98 ^ _328_/B (sky130_fd_sc_hd__nand2_2) - 0.03 0.03 2.01 v _328_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 _146_ (net) - 0.03 0.00 2.01 v _329_/B1 (sky130_fd_sc_hd__o21a_2) - 0.02 0.07 2.08 v _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.02 0.00 2.08 v _463_/D (sky130_fd_sc_hd__dfrtp_2) - 2.08 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -2.08 data arrival time ------------------------------------------------------------------------------ - 4.27 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.32 0.32 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.07 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.73 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.73 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.87 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.87 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.11 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.11 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.21 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.21 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.48 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.48 v _272_/A (sky130_fd_sc_hd__buf_2) - 0.08 0.16 1.64 v _272_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _096_ (net) - 0.08 0.00 1.64 v _292_/A2 (sky130_fd_sc_hd__o31a_2) - 0.04 0.22 1.86 v _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.86 v _320_/C1 (sky130_fd_sc_hd__o211a_2) - 0.04 0.09 1.96 v _320_/X (sky130_fd_sc_hd__o211a_2) - 1 0.01 _141_ (net) - 0.04 0.00 1.96 v _321_/B (sky130_fd_sc_hd__xnor2_2) - 0.04 0.09 2.04 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.04 0.00 2.04 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 2.04 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -2.04 data arrival time ------------------------------------------------------------------------------ - 4.30 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.29 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.41 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.41 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.58 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.58 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.13 0.17 0.75 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _045_ (net) - 0.13 0.00 0.75 ^ _217_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.96 v _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.03 0.00 0.96 v _218_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 1.04 v _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.02 0.00 1.04 v _477_/D (sky130_fd_sc_hd__dfrtp_2) - 1.04 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -1.04 data arrival time ------------------------------------------------------------------------------ - 5.32 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.27 0.28 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.03 0.00 0.28 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.41 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.41 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.57 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.57 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.72 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.72 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.08 0.09 0.81 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.81 ^ _337_/B1 (sky130_fd_sc_hd__o21ai_2) - 0.02 0.02 0.83 v _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.02 0.00 0.83 v _338_/B (sky130_fd_sc_hd__and2_2) - 0.03 0.11 0.94 v _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.03 0.00 0.94 v _339_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 1.02 v _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.02 0.00 1.02 v _460_/D (sky130_fd_sc_hd__dfrtp_2) - 1.02 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -1.02 data arrival time ------------------------------------------------------------------------------ - 5.34 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.29 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.41 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.41 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.58 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.58 ^ _226_/S (sky130_fd_sc_hd__mux2_2) - 0.04 0.22 0.80 v _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.04 0.00 0.80 v _227_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.88 v _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.02 0.00 0.88 v _473_/D (sky130_fd_sc_hd__dfrtp_2) - 0.88 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.88 data arrival time ------------------------------------------------------------------------------ - 5.47 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.29 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.41 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.41 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.58 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.58 ^ _219_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.22 0.80 v _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.03 0.00 0.80 v _220_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.87 v _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.02 0.00 0.87 v _476_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.48 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.29 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.41 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.41 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.58 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.58 ^ _343_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.79 v _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.03 0.00 0.79 v _344_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.87 v _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _025_ (net) - 0.02 0.00 0.87 v _457_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.48 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.29 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.41 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.41 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.58 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.58 ^ _221_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.22 0.80 v _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.03 0.00 0.80 v _222_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.87 v _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.02 0.00 0.87 v _475_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.48 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.29 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.41 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.41 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.58 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.58 ^ _223_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.79 v _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.03 0.00 0.79 v _224_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.87 v _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.02 0.00 0.87 v _474_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.49 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.29 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.41 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.41 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.58 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.58 ^ _345_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.79 v _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.03 0.00 0.79 v _346_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.87 v _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.02 0.00 0.87 v _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.49 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.27 0.28 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.03 0.00 0.28 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.41 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.41 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.57 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.57 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.72 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.72 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.08 0.09 0.81 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.81 ^ _342_/C (sky130_fd_sc_hd__nand3_2) - 0.04 0.04 0.85 v _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.04 0.00 0.85 v _458_/D (sky130_fd_sc_hd__dfrtp_2) - 0.85 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.85 data arrival time ------------------------------------------------------------------------------ - 5.50 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.27 0.28 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.03 0.00 0.28 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.41 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.41 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.57 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.57 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.72 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.72 v _332_/A2 (sky130_fd_sc_hd__o21a_2) - 0.02 0.12 0.84 v _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.02 0.00 0.84 v _462_/D (sky130_fd_sc_hd__dfrtp_2) - 0.84 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.84 data arrival time ------------------------------------------------------------------------------ - 5.51 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.27 0.28 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.03 0.00 0.28 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.41 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.41 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.57 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.57 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.72 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.72 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.08 0.09 0.81 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.81 ^ _341_/A1 (sky130_fd_sc_hd__a21boi_2) - 0.03 0.03 0.84 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.03 0.00 0.84 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 0.84 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.84 data arrival time ------------------------------------------------------------------------------ - 5.51 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.27 0.28 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.03 0.00 0.28 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.41 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.41 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.57 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.57 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.72 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.72 v _333_/B (sky130_fd_sc_hd__nand2b_2) - 0.03 0.05 0.77 ^ _333_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _149_ (net) - 0.03 0.00 0.77 ^ _334_/B1 (sky130_fd_sc_hd__o211a_2) - 0.03 0.08 0.85 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.03 0.00 0.85 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 0.85 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.03 6.39 library setup time - 6.39 data required time ------------------------------------------------------------------------------ - 6.39 data required time - -0.85 data arrival time ------------------------------------------------------------------------------ - 5.54 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.29 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.41 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.41 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.58 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.58 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.13 0.17 0.75 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _045_ (net) - 0.13 0.00 0.75 ^ _347_/B (sky130_fd_sc_hd__nand2b_2) - 0.03 0.04 0.79 v _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.03 0.00 0.79 v _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.79 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.79 data arrival time ------------------------------------------------------------------------------ - 5.56 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.29 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.30 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.08 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.30 data arrival time ------------------------------------------------------------------------------ - 6.05 slack (MET) - - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.26 0.26 v _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.03 0.00 0.26 v _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.26 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.26 data arrival time ------------------------------------------------------------------------------ - 6.09 slack (MET) - - -max_report_end -check_report - -=========================================================================== -report_checks -unconstrained -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.03 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.03 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.12 0.76 2.24 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.12 0.00 2.24 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.73 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.73 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.76 3.49 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.49 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.80 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.80 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.19 0.83 4.63 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.19 0.00 4.64 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.06 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.06 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.13 0.54 5.60 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.13 0.00 5.60 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.92 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.92 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.23 0.34 6.26 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.23 0.00 6.26 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.76 7.02 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.09 0.00 7.02 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.26 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.04 0.00 7.26 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 7.26 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -7.26 data arrival time ------------------------------------------------------------------------------ - -1.09 slack (VIOLATED) - - - -======================= Typical Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.50 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.75 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.75 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.15 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.15 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.38 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.38 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.78 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.78 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.94 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.94 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.43 2.38 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.38 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.58 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.58 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.84 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.84 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.15 2.99 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 2.99 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.19 3.19 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.19 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.54 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.54 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.66 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.66 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.66 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.66 data arrival time ------------------------------------------------------------------------------ - 2.66 slack (MET) - - - -======================= Fastest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.32 0.32 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.07 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.73 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.73 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.87 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.87 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.11 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.11 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.21 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.21 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.48 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.48 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.12 1.61 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.61 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.77 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.77 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.07 1.85 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.85 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.13 1.98 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 1.98 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.19 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.03 0.00 2.19 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 2.27 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 2.27 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 2.27 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.27 data arrival time ------------------------------------------------------------------------------ - 4.09 slack (MET) - - - -=========================================================================== -report_checks --slack_max -0.01 -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 0.00 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.03 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.03 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.48 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.48 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.12 0.76 2.24 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.12 0.00 2.24 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.73 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.73 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.76 3.49 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.49 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.80 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.80 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.19 0.83 4.63 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.19 0.00 4.64 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.06 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.06 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.13 0.54 5.60 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.13 0.00 5.60 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.92 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.92 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.23 0.34 6.26 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.23 0.00 6.26 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.76 7.02 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.09 0.00 7.02 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.26 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.04 0.00 7.26 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 7.26 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.07 pll_control.clock (net) - 0.14 0.00 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -7.26 data arrival time ------------------------------------------------------------------------------ - -1.09 slack (VIOLATED) - - - -======================= Typical Corner =================================== - -No paths found. - -======================= Fastest Corner =================================== - -No paths found. -check_report_end -check_slew - -=========================================================================== - report_check_types -max_slew -max_cap -max_fanout -violators -============================================================================ - -======================= Slowest Corner =================================== - -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -======================= Typical Corner =================================== - -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -======================= Fastest Corner =================================== - -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -=========================================================================== -max slew violation count 0 -max fanout violation count 5 -max cap violation count 0 -============================================================================ -check_slew_end -tns_report - -=========================================================================== - report_tns -============================================================================ -tns -5.59 -tns_report_end -wns_report - -=========================================================================== - report_wns -============================================================================ -wns -1.09 -wns_report_end -worst_slack - -=========================================================================== - report_worst_slack -max (Setup) -============================================================================ -worst slack -1.09 - -=========================================================================== - report_worst_slack -min (Hold) -============================================================================ -worst slack -0.02 -worst_slack_end -power_report - -=========================================================================== - report_power -============================================================================ - - -======================= Slowest Corner ================================= - -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.15e-04 1.35e-05 3.37e-07 1.29e-04 27.3% -Combinational 1.54e-04 1.87e-04 1.72e-06 3.43e-04 72.7% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 2.69e-04 2.01e-04 2.05e-06 4.72e-04 100.0% - 57.1% 42.5% 0.4% - -======================= Typical Corner =================================== - -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.50e-04 1.73e-05 1.84e-10 1.67e-04 28.2% -Combinational 1.88e-04 2.38e-04 1.85e-09 4.26e-04 71.8% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 3.38e-04 2.56e-04 2.03e-09 5.94e-04 100.0% - 56.9% 43.1% 0.0% - - -======================= Fastest Corner ================================= - -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.74e-04 2.05e-05 3.12e-10 1.94e-04 28.3% -Combinational 2.10e-04 2.82e-04 4.25e-09 4.92e-04 71.7% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 3.84e-04 3.03e-04 4.56e-09 6.86e-04 100.0% - 55.9% 44.1% 0.0% -power_report_end -area_report - -=========================================================================== - report_design_area -============================================================================ -Design area 3781 u^2 68% utilization. -area_report_end -Setting global connections for newly added cells... -[WARNING] Did not save OpenROAD database! -Writing SDF files for all corners... -Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_min/digital_pll.ff.sdf... -Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_min/digital_pll.ss.sdf... -Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_min/digital_pll.tt.sdf... diff --git a/signoff/digital_pll/openlane-signoff/17-parasitics_extraction.max.log b/signoff/digital_pll/openlane-signoff/17-parasitics_extraction.max.log deleted file mode 100644 index 1fdb472e..00000000 --- a/signoff/digital_pll/openlane-signoff/17-parasitics_extraction.max.log +++ /dev/null @@ -1,40 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.max.lef -[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later. -The LEF parser will ignore this statement. -To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.max.lef at line 930. - -[INFO ODB-0223] Created 13 technology layers -[INFO ODB-0224] Created 25 technology vias -[INFO ODB-0225] Created 441 library cells -[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.max.lef -[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -[INFO ODB-0128] Design: digital_pll -[INFO ODB-0130] Created 39 pins. -[INFO ODB-0131] Created 1093 components and 5448 component-terminals. -[INFO ODB-0132] Created 2 special nets and 4222 connections. -[INFO ODB-0133] Created 371 nets and 1225 connections. -[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre'... -[INFO RCX-0431] Defined process_corner X with ext_model_index 0 -[INFO RCX-0029] Defined extraction corner X -[INFO RCX-0008] extracting parasitics of digital_pll ... -[INFO RCX-0435] Reading extraction model file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre ... -[INFO RCX-0436] RC segment generation digital_pll (max_merge_res 50.0) ... -[INFO RCX-0040] Final 1821 rc segments -[INFO RCX-0439] Coupling Cap extraction digital_pll ... -[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded. -[INFO RCX-0043] 2698 wires to be extracted -[INFO RCX-0442] 52% completion -- 1411 wires have been extracted -[INFO RCX-0442] 100% completion -- 2698 wires have been extracted -[INFO RCX-0045] Extract 371 nets, 2192 rsegs, 2192 caps, 2962 ccs -[INFO RCX-0015] Finished extracting digital_pll. -Writing result to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_max/digital_pll.spef... -Setting global connections for newly added cells... -[WARNING] Did not save OpenROAD database! -Writing extracted parasitics to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_max/digital_pll.spef... -[INFO RCX-0016] Writing SPEF ... -[INFO RCX-0443] 371 nets finished -[INFO RCX-0017] Finished writing SPEF ... diff --git a/signoff/digital_pll/openlane-signoff/18-rcx_mcsta.max.log b/signoff/digital_pll/openlane-signoff/18-rcx_mcsta.max.log deleted file mode 100644 index 5a65bf1e..00000000 --- a/signoff/digital_pll/openlane-signoff/18-rcx_mcsta.max.log +++ /dev/null @@ -1,6565 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.odb -min_report - -=========================================================================== -report_checks -path_delay min (Hold) -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.68 0.69 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.07 0.00 0.69 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.69 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -0.69 data arrival time ------------------------------------------------------------------------------ - 0.49 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.16 0.76 0.78 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.02 pll_control.oscbuf[1] (net) - 0.16 0.00 0.78 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.78 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.08 0.18 library hold time - 0.18 data required time ------------------------------------------------------------------------------ - 0.18 data required time - -0.78 data arrival time ------------------------------------------------------------------------------ - 0.60 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.71 0.72 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.10 0.00 0.72 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.07 0.19 0.92 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.07 0.00 0.92 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.92 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -0.92 data arrival time ------------------------------------------------------------------------------ - 0.72 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.18 0.79 0.80 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.18 0.00 0.80 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.06 0.28 1.08 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.06 0.00 1.08 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 1.08 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.08 data arrival time ------------------------------------------------------------------------------ - 0.88 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.71 0.72 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.10 0.00 0.72 ^ _345_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.26 0.98 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.06 0.00 0.98 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.14 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.05 0.00 1.14 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 1.14 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.21 library hold time - 0.21 data required time ------------------------------------------------------------------------------ - 0.21 data required time - -1.14 data arrival time ------------------------------------------------------------------------------ - 0.94 slack (MET) - - -Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.70 0.71 ^ _457_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.prep[2] (net) - 0.09 0.00 0.72 ^ _343_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.26 0.98 ^ _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.07 0.00 0.98 ^ _344_/A (sky130_fd_sc_hd__buf_2) - 0.06 0.17 1.15 ^ _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.06 0.00 1.15 ^ _457_/D (sky130_fd_sc_hd__dfrtp_2) - 1.15 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.15 data arrival time ------------------------------------------------------------------------------ - 0.95 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.26 0.84 0.85 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.26 0.00 0.86 ^ _332_/A1 (sky130_fd_sc_hd__o21a_2) - 0.05 0.30 1.15 ^ _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.05 0.00 1.15 ^ _462_/D (sky130_fd_sc_hd__dfrtp_2) - 1.15 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.15 data arrival time ------------------------------------------------------------------------------ - 0.95 slack (MET) - - -Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.74 0.75 ^ _464_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.tval[1] (net) - 0.13 0.00 0.75 ^ _326_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.27 1.02 ^ _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.06 0.00 1.02 ^ _327_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.15 1.17 ^ _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.04 0.00 1.17 ^ _464_/D (sky130_fd_sc_hd__dfrtp_2) - 1.17 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.17 data arrival time ------------------------------------------------------------------------------ - 0.97 slack (MET) - - -Startpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.75 0.76 ^ _474_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.count1[1] (net) - 0.14 0.00 0.76 ^ _223_/A1 (sky130_fd_sc_hd__mux2_2) - 0.06 0.28 1.03 ^ _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.06 0.00 1.03 ^ _224_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.19 ^ _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.05 0.00 1.19 ^ _474_/D (sky130_fd_sc_hd__dfrtp_2) - 1.19 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.19 data arrival time ------------------------------------------------------------------------------ - 0.99 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.26 0.84 0.85 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.26 0.00 0.86 ^ _336_/A (sky130_fd_sc_hd__nand2_2) - 0.16 0.23 1.08 v _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.16 0.00 1.08 v _342_/C (sky130_fd_sc_hd__nand3_2) - 0.07 0.13 1.22 ^ _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.07 0.00 1.22 ^ _458_/D (sky130_fd_sc_hd__dfrtp_2) - 1.22 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.22 data arrival time ------------------------------------------------------------------------------ - 1.02 slack (MET) - - -Startpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.24 0.83 0.84 ^ _465_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[0] (net) - 0.24 0.00 0.84 ^ _323_/B1 (sky130_fd_sc_hd__o2bb2a_2) - 0.07 0.38 1.22 ^ _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.07 0.00 1.22 ^ _465_/D (sky130_fd_sc_hd__dfrtp_2) - 1.22 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.07 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.22 data arrival time ------------------------------------------------------------------------------ - 1.02 slack (MET) - - -Startpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.16 0.77 0.77 ^ _476_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[3] (net) - 0.16 0.00 0.77 ^ _219_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.30 1.07 ^ _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.07 0.00 1.07 ^ _220_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.16 1.23 ^ _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.04 0.00 1.23 ^ _476_/D (sky130_fd_sc_hd__dfrtp_2) - 1.23 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.23 data arrival time ------------------------------------------------------------------------------ - 1.03 slack (MET) - - -Startpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.78 0.79 ^ _477_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.02 pll_control.count1[4] (net) - 0.17 0.00 0.79 ^ _217_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.29 1.08 ^ _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.07 0.00 1.08 ^ _218_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.15 1.24 ^ _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.04 0.00 1.24 ^ _477_/D (sky130_fd_sc_hd__dfrtp_2) - 1.24 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.24 data arrival time ------------------------------------------------------------------------------ - 1.03 slack (MET) - - -Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.74 0.75 ^ _466_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.tint[1] (net) - 0.13 0.00 0.75 ^ _275_/A (sky130_fd_sc_hd__inv_2) - 0.21 0.21 0.96 v _275_/Y (sky130_fd_sc_hd__inv_2) - 7 0.05 _099_ (net) - 0.21 0.00 0.96 v _321_/A (sky130_fd_sc_hd__xnor2_2) - 0.07 0.28 1.24 ^ _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.07 0.00 1.24 ^ _466_/D (sky130_fd_sc_hd__dfrtp_2) - 1.24 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.24 data arrival time ------------------------------------------------------------------------------ - 1.04 slack (MET) - - -Startpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.77 0.78 ^ _475_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[2] (net) - 0.17 0.00 0.78 ^ _221_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.30 1.08 ^ _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.07 0.00 1.08 ^ _222_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.24 ^ _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.05 0.00 1.25 ^ _475_/D (sky130_fd_sc_hd__dfrtp_2) - 1.25 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.25 data arrival time ------------------------------------------------------------------------------ - 1.05 slack (MET) - - -Startpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.24 0.83 0.84 ^ _461_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[3] (net) - 0.24 0.00 0.84 ^ _334_/A1 (sky130_fd_sc_hd__o211a_2) - 0.07 0.40 1.25 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.07 0.00 1.25 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 1.25 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.25 data arrival time ------------------------------------------------------------------------------ - 1.05 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.28 0.86 0.88 ^ _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.28 0.00 0.88 ^ _340_/A (sky130_fd_sc_hd__xnor2_2) - 0.08 0.27 1.15 ^ _340_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.01 _154_ (net) - 0.08 0.00 1.15 ^ _341_/A2 (sky130_fd_sc_hd__a21boi_2) - 0.07 0.10 1.25 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.07 0.00 1.25 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 1.25 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.07 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.25 data arrival time ------------------------------------------------------------------------------ - 1.06 slack (MET) - - -Startpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.78 0.78 ^ _473_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[0] (net) - 0.17 0.00 0.78 ^ _226_/A1 (sky130_fd_sc_hd__mux2_2) - 0.08 0.31 1.09 ^ _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.08 0.00 1.10 ^ _227_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.17 1.27 ^ _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.05 0.00 1.27 ^ _473_/D (sky130_fd_sc_hd__dfrtp_2) - 1.27 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.27 data arrival time ------------------------------------------------------------------------------ - 1.07 slack (MET) - - -Startpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.22 0.82 0.83 ^ _468_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[3] (net) - 0.22 0.00 0.83 ^ _314_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.31 1.14 ^ _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.06 0.00 1.14 ^ _315_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.15 1.29 ^ _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.04 0.00 1.29 ^ _468_/D (sky130_fd_sc_hd__dfrtp_2) - 1.29 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.29 data arrival time ------------------------------------------------------------------------------ - 1.09 slack (MET) - - -Startpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.21 0.81 0.83 ^ _460_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.02 pll_control.count0[2] (net) - 0.21 0.00 0.83 ^ _335_/B1 (sky130_fd_sc_hd__a21oi_2) - 0.07 0.11 0.93 v _335_/Y (sky130_fd_sc_hd__a21oi_2) - 1 0.00 _150_ (net) - 0.07 0.00 0.93 v _337_/A2 (sky130_fd_sc_hd__o21ai_2) - 0.07 0.16 1.09 ^ _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.07 0.00 1.09 ^ _338_/B (sky130_fd_sc_hd__and2_2) - 0.07 0.25 1.34 ^ _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.07 0.00 1.34 ^ _339_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.50 ^ _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.05 0.00 1.50 ^ _460_/D (sky130_fd_sc_hd__dfrtp_2) - 1.50 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.21 library hold time - 0.21 data required time ------------------------------------------------------------------------------ - 0.21 data required time - -1.50 data arrival time ------------------------------------------------------------------------------ - 1.29 slack (MET) - - -Startpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.18 0.79 0.80 ^ _467_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[2] (net) - 0.18 0.00 0.80 ^ _273_/A (sky130_fd_sc_hd__buf_2) - 0.25 0.36 1.17 ^ _273_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _097_ (net) - 0.25 0.00 1.17 ^ _317_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.32 1.49 ^ _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.06 0.00 1.49 ^ _318_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.65 ^ _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.05 0.00 1.65 ^ _467_/D (sky130_fd_sc_hd__dfrtp_2) - 1.65 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.65 data arrival time ------------------------------------------------------------------------------ - 1.44 slack (MET) - - -Startpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.32 0.89 0.90 ^ _469_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.04 pll_control.tint[4] (net) - 0.32 0.00 0.90 ^ _228_/A (sky130_fd_sc_hd__buf_2) - 0.34 0.48 1.38 ^ _228_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _052_ (net) - 0.34 0.00 1.38 ^ _311_/A1 (sky130_fd_sc_hd__o22a_2) - 0.06 0.37 1.75 ^ _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.06 0.00 1.75 ^ _469_/D (sky130_fd_sc_hd__dfrtp_2) - 1.75 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.75 data arrival time ------------------------------------------------------------------------------ - 1.55 slack (MET) - - - -======================= Typical Corner =================================== - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.34 0.35 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.04 0.00 0.35 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.35 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.03 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.35 data arrival time ------------------------------------------------------------------------------ - 0.11 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.39 0.40 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.02 pll_control.oscbuf[1] (net) - 0.09 0.00 0.40 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.04 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.37 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.37 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.04 0.11 0.48 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.04 0.00 0.48 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.48 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.03 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.48 data arrival time ------------------------------------------------------------------------------ - 0.24 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.40 0.41 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.11 0.00 0.41 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.13 0.55 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.03 0.00 0.55 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 0.55 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.55 data arrival time ------------------------------------------------------------------------------ - 0.31 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.37 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.37 ^ _345_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.13 0.50 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.03 0.00 0.50 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.09 0.58 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.03 0.00 0.58 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.58 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.58 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - -Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.35 0.36 ^ _457_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.prep[2] (net) - 0.05 0.00 0.36 ^ _343_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.13 0.49 ^ _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.04 0.00 0.49 ^ _344_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.09 0.58 ^ _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.04 0.00 0.58 ^ _457_/D (sky130_fd_sc_hd__dfrtp_2) - 0.58 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.03 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.58 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.16 0.43 0.45 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.16 0.00 0.45 ^ _332_/A1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.14 0.59 ^ _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.03 0.00 0.59 ^ _462_/D (sky130_fd_sc_hd__dfrtp_2) - 0.59 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.59 data arrival time ------------------------------------------------------------------------------ - 0.35 slack (MET) - - -Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.37 0.38 ^ _464_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.tval[1] (net) - 0.08 0.00 0.38 ^ _326_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.13 0.51 ^ _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.03 0.00 0.51 ^ _327_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.59 ^ _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.03 0.00 0.60 ^ _464_/D (sky130_fd_sc_hd__dfrtp_2) - 0.60 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.60 data arrival time ------------------------------------------------------------------------------ - 0.36 slack (MET) - - -Startpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.38 0.38 ^ _474_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.count1[1] (net) - 0.08 0.00 0.38 ^ _223_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.14 0.52 ^ _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.04 0.00 0.52 ^ _224_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.60 ^ _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.03 0.00 0.60 ^ _474_/D (sky130_fd_sc_hd__dfrtp_2) - 0.60 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.60 data arrival time ------------------------------------------------------------------------------ - 0.37 slack (MET) - - -Startpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.15 0.43 0.44 ^ _461_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[3] (net) - 0.15 0.00 0.44 ^ _334_/A1 (sky130_fd_sc_hd__o211a_2) - 0.04 0.18 0.62 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.04 0.00 0.62 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 0.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.62 data arrival time ------------------------------------------------------------------------------ - 0.38 slack (MET) - - -Startpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.15 0.42 0.44 ^ _465_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[0] (net) - 0.15 0.00 0.44 ^ _323_/B1 (sky130_fd_sc_hd__o2bb2a_2) - 0.04 0.18 0.62 ^ _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.04 0.00 0.62 ^ _465_/D (sky130_fd_sc_hd__dfrtp_2) - 0.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.62 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.16 0.43 0.45 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.16 0.00 0.45 ^ _336_/A (sky130_fd_sc_hd__nand2_2) - 0.08 0.10 0.55 v _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.55 v _342_/C (sky130_fd_sc_hd__nand3_2) - 0.04 0.07 0.63 ^ _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.04 0.00 0.63 ^ _458_/D (sky130_fd_sc_hd__dfrtp_2) - 0.63 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.63 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.40 ^ _477_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.02 pll_control.count1[4] (net) - 0.10 0.00 0.40 ^ _217_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.14 0.55 ^ _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.04 0.00 0.55 ^ _218_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.63 ^ _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.03 0.00 0.63 ^ _477_/D (sky130_fd_sc_hd__dfrtp_2) - 0.63 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.63 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.39 ^ _476_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[3] (net) - 0.10 0.00 0.39 ^ _219_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.15 0.54 ^ _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.04 0.00 0.54 ^ _220_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.62 ^ _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.03 0.00 0.62 ^ _476_/D (sky130_fd_sc_hd__dfrtp_2) - 0.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.62 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.40 ^ _475_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[2] (net) - 0.10 0.00 0.40 ^ _221_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.15 0.55 ^ _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.04 0.00 0.55 ^ _222_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.09 0.63 ^ _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.03 0.00 0.63 ^ _475_/D (sky130_fd_sc_hd__dfrtp_2) - 0.63 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.63 data arrival time ------------------------------------------------------------------------------ - 0.40 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.18 0.45 0.46 ^ _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.18 0.00 0.46 ^ _340_/A (sky130_fd_sc_hd__xnor2_2) - 0.05 0.13 0.59 ^ _340_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.01 _154_ (net) - 0.05 0.00 0.59 ^ _341_/A2 (sky130_fd_sc_hd__a21boi_2) - 0.03 0.05 0.64 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.03 0.00 0.64 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 0.64 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.64 data arrival time ------------------------------------------------------------------------------ - 0.40 slack (MET) - - -Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.37 0.38 ^ _466_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.tint[1] (net) - 0.08 0.00 0.38 ^ _275_/A (sky130_fd_sc_hd__inv_2) - 0.11 0.11 0.49 v _275_/Y (sky130_fd_sc_hd__inv_2) - 7 0.05 _099_ (net) - 0.11 0.00 0.49 v _321_/A (sky130_fd_sc_hd__xnor2_2) - 0.04 0.15 0.64 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.04 0.00 0.64 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 0.64 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.64 data arrival time ------------------------------------------------------------------------------ - 0.40 slack (MET) - - -Startpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.40 ^ _473_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[0] (net) - 0.10 0.00 0.40 ^ _226_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.15 0.55 ^ _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.04 0.00 0.55 ^ _227_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.09 0.64 ^ _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.03 0.00 0.64 ^ _473_/D (sky130_fd_sc_hd__dfrtp_2) - 0.64 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.64 data arrival time ------------------------------------------------------------------------------ - 0.41 slack (MET) - - -Startpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.42 0.43 ^ _468_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[3] (net) - 0.14 0.00 0.43 ^ _314_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.15 0.58 ^ _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.03 0.00 0.58 ^ _315_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.66 ^ _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.03 0.00 0.66 ^ _468_/D (sky130_fd_sc_hd__dfrtp_2) - 0.66 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.66 data arrival time ------------------------------------------------------------------------------ - 0.42 slack (MET) - - -Startpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.41 0.43 ^ _460_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.02 pll_control.count0[2] (net) - 0.13 0.00 0.43 ^ _335_/B1 (sky130_fd_sc_hd__a21oi_2) - 0.04 0.04 0.47 v _335_/Y (sky130_fd_sc_hd__a21oi_2) - 1 0.00 _150_ (net) - 0.04 0.00 0.47 v _337_/A2 (sky130_fd_sc_hd__o21ai_2) - 0.04 0.09 0.57 ^ _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.04 0.00 0.57 ^ _338_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.12 0.69 ^ _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.04 0.00 0.69 ^ _339_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.09 0.77 ^ _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.03 0.00 0.77 ^ _460_/D (sky130_fd_sc_hd__dfrtp_2) - 0.77 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.77 data arrival time ------------------------------------------------------------------------------ - 0.53 slack (MET) - - -Startpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.40 0.41 ^ _467_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[2] (net) - 0.11 0.00 0.41 ^ _273_/A (sky130_fd_sc_hd__buf_2) - 0.16 0.20 0.62 ^ _273_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _097_ (net) - 0.16 0.00 0.62 ^ _317_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.16 0.78 ^ _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.03 0.00 0.78 ^ _318_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.86 ^ _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.03 0.00 0.86 ^ _467_/D (sky130_fd_sc_hd__dfrtp_2) - 0.86 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.86 data arrival time ------------------------------------------------------------------------------ - 0.62 slack (MET) - - -Startpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 0.46 0.47 ^ _469_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.04 pll_control.tint[4] (net) - 0.20 0.00 0.48 ^ _228_/A (sky130_fd_sc_hd__buf_2) - 0.21 0.26 0.74 ^ _228_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _052_ (net) - 0.21 0.00 0.74 ^ _311_/A1 (sky130_fd_sc_hd__o22a_2) - 0.03 0.18 0.92 ^ _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.03 0.00 0.92 ^ _469_/D (sky130_fd_sc_hd__dfrtp_2) - 0.92 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.92 data arrival time ------------------------------------------------------------------------------ - 0.68 slack (MET) - - - -======================= Fastest Corner =================================== - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.21 0.22 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.03 0.00 0.22 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.22 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.22 data arrival time ------------------------------------------------------------------------------ - -0.02 slack (VIOLATED) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.24 0.26 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.02 pll_control.oscbuf[1] (net) - 0.07 0.00 0.26 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.26 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.26 data arrival time ------------------------------------------------------------------------------ - 0.02 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.22 0.24 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.04 0.00 0.24 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.03 0.07 0.31 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.03 0.00 0.31 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.31 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.31 data arrival time ------------------------------------------------------------------------------ - 0.06 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.26 0.27 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.08 0.00 0.27 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.02 0.08 0.35 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.02 0.00 0.35 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 0.35 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.35 data arrival time ------------------------------------------------------------------------------ - 0.10 slack (MET) - - -Startpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.22 0.24 ^ _456_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[1] (net) - 0.04 0.00 0.24 ^ _345_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.08 0.32 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.02 0.00 0.32 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.06 0.37 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.02 0.00 0.37 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.37 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.37 data arrival time ------------------------------------------------------------------------------ - 0.13 slack (MET) - - -Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.22 0.23 ^ _457_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.prep[2] (net) - 0.04 0.00 0.23 ^ _343_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.08 0.31 ^ _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.02 0.00 0.31 ^ _344_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.06 0.38 ^ _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.03 0.00 0.38 ^ _457_/D (sky130_fd_sc_hd__dfrtp_2) - 0.38 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.38 data arrival time ------------------------------------------------------------------------------ - 0.13 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.12 0.28 0.29 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.12 0.00 0.29 ^ _332_/A1 (sky130_fd_sc_hd__o21a_2) - 0.02 0.08 0.38 ^ _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.02 0.00 0.38 ^ _462_/D (sky130_fd_sc_hd__dfrtp_2) - 0.38 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.38 data arrival time ------------------------------------------------------------------------------ - 0.13 slack (MET) - - -Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.23 0.24 ^ _464_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.tval[1] (net) - 0.05 0.00 0.24 ^ _326_/A0 (sky130_fd_sc_hd__mux2_2) - 0.02 0.08 0.33 ^ _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.02 0.00 0.33 ^ _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.38 ^ _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 0.38 ^ _464_/D (sky130_fd_sc_hd__dfrtp_2) - 0.38 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.38 data arrival time ------------------------------------------------------------------------------ - 0.14 slack (MET) - - -Startpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.27 0.29 ^ _461_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[3] (net) - 0.11 0.00 0.29 ^ _334_/A1 (sky130_fd_sc_hd__o211a_2) - 0.02 0.10 0.39 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.02 0.00 0.39 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.14 slack (MET) - - -Startpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.24 0.25 ^ _474_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.count1[1] (net) - 0.06 0.00 0.25 ^ _223_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.09 0.33 ^ _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.02 0.00 0.33 ^ _224_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.39 ^ _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.02 0.00 0.39 ^ _474_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.15 slack (MET) - - -Startpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.27 0.28 ^ _465_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[0] (net) - 0.11 0.00 0.29 ^ _323_/B1 (sky130_fd_sc_hd__o2bb2a_2) - 0.03 0.11 0.40 ^ _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.03 0.00 0.40 ^ _465_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.15 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.12 0.28 0.29 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.12 0.00 0.29 ^ _336_/A (sky130_fd_sc_hd__nand2_2) - 0.05 0.06 0.35 v _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.05 0.00 0.36 v _342_/C (sky130_fd_sc_hd__nand3_2) - 0.03 0.05 0.41 ^ _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.03 0.00 0.41 ^ _458_/D (sky130_fd_sc_hd__dfrtp_2) - 0.41 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.41 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.26 ^ _477_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.02 pll_control.count1[4] (net) - 0.07 0.00 0.26 ^ _217_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.09 0.35 ^ _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.02 0.00 0.35 ^ _218_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.40 ^ _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.02 0.00 0.40 ^ _477_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.25 ^ _476_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[3] (net) - 0.07 0.00 0.25 ^ _219_/A1 (sky130_fd_sc_hd__mux2_2) - 0.03 0.09 0.34 ^ _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.03 0.00 0.34 ^ _220_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.40 ^ _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.02 0.00 0.40 ^ _476_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.26 ^ _475_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[2] (net) - 0.07 0.00 0.26 ^ _221_/A1 (sky130_fd_sc_hd__mux2_2) - 0.03 0.09 0.35 ^ _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.03 0.00 0.35 ^ _222_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.06 0.41 ^ _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.02 0.00 0.41 ^ _475_/D (sky130_fd_sc_hd__dfrtp_2) - 0.41 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.41 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.29 0.30 ^ _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.13 0.00 0.30 ^ _340_/A (sky130_fd_sc_hd__xnor2_2) - 0.03 0.08 0.38 ^ _340_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.01 _154_ (net) - 0.03 0.00 0.38 ^ _341_/A2 (sky130_fd_sc_hd__a21boi_2) - 0.02 0.03 0.41 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.02 0.00 0.41 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 0.41 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.27 clock uncertainty - 0.00 0.27 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.41 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.25 ^ _473_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[0] (net) - 0.07 0.00 0.26 ^ _226_/A1 (sky130_fd_sc_hd__mux2_2) - 0.03 0.10 0.35 ^ _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.03 0.00 0.35 ^ _227_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.06 0.41 ^ _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.02 0.00 0.41 ^ _473_/D (sky130_fd_sc_hd__dfrtp_2) - 0.41 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.41 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.23 0.25 ^ _466_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.tint[1] (net) - 0.05 0.00 0.25 ^ _275_/A (sky130_fd_sc_hd__inv_2) - 0.07 0.07 0.31 v _275_/Y (sky130_fd_sc_hd__inv_2) - 7 0.05 _099_ (net) - 0.07 0.00 0.32 v _321_/A (sky130_fd_sc_hd__xnor2_2) - 0.02 0.10 0.42 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.02 0.00 0.42 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 0.42 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.42 data arrival time ------------------------------------------------------------------------------ - 0.18 slack (MET) - - -Startpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.27 0.28 ^ _468_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[3] (net) - 0.10 0.00 0.28 ^ _314_/A0 (sky130_fd_sc_hd__mux2_2) - 0.02 0.10 0.38 ^ _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.02 0.00 0.38 ^ _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.43 ^ _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 0.43 ^ _468_/D (sky130_fd_sc_hd__dfrtp_2) - 0.43 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.43 data arrival time ------------------------------------------------------------------------------ - 0.18 slack (MET) - - -Startpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.27 0.28 ^ _460_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[2] (net) - 0.10 0.00 0.28 ^ _335_/B1 (sky130_fd_sc_hd__a21oi_2) - 0.03 0.02 0.30 v _335_/Y (sky130_fd_sc_hd__a21oi_2) - 1 0.00 _150_ (net) - 0.03 0.00 0.30 v _337_/A2 (sky130_fd_sc_hd__o21ai_2) - 0.02 0.06 0.36 ^ _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.02 0.00 0.36 ^ _338_/B (sky130_fd_sc_hd__and2_2) - 0.03 0.07 0.44 ^ _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.03 0.00 0.44 ^ _339_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.06 0.49 ^ _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.02 0.00 0.49 ^ _460_/D (sky130_fd_sc_hd__dfrtp_2) - 0.49 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.49 data arrival time ------------------------------------------------------------------------------ - 0.25 slack (MET) - - -Startpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.26 0.27 ^ _467_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[2] (net) - 0.08 0.00 0.27 ^ _273_/A (sky130_fd_sc_hd__buf_2) - 0.12 0.14 0.41 ^ _273_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _097_ (net) - 0.12 0.00 0.41 ^ _317_/A0 (sky130_fd_sc_hd__mux2_2) - 0.02 0.10 0.51 ^ _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.02 0.00 0.51 ^ _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.56 ^ _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 0.56 ^ _467_/D (sky130_fd_sc_hd__dfrtp_2) - 0.56 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.56 data arrival time ------------------------------------------------------------------------------ - 0.32 slack (MET) - - -Startpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.15 0.30 0.31 ^ _469_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.04 pll_control.tint[4] (net) - 0.15 0.00 0.31 ^ _228_/A (sky130_fd_sc_hd__buf_2) - 0.16 0.18 0.49 ^ _228_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _052_ (net) - 0.16 0.00 0.49 ^ _311_/A1 (sky130_fd_sc_hd__o22a_2) - 0.02 0.09 0.58 ^ _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.02 0.00 0.58 ^ _469_/D (sky130_fd_sc_hd__dfrtp_2) - 0.58 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.25 library hold time - 0.25 data required time ------------------------------------------------------------------------------ - 0.25 data required time - -0.58 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - -min_report_end -max_report - -=========================================================================== -report_checks -path_delay max (Setup) -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.04 1.05 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.05 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.51 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.51 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.28 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.28 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.49 2.77 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.77 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.53 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.53 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.85 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.85 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.84 4.69 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.69 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.12 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.12 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.55 5.67 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.67 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 6.00 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 6.00 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.35 6.34 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.35 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.11 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.08 0.00 7.11 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.24 7.35 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.05 0.00 7.35 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 7.35 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -7.35 data arrival time ------------------------------------------------------------------------------ - -1.17 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.04 1.05 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.05 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.51 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.51 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.28 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.28 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.49 2.77 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.77 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.53 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.53 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.85 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.85 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.84 4.69 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.69 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.12 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.12 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.55 5.67 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.67 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 6.00 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 6.00 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.35 6.34 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.35 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.76 7.11 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.09 0.00 7.11 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.35 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.04 0.00 7.35 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 7.35 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.24 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -7.35 data arrival time ------------------------------------------------------------------------------ - -1.16 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.04 1.05 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.05 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.51 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.51 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.28 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.28 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.49 2.77 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.77 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.53 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.53 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.85 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.85 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.84 4.69 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.69 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.12 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.12 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.55 5.67 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.67 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 6.00 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 6.00 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.35 6.34 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.35 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.10 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.08 0.00 7.10 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.34 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.04 0.00 7.34 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 7.34 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.24 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -7.34 data arrival time ------------------------------------------------------------------------------ - -1.16 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.04 1.05 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.05 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.51 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.51 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.28 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.28 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.49 2.77 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.77 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.53 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.53 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.85 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.85 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.84 4.69 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.69 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.13 0.51 5.21 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.13 0.00 5.21 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.13 0.61 5.81 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.13 0.00 5.81 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.10 0.56 6.37 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.10 0.00 6.37 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.07 0.38 6.75 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.07 0.00 6.75 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.07 0.40 7.16 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.07 0.00 7.16 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 7.16 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.26 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -7.16 data arrival time ------------------------------------------------------------------------------ - -0.98 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.04 1.05 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.05 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.51 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.51 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.28 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.28 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.49 2.77 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.77 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.53 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.53 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.85 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.85 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.84 4.69 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.69 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.12 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.12 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.55 5.67 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.67 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 6.00 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 6.00 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.35 6.34 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.35 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.09 0.51 6.86 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.09 0.00 6.86 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 6.86 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.26 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -6.86 data arrival time ------------------------------------------------------------------------------ - -0.69 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.04 1.05 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.05 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.51 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.51 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.28 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.28 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.49 2.77 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.77 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.53 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.53 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.85 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.85 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.84 4.69 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.69 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.12 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.12 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.55 5.67 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.67 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 6.00 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 6.00 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.35 6.34 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.35 ^ _328_/B (sky130_fd_sc_hd__nand2_2) - 0.07 0.16 6.50 v _328_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 _146_ (net) - 0.07 0.00 6.50 v _329_/B1 (sky130_fd_sc_hd__o21a_2) - 0.06 0.21 6.71 v _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.06 0.00 6.71 v _463_/D (sky130_fd_sc_hd__dfrtp_2) - 6.71 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -6.71 data arrival time ------------------------------------------------------------------------------ - -0.54 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.04 1.05 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.05 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.51 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.51 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.28 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.28 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.49 2.77 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.77 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.53 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.53 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.85 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.85 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.84 4.69 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.69 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.12 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.12 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.55 5.67 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.67 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 6.00 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 6.00 ^ _320_/C1 (sky130_fd_sc_hd__o211a_2) - 0.14 0.44 6.43 ^ _320_/X (sky130_fd_sc_hd__o211a_2) - 1 0.01 _141_ (net) - 0.14 0.00 6.43 ^ _321_/B (sky130_fd_sc_hd__xnor2_2) - 0.11 0.15 6.58 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.11 0.00 6.58 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 6.58 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.27 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -6.58 data arrival time ------------------------------------------------------------------------------ - -0.43 slack (VIOLATED) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.91 0.92 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.10 0.00 0.92 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.31 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.32 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.57 1.88 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.88 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.37 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.37 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.17 0.21 2.58 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.17 0.00 2.58 ^ _337_/B1 (sky130_fd_sc_hd__o21ai_2) - 0.07 0.14 2.72 v _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.07 0.00 2.72 v _338_/B (sky130_fd_sc_hd__and2_2) - 0.07 0.41 3.13 v _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.07 0.00 3.13 v _339_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 3.37 v _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.04 0.00 3.37 v _460_/D (sky130_fd_sc_hd__dfrtp_2) - 3.37 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -3.37 data arrival time ------------------------------------------------------------------------------ - 2.82 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.96 0.97 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.97 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.29 1.26 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.26 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.30 0.44 1.70 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.30 0.00 1.70 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.48 2.17 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.29 0.00 2.18 ^ _217_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.78 2.95 v _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.09 0.00 2.95 v _218_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 3.19 v _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.04 0.00 3.19 v _477_/D (sky130_fd_sc_hd__dfrtp_2) - 3.19 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.24 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -3.19 data arrival time ------------------------------------------------------------------------------ - 2.99 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.91 0.92 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.10 0.00 0.92 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.31 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.32 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.57 1.88 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.88 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.37 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.37 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.17 0.21 2.58 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.17 0.00 2.58 ^ _342_/C (sky130_fd_sc_hd__nand3_2) - 0.11 0.18 2.76 v _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.11 0.00 2.76 v _458_/D (sky130_fd_sc_hd__dfrtp_2) - 2.76 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.27 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -2.76 data arrival time ------------------------------------------------------------------------------ - 3.39 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.96 0.97 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.97 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.29 1.26 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.26 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.30 0.44 1.70 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.30 0.00 1.70 ^ _226_/S (sky130_fd_sc_hd__mux2_2) - 0.10 0.80 2.50 v _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.10 0.00 2.50 v _227_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.26 2.76 v _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.05 0.00 2.76 v _473_/D (sky130_fd_sc_hd__dfrtp_2) - 2.76 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.67 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.76 data arrival time ------------------------------------------------------------------------------ - 3.42 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.91 0.92 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.10 0.00 0.92 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.31 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.32 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.57 1.88 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.88 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.37 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.37 v _332_/A2 (sky130_fd_sc_hd__o21a_2) - 0.06 0.38 2.76 v _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.06 0.00 2.76 v _462_/D (sky130_fd_sc_hd__dfrtp_2) - 2.76 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -2.76 data arrival time ------------------------------------------------------------------------------ - 3.42 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.96 0.97 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.97 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.29 1.26 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.26 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.30 0.44 1.70 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.30 0.00 1.70 ^ _343_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.78 2.48 v _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.09 0.00 2.48 v _344_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.26 2.74 v _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.05 0.00 2.74 v _457_/D (sky130_fd_sc_hd__dfrtp_2) - 2.74 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -2.74 data arrival time ------------------------------------------------------------------------------ - 3.44 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.96 0.97 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.97 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.29 1.26 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.26 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.30 0.44 1.70 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.30 0.00 1.70 ^ _219_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.79 2.49 v _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.09 0.00 2.49 v _220_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 2.73 v _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.04 0.00 2.73 v _476_/D (sky130_fd_sc_hd__dfrtp_2) - 2.73 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.00 6.67 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.24 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -2.73 data arrival time ------------------------------------------------------------------------------ - 3.44 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.91 0.92 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.10 0.00 0.92 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.31 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.32 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.57 1.88 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.88 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.37 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.37 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.17 0.21 2.58 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.17 0.00 2.58 ^ _341_/A1 (sky130_fd_sc_hd__a21boi_2) - 0.08 0.14 2.73 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.08 0.00 2.73 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 2.73 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.26 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.73 data arrival time ------------------------------------------------------------------------------ - 3.44 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.96 0.97 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.97 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.29 1.26 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.26 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.30 0.44 1.70 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.30 0.00 1.70 ^ _221_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.79 2.49 v _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.09 0.00 2.49 v _222_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.25 2.74 v _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.04 0.00 2.74 v _475_/D (sky130_fd_sc_hd__dfrtp_2) - 2.74 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.67 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -2.74 data arrival time ------------------------------------------------------------------------------ - 3.44 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.91 0.92 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.10 0.00 0.92 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.31 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.32 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.57 1.88 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.88 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.37 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.37 v _333_/B (sky130_fd_sc_hd__nand2b_2) - 0.07 0.12 2.49 ^ _333_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _149_ (net) - 0.07 0.00 2.49 ^ _334_/B1 (sky130_fd_sc_hd__o211a_2) - 0.07 0.37 2.86 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.07 0.00 2.86 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 2.86 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.13 6.30 library setup time - 6.30 data required time ------------------------------------------------------------------------------ - 6.30 data required time - -2.86 data arrival time ------------------------------------------------------------------------------ - 3.44 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.96 0.97 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.97 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.29 1.26 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.26 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.30 0.44 1.70 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.30 0.00 1.70 ^ _223_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.78 2.48 v _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.09 0.00 2.48 v _224_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 2.72 v _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.04 0.00 2.72 v _474_/D (sky130_fd_sc_hd__dfrtp_2) - 2.72 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.67 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -2.72 data arrival time ------------------------------------------------------------------------------ - 3.46 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.96 0.97 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.97 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.29 1.26 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.26 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.30 0.44 1.70 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.30 0.00 1.70 ^ _345_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.78 2.47 v _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.08 0.00 2.47 v _346_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.25 2.72 v _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.05 0.00 2.72 v _456_/D (sky130_fd_sc_hd__dfrtp_2) - 2.72 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -2.72 data arrival time ------------------------------------------------------------------------------ - 3.46 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.96 0.97 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.97 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.19 0.29 1.26 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.19 0.00 1.26 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.30 0.44 1.70 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.30 0.00 1.70 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.48 2.17 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.29 0.00 2.17 ^ _347_/B (sky130_fd_sc_hd__nand2b_2) - 0.09 0.18 2.36 v _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.09 0.00 2.36 v _455_/D (sky130_fd_sc_hd__dfrtp_2) - 2.36 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.26 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.36 data arrival time ------------------------------------------------------------------------------ - 3.81 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.96 0.97 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.97 v _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.97 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.28 6.15 library setup time - 6.15 data required time ------------------------------------------------------------------------------ - 6.15 data required time - -0.97 data arrival time ------------------------------------------------------------------------------ - 5.18 slack (MET) - - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.87 0.88 v _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.08 0.00 0.89 v _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.89 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.26 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -0.89 data arrival time ------------------------------------------------------------------------------ - 5.29 slack (MET) - - - -======================= Typical Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.52 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.53 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.11 0.24 0.77 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.11 0.00 0.77 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.17 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.17 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.40 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.40 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.41 1.81 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.81 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.98 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.98 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.41 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.42 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.27 2.88 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.88 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.04 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.04 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.24 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.24 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.60 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.60 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.72 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.72 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.72 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.72 data arrival time ------------------------------------------------------------------------------ - 2.61 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.52 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.53 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.11 0.24 0.77 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.11 0.00 0.77 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.17 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.17 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.40 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.40 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.41 1.81 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.81 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.98 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.98 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.41 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.42 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.27 2.88 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.88 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.04 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.04 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.24 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.24 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.04 0.36 3.60 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.04 0.00 3.60 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.72 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 3.72 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 3.72 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.72 data arrival time ------------------------------------------------------------------------------ - 2.61 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.52 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.53 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.11 0.24 0.77 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.11 0.00 0.77 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.17 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.17 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.40 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.40 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.41 1.81 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.81 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.98 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.98 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.41 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.42 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.27 2.88 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.88 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.04 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.04 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.24 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.24 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.60 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.05 0.00 3.60 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.72 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 3.72 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 3.72 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.72 data arrival time ------------------------------------------------------------------------------ - 2.61 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.52 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.53 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.11 0.24 0.77 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.11 0.00 0.77 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.17 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.17 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.40 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.40 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.41 1.81 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.81 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.98 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.98 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.41 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.42 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.07 0.27 2.69 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.07 0.00 2.69 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.08 0.33 3.02 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.08 0.00 3.02 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.06 0.31 3.32 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.06 0.00 3.33 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.04 0.18 3.50 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.04 0.00 3.50 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.04 0.20 3.70 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.04 0.00 3.70 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 3.70 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.70 data arrival time ------------------------------------------------------------------------------ - 2.62 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.52 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.53 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.11 0.24 0.77 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.11 0.00 0.77 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.17 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.17 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.40 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.40 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.41 1.81 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.81 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.98 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.98 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.41 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.42 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.27 2.88 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.88 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.04 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.04 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.24 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.24 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.05 0.24 3.48 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.05 0.00 3.48 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 3.48 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.48 data arrival time ------------------------------------------------------------------------------ - 2.84 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.52 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.53 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.11 0.24 0.77 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.11 0.00 0.77 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.17 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.17 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.40 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.40 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.41 1.81 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.81 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.98 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.98 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.41 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.42 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.27 2.88 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.88 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.04 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.04 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.24 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.24 ^ _328_/B (sky130_fd_sc_hd__nand2_2) - 0.04 0.07 3.31 v _328_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 _146_ (net) - 0.04 0.00 3.31 v _329_/B1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.11 3.42 v _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.03 0.00 3.42 v _463_/D (sky130_fd_sc_hd__dfrtp_2) - 3.42 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.42 data arrival time ------------------------------------------------------------------------------ - 2.91 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.52 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.53 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.11 0.24 0.77 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.11 0.00 0.77 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.17 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.17 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.40 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.40 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.41 1.81 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.81 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.98 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.98 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.41 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.42 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.27 2.88 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.88 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.04 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.04 ^ _320_/C1 (sky130_fd_sc_hd__o211a_2) - 0.08 0.20 3.24 ^ _320_/X (sky130_fd_sc_hd__o211a_2) - 1 0.01 _141_ (net) - 0.08 0.00 3.25 ^ _321_/B (sky130_fd_sc_hd__xnor2_2) - 0.12 0.12 3.36 ^ _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.12 0.00 3.36 ^ _466_/D (sky130_fd_sc_hd__dfrtp_2) - 3.36 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -3.36 data arrival time ------------------------------------------------------------------------------ - 3.00 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.47 0.48 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.48 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.65 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.65 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.25 0.90 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.19 0.00 0.90 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.26 1.17 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.18 0.00 1.17 ^ _217_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.54 v _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.05 0.00 1.54 v _218_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.66 v _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.02 0.00 1.66 v _477_/D (sky130_fd_sc_hd__dfrtp_2) - 1.66 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.66 data arrival time ------------------------------------------------------------------------------ - 4.68 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.44 0.45 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.45 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.65 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.65 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.27 0.92 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.92 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.15 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.15 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.11 0.12 1.28 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.11 0.00 1.28 ^ _337_/B1 (sky130_fd_sc_hd__o21ai_2) - 0.04 0.06 1.34 v _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.04 0.00 1.34 v _338_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.19 1.53 v _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.04 0.00 1.53 v _339_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.65 v _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.02 0.00 1.65 v _460_/D (sky130_fd_sc_hd__dfrtp_2) - 1.65 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.09 6.34 library setup time - 6.34 data required time ------------------------------------------------------------------------------ - 6.34 data required time - -1.65 data arrival time ------------------------------------------------------------------------------ - 4.69 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.47 0.48 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.48 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.65 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.65 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.25 0.90 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.19 0.00 0.90 ^ _226_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.38 1.29 v _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.05 0.00 1.29 v _227_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.13 1.42 v _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.03 0.00 1.42 v _473_/D (sky130_fd_sc_hd__dfrtp_2) - 1.42 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.67 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.42 data arrival time ------------------------------------------------------------------------------ - 4.91 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.47 0.48 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.48 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.65 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.65 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.25 0.90 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.19 0.00 0.91 ^ _219_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.28 v _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.05 0.00 1.28 v _220_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.40 v _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.02 0.00 1.40 v _476_/D (sky130_fd_sc_hd__dfrtp_2) - 1.40 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.00 6.67 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.40 data arrival time ------------------------------------------------------------------------------ - 4.93 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.47 0.48 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.48 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.65 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.65 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.25 0.90 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.19 0.00 0.91 ^ _221_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.28 v _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.05 0.00 1.28 v _222_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.40 v _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.02 0.00 1.40 v _475_/D (sky130_fd_sc_hd__dfrtp_2) - 1.40 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.67 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.40 data arrival time ------------------------------------------------------------------------------ - 4.93 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.47 0.48 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.48 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.65 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.65 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.25 0.90 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.19 0.00 0.90 ^ _343_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.27 v _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.05 0.00 1.27 v _344_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.13 1.40 v _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.03 0.00 1.40 v _457_/D (sky130_fd_sc_hd__dfrtp_2) - 1.40 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.40 data arrival time ------------------------------------------------------------------------------ - 4.93 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.47 0.48 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.48 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.65 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.65 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.25 0.90 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.19 0.00 0.90 ^ _223_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.27 v _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.05 0.00 1.27 v _224_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.39 v _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.02 0.00 1.39 v _474_/D (sky130_fd_sc_hd__dfrtp_2) - 1.39 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.67 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.39 data arrival time ------------------------------------------------------------------------------ - 4.94 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.47 0.48 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.48 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.65 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.65 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.25 0.90 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.19 0.00 0.90 ^ _345_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.27 v _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.05 0.00 1.27 v _346_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.39 v _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.02 0.00 1.39 v _456_/D (sky130_fd_sc_hd__dfrtp_2) - 1.39 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.09 6.34 library setup time - 6.34 data required time ------------------------------------------------------------------------------ - 6.34 data required time - -1.39 data arrival time ------------------------------------------------------------------------------ - 4.94 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.44 0.45 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.45 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.65 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.65 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.27 0.92 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.92 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.15 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.15 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.11 0.12 1.28 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.11 0.00 1.28 ^ _342_/C (sky130_fd_sc_hd__nand3_2) - 0.06 0.08 1.35 v _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.06 0.00 1.36 v _458_/D (sky130_fd_sc_hd__dfrtp_2) - 1.36 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.11 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.36 data arrival time ------------------------------------------------------------------------------ - 4.96 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.44 0.45 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.45 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.65 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.65 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.27 0.92 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.92 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.15 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.15 v _332_/A2 (sky130_fd_sc_hd__o21a_2) - 0.03 0.20 1.35 v _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.03 0.00 1.35 v _462_/D (sky130_fd_sc_hd__dfrtp_2) - 1.35 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.35 data arrival time ------------------------------------------------------------------------------ - 4.98 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.44 0.45 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.45 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.65 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.65 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.27 0.92 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.92 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.15 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.15 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.11 0.12 1.28 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.11 0.00 1.28 ^ _341_/A1 (sky130_fd_sc_hd__a21boi_2) - 0.04 0.06 1.34 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.04 0.00 1.34 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 1.34 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.34 data arrival time ------------------------------------------------------------------------------ - 4.99 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.44 0.45 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.45 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.65 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.65 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.27 0.92 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.92 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.15 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.15 v _333_/B (sky130_fd_sc_hd__nand2b_2) - 0.04 0.07 1.22 ^ _333_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _149_ (net) - 0.04 0.00 1.22 ^ _334_/B1 (sky130_fd_sc_hd__o211a_2) - 0.04 0.16 1.39 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.04 0.00 1.39 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 1.39 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.05 6.38 library setup time - 6.38 data required time ------------------------------------------------------------------------------ - 6.38 data required time - -1.39 data arrival time ------------------------------------------------------------------------------ - 4.99 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.47 0.48 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.48 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.65 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.65 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.25 0.90 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.19 0.00 0.90 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.26 1.17 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.18 0.00 1.17 ^ _347_/B (sky130_fd_sc_hd__nand2b_2) - 0.05 0.08 1.25 v _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.05 0.00 1.25 v _455_/D (sky130_fd_sc_hd__dfrtp_2) - 1.25 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.25 data arrival time ------------------------------------------------------------------------------ - 5.08 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.47 0.48 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.48 v _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.48 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.11 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -0.48 data arrival time ------------------------------------------------------------------------------ - 5.84 slack (MET) - - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.42 0.44 v _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.04 0.00 0.44 v _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.44 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -0.44 data arrival time ------------------------------------------------------------------------------ - 5.90 slack (MET) - - - -======================= Fastest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.34 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.34 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.50 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.50 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.75 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.75 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.90 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.90 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.13 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.13 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.24 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.24 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.51 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.51 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.64 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.64 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.06 0.17 1.80 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.06 0.00 1.81 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.88 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.88 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.12 0.14 2.02 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.12 0.00 2.02 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.23 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.03 0.00 2.23 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 2.31 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 2.31 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 2.31 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.31 data arrival time ------------------------------------------------------------------------------ - 4.05 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.34 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.34 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.50 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.50 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.75 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.75 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.90 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.90 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.13 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.13 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.24 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.24 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.51 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.51 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.64 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.64 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.06 0.17 1.80 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.06 0.00 1.81 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.88 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.88 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.12 0.14 2.02 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.12 0.00 2.02 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.23 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.03 0.00 2.23 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 2.31 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 2.31 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 2.31 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.31 data arrival time ------------------------------------------------------------------------------ - 4.06 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.34 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.34 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.50 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.50 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.75 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.75 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.90 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.90 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.13 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.13 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.24 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.24 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.51 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.51 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.64 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.64 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.06 0.17 1.80 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.06 0.00 1.81 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.88 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.88 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.12 0.14 2.02 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.12 0.00 2.02 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.23 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.03 0.00 2.23 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 2.31 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 2.31 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 2.31 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.31 data arrival time ------------------------------------------------------------------------------ - 4.06 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.34 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.34 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.50 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.50 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.75 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.75 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.90 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.90 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.13 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.13 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.24 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.24 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.51 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.51 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.05 0.17 1.69 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.05 0.00 1.69 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.05 0.18 1.86 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.05 0.00 1.87 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.04 0.16 2.03 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.04 0.00 2.03 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.03 0.11 2.14 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.03 0.00 2.14 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.03 0.12 2.26 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.03 0.00 2.26 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 2.26 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.26 data arrival time ------------------------------------------------------------------------------ - 4.10 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.34 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.34 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.50 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.50 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.75 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.75 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.90 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.90 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.13 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.13 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.24 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.24 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.51 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.51 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.64 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.64 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.06 0.17 1.80 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.06 0.00 1.81 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.88 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.88 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.12 0.14 2.02 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.12 0.00 2.02 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.03 0.14 2.17 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.03 0.00 2.17 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 2.17 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.17 data arrival time ------------------------------------------------------------------------------ - 4.19 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.34 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.34 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.50 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.50 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.75 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.75 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.90 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.90 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.13 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.13 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.24 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.24 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.51 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.51 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.64 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.64 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.06 0.17 1.80 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.06 0.00 1.81 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.88 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.88 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.12 0.14 2.02 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.12 0.00 2.02 ^ _328_/B (sky130_fd_sc_hd__nand2_2) - 0.03 0.03 2.05 v _328_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 _146_ (net) - 0.03 0.00 2.05 v _329_/B1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.07 2.12 v _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.03 0.00 2.12 v _463_/D (sky130_fd_sc_hd__dfrtp_2) - 2.12 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.12 data arrival time ------------------------------------------------------------------------------ - 4.24 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.34 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.34 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.50 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.50 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.75 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.75 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.90 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.90 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.13 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.13 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.24 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.24 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.51 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.51 v _272_/A (sky130_fd_sc_hd__buf_2) - 0.08 0.16 1.67 v _272_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _096_ (net) - 0.08 0.00 1.67 v _292_/A2 (sky130_fd_sc_hd__o31a_2) - 0.04 0.23 1.90 v _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.90 v _320_/C1 (sky130_fd_sc_hd__o211a_2) - 0.04 0.09 1.99 v _320_/X (sky130_fd_sc_hd__o211a_2) - 1 0.01 _141_ (net) - 0.04 0.00 1.99 v _321_/B (sky130_fd_sc_hd__xnor2_2) - 0.05 0.09 2.08 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.05 0.00 2.08 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 2.08 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -2.08 data arrival time ------------------------------------------------------------------------------ - 4.27 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.43 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.01 _043_ (net) - 0.09 0.00 0.43 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.60 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.15 0.00 0.60 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.18 0.78 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.14 0.00 0.78 ^ _217_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 1.00 v _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.03 0.00 1.00 v _218_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 1.07 v _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.02 0.00 1.07 v _477_/D (sky130_fd_sc_hd__dfrtp_2) - 1.07 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -1.07 data arrival time ------------------------------------------------------------------------------ - 5.29 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.27 0.29 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.04 0.00 0.29 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.42 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.42 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.59 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.59 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.74 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.74 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.08 0.09 0.83 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.83 ^ _337_/B1 (sky130_fd_sc_hd__o21ai_2) - 0.02 0.02 0.85 v _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.02 0.00 0.85 v _338_/B (sky130_fd_sc_hd__and2_2) - 0.03 0.12 0.97 v _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.03 0.00 0.97 v _339_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 1.04 v _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.02 0.00 1.04 v _460_/D (sky130_fd_sc_hd__dfrtp_2) - 1.04 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.06 6.37 library setup time - 6.37 data required time ------------------------------------------------------------------------------ - 6.37 data required time - -1.04 data arrival time ------------------------------------------------------------------------------ - 5.32 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.43 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.01 _043_ (net) - 0.09 0.00 0.43 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.60 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.15 0.00 0.61 ^ _226_/S (sky130_fd_sc_hd__mux2_2) - 0.04 0.22 0.83 v _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.04 0.00 0.83 v _227_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.91 v _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.02 0.00 0.91 v _473_/D (sky130_fd_sc_hd__dfrtp_2) - 0.91 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.67 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.91 data arrival time ------------------------------------------------------------------------------ - 5.45 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.43 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.01 _043_ (net) - 0.09 0.00 0.43 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.60 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.15 0.00 0.61 ^ _219_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.22 0.82 v _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.03 0.00 0.82 v _220_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.90 v _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.02 0.00 0.90 v _476_/D (sky130_fd_sc_hd__dfrtp_2) - 0.90 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.90 data arrival time ------------------------------------------------------------------------------ - 5.46 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.43 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.01 _043_ (net) - 0.09 0.00 0.43 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.60 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.15 0.00 0.61 ^ _221_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.22 0.82 v _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.03 0.00 0.82 v _222_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.90 v _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.02 0.00 0.90 v _475_/D (sky130_fd_sc_hd__dfrtp_2) - 0.90 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.90 data arrival time ------------------------------------------------------------------------------ - 5.46 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.43 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.01 _043_ (net) - 0.09 0.00 0.43 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.60 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.15 0.00 0.61 ^ _223_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.82 v _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.03 0.00 0.82 v _224_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.90 v _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.02 0.00 0.90 v _474_/D (sky130_fd_sc_hd__dfrtp_2) - 0.90 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.67 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.90 data arrival time ------------------------------------------------------------------------------ - 5.46 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.43 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.01 _043_ (net) - 0.09 0.00 0.43 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.60 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.15 0.00 0.60 ^ _343_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.82 v _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.03 0.00 0.82 v _344_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.90 v _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.02 0.00 0.90 v _457_/D (sky130_fd_sc_hd__dfrtp_2) - 0.90 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.37 library setup time - 6.37 data required time ------------------------------------------------------------------------------ - 6.37 data required time - -0.90 data arrival time ------------------------------------------------------------------------------ - 5.46 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.43 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.01 _043_ (net) - 0.09 0.00 0.43 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.60 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.15 0.00 0.60 ^ _345_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.82 v _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.03 0.00 0.82 v _346_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.89 v _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.02 0.00 0.89 v _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.89 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.06 6.37 library setup time - 6.37 data required time ------------------------------------------------------------------------------ - 6.37 data required time - -0.89 data arrival time ------------------------------------------------------------------------------ - 5.47 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.27 0.29 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.04 0.00 0.29 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.42 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.42 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.59 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.59 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.74 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.74 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.08 0.09 0.83 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.83 ^ _342_/C (sky130_fd_sc_hd__nand3_2) - 0.04 0.04 0.87 v _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.04 0.00 0.87 v _458_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.48 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.27 0.29 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.04 0.00 0.29 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.42 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.42 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.59 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.59 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.74 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.74 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.08 0.09 0.83 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.83 ^ _341_/A1 (sky130_fd_sc_hd__a21boi_2) - 0.03 0.03 0.87 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.03 0.00 0.87 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.50 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.27 0.29 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.04 0.00 0.29 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.42 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.42 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.59 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.59 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.74 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.74 v _332_/A2 (sky130_fd_sc_hd__o21a_2) - 0.02 0.12 0.86 v _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.02 0.00 0.87 v _462_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.50 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.43 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.01 _043_ (net) - 0.09 0.00 0.43 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.60 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.15 0.00 0.60 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.18 0.78 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.14 0.00 0.78 ^ _334_/C1 (sky130_fd_sc_hd__o211a_2) - 0.03 0.10 0.88 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.03 0.00 0.88 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 0.88 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.03 6.40 library setup time - 6.40 data required time ------------------------------------------------------------------------------ - 6.40 data required time - -0.88 data arrival time ------------------------------------------------------------------------------ - 5.51 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.43 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.01 _043_ (net) - 0.09 0.00 0.43 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 0.60 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.15 0.00 0.60 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.18 0.78 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.14 0.00 0.78 ^ _347_/B (sky130_fd_sc_hd__nand2b_2) - 0.04 0.04 0.82 v _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.04 0.00 0.82 v _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.82 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.82 data arrival time ------------------------------------------------------------------------------ - 5.54 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.31 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.31 v _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.31 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.08 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.31 data arrival time ------------------------------------------------------------------------------ - 6.05 slack (MET) - - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.26 0.28 v _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.03 0.00 0.28 v _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.28 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.28 data arrival time ------------------------------------------------------------------------------ - 6.09 slack (MET) - - -max_report_end -check_report - -=========================================================================== -report_checks -unconstrained -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.04 1.05 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.05 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.51 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.51 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.28 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.28 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.49 2.77 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.77 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.53 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.53 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.85 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.85 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.84 4.69 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.69 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.12 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.12 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.55 5.67 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.67 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 6.00 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 6.00 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.35 6.34 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.35 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.11 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.08 0.00 7.11 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.24 7.35 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.05 0.00 7.35 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 7.35 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -7.35 data arrival time ------------------------------------------------------------------------------ - -1.17 slack (VIOLATED) - - - -======================= Typical Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.10 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.52 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.53 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.11 0.24 0.77 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.11 0.00 0.77 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.17 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.17 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.40 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.40 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.41 1.81 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.81 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.98 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.98 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.41 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.42 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.27 2.88 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.88 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.04 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.04 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.24 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.24 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.60 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.60 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.72 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.72 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.72 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.10 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.10 0.01 6.68 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.72 data arrival time ------------------------------------------------------------------------------ - 2.61 slack (MET) - - - -======================= Fastest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.02 0.02 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.34 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.34 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.50 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.50 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.75 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.75 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.90 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.90 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.13 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.13 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.24 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.24 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.51 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.51 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.64 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.64 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.06 0.17 1.80 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.06 0.00 1.81 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.88 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.88 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.12 0.14 2.02 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.12 0.00 2.02 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.23 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.03 0.00 2.23 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 2.31 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 2.31 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 2.31 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.08 0.01 6.68 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.31 data arrival time ------------------------------------------------------------------------------ - 4.05 slack (MET) - - - -=========================================================================== -report_checks --slack_max -0.01 -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.15 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.04 1.05 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.05 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.51 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.51 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.28 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.28 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.49 2.77 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.77 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.53 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.53 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.85 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.85 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.84 4.69 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.69 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.12 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.12 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.55 5.67 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.67 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 6.00 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 6.00 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.35 6.34 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.35 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.11 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.08 0.00 7.11 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.24 7.35 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.05 0.00 7.35 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 7.35 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.15 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.15 0.01 6.68 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.43 clock uncertainty - 0.00 6.43 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -7.35 data arrival time ------------------------------------------------------------------------------ - -1.17 slack (VIOLATED) - - - -======================= Typical Corner =================================== - -No paths found. - -======================= Fastest Corner =================================== - -No paths found. -check_report_end -check_slew - -=========================================================================== - report_check_types -max_slew -max_cap -max_fanout -violators -============================================================================ - -======================= Slowest Corner =================================== - -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -======================= Typical Corner =================================== - -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -======================= Fastest Corner =================================== - -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -=========================================================================== -max slew violation count 0 -max fanout violation count 5 -max cap violation count 0 -============================================================================ -check_slew_end -tns_report - -=========================================================================== - report_tns -============================================================================ -tns -6.13 -tns_report_end -wns_report - -=========================================================================== - report_wns -============================================================================ -wns -1.17 -wns_report_end -worst_slack - -=========================================================================== - report_worst_slack -max (Setup) -============================================================================ -worst slack -1.17 - -=========================================================================== - report_worst_slack -min (Hold) -============================================================================ -worst slack -0.02 -worst_slack_end -power_report - -=========================================================================== - report_power -============================================================================ - - -======================= Slowest Corner ================================= - -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.15e-04 1.45e-05 3.37e-07 1.30e-04 26.8% -Combinational 1.54e-04 1.98e-04 1.72e-06 3.54e-04 73.2% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 2.69e-04 2.12e-04 2.05e-06 4.84e-04 100.0% - 55.7% 43.9% 0.4% - -======================= Typical Corner =================================== - -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.50e-04 1.85e-05 1.84e-10 1.68e-04 27.7% -Combinational 1.88e-04 2.52e-04 1.85e-09 4.40e-04 72.3% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 3.38e-04 2.70e-04 2.03e-09 6.08e-04 100.0% - 55.6% 44.4% 0.0% - - -======================= Fastest Corner ================================= - -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.74e-04 2.19e-05 3.12e-10 1.96e-04 27.8% -Combinational 2.10e-04 2.98e-04 4.25e-09 5.08e-04 72.2% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 3.84e-04 3.20e-04 4.56e-09 7.04e-04 100.0% - 54.6% 45.4% 0.0% -power_report_end -area_report - -=========================================================================== - report_design_area -============================================================================ -Design area 3781 u^2 68% utilization. -area_report_end -Setting global connections for newly added cells... -[WARNING] Did not save OpenROAD database! -Writing SDF files for all corners... -Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_max/digital_pll.ff.sdf... -Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_max/digital_pll.ss.sdf... -Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_max/digital_pll.tt.sdf... diff --git a/signoff/digital_pll/openlane-signoff/19-parasitics_extraction.nom.log b/signoff/digital_pll/openlane-signoff/19-parasitics_extraction.nom.log deleted file mode 100644 index fdca8c17..00000000 --- a/signoff/digital_pll/openlane-signoff/19-parasitics_extraction.nom.log +++ /dev/null @@ -1,40 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef -[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later. -The LEF parser will ignore this statement. -To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef at line 930. - -[INFO ODB-0223] Created 13 technology layers -[INFO ODB-0224] Created 25 technology vias -[INFO ODB-0225] Created 441 library cells -[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef -[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -[INFO ODB-0128] Design: digital_pll -[INFO ODB-0130] Created 39 pins. -[INFO ODB-0131] Created 1093 components and 5448 component-terminals. -[INFO ODB-0132] Created 2 special nets and 4222 connections. -[INFO ODB-0133] Created 371 nets and 1225 connections. -[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre'... -[INFO RCX-0431] Defined process_corner X with ext_model_index 0 -[INFO RCX-0029] Defined extraction corner X -[INFO RCX-0008] extracting parasitics of digital_pll ... -[INFO RCX-0435] Reading extraction model file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre ... -[INFO RCX-0436] RC segment generation digital_pll (max_merge_res 50.0) ... -[INFO RCX-0040] Final 1429 rc segments -[INFO RCX-0439] Coupling Cap extraction digital_pll ... -[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded. -[INFO RCX-0043] 2698 wires to be extracted -[INFO RCX-0442] 52% completion -- 1411 wires have been extracted -[INFO RCX-0442] 100% completion -- 2698 wires have been extracted -[INFO RCX-0045] Extract 371 nets, 1800 rsegs, 1800 caps, 2915 ccs -[INFO RCX-0015] Finished extracting digital_pll. -Writing result to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_nom/digital_pll.spef... -Setting global connections for newly added cells... -[WARNING] Did not save OpenROAD database! -Writing extracted parasitics to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_nom/digital_pll.spef... -[INFO RCX-0016] Writing SPEF ... -[INFO RCX-0443] 371 nets finished -[INFO RCX-0017] Finished writing SPEF ... diff --git a/signoff/digital_pll/openlane-signoff/20-rcx_mcsta.nom.log b/signoff/digital_pll/openlane-signoff/20-rcx_mcsta.nom.log deleted file mode 100644 index e81094b2..00000000 --- a/signoff/digital_pll/openlane-signoff/20-rcx_mcsta.nom.log +++ /dev/null @@ -1,6565 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.odb -min_report - -=========================================================================== -report_checks -path_delay min (Hold) -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.67 0.68 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.07 0.00 0.68 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.68 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.07 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -0.68 data arrival time ------------------------------------------------------------------------------ - 0.49 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.15 0.76 0.77 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.02 pll_control.oscbuf[1] (net) - 0.15 0.00 0.77 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.77 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.08 0.17 library hold time - 0.17 data required time ------------------------------------------------------------------------------ - 0.17 data required time - -0.77 data arrival time ------------------------------------------------------------------------------ - 0.59 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.71 0.71 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.10 0.00 0.71 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.07 0.19 0.90 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.07 0.00 0.90 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.90 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -0.90 data arrival time ------------------------------------------------------------------------------ - 0.71 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.18 0.79 0.79 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.18 0.00 0.79 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.05 0.28 1.07 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.05 0.00 1.07 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 1.07 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.07 data arrival time ------------------------------------------------------------------------------ - 0.88 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.71 0.71 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.10 0.00 0.71 ^ _345_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.26 0.97 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.06 0.00 0.97 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.13 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.05 0.00 1.13 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 1.13 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.13 data arrival time ------------------------------------------------------------------------------ - 0.93 slack (MET) - - -Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.70 0.70 ^ _457_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.prep[2] (net) - 0.09 0.00 0.70 ^ _343_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.26 0.96 ^ _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.07 0.00 0.96 ^ _344_/A (sky130_fd_sc_hd__buf_2) - 0.06 0.17 1.14 ^ _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _025_ (net) - 0.06 0.00 1.14 ^ _457_/D (sky130_fd_sc_hd__dfrtp_2) - 1.14 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.14 data arrival time ------------------------------------------------------------------------------ - 0.94 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.84 0.84 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.25 0.00 0.84 ^ _332_/A1 (sky130_fd_sc_hd__o21a_2) - 0.05 0.30 1.14 ^ _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.05 0.00 1.14 ^ _462_/D (sky130_fd_sc_hd__dfrtp_2) - 1.14 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.14 data arrival time ------------------------------------------------------------------------------ - 0.94 slack (MET) - - -Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.73 0.74 ^ _464_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.tval[1] (net) - 0.13 0.00 0.74 ^ _326_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.27 1.00 ^ _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.06 0.00 1.00 ^ _327_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.15 1.16 ^ _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.04 0.00 1.16 ^ _464_/D (sky130_fd_sc_hd__dfrtp_2) - 1.16 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.16 data arrival time ------------------------------------------------------------------------------ - 0.96 slack (MET) - - -Startpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.75 0.75 ^ _474_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.count1[1] (net) - 0.14 0.00 0.75 ^ _223_/A1 (sky130_fd_sc_hd__mux2_2) - 0.06 0.28 1.03 ^ _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.06 0.00 1.03 ^ _224_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.18 ^ _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.05 0.00 1.18 ^ _474_/D (sky130_fd_sc_hd__dfrtp_2) - 1.18 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.18 data arrival time ------------------------------------------------------------------------------ - 0.99 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.84 0.84 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.25 0.00 0.84 ^ _336_/A (sky130_fd_sc_hd__nand2_2) - 0.16 0.22 1.07 v _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.16 0.00 1.07 v _342_/C (sky130_fd_sc_hd__nand3_2) - 0.07 0.13 1.20 ^ _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.07 0.00 1.20 ^ _458_/D (sky130_fd_sc_hd__dfrtp_2) - 1.20 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.20 data arrival time ------------------------------------------------------------------------------ - 1.01 slack (MET) - - -Startpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.23 0.82 0.83 ^ _465_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[0] (net) - 0.23 0.00 0.83 ^ _323_/B1 (sky130_fd_sc_hd__o2bb2a_2) - 0.07 0.37 1.20 ^ _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.07 0.00 1.20 ^ _465_/D (sky130_fd_sc_hd__dfrtp_2) - 1.20 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.07 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.20 data arrival time ------------------------------------------------------------------------------ - 1.01 slack (MET) - - -Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.12 0.73 0.74 ^ _466_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.tint[1] (net) - 0.12 0.00 0.74 ^ _275_/A (sky130_fd_sc_hd__inv_2) - 0.20 0.20 0.94 v _275_/Y (sky130_fd_sc_hd__inv_2) - 7 0.05 _099_ (net) - 0.20 0.00 0.94 v _321_/A (sky130_fd_sc_hd__xnor2_2) - 0.07 0.27 1.21 ^ _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.07 0.00 1.21 ^ _466_/D (sky130_fd_sc_hd__dfrtp_2) - 1.21 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.21 data arrival time ------------------------------------------------------------------------------ - 1.02 slack (MET) - - -Startpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.16 0.77 0.77 ^ _476_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[3] (net) - 0.16 0.00 0.77 ^ _219_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.29 1.06 ^ _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.07 0.00 1.06 ^ _220_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.16 1.22 ^ _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.04 0.00 1.22 ^ _476_/D (sky130_fd_sc_hd__dfrtp_2) - 1.22 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.22 data arrival time ------------------------------------------------------------------------------ - 1.03 slack (MET) - - -Startpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.77 0.78 ^ _477_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.02 pll_control.count1[4] (net) - 0.17 0.00 0.78 ^ _217_/A1 (sky130_fd_sc_hd__mux2_2) - 0.06 0.29 1.07 ^ _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.06 0.00 1.07 ^ _218_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.15 1.23 ^ _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.04 0.00 1.23 ^ _477_/D (sky130_fd_sc_hd__dfrtp_2) - 1.23 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.23 data arrival time ------------------------------------------------------------------------------ - 1.03 slack (MET) - - -Startpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.77 0.77 ^ _475_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[2] (net) - 0.17 0.00 0.77 ^ _221_/A1 (sky130_fd_sc_hd__mux2_2) - 0.07 0.30 1.07 ^ _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.07 0.00 1.07 ^ _222_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.23 ^ _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.05 0.00 1.23 ^ _475_/D (sky130_fd_sc_hd__dfrtp_2) - 1.23 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.23 data arrival time ------------------------------------------------------------------------------ - 1.04 slack (MET) - - -Startpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.23 0.82 0.83 ^ _461_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[3] (net) - 0.23 0.00 0.83 ^ _334_/A1 (sky130_fd_sc_hd__o211a_2) - 0.07 0.40 1.23 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.07 0.00 1.23 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 1.23 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.07 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.23 data arrival time ------------------------------------------------------------------------------ - 1.04 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.28 0.86 0.87 ^ _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.28 0.00 0.87 ^ _340_/A (sky130_fd_sc_hd__xnor2_2) - 0.08 0.27 1.14 ^ _340_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.01 _154_ (net) - 0.08 0.00 1.14 ^ _341_/A2 (sky130_fd_sc_hd__a21boi_2) - 0.07 0.10 1.24 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.07 0.00 1.24 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 1.24 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.07 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.24 data arrival time ------------------------------------------------------------------------------ - 1.05 slack (MET) - - -Startpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.77 0.78 ^ _473_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[0] (net) - 0.17 0.00 0.78 ^ _226_/A1 (sky130_fd_sc_hd__mux2_2) - 0.08 0.31 1.08 ^ _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.08 0.00 1.08 ^ _227_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.17 1.26 ^ _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.05 0.00 1.26 ^ _473_/D (sky130_fd_sc_hd__dfrtp_2) - 1.26 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.26 data arrival time ------------------------------------------------------------------------------ - 1.06 slack (MET) - - -Startpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.22 0.81 0.82 ^ _468_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[3] (net) - 0.22 0.00 0.82 ^ _314_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.31 1.12 ^ _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.06 0.00 1.12 ^ _315_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.15 1.28 ^ _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.04 0.00 1.28 ^ _468_/D (sky130_fd_sc_hd__dfrtp_2) - 1.28 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.28 data arrival time ------------------------------------------------------------------------------ - 1.08 slack (MET) - - -Startpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.21 0.81 0.81 ^ _460_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.02 pll_control.count0[2] (net) - 0.21 0.00 0.81 ^ _335_/B1 (sky130_fd_sc_hd__a21oi_2) - 0.07 0.10 0.92 v _335_/Y (sky130_fd_sc_hd__a21oi_2) - 1 0.00 _150_ (net) - 0.07 0.00 0.92 v _337_/A2 (sky130_fd_sc_hd__o21ai_2) - 0.07 0.15 1.07 ^ _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.07 0.00 1.07 ^ _338_/B (sky130_fd_sc_hd__and2_2) - 0.07 0.25 1.32 ^ _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.07 0.00 1.32 ^ _339_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.48 ^ _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.05 0.00 1.48 ^ _460_/D (sky130_fd_sc_hd__dfrtp_2) - 1.48 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.48 data arrival time ------------------------------------------------------------------------------ - 1.28 slack (MET) - - -Startpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.18 0.78 0.79 ^ _467_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[2] (net) - 0.18 0.00 0.79 ^ _273_/A (sky130_fd_sc_hd__buf_2) - 0.25 0.36 1.15 ^ _273_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _097_ (net) - 0.25 0.00 1.15 ^ _317_/A0 (sky130_fd_sc_hd__mux2_2) - 0.06 0.32 1.47 ^ _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.06 0.00 1.47 ^ _318_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.16 1.62 ^ _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.05 0.00 1.62 ^ _467_/D (sky130_fd_sc_hd__dfrtp_2) - 1.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.20 library hold time - 0.20 data required time ------------------------------------------------------------------------------ - 0.20 data required time - -1.62 data arrival time ------------------------------------------------------------------------------ - 1.43 slack (MET) - - -Startpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.31 0.88 0.89 ^ _469_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.04 pll_control.tint[4] (net) - 0.31 0.00 0.89 ^ _228_/A (sky130_fd_sc_hd__buf_2) - 0.34 0.47 1.36 ^ _228_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _052_ (net) - 0.34 0.00 1.36 ^ _311_/A1 (sky130_fd_sc_hd__o22a_2) - 0.06 0.37 1.72 ^ _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.06 0.00 1.72 ^ _469_/D (sky130_fd_sc_hd__dfrtp_2) - 1.72 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.06 0.19 library hold time - 0.19 data required time ------------------------------------------------------------------------------ - 0.19 data required time - -1.72 data arrival time ------------------------------------------------------------------------------ - 1.53 slack (MET) - - - -======================= Typical Corner =================================== - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.33 0.34 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.04 0.00 0.34 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.34 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.34 data arrival time ------------------------------------------------------------------------------ - 0.11 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.38 0.39 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.02 pll_control.oscbuf[1] (net) - 0.09 0.00 0.39 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.04 0.22 library hold time - 0.22 data required time ------------------------------------------------------------------------------ - 0.22 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.36 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.36 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.04 0.11 0.46 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.04 0.00 0.46 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.46 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.46 data arrival time ------------------------------------------------------------------------------ - 0.23 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.40 0.40 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.11 0.00 0.40 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.13 0.53 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.03 0.00 0.53 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 0.53 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.53 data arrival time ------------------------------------------------------------------------------ - 0.30 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.36 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.36 ^ _345_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.13 0.49 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.03 0.00 0.49 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.57 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.03 0.00 0.57 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.57 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.57 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - -Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.35 0.35 ^ _457_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.prep[2] (net) - 0.05 0.00 0.35 ^ _343_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.13 0.48 ^ _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.04 0.00 0.48 ^ _344_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.09 0.57 ^ _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.04 0.00 0.57 ^ _457_/D (sky130_fd_sc_hd__dfrtp_2) - 0.57 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.57 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.15 0.43 0.44 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.15 0.00 0.44 ^ _332_/A1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.14 0.58 ^ _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.03 0.00 0.58 ^ _462_/D (sky130_fd_sc_hd__dfrtp_2) - 0.58 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.58 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - -Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.37 0.37 ^ _464_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.tval[1] (net) - 0.07 0.00 0.37 ^ _326_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.13 0.50 ^ _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.03 0.00 0.50 ^ _327_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.59 ^ _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.03 0.00 0.59 ^ _464_/D (sky130_fd_sc_hd__dfrtp_2) - 0.59 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.59 data arrival time ------------------------------------------------------------------------------ - 0.35 slack (MET) - - -Startpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.38 0.38 ^ _474_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.count1[1] (net) - 0.08 0.00 0.38 ^ _223_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.14 0.52 ^ _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.04 0.00 0.52 ^ _224_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.60 ^ _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.03 0.00 0.60 ^ _474_/D (sky130_fd_sc_hd__dfrtp_2) - 0.60 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.60 data arrival time ------------------------------------------------------------------------------ - 0.37 slack (MET) - - -Startpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.42 0.43 ^ _461_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[3] (net) - 0.14 0.00 0.43 ^ _334_/A1 (sky130_fd_sc_hd__o211a_2) - 0.04 0.18 0.60 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.04 0.00 0.60 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 0.60 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.60 data arrival time ------------------------------------------------------------------------------ - 0.37 slack (MET) - - -Startpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.42 0.43 ^ _465_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[0] (net) - 0.14 0.00 0.43 ^ _323_/B1 (sky130_fd_sc_hd__o2bb2a_2) - 0.04 0.18 0.61 ^ _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.04 0.00 0.61 ^ _465_/D (sky130_fd_sc_hd__dfrtp_2) - 0.61 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.61 data arrival time ------------------------------------------------------------------------------ - 0.38 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.15 0.43 0.44 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.15 0.00 0.44 ^ _336_/A (sky130_fd_sc_hd__nand2_2) - 0.07 0.10 0.54 v _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.07 0.00 0.54 v _342_/C (sky130_fd_sc_hd__nand3_2) - 0.04 0.07 0.61 ^ _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.04 0.00 0.61 ^ _458_/D (sky130_fd_sc_hd__dfrtp_2) - 0.61 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.61 data arrival time ------------------------------------------------------------------------------ - 0.38 slack (MET) - - -Startpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.39 0.39 ^ _476_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[3] (net) - 0.09 0.00 0.39 ^ _219_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.14 0.53 ^ _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.04 0.00 0.53 ^ _220_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.61 ^ _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.03 0.00 0.62 ^ _476_/D (sky130_fd_sc_hd__dfrtp_2) - 0.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.62 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.39 ^ _477_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.02 pll_control.count1[4] (net) - 0.10 0.00 0.39 ^ _217_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.14 0.54 ^ _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.04 0.00 0.54 ^ _218_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.62 ^ _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.03 0.00 0.62 ^ _477_/D (sky130_fd_sc_hd__dfrtp_2) - 0.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.62 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.39 ^ _475_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[2] (net) - 0.10 0.00 0.39 ^ _221_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.15 0.54 ^ _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.04 0.00 0.54 ^ _222_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.62 ^ _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.03 0.00 0.62 ^ _475_/D (sky130_fd_sc_hd__dfrtp_2) - 0.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.62 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.37 0.37 ^ _466_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.tint[1] (net) - 0.07 0.00 0.37 ^ _275_/A (sky130_fd_sc_hd__inv_2) - 0.10 0.10 0.48 v _275_/Y (sky130_fd_sc_hd__inv_2) - 7 0.05 _099_ (net) - 0.10 0.00 0.48 v _321_/A (sky130_fd_sc_hd__xnor2_2) - 0.04 0.15 0.62 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.04 0.00 0.62 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 0.62 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.62 data arrival time ------------------------------------------------------------------------------ - 0.39 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.17 0.44 0.45 ^ _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.17 0.00 0.45 ^ _340_/A (sky130_fd_sc_hd__xnor2_2) - 0.05 0.13 0.58 ^ _340_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.01 _154_ (net) - 0.05 0.00 0.58 ^ _341_/A2 (sky130_fd_sc_hd__a21boi_2) - 0.03 0.05 0.63 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.03 0.00 0.63 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 0.63 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.63 data arrival time ------------------------------------------------------------------------------ - 0.40 slack (MET) - - -Startpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.39 0.39 ^ _473_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[0] (net) - 0.10 0.00 0.39 ^ _226_/A1 (sky130_fd_sc_hd__mux2_2) - 0.04 0.15 0.54 ^ _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.04 0.00 0.54 ^ _227_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.09 0.64 ^ _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.03 0.00 0.64 ^ _473_/D (sky130_fd_sc_hd__dfrtp_2) - 0.64 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.64 data arrival time ------------------------------------------------------------------------------ - 0.41 slack (MET) - - -Startpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.41 0.42 ^ _468_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[3] (net) - 0.13 0.00 0.42 ^ _314_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.15 0.57 ^ _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.03 0.00 0.57 ^ _315_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.65 ^ _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.03 0.00 0.65 ^ _468_/D (sky130_fd_sc_hd__dfrtp_2) - 0.65 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.65 data arrival time ------------------------------------------------------------------------------ - 0.42 slack (MET) - - -Startpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.41 0.42 ^ _460_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.02 pll_control.count0[2] (net) - 0.13 0.00 0.42 ^ _335_/B1 (sky130_fd_sc_hd__a21oi_2) - 0.04 0.04 0.46 v _335_/Y (sky130_fd_sc_hd__a21oi_2) - 1 0.00 _150_ (net) - 0.04 0.00 0.46 v _337_/A2 (sky130_fd_sc_hd__o21ai_2) - 0.04 0.09 0.55 ^ _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.04 0.00 0.55 ^ _338_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.12 0.67 ^ _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.04 0.00 0.67 ^ _339_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.76 ^ _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.03 0.00 0.76 ^ _460_/D (sky130_fd_sc_hd__dfrtp_2) - 0.76 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.76 data arrival time ------------------------------------------------------------------------------ - 0.53 slack (MET) - - -Startpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.40 0.40 ^ _467_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[2] (net) - 0.11 0.00 0.40 ^ _273_/A (sky130_fd_sc_hd__buf_2) - 0.16 0.20 0.60 ^ _273_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _097_ (net) - 0.16 0.00 0.60 ^ _317_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.16 0.76 ^ _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.03 0.00 0.76 ^ _318_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.84 ^ _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.03 0.00 0.84 ^ _467_/D (sky130_fd_sc_hd__dfrtp_2) - 0.84 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.84 data arrival time ------------------------------------------------------------------------------ - 0.61 slack (MET) - - -Startpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.19 0.46 0.46 ^ _469_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.04 pll_control.tint[4] (net) - 0.19 0.00 0.46 ^ _228_/A (sky130_fd_sc_hd__buf_2) - 0.21 0.26 0.72 ^ _228_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _052_ (net) - 0.21 0.00 0.72 ^ _311_/A1 (sky130_fd_sc_hd__o22a_2) - 0.03 0.17 0.90 ^ _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.03 0.00 0.90 ^ _469_/D (sky130_fd_sc_hd__dfrtp_2) - 0.90 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.90 data arrival time ------------------------------------------------------------------------------ - 0.66 slack (MET) - - - -======================= Fastest Corner =================================== - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.21 0.22 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.03 0.00 0.22 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.22 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.22 data arrival time ------------------------------------------------------------------------------ - -0.02 slack (VIOLATED) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.24 0.25 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.02 pll_control.oscbuf[1] (net) - 0.06 0.00 0.25 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.25 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.25 data arrival time ------------------------------------------------------------------------------ - 0.01 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.22 0.23 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.04 0.00 0.23 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.03 0.07 0.30 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.03 0.00 0.30 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.30 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.30 data arrival time ------------------------------------------------------------------------------ - 0.06 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.25 0.26 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.08 0.00 0.26 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.02 0.08 0.34 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.02 0.00 0.34 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 0.34 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.34 data arrival time ------------------------------------------------------------------------------ - 0.10 slack (MET) - - -Startpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.22 0.23 ^ _456_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[1] (net) - 0.04 0.00 0.23 ^ _345_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.08 0.31 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.02 0.00 0.31 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.36 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.02 0.00 0.36 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.36 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.36 data arrival time ------------------------------------------------------------------------------ - 0.12 slack (MET) - - -Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.22 0.22 ^ _457_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.prep[2] (net) - 0.04 0.00 0.22 ^ _343_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.08 0.31 ^ _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.02 0.00 0.31 ^ _344_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.06 0.37 ^ _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.03 0.00 0.37 ^ _457_/D (sky130_fd_sc_hd__dfrtp_2) - 0.37 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.37 data arrival time ------------------------------------------------------------------------------ - 0.13 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.28 0.28 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.11 0.00 0.28 ^ _332_/A1 (sky130_fd_sc_hd__o21a_2) - 0.02 0.08 0.37 ^ _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.02 0.00 0.37 ^ _462_/D (sky130_fd_sc_hd__dfrtp_2) - 0.37 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.37 data arrival time ------------------------------------------------------------------------------ - 0.13 slack (MET) - - -Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.23 0.24 ^ _464_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.tval[1] (net) - 0.05 0.00 0.24 ^ _326_/A0 (sky130_fd_sc_hd__mux2_2) - 0.02 0.08 0.32 ^ _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.02 0.00 0.32 ^ _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.37 ^ _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 0.37 ^ _464_/D (sky130_fd_sc_hd__dfrtp_2) - 0.37 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.37 data arrival time ------------------------------------------------------------------------------ - 0.14 slack (MET) - - -Startpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.27 0.28 ^ _461_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[3] (net) - 0.11 0.00 0.28 ^ _334_/A1 (sky130_fd_sc_hd__o211a_2) - 0.02 0.10 0.38 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.02 0.00 0.38 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 0.38 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.38 data arrival time ------------------------------------------------------------------------------ - 0.14 slack (MET) - - -Startpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.24 0.24 ^ _474_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.count1[1] (net) - 0.06 0.00 0.24 ^ _223_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.09 0.33 ^ _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.02 0.00 0.33 ^ _224_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.38 ^ _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.02 0.00 0.38 ^ _474_/D (sky130_fd_sc_hd__dfrtp_2) - 0.38 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.38 data arrival time ------------------------------------------------------------------------------ - 0.14 slack (MET) - - -Startpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.27 0.28 ^ _465_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[0] (net) - 0.10 0.00 0.28 ^ _323_/B1 (sky130_fd_sc_hd__o2bb2a_2) - 0.03 0.11 0.39 ^ _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.03 0.00 0.39 ^ _465_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.15 slack (MET) - - -Startpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.28 0.28 ^ _462_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[4] (net) - 0.11 0.00 0.28 ^ _336_/A (sky130_fd_sc_hd__nand2_2) - 0.05 0.06 0.34 v _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.05 0.00 0.35 v _342_/C (sky130_fd_sc_hd__nand3_2) - 0.03 0.05 0.39 ^ _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.03 0.00 0.39 ^ _458_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.25 ^ _477_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.02 pll_control.count1[4] (net) - 0.07 0.00 0.25 ^ _217_/A1 (sky130_fd_sc_hd__mux2_2) - 0.02 0.09 0.34 ^ _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.02 0.00 0.34 ^ _218_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.40 ^ _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.02 0.00 0.40 ^ _477_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.25 ^ _476_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[3] (net) - 0.07 0.00 0.25 ^ _219_/A1 (sky130_fd_sc_hd__mux2_2) - 0.03 0.09 0.34 ^ _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.03 0.00 0.34 ^ _220_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.39 ^ _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.02 0.00 0.39 ^ _476_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.25 ^ _475_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[2] (net) - 0.07 0.00 0.25 ^ _221_/A1 (sky130_fd_sc_hd__mux2_2) - 0.03 0.09 0.34 ^ _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.03 0.00 0.34 ^ _222_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.06 0.40 ^ _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.02 0.00 0.40 ^ _475_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.16 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.29 0.29 ^ _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.13 0.00 0.29 ^ _340_/A (sky130_fd_sc_hd__xnor2_2) - 0.03 0.08 0.37 ^ _340_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.01 _154_ (net) - 0.03 0.00 0.37 ^ _341_/A2 (sky130_fd_sc_hd__a21boi_2) - 0.02 0.03 0.40 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.02 0.00 0.40 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.23 0.24 ^ _466_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.tint[1] (net) - 0.05 0.00 0.24 ^ _275_/A (sky130_fd_sc_hd__inv_2) - 0.07 0.07 0.30 v _275_/Y (sky130_fd_sc_hd__inv_2) - 7 0.05 _099_ (net) - 0.07 0.00 0.31 v _321_/A (sky130_fd_sc_hd__xnor2_2) - 0.02 0.10 0.40 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.02 0.00 0.40 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 0.40 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.40 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.25 0.25 ^ _473_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.count1[0] (net) - 0.07 0.00 0.25 ^ _226_/A1 (sky130_fd_sc_hd__mux2_2) - 0.03 0.10 0.35 ^ _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.03 0.00 0.35 ^ _227_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.06 0.41 ^ _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.02 0.00 0.41 ^ _473_/D (sky130_fd_sc_hd__dfrtp_2) - 0.41 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 0.00 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.25 clock uncertainty - 0.00 0.25 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.41 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.27 0.27 ^ _468_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.03 pll_control.tint[3] (net) - 0.10 0.00 0.27 ^ _314_/A0 (sky130_fd_sc_hd__mux2_2) - 0.02 0.10 0.37 ^ _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.02 0.00 0.37 ^ _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.42 ^ _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 0.42 ^ _468_/D (sky130_fd_sc_hd__dfrtp_2) - 0.42 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.42 data arrival time ------------------------------------------------------------------------------ - 0.18 slack (MET) - - -Startpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.10 0.26 0.27 ^ _460_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.02 pll_control.count0[2] (net) - 0.10 0.00 0.27 ^ _335_/B1 (sky130_fd_sc_hd__a21oi_2) - 0.03 0.02 0.29 v _335_/Y (sky130_fd_sc_hd__a21oi_2) - 1 0.00 _150_ (net) - 0.03 0.00 0.29 v _337_/A2 (sky130_fd_sc_hd__o21ai_2) - 0.02 0.06 0.35 ^ _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.02 0.00 0.35 ^ _338_/B (sky130_fd_sc_hd__and2_2) - 0.03 0.07 0.43 ^ _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.03 0.00 0.43 ^ _339_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.48 ^ _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.02 0.00 0.48 ^ _460_/D (sky130_fd_sc_hd__dfrtp_2) - 0.48 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.48 data arrival time ------------------------------------------------------------------------------ - 0.24 slack (MET) - - -Startpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.25 0.26 ^ _467_/Q (sky130_fd_sc_hd__dfrtp_2) - 5 0.02 pll_control.tint[2] (net) - 0.08 0.00 0.26 ^ _273_/A (sky130_fd_sc_hd__buf_2) - 0.12 0.14 0.39 ^ _273_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _097_ (net) - 0.12 0.00 0.40 ^ _317_/A0 (sky130_fd_sc_hd__mux2_2) - 0.02 0.10 0.50 ^ _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.02 0.00 0.50 ^ _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.05 0.55 ^ _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 0.55 ^ _467_/D (sky130_fd_sc_hd__dfrtp_2) - 0.55 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.55 data arrival time ------------------------------------------------------------------------------ - 0.31 slack (MET) - - -Startpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.14 0.30 0.30 ^ _469_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.04 pll_control.tint[4] (net) - 0.14 0.00 0.30 ^ _228_/A (sky130_fd_sc_hd__buf_2) - 0.16 0.17 0.48 ^ _228_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _052_ (net) - 0.16 0.00 0.48 ^ _311_/A1 (sky130_fd_sc_hd__o22a_2) - 0.02 0.09 0.57 ^ _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.02 0.00 0.57 ^ _469_/D (sky130_fd_sc_hd__dfrtp_2) - 0.57 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.24 library hold time - 0.24 data required time ------------------------------------------------------------------------------ - 0.24 data required time - -0.57 data arrival time ------------------------------------------------------------------------------ - 0.33 slack (MET) - - -min_report_end -max_report - -=========================================================================== -report_checks -path_delay max (Setup) -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.04 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.04 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.26 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.26 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.74 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.74 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.51 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.51 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.83 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.83 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.83 4.66 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.66 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.09 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.09 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.54 5.64 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.64 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.96 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.96 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.34 6.30 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.30 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.06 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.08 0.00 7.06 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.30 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.04 0.00 7.30 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 7.30 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -7.30 data arrival time ------------------------------------------------------------------------------ - -1.13 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.04 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.04 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.26 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.26 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.74 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.74 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.51 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.51 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.83 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.83 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.83 4.66 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.66 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.09 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.09 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.54 5.64 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.64 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.96 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.96 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.34 6.30 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.30 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.06 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.08 0.00 7.06 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.30 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.04 0.00 7.30 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 7.30 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -7.30 data arrival time ------------------------------------------------------------------------------ - -1.12 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.04 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.04 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.26 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.26 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.74 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.74 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.51 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.51 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.83 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.83 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.83 4.66 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.66 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.09 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.09 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.54 5.64 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.64 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.96 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.96 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.34 6.30 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.30 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.06 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.08 0.00 7.06 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.30 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.04 0.00 7.30 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 7.30 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 6.67 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -7.30 data arrival time ------------------------------------------------------------------------------ - -1.12 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.04 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.04 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.26 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.26 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.74 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.74 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.51 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.51 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.83 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.83 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.83 4.66 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.66 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.13 0.51 5.17 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.13 0.00 5.17 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.13 0.60 5.78 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.13 0.00 5.78 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.10 0.56 6.33 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.10 0.00 6.33 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.07 0.38 6.71 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.07 0.00 6.71 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.07 0.40 7.11 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.07 0.00 7.11 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 7.11 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.26 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -7.11 data arrival time ------------------------------------------------------------------------------ - -0.95 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.04 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.04 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.26 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.26 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.74 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.74 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.51 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.51 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.83 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.83 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.83 4.66 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.66 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.09 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.09 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.54 5.64 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.64 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.96 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.96 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.34 6.30 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.30 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.09 0.51 6.81 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.09 0.00 6.81 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 6.81 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.26 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -6.81 data arrival time ------------------------------------------------------------------------------ - -0.65 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.04 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.04 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.26 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.26 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.74 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.74 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.51 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.51 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.83 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.83 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.83 4.66 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.66 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.09 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.09 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.54 5.64 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.64 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.96 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.96 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.34 6.30 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.30 ^ _328_/B (sky130_fd_sc_hd__nand2_2) - 0.06 0.15 6.46 v _328_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 _146_ (net) - 0.06 0.00 6.46 v _329_/B1 (sky130_fd_sc_hd__o21a_2) - 0.06 0.21 6.67 v _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.06 0.00 6.67 v _463_/D (sky130_fd_sc_hd__dfrtp_2) - 6.67 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -6.67 data arrival time ------------------------------------------------------------------------------ - -0.50 slack (VIOLATED) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.04 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.04 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.26 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.26 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.74 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.74 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.51 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.51 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.83 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.83 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.83 4.66 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.66 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.09 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.09 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.54 5.64 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.64 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.96 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.96 ^ _320_/C1 (sky130_fd_sc_hd__o211a_2) - 0.14 0.43 6.39 ^ _320_/X (sky130_fd_sc_hd__o211a_2) - 1 0.01 _141_ (net) - 0.14 0.00 6.39 ^ _321_/B (sky130_fd_sc_hd__xnor2_2) - 0.10 0.15 6.54 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.10 0.00 6.54 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 6.54 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.27 6.15 library setup time - 6.15 data required time ------------------------------------------------------------------------------ - 6.15 data required time - -6.54 data arrival time ------------------------------------------------------------------------------ - -0.39 slack (VIOLATED) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.91 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.91 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.30 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.30 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.56 1.86 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.86 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.35 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.35 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.17 0.21 2.56 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.17 0.00 2.56 ^ _337_/B1 (sky130_fd_sc_hd__o21ai_2) - 0.07 0.13 2.69 v _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.07 0.00 2.69 v _338_/B (sky130_fd_sc_hd__and2_2) - 0.07 0.41 3.10 v _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.07 0.00 3.10 v _339_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 3.34 v _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.04 0.00 3.34 v _460_/D (sky130_fd_sc_hd__dfrtp_2) - 3.34 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -3.34 data arrival time ------------------------------------------------------------------------------ - 2.84 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.96 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.96 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.18 0.28 1.24 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.18 0.00 1.24 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.43 1.67 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.29 0.00 1.67 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.47 2.14 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _045_ (net) - 0.28 0.00 2.14 ^ _217_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.77 2.91 v _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.09 0.00 2.91 v _218_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 3.15 v _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.04 0.00 3.15 v _477_/D (sky130_fd_sc_hd__dfrtp_2) - 3.15 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 6.67 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -3.15 data arrival time ------------------------------------------------------------------------------ - 3.02 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.91 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.91 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.30 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.30 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.56 1.86 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.86 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.35 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.35 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.17 0.21 2.56 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.17 0.00 2.56 ^ _342_/C (sky130_fd_sc_hd__nand3_2) - 0.11 0.18 2.74 v _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.11 0.00 2.74 v _458_/D (sky130_fd_sc_hd__dfrtp_2) - 2.74 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.28 6.15 library setup time - 6.15 data required time ------------------------------------------------------------------------------ - 6.15 data required time - -2.74 data arrival time ------------------------------------------------------------------------------ - 3.41 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.91 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.91 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.30 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.30 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.56 1.86 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.86 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.35 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.35 v _332_/A2 (sky130_fd_sc_hd__o21a_2) - 0.05 0.38 2.74 v _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.05 0.00 2.74 v _462_/D (sky130_fd_sc_hd__dfrtp_2) - 2.74 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.74 data arrival time ------------------------------------------------------------------------------ - 3.44 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.96 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.96 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.18 0.28 1.24 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.18 0.00 1.24 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.43 1.67 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.29 0.00 1.67 ^ _226_/S (sky130_fd_sc_hd__mux2_2) - 0.10 0.80 2.47 v _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.10 0.00 2.47 v _227_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.26 2.73 v _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.05 0.00 2.73 v _473_/D (sky130_fd_sc_hd__dfrtp_2) - 2.73 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 6.67 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.73 data arrival time ------------------------------------------------------------------------------ - 3.44 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.91 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.91 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.30 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.30 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.56 1.86 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.86 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.35 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.35 v _333_/B (sky130_fd_sc_hd__nand2b_2) - 0.07 0.12 2.47 ^ _333_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _149_ (net) - 0.07 0.00 2.47 ^ _334_/B1 (sky130_fd_sc_hd__o211a_2) - 0.07 0.37 2.84 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.07 0.00 2.84 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 2.84 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.13 6.30 library setup time - 6.30 data required time ------------------------------------------------------------------------------ - 6.30 data required time - -2.84 data arrival time ------------------------------------------------------------------------------ - 3.46 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.90 0.91 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.09 0.00 0.91 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.19 0.39 1.30 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.19 0.00 1.30 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.11 0.56 1.86 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.11 0.00 1.86 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.11 0.49 2.35 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.11 0.00 2.35 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.17 0.21 2.56 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.17 0.00 2.56 ^ _341_/A1 (sky130_fd_sc_hd__a21boi_2) - 0.08 0.14 2.70 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.08 0.00 2.70 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 2.70 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.26 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -2.70 data arrival time ------------------------------------------------------------------------------ - 3.46 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.96 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.96 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.18 0.28 1.24 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.18 0.00 1.24 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.43 1.67 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.29 0.00 1.67 ^ _343_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.78 2.45 v _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.09 0.00 2.45 v _344_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.26 2.71 v _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _025_ (net) - 0.05 0.00 2.71 v _457_/D (sky130_fd_sc_hd__dfrtp_2) - 2.71 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.71 data arrival time ------------------------------------------------------------------------------ - 3.47 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.96 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.96 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.18 0.28 1.24 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.18 0.00 1.24 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.43 1.67 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.29 0.00 1.67 ^ _221_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.79 2.46 v _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.09 0.00 2.46 v _222_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.25 2.70 v _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.04 0.00 2.70 v _475_/D (sky130_fd_sc_hd__dfrtp_2) - 2.70 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 6.67 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.70 data arrival time ------------------------------------------------------------------------------ - 3.47 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.96 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.96 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.18 0.28 1.24 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.18 0.00 1.24 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.43 1.67 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.29 0.00 1.67 ^ _219_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.79 2.46 v _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.09 0.00 2.46 v _220_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 2.70 v _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.04 0.00 2.70 v _476_/D (sky130_fd_sc_hd__dfrtp_2) - 2.70 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 6.67 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.70 data arrival time ------------------------------------------------------------------------------ - 3.47 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.96 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.96 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.18 0.28 1.24 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.18 0.00 1.24 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.43 1.67 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.29 0.00 1.67 ^ _223_/S (sky130_fd_sc_hd__mux2_2) - 0.09 0.78 2.45 v _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.09 0.00 2.45 v _224_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 2.69 v _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.04 0.00 2.69 v _474_/D (sky130_fd_sc_hd__dfrtp_2) - 2.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.00 6.67 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -2.69 data arrival time ------------------------------------------------------------------------------ - 3.48 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.96 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.96 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.18 0.28 1.24 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.18 0.00 1.24 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.43 1.67 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.29 0.00 1.67 ^ _345_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.77 2.44 v _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.08 0.00 2.44 v _346_/A (sky130_fd_sc_hd__buf_2) - 0.05 0.24 2.69 v _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.05 0.00 2.69 v _456_/D (sky130_fd_sc_hd__dfrtp_2) - 2.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.18 library setup time - 6.18 data required time ------------------------------------------------------------------------------ - 6.18 data required time - -2.69 data arrival time ------------------------------------------------------------------------------ - 3.49 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.96 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.96 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.18 0.28 1.24 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.18 0.00 1.24 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.29 0.43 1.67 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.29 0.00 1.67 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.28 0.47 2.14 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _045_ (net) - 0.28 0.00 2.14 ^ _347_/B (sky130_fd_sc_hd__nand2b_2) - 0.09 0.18 2.31 v _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.09 0.00 2.31 v _455_/D (sky130_fd_sc_hd__dfrtp_2) - 2.31 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.26 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -2.31 data arrival time ------------------------------------------------------------------------------ - 3.84 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.13 0.95 0.96 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.13 0.00 0.96 v _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.96 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.28 6.14 library setup time - 6.14 data required time ------------------------------------------------------------------------------ - 6.14 data required time - -0.96 data arrival time ------------------------------------------------------------------------------ - 5.18 slack (MET) - - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.87 0.87 v _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.07 0.00 0.87 v _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.87 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.26 6.16 library setup time - 6.16 data required time ------------------------------------------------------------------------------ - 6.16 data required time - -0.87 data arrival time ------------------------------------------------------------------------------ - 5.29 slack (MET) - - - -======================= Typical Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.57 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.57 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.69 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.57 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.05 0.00 3.57 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 3.69 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.04 0.36 3.57 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.04 0.00 3.57 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 3.69 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.07 0.27 2.66 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.07 0.00 2.66 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.07 0.33 2.99 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.07 0.00 2.99 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.06 0.31 3.30 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.06 0.00 3.30 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.04 0.18 3.47 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.04 0.00 3.47 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.04 0.20 3.67 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.04 0.00 3.67 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 3.67 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.67 data arrival time ------------------------------------------------------------------------------ - 2.65 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.05 0.24 3.45 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.05 0.00 3.45 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 3.45 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.45 data arrival time ------------------------------------------------------------------------------ - 2.87 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _328_/B (sky130_fd_sc_hd__nand2_2) - 0.04 0.07 3.28 v _328_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 _146_ (net) - 0.04 0.00 3.28 v _329_/B1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.11 3.39 v _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.03 0.00 3.39 v _463_/D (sky130_fd_sc_hd__dfrtp_2) - 3.39 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.39 data arrival time ------------------------------------------------------------------------------ - 2.94 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _320_/C1 (sky130_fd_sc_hd__o211a_2) - 0.08 0.20 3.22 ^ _320_/X (sky130_fd_sc_hd__o211a_2) - 1 0.01 _141_ (net) - 0.08 0.00 3.22 ^ _321_/B (sky130_fd_sc_hd__xnor2_2) - 0.11 0.11 3.33 ^ _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.11 0.00 3.33 ^ _466_/D (sky130_fd_sc_hd__dfrtp_2) - 3.33 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -3.33 data arrival time ------------------------------------------------------------------------------ - 3.02 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.47 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.47 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.64 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.64 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.25 0.88 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.88 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.17 0.26 1.14 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.17 0.00 1.14 ^ _217_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.51 v _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.05 0.00 1.51 v _218_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.63 v _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.02 0.00 1.63 v _477_/D (sky130_fd_sc_hd__dfrtp_2) - 1.63 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.63 data arrival time ------------------------------------------------------------------------------ - 4.70 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.64 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.64 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.91 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.91 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.14 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.14 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.11 0.12 1.26 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.11 0.00 1.26 ^ _337_/B1 (sky130_fd_sc_hd__o21ai_2) - 0.04 0.06 1.32 v _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.04 0.00 1.32 v _338_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.19 1.51 v _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.04 0.00 1.51 v _339_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.63 v _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.02 0.00 1.63 v _460_/D (sky130_fd_sc_hd__dfrtp_2) - 1.63 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.63 data arrival time ------------------------------------------------------------------------------ - 4.70 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.47 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.47 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.64 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.64 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.25 0.88 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.89 ^ _226_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.38 1.27 v _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.05 0.00 1.27 v _227_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.13 1.39 v _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.03 0.00 1.39 v _473_/D (sky130_fd_sc_hd__dfrtp_2) - 1.39 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.39 data arrival time ------------------------------------------------------------------------------ - 4.93 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.47 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.47 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.64 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.64 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.25 0.88 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.89 ^ _219_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.26 v _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.05 0.00 1.26 v _220_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.38 v _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.02 0.00 1.38 v _476_/D (sky130_fd_sc_hd__dfrtp_2) - 1.38 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.38 data arrival time ------------------------------------------------------------------------------ - 4.95 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.47 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.47 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.64 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.64 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.25 0.88 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.88 ^ _343_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.25 v _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.05 0.00 1.25 v _344_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.13 1.38 v _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.03 0.00 1.38 v _457_/D (sky130_fd_sc_hd__dfrtp_2) - 1.38 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.38 data arrival time ------------------------------------------------------------------------------ - 4.95 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.47 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.47 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.64 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.64 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.25 0.88 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.89 ^ _221_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.26 v _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.05 0.00 1.26 v _222_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.38 v _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.02 0.00 1.38 v _475_/D (sky130_fd_sc_hd__dfrtp_2) - 1.38 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.38 data arrival time ------------------------------------------------------------------------------ - 4.95 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.47 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.47 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.64 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.64 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.25 0.88 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.89 ^ _223_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.25 v _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.05 0.00 1.25 v _224_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.37 v _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.02 0.00 1.37 v _474_/D (sky130_fd_sc_hd__dfrtp_2) - 1.37 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.37 data arrival time ------------------------------------------------------------------------------ - 4.95 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.47 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.47 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.64 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.64 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.25 0.88 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.88 ^ _345_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.37 1.25 v _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.05 0.00 1.25 v _346_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 1.37 v _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.02 0.00 1.37 v _456_/D (sky130_fd_sc_hd__dfrtp_2) - 1.37 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -1.37 data arrival time ------------------------------------------------------------------------------ - 4.96 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.64 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.64 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.91 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.91 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.14 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.14 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.11 0.12 1.26 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.11 0.00 1.26 ^ _342_/C (sky130_fd_sc_hd__nand3_2) - 0.06 0.08 1.34 v _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.06 0.00 1.34 v _458_/D (sky130_fd_sc_hd__dfrtp_2) - 1.34 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.11 6.31 library setup time - 6.31 data required time ------------------------------------------------------------------------------ - 6.31 data required time - -1.34 data arrival time ------------------------------------------------------------------------------ - 4.97 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.64 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.64 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.91 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.91 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.14 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.14 v _332_/A2 (sky130_fd_sc_hd__o21a_2) - 0.03 0.20 1.34 v _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.03 0.00 1.34 v _462_/D (sky130_fd_sc_hd__dfrtp_2) - 1.34 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.34 data arrival time ------------------------------------------------------------------------------ - 4.99 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.64 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.64 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.91 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.91 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.14 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.14 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.11 0.12 1.26 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.11 0.00 1.26 ^ _341_/A1 (sky130_fd_sc_hd__a21boi_2) - 0.04 0.06 1.32 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.04 0.00 1.32 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 1.32 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.32 data arrival time ------------------------------------------------------------------------------ - 5.00 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.43 0.44 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.05 0.00 0.44 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.10 0.20 0.64 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.10 0.00 0.64 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.06 0.26 0.91 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.06 0.00 0.91 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.06 0.23 1.14 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.06 0.00 1.14 v _333_/B (sky130_fd_sc_hd__nand2b_2) - 0.04 0.07 1.21 ^ _333_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _149_ (net) - 0.04 0.00 1.21 ^ _334_/B1 (sky130_fd_sc_hd__o211a_2) - 0.04 0.16 1.37 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.04 0.00 1.37 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 1.37 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.05 6.37 library setup time - 6.37 data required time ------------------------------------------------------------------------------ - 6.37 data required time - -1.37 data arrival time ------------------------------------------------------------------------------ - 5.00 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.47 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.47 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.12 0.17 0.64 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.12 0.00 0.64 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.18 0.25 0.88 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.18 0.00 0.88 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.17 0.26 1.14 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.17 0.00 1.14 ^ _347_/B (sky130_fd_sc_hd__nand2b_2) - 0.05 0.08 1.22 v _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.05 0.00 1.22 v _455_/D (sky130_fd_sc_hd__dfrtp_2) - 1.22 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -1.22 data arrival time ------------------------------------------------------------------------------ - 5.10 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.07 0.46 0.47 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.07 0.00 0.47 v _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.47 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.11 6.31 library setup time - 6.31 data required time ------------------------------------------------------------------------------ - 6.31 data required time - -0.47 data arrival time ------------------------------------------------------------------------------ - 5.84 slack (MET) - - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.42 0.42 v _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.04 0.00 0.42 v _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.42 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -0.42 data arrival time ------------------------------------------------------------------------------ - 5.90 slack (MET) - - - -======================= Fastest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.33 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.74 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.74 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.88 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.88 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.12 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.12 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.22 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.22 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.49 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.50 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.78 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.78 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.86 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.86 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.14 2.00 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 2.00 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.21 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.03 0.00 2.21 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 2.28 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 2.28 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 2.28 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.28 data arrival time ------------------------------------------------------------------------------ - 4.07 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.33 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.74 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.74 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.88 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.88 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.12 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.12 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.22 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.22 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.49 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.50 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.78 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.78 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.86 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.86 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.14 2.00 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 2.00 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.21 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.03 0.00 2.21 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 2.28 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 2.28 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 2.28 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.28 data arrival time ------------------------------------------------------------------------------ - 4.07 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.33 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.74 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.74 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.88 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.88 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.12 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.12 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.22 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.22 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.49 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.50 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.78 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.78 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.86 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.86 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.14 2.00 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 2.00 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.21 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.03 0.00 2.21 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 2.28 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 2.28 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 2.28 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.28 data arrival time ------------------------------------------------------------------------------ - 4.08 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.33 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.74 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.74 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.88 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.88 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.12 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.12 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.22 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.22 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.49 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.50 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.05 0.17 1.67 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.05 0.00 1.67 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.05 0.18 1.84 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.05 0.00 1.85 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.04 0.16 2.00 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.04 0.00 2.00 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.03 0.11 2.11 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.03 0.00 2.11 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.03 0.12 2.23 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.03 0.00 2.23 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 2.23 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.23 data arrival time ------------------------------------------------------------------------------ - 4.12 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.33 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.74 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.74 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.88 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.88 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.12 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.12 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.22 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.22 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.49 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.50 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.78 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.78 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.86 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.86 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.14 2.00 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 2.00 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.03 0.14 2.14 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.03 0.00 2.14 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 2.14 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -2.14 data arrival time ------------------------------------------------------------------------------ - 4.21 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.33 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.74 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.74 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.88 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.88 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.12 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.12 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.22 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.22 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.49 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.50 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.78 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.78 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.86 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.86 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.14 2.00 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 2.00 ^ _328_/B (sky130_fd_sc_hd__nand2_2) - 0.03 0.03 2.03 v _328_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 _146_ (net) - 0.03 0.00 2.03 v _329_/B1 (sky130_fd_sc_hd__o21a_2) - 0.02 0.07 2.10 v _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.02 0.00 2.10 v _463_/D (sky130_fd_sc_hd__dfrtp_2) - 2.10 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.10 data arrival time ------------------------------------------------------------------------------ - 4.26 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _466_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.33 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.74 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.74 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.88 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.88 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.12 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.12 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.22 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.22 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.49 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.49 v _272_/A (sky130_fd_sc_hd__buf_2) - 0.08 0.16 1.65 v _272_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _096_ (net) - 0.08 0.00 1.65 v _292_/A2 (sky130_fd_sc_hd__o31a_2) - 0.04 0.22 1.88 v _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.88 v _320_/C1 (sky130_fd_sc_hd__o211a_2) - 0.04 0.09 1.97 v _320_/X (sky130_fd_sc_hd__o211a_2) - 1 0.01 _141_ (net) - 0.04 0.00 1.97 v _321_/B (sky130_fd_sc_hd__xnor2_2) - 0.04 0.09 2.06 v _321_/Y (sky130_fd_sc_hd__xnor2_2) - 1 0.00 _034_ (net) - 0.04 0.00 2.06 v _466_/D (sky130_fd_sc_hd__dfrtp_2) - 2.06 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -2.06 data arrival time ------------------------------------------------------------------------------ - 4.29 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _477_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.42 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.42 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.59 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.59 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.13 0.17 0.76 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.13 0.00 0.76 ^ _217_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.98 v _217_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _046_ (net) - 0.03 0.00 0.98 v _218_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 1.05 v _218_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _042_ (net) - 0.02 0.00 1.05 v _477_/D (sky130_fd_sc_hd__dfrtp_2) - 1.05 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _477_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -1.05 data arrival time ------------------------------------------------------------------------------ - 5.31 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _460_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.27 0.28 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.04 0.00 0.28 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.41 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.41 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.58 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.58 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.73 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.73 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.08 0.09 0.82 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.82 ^ _337_/B1 (sky130_fd_sc_hd__o21ai_2) - 0.02 0.02 0.84 v _337_/Y (sky130_fd_sc_hd__o21ai_2) - 1 0.00 _152_ (net) - 0.02 0.00 0.84 v _338_/B (sky130_fd_sc_hd__and2_2) - 0.03 0.12 0.95 v _338_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _153_ (net) - 0.03 0.00 0.95 v _339_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.07 1.03 v _339_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _028_ (net) - 0.02 0.00 1.03 v _460_/D (sky130_fd_sc_hd__dfrtp_2) - 1.03 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _460_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -1.03 data arrival time ------------------------------------------------------------------------------ - 5.33 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _473_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.42 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.42 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.59 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.59 ^ _226_/S (sky130_fd_sc_hd__mux2_2) - 0.04 0.22 0.81 v _226_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _051_ (net) - 0.04 0.00 0.81 v _227_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.89 v _227_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _038_ (net) - 0.02 0.00 0.89 v _473_/D (sky130_fd_sc_hd__dfrtp_2) - 0.89 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _473_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.89 data arrival time ------------------------------------------------------------------------------ - 5.46 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _476_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.42 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.42 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.59 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.59 ^ _219_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.22 0.81 v _219_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _047_ (net) - 0.03 0.00 0.81 v _220_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.88 v _220_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _041_ (net) - 0.02 0.00 0.88 v _476_/D (sky130_fd_sc_hd__dfrtp_2) - 0.88 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _476_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.88 data arrival time ------------------------------------------------------------------------------ - 5.47 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _475_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.42 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.42 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.59 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.59 ^ _221_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.22 0.81 v _221_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _048_ (net) - 0.03 0.00 0.81 v _222_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.88 v _222_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _040_ (net) - 0.02 0.00 0.88 v _475_/D (sky130_fd_sc_hd__dfrtp_2) - 0.88 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _475_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.88 data arrival time ------------------------------------------------------------------------------ - 5.47 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _457_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.42 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.42 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.59 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.59 ^ _343_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.80 v _343_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _155_ (net) - 0.03 0.00 0.80 v _344_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.88 v _344_/X (sky130_fd_sc_hd__buf_2) - 1 0.01 _025_ (net) - 0.02 0.00 0.88 v _457_/D (sky130_fd_sc_hd__dfrtp_2) - 0.88 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _457_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.88 data arrival time ------------------------------------------------------------------------------ - 5.47 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _474_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.42 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.42 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.59 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.59 ^ _223_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.80 v _223_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _049_ (net) - 0.03 0.00 0.80 v _224_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.88 v _224_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _039_ (net) - 0.02 0.00 0.88 v _474_/D (sky130_fd_sc_hd__dfrtp_2) - 0.88 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.00 6.67 ^ _474_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.88 data arrival time ------------------------------------------------------------------------------ - 5.48 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.42 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.42 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.59 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.59 ^ _345_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 0.80 v _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.03 0.00 0.80 v _346_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 0.88 v _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.02 0.00 0.88 v _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.88 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.88 data arrival time ------------------------------------------------------------------------------ - 5.48 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.27 0.28 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.04 0.00 0.28 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.41 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.41 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.58 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.58 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.73 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.73 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.08 0.09 0.82 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.82 ^ _342_/C (sky130_fd_sc_hd__nand3_2) - 0.04 0.04 0.86 v _342_/Y (sky130_fd_sc_hd__nand3_2) - 1 0.00 _026_ (net) - 0.04 0.00 0.86 v _458_/D (sky130_fd_sc_hd__dfrtp_2) - 0.86 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.86 data arrival time ------------------------------------------------------------------------------ - 5.49 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.27 0.28 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.04 0.00 0.28 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.41 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.41 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.58 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.58 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.73 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.73 v _336_/B (sky130_fd_sc_hd__nand2_2) - 0.08 0.09 0.82 ^ _336_/Y (sky130_fd_sc_hd__nand2_2) - 3 0.02 _151_ (net) - 0.08 0.00 0.82 ^ _341_/A1 (sky130_fd_sc_hd__a21boi_2) - 0.03 0.03 0.85 v _341_/Y (sky130_fd_sc_hd__a21boi_2) - 1 0.00 _027_ (net) - 0.03 0.00 0.85 v _459_/D (sky130_fd_sc_hd__dfrtp_2) - 0.85 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.85 data arrival time ------------------------------------------------------------------------------ - 5.50 slack (MET) - - -Startpoint: _458_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _462_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _458_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.27 0.28 v _458_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.count0[0] (net) - 0.04 0.00 0.28 v _225_/A (sky130_fd_sc_hd__buf_2) - 0.07 0.13 0.41 v _225_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _050_ (net) - 0.07 0.00 0.41 v _330_/C (sky130_fd_sc_hd__and3_2) - 0.04 0.17 0.58 v _330_/X (sky130_fd_sc_hd__and3_2) - 3 0.01 _147_ (net) - 0.04 0.00 0.58 v _331_/B (sky130_fd_sc_hd__and2_2) - 0.04 0.15 0.73 v _331_/X (sky130_fd_sc_hd__and2_2) - 3 0.01 _148_ (net) - 0.04 0.00 0.73 v _332_/A2 (sky130_fd_sc_hd__o21a_2) - 0.02 0.12 0.85 v _332_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _030_ (net) - 0.02 0.00 0.85 v _462_/D (sky130_fd_sc_hd__dfrtp_2) - 0.85 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _462_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.85 data arrival time ------------------------------------------------------------------------------ - 5.50 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _461_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.42 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.42 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.59 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.59 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.13 0.17 0.76 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.13 0.00 0.76 ^ _334_/C1 (sky130_fd_sc_hd__o211a_2) - 0.03 0.10 0.86 ^ _334_/X (sky130_fd_sc_hd__o211a_2) - 1 0.00 _029_ (net) - 0.03 0.00 0.86 ^ _461_/D (sky130_fd_sc_hd__dfrtp_2) - 0.86 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.03 6.39 library setup time - 6.39 data required time ------------------------------------------------------------------------------ - 6.39 data required time - -0.86 data arrival time ------------------------------------------------------------------------------ - 5.53 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _214_/A (sky130_fd_sc_hd__xnor2_2) - 0.09 0.12 0.42 ^ _214_/Y (sky130_fd_sc_hd__xnor2_2) - 2 0.00 _043_ (net) - 0.09 0.00 0.42 ^ _215_/A (sky130_fd_sc_hd__buf_2) - 0.14 0.17 0.59 ^ _215_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _044_ (net) - 0.14 0.00 0.59 ^ _216_/A (sky130_fd_sc_hd__buf_2) - 0.13 0.17 0.76 ^ _216_/X (sky130_fd_sc_hd__buf_2) - 7 0.04 _045_ (net) - 0.13 0.00 0.76 ^ _347_/B (sky130_fd_sc_hd__nand2b_2) - 0.03 0.04 0.80 v _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.03 0.00 0.80 v _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.80 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.80 data arrival time ------------------------------------------------------------------------------ - 5.55 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.05 0.29 0.30 v _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.01 pll_control.oscbuf[1] (net) - 0.05 0.00 0.30 v _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.30 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.08 6.35 library setup time - 6.35 data required time ------------------------------------------------------------------------------ - 6.35 data required time - -0.30 data arrival time ------------------------------------------------------------------------------ - 6.05 slack (MET) - - -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.03 0.26 0.27 v _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.03 0.00 0.27 v _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.27 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.07 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -0.27 data arrival time ------------------------------------------------------------------------------ - 6.09 slack (MET) - - -max_report_end -check_report - -=========================================================================== -report_checks -unconstrained -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.04 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.04 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.26 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.26 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.74 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.74 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.51 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.51 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.83 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.83 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.83 4.66 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.66 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.09 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.09 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.54 5.64 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.64 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.96 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.96 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.34 6.30 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.30 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.06 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.08 0.00 7.06 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.30 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.04 0.00 7.30 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 7.30 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -7.30 data arrival time ------------------------------------------------------------------------------ - -1.13 slack (VIOLATED) - - - -======================= Typical Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: tt - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.57 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.57 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.69 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - - -======================= Fastest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ff - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.08 0.32 0.33 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.08 0.00 0.33 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.07 0.16 0.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.07 0.00 0.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.05 0.25 0.74 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.05 0.00 0.74 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.04 0.14 0.88 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.04 0.00 0.88 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.05 0.23 1.12 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.05 0.00 1.12 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.03 0.11 1.22 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.03 0.00 1.22 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.08 0.27 1.49 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.08 0.00 1.50 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.13 1.62 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 1.62 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.05 0.16 1.78 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.05 0.00 1.78 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.04 0.08 1.86 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.04 0.00 1.86 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.11 0.14 2.00 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.11 0.00 2.00 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.03 0.21 2.21 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.03 0.00 2.21 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.08 2.28 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 2.28 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 2.28 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.07 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.06 6.36 library setup time - 6.36 data required time ------------------------------------------------------------------------------ - 6.36 data required time - -2.28 data arrival time ------------------------------------------------------------------------------ - 4.07 slack (MET) - - - -=========================================================================== -report_checks --slack_max -0.01 -============================================================================ - -======================= Slowest Corner =================================== - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max -Corner: ss - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.20 1.03 1.04 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.20 0.00 1.04 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.21 0.45 1.49 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.21 0.00 1.49 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.13 0.77 2.26 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.13 0.00 2.26 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.10 0.48 2.74 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.10 0.00 2.74 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.14 0.77 3.51 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.14 0.00 3.51 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.07 0.32 3.83 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.07 0.00 3.83 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.20 0.83 4.66 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.20 0.00 4.66 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.07 0.43 5.09 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.07 0.00 5.09 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.14 0.54 5.64 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.14 0.00 5.64 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.09 0.32 5.96 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.09 0.00 5.96 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.24 0.34 6.30 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.24 0.00 6.30 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.08 0.76 7.06 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.08 0.00 7.06 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.04 0.24 7.30 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.04 0.00 7.30 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 7.30 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.14 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.25 6.17 library setup time - 6.17 data required time ------------------------------------------------------------------------------ - 6.17 data required time - -7.30 data arrival time ------------------------------------------------------------------------------ - -1.13 slack (VIOLATED) - - - -======================= Typical Corner =================================== - -No paths found. - -======================= Fastest Corner =================================== - -No paths found. -check_report_end -check_slew - -=========================================================================== - report_check_types -max_slew -max_cap -max_fanout -violators -============================================================================ - -======================= Slowest Corner =================================== - -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -======================= Typical Corner =================================== - -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -======================= Fastest Corner =================================== - -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -=========================================================================== -max slew violation count 0 -max fanout violation count 5 -max cap violation count 0 -============================================================================ -check_slew_end -tns_report - -=========================================================================== - report_tns -============================================================================ -tns -5.86 -tns_report_end -wns_report - -=========================================================================== - report_wns -============================================================================ -wns -1.13 -wns_report_end -worst_slack - -=========================================================================== - report_worst_slack -max (Setup) -============================================================================ -worst slack -1.13 - -=========================================================================== - report_worst_slack -min (Hold) -============================================================================ -worst slack -0.02 -worst_slack_end -power_report - -=========================================================================== - report_power -============================================================================ - - -======================= Slowest Corner ================================= - -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.15e-04 1.40e-05 3.37e-07 1.29e-04 27.1% -Combinational 1.54e-04 1.93e-04 1.72e-06 3.49e-04 72.9% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 2.69e-04 2.07e-04 2.05e-06 4.78e-04 100.0% - 56.3% 43.2% 0.4% - -======================= Typical Corner =================================== - -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.50e-04 1.79e-05 1.84e-10 1.68e-04 27.9% -Combinational 1.88e-04 2.46e-04 1.85e-09 4.34e-04 72.1% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 3.38e-04 2.63e-04 2.03e-09 6.01e-04 100.0% - 56.2% 43.8% 0.0% - - -======================= Fastest Corner ================================= - -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.74e-04 2.12e-05 3.12e-10 1.95e-04 28.1% -Combinational 2.10e-04 2.90e-04 4.25e-09 5.00e-04 71.9% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 3.84e-04 3.12e-04 4.56e-09 6.95e-04 100.0% - 55.2% 44.8% 0.0% -power_report_end -area_report - -=========================================================================== - report_design_area -============================================================================ -Design area 3781 u^2 68% utilization. -area_report_end -Setting global connections for newly added cells... -[WARNING] Did not save OpenROAD database! -Writing SDF files for all corners... -Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_nom/digital_pll.ff.sdf... -Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_nom/digital_pll.ss.sdf... -Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_nom/digital_pll.tt.sdf... diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.area.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.area.rpt deleted file mode 100644 index 211b8b82..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.area.rpt +++ /dev/null @@ -1,5 +0,0 @@ - -=========================================================================== - report_design_area -============================================================================ -Design area 3781 u^2 68% utilization. diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.clock_skew.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.clock_skew.rpt deleted file mode 100644 index ad1f1f89..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.clock_skew.rpt +++ /dev/null @@ -1 +0,0 @@ -SKIPPED! \ No newline at end of file diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.log b/signoff/digital_pll/openlane-signoff/21-rcx_sta.log deleted file mode 100644 index f8a3df65..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.log +++ /dev/null @@ -1,686 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.odb -min_report - -=========================================================================== -report_checks -path_delay min (Hold) -============================================================================ -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.33 0.34 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.04 0.00 0.34 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.34 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.34 data arrival time ------------------------------------------------------------------------------ - 0.11 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.38 0.39 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.02 pll_control.oscbuf[1] (net) - 0.09 0.00 0.39 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.04 0.22 library hold time - 0.22 data required time ------------------------------------------------------------------------------ - 0.22 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.36 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.36 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.04 0.11 0.46 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.04 0.00 0.46 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.46 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.46 data arrival time ------------------------------------------------------------------------------ - 0.23 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.40 0.40 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.11 0.00 0.40 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.13 0.53 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.03 0.00 0.53 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 0.53 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.53 data arrival time ------------------------------------------------------------------------------ - 0.30 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.36 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.36 ^ _345_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.13 0.49 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.03 0.00 0.49 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.57 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.03 0.00 0.57 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.57 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.57 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - -min_report_end -max_report - -=========================================================================== -report_checks -path_delay max (Setup) -============================================================================ -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.57 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.57 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.69 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.57 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.05 0.00 3.57 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 3.69 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.04 0.36 3.57 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.04 0.00 3.57 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 3.69 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.07 0.27 2.66 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.07 0.00 2.66 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.07 0.33 2.99 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.07 0.00 2.99 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.06 0.31 3.30 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.06 0.00 3.30 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.04 0.18 3.47 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.04 0.00 3.47 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.04 0.20 3.67 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.04 0.00 3.67 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 3.67 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.67 data arrival time ------------------------------------------------------------------------------ - 2.65 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.05 0.24 3.45 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.05 0.00 3.45 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 3.45 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.45 data arrival time ------------------------------------------------------------------------------ - 2.87 slack (MET) - - -max_report_end -check_report - -=========================================================================== -report_checks -unconstrained -============================================================================ -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.57 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.57 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.69 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - - -=========================================================================== -report_checks --slack_max -0.01 -============================================================================ -No paths found. -check_report_end -check_slew - -=========================================================================== - report_check_types -max_slew -max_cap -max_fanout -violators -============================================================================ -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -=========================================================================== -max slew violation count 0 -max fanout violation count 5 -max cap violation count 0 -============================================================================ -check_slew_end -tns_report - -=========================================================================== - report_tns -============================================================================ -tns 0.00 -tns_report_end -wns_report - -=========================================================================== - report_wns -============================================================================ -wns 0.00 -wns_report_end -worst_slack - -=========================================================================== - report_worst_slack -max (Setup) -============================================================================ -worst slack 2.64 - -=========================================================================== - report_worst_slack -min (Hold) -============================================================================ -worst slack 0.11 -worst_slack_end -power_report - -=========================================================================== - report_power -============================================================================ -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.50e-04 1.79e-05 1.84e-10 1.68e-04 27.9% -Combinational 1.88e-04 2.46e-04 1.88e-09 4.34e-04 72.1% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 3.38e-04 2.63e-04 2.06e-09 6.01e-04 100.0% - 56.2% 43.8% 0.0% -power_report_end -area_report - -=========================================================================== - report_design_area -============================================================================ -Design area 3781 u^2 68% utilization. -area_report_end -Setting global connections for newly added cells... -[WARNING] Did not save OpenROAD database! -Writing SDF to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_nom/digital_pll.sdf... diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.max.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.max.rpt deleted file mode 100644 index 662a26e5..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.max.rpt +++ /dev/null @@ -1,343 +0,0 @@ - -=========================================================================== -report_checks -path_delay max (Setup) -============================================================================ -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.57 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.57 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.69 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _468_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _314_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.57 v _314_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _137_ (net) - 0.05 0.00 3.57 v _315_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _315_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _036_ (net) - 0.02 0.00 3.69 v _468_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _468_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _326_/S (sky130_fd_sc_hd__mux2_2) - 0.04 0.36 3.57 v _326_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _145_ (net) - 0.04 0.00 3.57 v _327_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _327_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _032_ (net) - 0.02 0.00 3.69 v _464_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.00 6.67 ^ _464_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.09 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _469_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _304_/A1 (sky130_fd_sc_hd__a21o_2) - 0.07 0.27 2.66 v _304_/X (sky130_fd_sc_hd__a21o_2) - 3 0.02 _128_ (net) - 0.07 0.00 2.66 v _305_/A3 (sky130_fd_sc_hd__a32o_2) - 0.07 0.33 2.99 v _305_/X (sky130_fd_sc_hd__a32o_2) - 3 0.02 _129_ (net) - 0.07 0.00 2.99 v _307_/A3 (sky130_fd_sc_hd__a32o_2) - 0.06 0.31 3.30 v _307_/X (sky130_fd_sc_hd__a32o_2) - 2 0.01 _131_ (net) - 0.06 0.00 3.30 v _309_/A (sky130_fd_sc_hd__and2_2) - 0.04 0.18 3.47 v _309_/X (sky130_fd_sc_hd__and2_2) - 1 0.00 _133_ (net) - 0.04 0.00 3.47 v _311_/B1 (sky130_fd_sc_hd__o22a_2) - 0.04 0.20 3.67 v _311_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 _037_ (net) - 0.04 0.00 3.67 v _469_/D (sky130_fd_sc_hd__dfrtp_2) - 3.67 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _469_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.67 data arrival time ------------------------------------------------------------------------------ - 2.65 slack (MET) - - -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _465_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _323_/A1_N (sky130_fd_sc_hd__o2bb2a_2) - 0.05 0.24 3.45 v _323_/X (sky130_fd_sc_hd__o2bb2a_2) - 1 0.00 _033_ (net) - 0.05 0.00 3.45 v _465_/D (sky130_fd_sc_hd__dfrtp_2) - 3.45 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _465_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.32 library setup time - 6.32 data required time ------------------------------------------------------------------------------ - 6.32 data required time - -3.45 data arrival time ------------------------------------------------------------------------------ - 2.87 slack (MET) - - diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.min.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.min.rpt deleted file mode 100644 index b1e80722..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.min.rpt +++ /dev/null @@ -1,181 +0,0 @@ - -=========================================================================== -report_checks -path_delay min (Hold) -============================================================================ -Startpoint: _470_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _470_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.04 0.33 0.34 ^ _470_/Q (sky130_fd_sc_hd__dfrtp_2) - 1 0.00 pll_control.oscbuf[0] (net) - 0.04 0.00 0.34 ^ _471_/D (sky130_fd_sc_hd__dfrtp_2) - 0.34 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.34 data arrival time ------------------------------------------------------------------------------ - 0.11 slack (MET) - - -Startpoint: _471_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _472_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _471_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.09 0.38 0.39 ^ _471_/Q (sky130_fd_sc_hd__dfrtp_2) - 2 0.02 pll_control.oscbuf[1] (net) - 0.09 0.00 0.39 ^ _472_/D (sky130_fd_sc_hd__dfrtp_2) - 0.39 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _472_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.04 0.22 library hold time - 0.22 data required time ------------------------------------------------------------------------------ - 0.22 data required time - -0.39 data arrival time ------------------------------------------------------------------------------ - 0.17 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.36 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.36 ^ _347_/A_N (sky130_fd_sc_hd__nand2b_2) - 0.04 0.11 0.46 ^ _347_/Y (sky130_fd_sc_hd__nand2b_2) - 1 0.00 _023_ (net) - 0.04 0.00 0.46 ^ _455_/D (sky130_fd_sc_hd__dfrtp_2) - 0.46 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.46 data arrival time ------------------------------------------------------------------------------ - 0.23 slack (MET) - - -Startpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _463_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.40 0.40 ^ _463_/Q (sky130_fd_sc_hd__dfrtp_2) - 4 0.02 pll_control.tval[0] (net) - 0.11 0.00 0.40 ^ _329_/A1 (sky130_fd_sc_hd__o21a_2) - 0.03 0.13 0.53 ^ _329_/X (sky130_fd_sc_hd__o21a_2) - 1 0.00 _031_ (net) - 0.03 0.00 0.53 ^ _463_/D (sky130_fd_sc_hd__dfrtp_2) - 0.53 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.03 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.53 data arrival time ------------------------------------------------------------------------------ - 0.30 slack (MET) - - -Startpoint: _455_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _456_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _455_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.06 0.35 0.36 ^ _455_/Q (sky130_fd_sc_hd__dfrtp_2) - 3 0.01 pll_control.prep[0] (net) - 0.06 0.00 0.36 ^ _345_/A0 (sky130_fd_sc_hd__mux2_2) - 0.03 0.13 0.49 ^ _345_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _156_ (net) - 0.03 0.00 0.49 ^ _346_/A (sky130_fd_sc_hd__buf_2) - 0.03 0.08 0.57 ^ _346_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _024_ (net) - 0.03 0.00 0.57 ^ _456_/D (sky130_fd_sc_hd__dfrtp_2) - 0.57 data arrival time - - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _456_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 0.26 clock uncertainty - 0.00 0.26 clock reconvergence pessimism - -0.02 0.23 library hold time - 0.23 data required time ------------------------------------------------------------------------------ - 0.23 data required time - -0.57 data arrival time ------------------------------------------------------------------------------ - 0.34 slack (MET) - - diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.power.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.power.rpt deleted file mode 100644 index 275de034..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.power.rpt +++ /dev/null @@ -1,14 +0,0 @@ - -=========================================================================== - report_power -============================================================================ -Group Internal Switching Leakage Total - Power Power Power Power (Watts) ----------------------------------------------------------------- -Sequential 1.50e-04 1.79e-05 1.84e-10 1.68e-04 27.9% -Combinational 1.88e-04 2.46e-04 1.88e-09 4.34e-04 72.1% -Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% -Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ----------------------------------------------------------------- -Total 3.38e-04 2.63e-04 2.06e-09 6.01e-04 100.0% - 56.2% 43.8% 0.0% diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.rpt deleted file mode 100644 index c2af765a..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.rpt +++ /dev/null @@ -1,78 +0,0 @@ - -=========================================================================== -report_checks -unconstrained -============================================================================ -Startpoint: _459_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_control_clock) -Path Group: pll_control_clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock pll_control_clock (rise edge) - 0.00 0.00 clock source latency - 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 0.01 ^ _459_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.11 0.51 0.51 v _459_/Q (sky130_fd_sc_hd__dfrtp_2) - 6 0.03 pll_control.count0[1] (net) - 0.11 0.00 0.51 v _233_/A (sky130_fd_sc_hd__xor2_2) - 0.10 0.24 0.76 v _233_/X (sky130_fd_sc_hd__xor2_2) - 3 0.02 _057_ (net) - 0.10 0.00 0.76 v _236_/A2 (sky130_fd_sc_hd__a211o_2) - 0.07 0.40 1.16 v _236_/X (sky130_fd_sc_hd__a211o_2) - 3 0.01 _060_ (net) - 0.07 0.00 1.16 v _240_/B (sky130_fd_sc_hd__and3_2) - 0.05 0.23 1.39 v _240_/X (sky130_fd_sc_hd__and3_2) - 2 0.01 _064_ (net) - 0.05 0.00 1.39 v _249_/A2 (sky130_fd_sc_hd__o32a_2) - 0.07 0.40 1.79 v _249_/X (sky130_fd_sc_hd__o32a_2) - 3 0.01 _073_ (net) - 0.07 0.00 1.79 v _260_/B1 (sky130_fd_sc_hd__o2111a_2) - 0.04 0.17 1.96 v _260_/X (sky130_fd_sc_hd__o2111a_2) - 1 0.00 _084_ (net) - 0.04 0.00 1.96 v _271_/A1 (sky130_fd_sc_hd__o31a_2) - 0.11 0.44 2.39 v _271_/X (sky130_fd_sc_hd__o31a_2) - 6 0.03 _095_ (net) - 0.11 0.00 2.39 v _285_/A (sky130_fd_sc_hd__and3_2) - 0.03 0.20 2.59 v _285_/X (sky130_fd_sc_hd__and3_2) - 1 0.00 _109_ (net) - 0.03 0.00 2.59 v _291_/A_N (sky130_fd_sc_hd__and3b_2) - 0.08 0.26 2.86 ^ _291_/X (sky130_fd_sc_hd__and3b_2) - 3 0.01 _115_ (net) - 0.08 0.00 2.86 ^ _292_/B1 (sky130_fd_sc_hd__o31a_2) - 0.05 0.16 3.01 ^ _292_/X (sky130_fd_sc_hd__o31a_2) - 2 0.01 _116_ (net) - 0.05 0.00 3.01 ^ _293_/A (sky130_fd_sc_hd__buf_2) - 0.15 0.20 3.21 ^ _293_/X (sky130_fd_sc_hd__buf_2) - 7 0.03 _117_ (net) - 0.15 0.00 3.21 ^ _317_/S (sky130_fd_sc_hd__mux2_2) - 0.05 0.36 3.57 v _317_/X (sky130_fd_sc_hd__mux2_2) - 1 0.00 _139_ (net) - 0.05 0.00 3.57 v _318_/A (sky130_fd_sc_hd__buf_2) - 0.02 0.12 3.69 v _318_/X (sky130_fd_sc_hd__buf_2) - 1 0.00 _035_ (net) - 0.02 0.00 3.69 v _467_/D (sky130_fd_sc_hd__dfrtp_2) - 3.69 data arrival time - - 6.67 6.67 clock pll_control_clock (rise edge) - 0.00 6.67 clock source latency - 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8) - 24 0.08 pll_control.clock (net) - 0.09 0.01 6.67 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 6.42 clock uncertainty - 0.00 6.42 clock reconvergence pessimism - -0.10 6.33 library setup time - 6.33 data required time ------------------------------------------------------------------------------ - 6.33 data required time - -3.69 data arrival time ------------------------------------------------------------------------------ - 2.64 slack (MET) - - - -=========================================================================== -report_checks --slack_max -0.01 -============================================================================ -No paths found. diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.slew.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.slew.rpt deleted file mode 100644 index 10a8bd8a..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.slew.rpt +++ /dev/null @@ -1,20 +0,0 @@ - -=========================================================================== - report_check_types -max_slew -max_cap -max_fanout -violators -============================================================================ -max fanout - -Pin Limit Fanout Slack ---------------------------------------------------------- -ringosc.ibufp01/Y 7 24 -17 (VIOLATED) -dco 7 14 -7 (VIOLATED) -_390_/X 7 14 -7 (VIOLATED) -div[3] 7 8 (VIOLATED) -_426_/X 7 8 (VIOLATED) - - -=========================================================================== -max slew violation count 0 -max fanout violation count 5 -max cap violation count 0 -============================================================================ diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.tns.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.tns.rpt deleted file mode 100644 index d3d84b63..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.tns.rpt +++ /dev/null @@ -1,5 +0,0 @@ - -=========================================================================== - report_tns -============================================================================ -tns 0.00 diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.wns.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.wns.rpt deleted file mode 100644 index 3b7f8643..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.wns.rpt +++ /dev/null @@ -1,5 +0,0 @@ - -=========================================================================== - report_wns -============================================================================ -wns 0.00 diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.worst_slack.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.worst_slack.rpt deleted file mode 100644 index 6e74efe6..00000000 --- a/signoff/digital_pll/openlane-signoff/21-rcx_sta.worst_slack.rpt +++ /dev/null @@ -1,10 +0,0 @@ - -=========================================================================== - report_worst_slack -max (Setup) -============================================================================ -worst slack 2.64 - -=========================================================================== - report_worst_slack -min (Hold) -============================================================================ -worst slack 0.11 diff --git a/signoff/digital_pll/openlane-signoff/22-irdrop.log b/signoff/digital_pll/openlane-signoff/22-irdrop.log deleted file mode 100644 index 0d41cebc..00000000 --- a/signoff/digital_pll/openlane-signoff/22-irdrop.log +++ /dev/null @@ -1,38 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef -[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later. -The LEF parser will ignore this statement. -To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef at line 930. - -[INFO ODB-0223] Created 13 technology layers -[INFO ODB-0224] Created 25 technology vias -[INFO ODB-0225] Created 441 library cells -[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef -[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -[INFO ODB-0128] Design: digital_pll -[INFO ODB-0130] Created 39 pins. -[INFO ODB-0131] Created 1093 components and 5448 component-terminals. -[INFO ODB-0132] Created 2 special nets and 4222 connections. -[INFO ODB-0133] Created 371 nets and 1225 connections. -[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -[INFO]: Setting RC values... -[INFO PSM-0002] Output voltage file is specified as: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/22-irdrop.rpt. -[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area. -[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um. -[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um. -[WARNING PSM-0019] Voltage on net VPWR is not explicitly set. -[WARNING PSM-0022] Using voltage 1.800V for VDD network. -[WARNING PSM-0063] Specified bump pitches of 140.000 and 140.000 are less than core width of 88.780 or core height of 62.560. Changing bump location to the center of the die at (49.910, 36.720). -[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction -[INFO PSM-0076] Setting metal node density to be standard cell height times 5. -[WARNING PSM-0030] VSRC location at (49.910um, 36.720um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (61.840um, 21.850um). -[INFO PSM-0031] Number of PDN nodes on net VPWR = 292. -[INFO PSM-0064] Number of voltage sources = 1. -[INFO PSM-0040] All PDN stripes on net VPWR are connected. -########## IR report ################# -Worstcase voltage: 1.80e+00 V -Average IR drop : 1.10e-09 V -Worstcase IR drop: 1.69e-09 V -###################################### diff --git a/signoff/digital_pll/openlane-signoff/22-irdrop.rpt b/signoff/digital_pll/openlane-signoff/22-irdrop.rpt deleted file mode 100644 index 612285de..00000000 --- a/signoff/digital_pll/openlane-signoff/22-irdrop.rpt +++ /dev/null @@ -1,624 +0,0 @@ -Instance name, X location, Y location, Voltage -FILLER_22_109, 61.84, 68, 1.8 -FILLER_22_117, 61.84, 68, 1.8 -_410_, 61.84, 68, 1.8 -ringosc.dstage\[3\].id.delaybuf1, 61.84, 68, 1.8 -ANTENNA__383__A2, 61.84, 62.56, 1.8 -FILLER_20_120, 61.84, 62.56, 1.8 -FILLER_21_125, 61.84, 62.56, 1.8 -FILLER_22_127, 61.84, 62.56, 1.8 -_380_, 61.84, 62.56, 1.8 -ringosc.dstage\[2\].id.delayen1, 61.84, 62.56, 1.8 -ringosc.dstage\[2\].id.delayenb1, 61.84, 62.56, 1.8 -ringosc.dstage\[3\].id.delayenb1, 61.84, 62.56, 1.8 -FILLER_19_108, 61.84, 57.12, 1.8 -FILLER_19_127, 61.84, 57.12, 1.8 -_365_, 61.84, 57.12, 1.8 -_368_, 61.84, 57.12, 1.8 -_370_, 61.84, 57.12, 1.8 -_383_, 61.84, 57.12, 1.8 -_399_, 61.84, 57.12, 1.8 -_403_, 61.84, 57.12, 1.8 -ANTENNA__396__A2, 61.84, 51.68, 1.8 -FILLER_17_113, 61.84, 51.68, 1.8 -_300_, 61.84, 51.68, 1.8 -_355_, 61.84, 51.68, 1.8 -_367_, 61.84, 51.68, 1.8 -_371_, 61.84, 51.68, 1.8 -_400_, 61.84, 51.68, 1.8 -_402_, 61.84, 51.68, 1.8 -FILLER_14_109, 61.84, 46.24, 1.8 -FILLER_16_127, 61.84, 46.24, 1.8 -_229_, 61.84, 46.24, 1.8 -_280_, 61.84, 46.24, 1.8 -_281_, 61.84, 46.24, 1.8 -_282_, 61.84, 46.24, 1.8 -_361_, 61.84, 46.24, 1.8 -_398_, 61.84, 46.24, 1.8 -_401_, 61.84, 46.24, 1.8 -ANTENNA__379__A, 61.84, 40.8, 1.8 -ANTENNA__421__B1, 61.84, 40.8, 1.8 -FILLER_13_123, 61.84, 40.8, 1.8 -FILLER_14_124, 61.84, 40.8, 1.8 -_291_, 61.84, 40.8, 1.8 -_292_, 61.84, 40.8, 1.8 -_294_, 61.84, 40.8, 1.8 -_306_, 61.84, 40.8, 1.8 -_320_, 61.84, 40.8, 1.8 -_379_, 61.84, 40.8, 1.8 -FILLER_11_123, 61.84, 35.36, 1.8 -FILLER_12_133, 61.84, 35.36, 1.8 -_307_, 61.84, 35.36, 1.8 -_313_, 61.84, 35.36, 1.8 -_319_, 61.84, 35.36, 1.8 -FILLER_9_123, 61.84, 29.92, 1.8 -_314_, 61.84, 29.92, 1.8 -_315_, 61.84, 29.92, 1.8 -_323_, 61.84, 29.92, 1.8 -_329_, 61.84, 29.92, 1.8 -FILLER_7_108, 61.84, 24.48, 1.8 -FILLER_8_126, 61.84, 24.48, 1.8 -_390_, 61.84, 24.48, 1.8 -_465_, 61.84, 24.48, 1.8 -_468_, 61.84, 24.48, 1.8 -FILLER_5_134, 61.84, 19.04, 1.8 -FILLER_6_130, 61.84, 19.04, 1.8 -_435_, 61.84, 19.04, 1.8 -_437_, 61.84, 19.04, 1.8 -_440_, 61.84, 19.04, 1.8 -_444_, 61.84, 19.04, 1.8 -_461_, 61.84, 19.04, 1.8 -FILLER_2_112, 61.84, 13.6, 1.8 -FILLER_3_127, 61.84, 13.6, 1.8 -_338_, 61.84, 13.6, 1.8 -_432_, 61.84, 13.6, 1.8 -_433_, 61.84, 13.6, 1.8 -_460_, 61.84, 13.6, 1.8 -FILLER_0_113, 61.84, 8.16, 1.8 -FILLER_0_134, 61.84, 8.16, 1.8 -_339_, 61.84, 8.16, 1.8 -_459_, 61.84, 8.16, 1.8 -ANTENNA__378__A2, 21.84, 68, 1.8 -ringosc.dstage\[6\].id.delayen0, 21.84, 68, 1.8 -ANTENNA__364__A2, 21.84, 62.56, 1.8 -_375_, 21.84, 62.56, 1.8 -ringosc.dstage\[6\].id.delayenb1, 21.84, 62.56, 1.8 -ringosc.dstage\[7\].id.delaybuf0, 21.84, 62.56, 1.8 -FILLER_20_37, 21.84, 57.12, 1.8 -FILLER_20_47, 21.84, 57.12, 1.8 -_364_, 21.84, 57.12, 1.8 -ringosc.dstage\[4\].id.delayen0, 21.84, 57.12, 1.8 -ringosc.dstage\[4\].id.delayenb0, 21.84, 57.12, 1.8 -ringosc.dstage\[4\].id.delayint0, 21.84, 57.12, 1.8 -ringosc.dstage\[6\].id.delayen1, 21.84, 57.12, 1.8 -FILLER_17_44, 21.84, 51.68, 1.8 -ringosc.dstage\[1\].id.delayen1, 21.84, 51.68, 1.8 -ringosc.dstage\[1\].id.delayenb1, 21.84, 51.68, 1.8 -ringosc.dstage\[2\].id.delaybuf0, 21.84, 51.68, 1.8 -ringosc.dstage\[4\].id.delayen1, 21.84, 51.68, 1.8 -FILLER_15_36, 21.84, 46.24, 1.8 -FILLER_15_44, 21.84, 46.24, 1.8 -_352_, 21.84, 46.24, 1.8 -_384_, 21.84, 46.24, 1.8 -ringosc.dstage\[0\].id.delaybuf1, 21.84, 46.24, 1.8 -ringosc.dstage\[0\].id.delayen0, 21.84, 46.24, 1.8 -ringosc.dstage\[1\].id.delaybuf1, 21.84, 46.24, 1.8 -ANTENNA_ringosc.dstage\[0\].id.delaybuf0_A, 21.84, 40.8, 1.8 -FILLER_12_29, 21.84, 40.8, 1.8 -FILLER_13_47, 21.84, 40.8, 1.8 -FILLER_14_44, 21.84, 40.8, 1.8 -_351_, 21.84, 40.8, 1.8 -ringosc.dstage\[0\].id.delayenb1, 21.84, 40.8, 1.8 -ringosc.dstage\[1\].id.delaybuf0, 21.84, 40.8, 1.8 -ANTENNA__270__A1, 21.84, 35.36, 1.8 -ANTENNA__350__A, 21.84, 35.36, 1.8 -FILLER_10_29, 21.84, 35.36, 1.8 -FILLER_11_30, 21.84, 35.36, 1.8 -FILLER_11_45, 21.84, 35.36, 1.8 -_268_, 21.84, 35.36, 1.8 -ringosc.dstage\[0\].id.delaybuf0, 21.84, 35.36, 1.8 -ringosc.dstage\[0\].id.delayen1, 21.84, 35.36, 1.8 -ringosc.dstage\[0\].id.delayint0, 21.84, 35.36, 1.8 -_240_, 21.84, 29.92, 1.8 -_242_, 21.84, 29.92, 1.8 -_265_, 21.84, 29.92, 1.8 -_270_, 21.84, 29.92, 1.8 -ANTENNA__425__B, 21.84, 24.48, 1.8 -_237_, 21.84, 24.48, 1.8 -_239_, 21.84, 24.48, 1.8 -_241_, 21.84, 24.48, 1.8 -_263_, 21.84, 24.48, 1.8 -_264_, 21.84, 24.48, 1.8 -_425_, 21.84, 24.48, 1.8 -_219_, 21.84, 19.04, 1.8 -_238_, 21.84, 19.04, 1.8 -_247_, 21.84, 19.04, 1.8 -_248_, 21.84, 19.04, 1.8 -_453_, 21.84, 19.04, 1.8 -ANTENNA__252__A, 21.84, 13.6, 1.8 -ANTENNA__257__A_N, 21.84, 13.6, 1.8 -FILLER_3_47, 21.84, 13.6, 1.8 -_221_, 21.84, 13.6, 1.8 -_236_, 21.84, 13.6, 1.8 -_245_, 21.84, 13.6, 1.8 -FILLER_0_39, 21.84, 8.16, 1.8 -FILLER_1_45, 21.84, 8.16, 1.8 -FILLER_2_38, 21.84, 8.16, 1.8 -_226_, 21.84, 8.16, 1.8 -_232_, 21.84, 8.16, 1.8 -_450_, 21.84, 8.16, 1.8 -PHY_44, 5.52, 68, 1.8 -ANTENNA__410__A1, 94.3, 68, 1.8 -FILLER_22_186, 94.3, 68, 1.8 -PHY_45, 94.3, 68, 1.8 -ringosc.dstage\[5\].id.delaybuf1, 13.68, 68, 1.8 -ringosc.dstage\[5\].id.delayint0, 13.68, 68, 1.8 -ANTENNA__410__A2, 35.173, 68, 1.8 -_378_, 35.173, 68, 1.8 -ringosc.dstage\[7\].id.delayen1, 48.506, 68, 1.8 -ringosc.dstage\[7\].id.delayint0, 48.506, 68, 1.8 -ringosc.dstage\[2\].id.delayen0, 72.66, 68, 1.8 -ringosc.dstage\[2\].id.delayint0, 83.48, 68, 1.8 -FILLER_21_3, 5.52, 62.56, 1.8 -FILLER_22_3, 5.52, 62.56, 1.8 -PHY_40, 5.52, 62.56, 1.8 -PHY_42, 5.52, 62.56, 1.8 -ringosc.dstage\[5\].id.delayenb1, 5.52, 62.56, 1.8 -ANTENNA__399__A2, 94.3, 62.56, 1.8 -PHY_41, 94.3, 62.56, 1.8 -PHY_43, 94.3, 62.56, 1.8 -ringosc.dstage\[8\].id.delaybuf1, 94.3, 62.56, 1.8 -FILLER_20_14, 13.68, 62.56, 1.8 -FILLER_21_17, 13.68, 62.56, 1.8 -FILLER_22_20, 13.68, 62.56, 1.8 -ringosc.dstage\[6\].id.delayenb0, 13.68, 62.56, 1.8 -ringosc.dstage\[6\].id.delayint0, 13.68, 62.56, 1.8 -ANTENNA__387__B1, 35.173, 62.56, 1.8 -FILLER_21_57, 35.173, 62.56, 1.8 -FILLER_22_76, 35.173, 62.56, 1.8 -ringosc.dstage\[3\].id.delayen0, 35.173, 62.56, 1.8 -ringosc.dstage\[3\].id.delayenb0, 35.173, 62.56, 1.8 -ringosc.dstage\[4\].id.delaybuf0, 35.173, 62.56, 1.8 -ringosc.dstage\[4\].id.delaybuf1, 35.173, 62.56, 1.8 -ringosc.dstage\[6\].id.delaybuf1, 35.173, 62.56, 1.8 -ringosc.dstage\[7\].id.delayenb0, 35.173, 62.56, 1.8 -ANTENNA__380__A2, 48.506, 62.56, 1.8 -FILLER_21_100, 48.506, 62.56, 1.8 -FILLER_22_99, 48.506, 62.56, 1.8 -_417_, 48.506, 62.56, 1.8 -ringosc.dstage\[3\].id.delayen1, 48.506, 62.56, 1.8 -ringosc.dstage\[3\].id.delayint0, 48.506, 62.56, 1.8 -ringosc.dstage\[7\].id.delaybuf1, 48.506, 62.56, 1.8 -ringosc.dstage\[7\].id.delayenb1, 48.506, 62.56, 1.8 -FILLER_22_154, 72.66, 62.56, 1.8 -ringosc.dstage\[2\].id.delaybuf1, 72.66, 62.56, 1.8 -ringosc.dstage\[2\].id.delayenb0, 72.66, 62.56, 1.8 -ringosc.dstage\[3\].id.delaybuf0, 72.66, 62.56, 1.8 -ringosc.dstage\[8\].id.delaybuf0, 72.66, 62.56, 1.8 -ringosc.dstage\[8\].id.delayenb0, 72.66, 62.56, 1.8 -FILLER_20_160, 83.48, 62.56, 1.8 -FILLER_21_169, 83.48, 62.56, 1.8 -_419_, 83.48, 62.56, 1.8 -ringosc.dstage\[8\].id.delayen0, 83.48, 62.56, 1.8 -ringosc.dstage\[8\].id.delayenb1, 83.48, 62.56, 1.8 -ringosc.dstage\[8\].id.delayint0, 83.48, 62.56, 1.8 -ringosc.dstage\[9\].id.delaybuf0, 83.48, 62.56, 1.8 -FILLER_19_3, 5.52, 57.12, 1.8 -FILLER_20_3, 5.52, 57.12, 1.8 -PHY_36, 5.52, 57.12, 1.8 -PHY_38, 5.52, 57.12, 1.8 -ringosc.dstage\[5\].id.delayen0, 5.52, 57.12, 1.8 -ringosc.dstage\[5\].id.delayen1, 5.52, 57.12, 1.8 -FILLER_18_186, 94.3, 57.12, 1.8 -PHY_37, 94.3, 57.12, 1.8 -PHY_39, 94.3, 57.12, 1.8 -ringosc.dstage\[10\].id.delaybuf1, 94.3, 57.12, 1.8 -ANTENNA__375__A2, 13.68, 57.12, 1.8 -FILLER_19_14, 13.68, 57.12, 1.8 -_369_, 13.68, 57.12, 1.8 -ringosc.dstage\[5\].id.delaybuf0, 13.68, 57.12, 1.8 -ringosc.dstage\[6\].id.delaybuf0, 13.68, 57.12, 1.8 -ANTENNA__392__A1, 35.173, 57.12, 1.8 -ANTENNA__392__A2, 35.173, 57.12, 1.8 -_377_, 35.173, 57.12, 1.8 -_387_, 35.173, 57.12, 1.8 -_392_, 35.173, 57.12, 1.8 -ringosc.dstage\[4\].id.delayenb1, 35.173, 57.12, 1.8 -ringosc.dstage\[7\].id.delayen0, 35.173, 57.12, 1.8 -ANTENNA__417__B1, 48.506, 57.12, 1.8 -FILLER_19_82, 48.506, 57.12, 1.8 -FILLER_20_95, 48.506, 57.12, 1.8 -_359_, 48.506, 57.12, 1.8 -_372_, 48.506, 57.12, 1.8 -_411_, 48.506, 57.12, 1.8 -_414_, 48.506, 57.12, 1.8 -FILLER_19_145, 72.66, 57.12, 1.8 -_404_, 72.66, 57.12, 1.8 -_408_, 72.66, 57.12, 1.8 -_409_, 72.66, 57.12, 1.8 -ANTENNA__404__A1, 83.48, 57.12, 1.8 -FILLER_18_158, 83.48, 57.12, 1.8 -ringosc.dstage\[8\].id.delayen1, 83.48, 57.12, 1.8 -ringosc.dstage\[9\].id.delaybuf1, 83.48, 57.12, 1.8 -ringosc.dstage\[9\].id.delayenb0, 83.48, 57.12, 1.8 -ringosc.dstage\[9\].id.delayenb1, 83.48, 57.12, 1.8 -FILLER_17_3, 5.52, 51.68, 1.8 -PHY_32, 5.52, 51.68, 1.8 -PHY_34, 5.52, 51.68, 1.8 -_373_, 5.52, 51.68, 1.8 -ringosc.dstage\[5\].id.delayenb0, 5.52, 51.68, 1.8 -FILLER_16_187, 94.3, 51.68, 1.8 -PHY_33, 94.3, 51.68, 1.8 -PHY_35, 94.3, 51.68, 1.8 -ringosc.dstage\[10\].id.delaybuf0, 94.3, 51.68, 1.8 -ANTENNA__358__A, 13.68, 51.68, 1.8 -ANTENNA__369__A2, 13.68, 51.68, 1.8 -ANTENNA__373__A2, 13.68, 51.68, 1.8 -FILLER_17_18, 13.68, 51.68, 1.8 -_360_, 13.68, 51.68, 1.8 -ringosc.dstage\[1\].id.delayint0, 13.68, 51.68, 1.8 -ANTENNA__389__A2, 35.173, 51.68, 1.8 -FILLER_17_57, 35.173, 51.68, 1.8 -FILLER_17_71, 35.173, 51.68, 1.8 -FILLER_18_72, 35.173, 51.68, 1.8 -_358_, 35.173, 51.68, 1.8 -_388_, 35.173, 51.68, 1.8 -_389_, 35.173, 51.68, 1.8 -_391_, 35.173, 51.68, 1.8 -_413_, 35.173, 51.68, 1.8 -ANTENNA__411__A2, 48.506, 51.68, 1.8 -FILLER_16_81, 48.506, 51.68, 1.8 -FILLER_17_82, 48.506, 51.68, 1.8 -FILLER_17_99, 48.506, 51.68, 1.8 -_354_, 48.506, 51.68, 1.8 -_356_, 48.506, 51.68, 1.8 -_357_, 48.506, 51.68, 1.8 -_382_, 48.506, 51.68, 1.8 -_386_, 48.506, 51.68, 1.8 -_394_, 48.506, 51.68, 1.8 -_396_, 48.506, 51.68, 1.8 -ANTENNA__361__B, 72.66, 51.68, 1.8 -FILLER_17_138, 72.66, 51.68, 1.8 -FILLER_17_149, 72.66, 51.68, 1.8 -_363_, 72.66, 51.68, 1.8 -_397_, 72.66, 51.68, 1.8 -_407_, 72.66, 51.68, 1.8 -_421_, 72.66, 51.68, 1.8 -FILLER_16_166, 83.48, 51.68, 1.8 -FILLER_17_161, 83.48, 51.68, 1.8 -FILLER_17_169, 83.48, 51.68, 1.8 -_381_, 83.48, 51.68, 1.8 -ringosc.dstage\[10\].id.delayen1, 83.48, 51.68, 1.8 -ringosc.dstage\[9\].id.delayen0, 83.48, 51.68, 1.8 -ringosc.dstage\[9\].id.delayen1, 83.48, 51.68, 1.8 -ringosc.dstage\[9\].id.delayint0, 83.48, 51.68, 1.8 -ANTENNA__360__A2, 5.52, 46.24, 1.8 -FILLER_15_3, 5.52, 46.24, 1.8 -FILLER_16_3, 5.52, 46.24, 1.8 -PHY_28, 5.52, 46.24, 1.8 -PHY_30, 5.52, 46.24, 1.8 -FILLER_14_187, 94.3, 46.24, 1.8 -FILLER_15_186, 94.3, 46.24, 1.8 -PHY_29, 94.3, 46.24, 1.8 -PHY_31, 94.3, 46.24, 1.8 -FILLER_14_17, 13.68, 46.24, 1.8 -FILLER_15_13, 13.68, 46.24, 1.8 -ringosc.dstage\[1\].id.delayen0, 13.68, 46.24, 1.8 -ringosc.dstage\[1\].id.delayenb0, 13.68, 46.24, 1.8 -ringosc.ibufp10, 13.68, 46.24, 1.8 -FILLER_14_56, 35.173, 46.24, 1.8 -FILLER_16_68, 35.173, 46.24, 1.8 -_298_, 35.173, 46.24, 1.8 -_348_, 35.173, 46.24, 1.8 -_374_, 35.173, 46.24, 1.8 -_385_, 35.173, 46.24, 1.8 -_412_, 35.173, 46.24, 1.8 -ANTENNA__374__B1, 48.506, 46.24, 1.8 -FILLER_14_85, 48.506, 46.24, 1.8 -FILLER_15_102, 48.506, 46.24, 1.8 -_303_, 48.506, 46.24, 1.8 -_353_, 48.506, 46.24, 1.8 -_366_, 48.506, 46.24, 1.8 -_395_, 48.506, 46.24, 1.8 -_415_, 48.506, 46.24, 1.8 -_416_, 48.506, 46.24, 1.8 -FILLER_14_137, 72.66, 46.24, 1.8 -FILLER_15_139, 72.66, 46.24, 1.8 -_276_, 72.66, 46.24, 1.8 -_405_, 72.66, 46.24, 1.8 -_406_, 72.66, 46.24, 1.8 -_418_, 72.66, 46.24, 1.8 -_420_, 72.66, 46.24, 1.8 -ANTENNA__424__A2, 83.48, 46.24, 1.8 -FILLER_14_164, 83.48, 46.24, 1.8 -FILLER_15_161, 83.48, 46.24, 1.8 -_422_, 83.48, 46.24, 1.8 -ringosc.dstage\[10\].id.delayen0, 83.48, 46.24, 1.8 -ringosc.dstage\[10\].id.delayenb0, 83.48, 46.24, 1.8 -ringosc.dstage\[10\].id.delayenb1, 83.48, 46.24, 1.8 -ringosc.dstage\[10\].id.delayint0, 83.48, 46.24, 1.8 -ANTENNA__243__A, 5.52, 40.8, 1.8 -FILLER_13_3, 5.52, 40.8, 1.8 -PHY_24, 5.52, 40.8, 1.8 -PHY_26, 5.52, 40.8, 1.8 -ringosc.ibufp11, 5.52, 40.8, 1.8 -ANTENNA__423__A2, 94.3, 40.8, 1.8 -PHY_25, 94.3, 40.8, 1.8 -PHY_27, 94.3, 40.8, 1.8 -ANTENNA__384__A_N, 13.68, 40.8, 1.8 -FILLER_13_9, 13.68, 40.8, 1.8 -ringosc.dstage\[0\].id.delayenb0, 13.68, 40.8, 1.8 -ANTENNA__348__A, 35.173, 40.8, 1.8 -ANTENNA__349__A, 35.173, 40.8, 1.8 -FILLER_13_62, 35.173, 40.8, 1.8 -FILLER_14_77, 35.173, 40.8, 1.8 -_277_, 35.173, 40.8, 1.8 -_279_, 35.173, 40.8, 1.8 -_283_, 35.173, 40.8, 1.8 -_301_, 35.173, 40.8, 1.8 -_302_, 35.173, 40.8, 1.8 -_349_, 35.173, 40.8, 1.8 -_350_, 35.173, 40.8, 1.8 -ANTENNA__419__A2, 48.506, 40.8, 1.8 -FILLER_13_102, 48.506, 40.8, 1.8 -FILLER_13_81, 48.506, 40.8, 1.8 -FILLER_14_97, 48.506, 40.8, 1.8 -_278_, 48.506, 40.8, 1.8 -_304_, 48.506, 40.8, 1.8 -_376_, 48.506, 40.8, 1.8 -_393_, 48.506, 40.8, 1.8 -ANTENNA__363__A2, 72.66, 40.8, 1.8 -ANTENNA__404__A2, 72.66, 40.8, 1.8 -_299_, 72.66, 40.8, 1.8 -_362_, 72.66, 40.8, 1.8 -_423_, 72.66, 40.8, 1.8 -_424_, 72.66, 40.8, 1.8 -FILLER_12_159, 83.48, 40.8, 1.8 -FILLER_13_177, 83.48, 40.8, 1.8 -_275_, 83.48, 40.8, 1.8 -ringosc.dstage\[11\].id.delaybuf0, 83.48, 40.8, 1.8 -ringosc.dstage\[11\].id.delayen0, 83.48, 40.8, 1.8 -ringosc.dstage\[11\].id.delayen1, 83.48, 40.8, 1.8 -ANTENNA__267__A1, 5.52, 35.36, 1.8 -PHY_20, 5.52, 35.36, 1.8 -PHY_22, 5.52, 35.36, 1.8 -_267_, 5.52, 35.36, 1.8 -clockp_buffer_1, 5.52, 35.36, 1.8 -FILLER_10_186, 94.3, 35.36, 1.8 -FILLER_11_186, 94.3, 35.36, 1.8 -PHY_21, 94.3, 35.36, 1.8 -PHY_23, 94.3, 35.36, 1.8 -ringosc.dstage\[11\].id.delaybuf1, 94.3, 35.36, 1.8 -FILLER_12_24, 13.68, 35.36, 1.8 -_260_, 13.68, 35.36, 1.8 -_290_, 13.68, 35.36, 1.8 -ANTENNA__447__A, 35.173, 35.36, 1.8 -FILLER_11_50, 35.173, 35.36, 1.8 -FILLER_11_62, 35.173, 35.36, 1.8 -_261_, 35.173, 35.36, 1.8 -_272_, 35.173, 35.36, 1.8 -_305_, 35.173, 35.36, 1.8 -_322_, 35.173, 35.36, 1.8 -_447_, 35.173, 35.36, 1.8 -FILLER_10_79, 48.506, 35.36, 1.8 -FILLER_11_106, 48.506, 35.36, 1.8 -FILLER_11_81, 48.506, 35.36, 1.8 -FILLER_12_107, 48.506, 35.36, 1.8 -_273_, 48.506, 35.36, 1.8 -_274_, 48.506, 35.36, 1.8 -_285_, 48.506, 35.36, 1.8 -_295_, 48.506, 35.36, 1.8 -_296_, 48.506, 35.36, 1.8 -_316_, 48.506, 35.36, 1.8 -FILLER_10_136, 72.66, 35.36, 1.8 -FILLER_11_143, 72.66, 35.36, 1.8 -_228_, 72.66, 35.36, 1.8 -_293_, 72.66, 35.36, 1.8 -_308_, 72.66, 35.36, 1.8 -_310_, 72.66, 35.36, 1.8 -_321_, 72.66, 35.36, 1.8 -FILLER_10_163, 83.48, 35.36, 1.8 -FILLER_11_160, 83.48, 35.36, 1.8 -ringosc.dstage\[11\].id.delayenb0, 83.48, 35.36, 1.8 -ringosc.dstage\[11\].id.delayenb1, 83.48, 35.36, 1.8 -ringosc.dstage\[11\].id.delayint0, 83.48, 35.36, 1.8 -ringosc.iss.delayenb1, 83.48, 35.36, 1.8 -ANTENNA__260__A1, 5.52, 29.92, 1.8 -ANTENNA__266__A, 5.52, 29.92, 1.8 -PHY_16, 5.52, 29.92, 1.8 -PHY_18, 5.52, 29.92, 1.8 -ANTENNA__422__A2, 94.3, 29.92, 1.8 -PHY_17, 94.3, 29.92, 1.8 -PHY_19, 94.3, 29.92, 1.8 -ringosc.iss.delaybuf0, 94.3, 29.92, 1.8 -_243_, 13.68, 29.92, 1.8 -_249_, 13.68, 29.92, 1.8 -_266_, 13.68, 29.92, 1.8 -_271_, 13.68, 29.92, 1.8 -_289_, 13.68, 29.92, 1.8 -FILLER_8_61, 35.173, 29.92, 1.8 -FILLER_9_63, 35.173, 29.92, 1.8 -_222_, 35.173, 29.92, 1.8 -_262_, 35.173, 29.92, 1.8 -_269_, 35.173, 29.92, 1.8 -_325_, 35.173, 29.92, 1.8 -_326_, 35.173, 29.92, 1.8 -_327_, 35.173, 29.92, 1.8 -FILLER_10_99, 48.506, 29.92, 1.8 -FILLER_8_81, 48.506, 29.92, 1.8 -FILLER_8_85, 48.506, 29.92, 1.8 -FILLER_9_107, 48.506, 29.92, 1.8 -_284_, 48.506, 29.92, 1.8 -_297_, 48.506, 29.92, 1.8 -_312_, 48.506, 29.92, 1.8 -_317_, 48.506, 29.92, 1.8 -_318_, 48.506, 29.92, 1.8 -FILLER_8_135, 72.66, 29.92, 1.8 -FILLER_9_145, 72.66, 29.92, 1.8 -_309_, 72.66, 29.92, 1.8 -_311_, 72.66, 29.92, 1.8 -_328_, 72.66, 29.92, 1.8 -_441_, 72.66, 29.92, 1.8 -ANTENNA__422__A1, 83.48, 29.92, 1.8 -ringosc.iss.ctrlen0, 83.48, 29.92, 1.8 -ringosc.iss.delayen1, 83.48, 29.92, 1.8 -ringosc.iss.delayenb0, 83.48, 29.92, 1.8 -ANTENNA_ringosc.ibufp00_A, 5.52, 24.48, 1.8 -PHY_12, 5.52, 24.48, 1.8 -PHY_14, 5.52, 24.48, 1.8 -_250_, 5.52, 24.48, 1.8 -ringosc.ibufp01, 5.52, 24.48, 1.8 -FILLER_6_186, 94.3, 24.48, 1.8 -FILLER_7_186, 94.3, 24.48, 1.8 -PHY_13, 94.3, 24.48, 1.8 -PHY_15, 94.3, 24.48, 1.8 -ringosc.iss.const1, 94.3, 24.48, 1.8 -ANTENNA__289__A1, 13.68, 24.48, 1.8 -FILLER_8_24, 13.68, 24.48, 1.8 -_244_, 13.68, 24.48, 1.8 -ringosc.ibufp00, 13.68, 24.48, 1.8 -ANTENNA__258__A, 35.173, 24.48, 1.8 -_217_, 35.173, 24.48, 1.8 -_218_, 35.173, 24.48, 1.8 -_324_, 35.173, 24.48, 1.8 -_464_, 35.173, 24.48, 1.8 -_477_, 35.173, 24.48, 1.8 -FILLER_6_85, 48.506, 24.48, 1.8 -_439_, 48.506, 24.48, 1.8 -_467_, 48.506, 24.48, 1.8 -FILLER_8_147, 72.66, 24.48, 1.8 -_429_, 72.66, 24.48, 1.8 -_463_, 72.66, 24.48, 1.8 -_466_, 72.66, 24.48, 1.8 -ANTENNA__409__B1, 83.48, 24.48, 1.8 -ANTENNA__419__A1, 83.48, 24.48, 1.8 -ANTENNA__427__A, 83.48, 24.48, 1.8 -FILLER_6_169, 83.48, 24.48, 1.8 -FILLER_7_162, 83.48, 24.48, 1.8 -FILLER_7_172, 83.48, 24.48, 1.8 -FILLER_7_179, 83.48, 24.48, 1.8 -ringosc.iss.delayen0, 83.48, 24.48, 1.8 -ringosc.iss.delayint0, 83.48, 24.48, 1.8 -FILLER_6_3, 5.52, 19.04, 1.8 -PHY_10, 5.52, 19.04, 1.8 -PHY_8, 5.52, 19.04, 1.8 -_258_, 5.52, 19.04, 1.8 -_259_, 5.52, 19.04, 1.8 -ANTENNA__428__A, 94.3, 19.04, 1.8 -PHY_11, 94.3, 19.04, 1.8 -PHY_9, 94.3, 19.04, 1.8 -_428_, 94.3, 19.04, 1.8 -ANTENNA__425__A, 13.68, 19.04, 1.8 -_220_, 13.68, 19.04, 1.8 -_288_, 13.68, 19.04, 1.8 -_476_, 13.68, 19.04, 1.8 -FILLER_5_53, 35.173, 19.04, 1.8 -_231_, 35.173, 19.04, 1.8 -_454_, 35.173, 19.04, 1.8 -_475_, 35.173, 19.04, 1.8 -FILLER_5_106, 48.506, 19.04, 1.8 -FILLER_6_100, 48.506, 19.04, 1.8 -_331_, 48.506, 19.04, 1.8 -_332_, 48.506, 19.04, 1.8 -_336_, 48.506, 19.04, 1.8 -_426_, 48.506, 19.04, 1.8 -_434_, 48.506, 19.04, 1.8 -_442_, 48.506, 19.04, 1.8 -FILLER_4_135, 72.66, 19.04, 1.8 -_445_, 72.66, 19.04, 1.8 -_446_, 72.66, 19.04, 1.8 -_469_, 72.66, 19.04, 1.8 -_472_, 72.66, 19.04, 1.8 -_216_, 83.48, 19.04, 1.8 -_347_, 83.48, 19.04, 1.8 -_427_, 83.48, 19.04, 1.8 -ringosc.iss.reseten0, 83.48, 19.04, 1.8 -FILLER_3_3, 5.52, 13.6, 1.8 -FILLER_4_3, 5.52, 13.6, 1.8 -PHY_4, 5.52, 13.6, 1.8 -PHY_6, 5.52, 13.6, 1.8 -_252_, 5.52, 13.6, 1.8 -_253_, 5.52, 13.6, 1.8 -FILLER_2_187, 94.3, 13.6, 1.8 -FILLER_3_187, 94.3, 13.6, 1.8 -PHY_5, 94.3, 13.6, 1.8 -PHY_7, 94.3, 13.6, 1.8 -ANTENNA__244__A, 13.68, 13.6, 1.8 -FILLER_4_25, 13.68, 13.6, 1.8 -_251_, 13.68, 13.6, 1.8 -_256_, 13.68, 13.6, 1.8 -_257_, 13.68, 13.6, 1.8 -FILLER_3_64, 35.173, 13.6, 1.8 -FILLER_4_74, 35.173, 13.6, 1.8 -_234_, 35.173, 13.6, 1.8 -_246_, 35.173, 13.6, 1.8 -_436_, 35.173, 13.6, 1.8 -_443_, 35.173, 13.6, 1.8 -_452_, 35.173, 13.6, 1.8 -_462_, 35.173, 13.6, 1.8 -_474_, 35.173, 13.6, 1.8 -FILLER_2_79, 48.506, 13.6, 1.8 -FILLER_2_92, 48.506, 13.6, 1.8 -FILLER_3_95, 48.506, 13.6, 1.8 -_333_, 48.506, 13.6, 1.8 -_334_, 48.506, 13.6, 1.8 -_335_, 48.506, 13.6, 1.8 -_431_, 48.506, 13.6, 1.8 -FILLER_4_147, 72.66, 13.6, 1.8 -_430_, 72.66, 13.6, 1.8 -_438_, 72.66, 13.6, 1.8 -_455_, 72.66, 13.6, 1.8 -_457_, 72.66, 13.6, 1.8 -FILLER_3_169, 83.48, 13.6, 1.8 -FILLER_4_173, 83.48, 13.6, 1.8 -_286_, 83.48, 13.6, 1.8 -_345_, 83.48, 13.6, 1.8 -_346_, 83.48, 13.6, 1.8 -FILLER_1_3, 5.52, 8.16, 1.8 -PHY_0, 5.52, 8.16, 1.8 -PHY_2, 5.52, 8.16, 1.8 -_227_, 5.52, 8.16, 1.8 -_254_, 5.52, 8.16, 1.8 -clockp_buffer_0, 5.52, 8.16, 1.8 -FILLER_1_186, 94.3, 8.16, 1.8 -PHY_1, 94.3, 8.16, 1.8 -PHY_3, 94.3, 8.16, 1.8 -_215_, 94.3, 8.16, 1.8 -FILLER_0_24, 13.68, 8.16, 1.8 -FILLER_1_20, 13.68, 8.16, 1.8 -_255_, 13.68, 8.16, 1.8 -_287_, 13.68, 8.16, 1.8 -_473_, 13.68, 8.16, 1.8 -ANTENNA__287__B1, 35.173, 8.16, 1.8 -FILLER_0_60, 35.173, 8.16, 1.8 -FILLER_0_72, 35.173, 8.16, 1.8 -_223_, 35.173, 8.16, 1.8 -_224_, 35.173, 8.16, 1.8 -_230_, 35.173, 8.16, 1.8 -_233_, 35.173, 8.16, 1.8 -_235_, 35.173, 8.16, 1.8 -_451_, 35.173, 8.16, 1.8 -_458_, 35.173, 8.16, 1.8 -FILLER_0_94, 48.506, 8.16, 1.8 -FILLER_1_99, 48.506, 8.16, 1.8 -_225_, 48.506, 8.16, 1.8 -_330_, 48.506, 8.16, 1.8 -_337_, 48.506, 8.16, 1.8 -_340_, 48.506, 8.16, 1.8 -_341_, 48.506, 8.16, 1.8 -_342_, 48.506, 8.16, 1.8 -ANTENNA__470__D, 72.66, 8.16, 1.8 -FILLER_0_141, 72.66, 8.16, 1.8 -_456_, 72.66, 8.16, 1.8 -_470_, 72.66, 8.16, 1.8 -_471_, 72.66, 8.16, 1.8 -FILLER_0_175, 83.48, 8.16, 1.8 -FILLER_1_165, 83.48, 8.16, 1.8 -FILLER_1_169, 83.48, 8.16, 1.8 -FILLER_2_173, 83.48, 8.16, 1.8 -_214_, 83.48, 8.16, 1.8 -_343_, 83.48, 8.16, 1.8 -_344_, 83.48, 8.16, 1.8 -_448_, 83.48, 8.16, 1.8 -_449_, 83.48, 8.16, 1.8 - diff --git a/signoff/digital_pll/openlane-signoff/23-gds_ptrs.log b/signoff/digital_pll/openlane-signoff/23-gds_ptrs.log deleted file mode 100644 index 28cf23c7..00000000 --- a/signoff/digital_pll/openlane-signoff/23-gds_ptrs.log +++ /dev/null @@ -1,75 +0,0 @@ - -Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022. -Starting magic under Tcl interpreter -Using the terminal as the console. -Using NULL graphics device. -Processing system .magicrc file -Sourcing design .magicrc for technology sky130A ... -2 Magic internal units = 1 Lambda -Input style sky130(vendor): scaleFactor=2, multiplier=2 -The following types are not handled by extraction and will be treated as non-electrical types: - ubm -Scaled tech values by 2 / 1 to match internal grid scaling -Loading sky130A Device Generator Menu ... -Using technology "sky130A", version 1.0.341-2-gde752ec -Warning: Calma reading is not undoable! I hope that's OK. -Library written using GDS-II Release 3.0 -Library name: digital_pll -Reading "sky130_fd_sc_hd__fill_1". -Reading "sky130_fd_sc_hd__fill_2". -Reading "sky130_fd_sc_hd__decap_4". -Reading "sky130_fd_sc_hd__decap_3". -Reading "sky130_fd_sc_hd__nand2_2". -Reading "sky130_fd_sc_hd__o21ai_2". -Reading "sky130_fd_sc_hd__clkbuf_16". -Reading "sky130_fd_sc_hd__tapvpwrvgnd_1". -Reading "sky130_fd_sc_hd__mux2_2". -Reading "sky130_fd_sc_hd__dfrtp_2". -Reading "sky130_fd_sc_hd__nor2_2". -Reading "sky130_fd_sc_hd__buf_2". -Reading "sky130_fd_sc_hd__diode_2". -Reading "sky130_fd_sc_hd__inv_2". -Reading "sky130_fd_sc_hd__xor2_2". -Reading "sky130_fd_sc_hd__decap_6". -Reading "sky130_fd_sc_hd__nand3_2". -Reading "sky130_fd_sc_hd__xnor2_2". -Reading "sky130_fd_sc_hd__a21boi_2". -Reading "sky130_ef_sc_hd__decap_12". -Reading "sky130_fd_sc_hd__nand3b_2". -Reading "sky130_fd_sc_hd__and2_2". -Reading "sky130_fd_sc_hd__a21oi_2". -Reading "sky130_fd_sc_hd__and3_2". -Reading "sky130_fd_sc_hd__nand2b_2". -Reading "sky130_fd_sc_hd__a211o_2". -Reading "sky130_fd_sc_hd__decap_8". -Reading "sky130_fd_sc_hd__and4b_2". -Reading "sky130_fd_sc_hd__o21a_2". -Reading "sky130_fd_sc_hd__o211a_2". -Reading "sky130_fd_sc_hd__a21o_2". -Reading "sky130_fd_sc_hd__einvp_1". -Reading "sky130_fd_sc_hd__clkinv_2". -Reading "sky130_fd_sc_hd__clkinv_8". -Reading "sky130_fd_sc_hd__conb_1". -Reading "sky130_fd_sc_hd__clkinv_1". -Reading "sky130_fd_sc_hd__o32a_2". -Reading "sky130_fd_sc_hd__a31o_2". -Reading "sky130_fd_sc_hd__einvp_2". -Reading "sky130_fd_sc_hd__clkbuf_1". -Reading "sky130_fd_sc_hd__o31a_2". -Reading "sky130_fd_sc_hd__o22a_2". -Reading "sky130_fd_sc_hd__or2_2". -Reading "sky130_fd_sc_hd__einvn_8". -Reading "sky130_fd_sc_hd__o2111a_2". -Reading "sky130_fd_sc_hd__o2bb2a_2". -Reading "sky130_fd_sc_hd__einvn_4". -Reading "sky130_fd_sc_hd__o21ba_2". -Reading "sky130_fd_sc_hd__nand4b_2". -Reading "sky130_fd_sc_hd__clkbuf_2". -Reading "sky130_fd_sc_hd__a32o_2". -Reading "sky130_fd_sc_hd__and3b_2". -Reading "sky130_fd_sc_hd__nand4_2". -Reading "sky130_fd_sc_hd__a22o_2". -Reading "sky130_fd_sc_hd__and2b_2". -Reading "sky130_fd_sc_hd__o221a_2". -Reading "digital_pll". -[INFO]: Wrote /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/gds_ptrs.mag including GDS pointers. diff --git a/signoff/digital_pll/openlane-signoff/23-gdsii.log b/signoff/digital_pll/openlane-signoff/23-gdsii.log deleted file mode 100644 index 77d53fb6..00000000 --- a/signoff/digital_pll/openlane-signoff/23-gdsii.log +++ /dev/null @@ -1,115 +0,0 @@ - -Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022. -Starting magic under Tcl interpreter -Using the terminal as the console. -Using NULL graphics device. -Processing system .magicrc file -Sourcing design .magicrc for technology sky130A ... -2 Magic internal units = 1 Lambda -Input style sky130(vendor): scaleFactor=2, multiplier=2 -The following types are not handled by extraction and will be treated as non-electrical types: - ubm -Scaled tech values by 2 / 1 to match internal grid scaling -Loading sky130A Device Generator Menu ... -Using technology "sky130A", version 1.0.341-2-gde752ec -Reading LEF data from file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef. -This action cannot be undone. -LEF read, Line 78 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 79 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 112 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring. -LEF read, Line 114 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 115 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 121 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 122 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 123 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 156 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring. -LEF read, Line 164 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 165 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 167 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 168 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 169 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 206 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 207 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 209 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 210 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 211 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 248 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 249 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 251 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 252 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read: Processed 797 lines. -Reading DEF data from file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def. -This action cannot be undone. - Processed 4 vias total. - Processed 1093 subcell instances total. - Processed 39 pins total. - Processed 2 special nets total. - Processed 371 nets total. -DEF read: Processed 7531 lines. -Root cell box: - width x height ( llx, lly ), ( urx, ury ) area (units^2) - -microns: 100.000 x 75.000 ( 0.000, 0.000), ( 100.000, 75.000) 7500.000 -lambda: 10000.00 x 7500.00 ( 0.00, 0.00 ), ( 10000.00, 7500.00) 75000000.00 -internal: 20000 x 15000 ( 0, 0 ), ( 20000, 15000) 300000000 - Generating output for cell sky130_fd_sc_hd__fill_1 - Generating output for cell sky130_fd_sc_hd__fill_2 - Generating output for cell sky130_fd_sc_hd__decap_4 - Generating output for cell sky130_fd_sc_hd__decap_3 - Generating output for cell sky130_fd_sc_hd__nand2_2 - Generating output for cell sky130_fd_sc_hd__o21ai_2 - Generating output for cell sky130_fd_sc_hd__clkbuf_16 - Generating output for cell sky130_fd_sc_hd__tapvpwrvgnd_1 - Generating output for cell sky130_fd_sc_hd__mux2_2 - Generating output for cell sky130_fd_sc_hd__dfrtp_2 - Generating output for cell sky130_fd_sc_hd__nor2_2 - Generating output for cell sky130_fd_sc_hd__buf_2 - Generating output for cell sky130_fd_sc_hd__diode_2 - Generating output for cell sky130_fd_sc_hd__inv_2 - Generating output for cell sky130_fd_sc_hd__xor2_2 - Generating output for cell sky130_fd_sc_hd__decap_6 - Generating output for cell sky130_fd_sc_hd__nand3_2 - Generating output for cell sky130_fd_sc_hd__xnor2_2 - Generating output for cell sky130_fd_sc_hd__a21boi_2 - Generating output for cell sky130_ef_sc_hd__decap_12 - Generating output for cell sky130_fd_sc_hd__nand3b_2 - Generating output for cell sky130_fd_sc_hd__and2_2 - Generating output for cell sky130_fd_sc_hd__a21oi_2 - Generating output for cell sky130_fd_sc_hd__and3_2 - Generating output for cell sky130_fd_sc_hd__nand2b_2 - Generating output for cell sky130_fd_sc_hd__a211o_2 - Generating output for cell sky130_fd_sc_hd__decap_8 - Generating output for cell sky130_fd_sc_hd__and4b_2 - Generating output for cell sky130_fd_sc_hd__o21a_2 - Generating output for cell sky130_fd_sc_hd__o211a_2 - Generating output for cell sky130_fd_sc_hd__a21o_2 - Generating output for cell sky130_fd_sc_hd__einvp_1 - Generating output for cell sky130_fd_sc_hd__clkinv_2 - Generating output for cell sky130_fd_sc_hd__clkinv_8 - Generating output for cell sky130_fd_sc_hd__conb_1 - Generating output for cell sky130_fd_sc_hd__clkinv_1 - Generating output for cell sky130_fd_sc_hd__o32a_2 - Generating output for cell sky130_fd_sc_hd__a31o_2 - Generating output for cell sky130_fd_sc_hd__einvp_2 - Generating output for cell sky130_fd_sc_hd__clkbuf_1 - Generating output for cell sky130_fd_sc_hd__o31a_2 - Generating output for cell sky130_fd_sc_hd__o22a_2 - Generating output for cell sky130_fd_sc_hd__or2_2 - Generating output for cell sky130_fd_sc_hd__einvn_8 - Generating output for cell sky130_fd_sc_hd__o2111a_2 - Generating output for cell sky130_fd_sc_hd__o2bb2a_2 - Generating output for cell sky130_fd_sc_hd__einvn_4 - Generating output for cell sky130_fd_sc_hd__o21ba_2 - Generating output for cell sky130_fd_sc_hd__nand4b_2 - Generating output for cell sky130_fd_sc_hd__clkbuf_2 - Generating output for cell sky130_fd_sc_hd__a32o_2 - Generating output for cell sky130_fd_sc_hd__and3b_2 - Generating output for cell sky130_fd_sc_hd__nand4_2 - Generating output for cell sky130_fd_sc_hd__a22o_2 - Generating output for cell sky130_fd_sc_hd__and2b_2 - Generating output for cell sky130_fd_sc_hd__o221a_2 - Generating output for cell digital_pll -[INFO]: GDS Write Complete diff --git a/signoff/digital_pll/openlane-signoff/23-lef.log b/signoff/digital_pll/openlane-signoff/23-lef.log deleted file mode 100644 index 0c99fb38..00000000 --- a/signoff/digital_pll/openlane-signoff/23-lef.log +++ /dev/null @@ -1,219 +0,0 @@ - -Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022. -Starting magic under Tcl interpreter -Using the terminal as the console. -Using NULL graphics device. -Processing system .magicrc file -Sourcing design .magicrc for technology sky130A ... -2 Magic internal units = 1 Lambda -Input style sky130(vendor): scaleFactor=2, multiplier=2 -The following types are not handled by extraction and will be treated as non-electrical types: - ubm -Scaled tech values by 2 / 1 to match internal grid scaling -Loading sky130A Device Generator Menu ... -Using technology "sky130A", version 1.0.341-2-gde752ec -Reading LEF data from file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef. -This action cannot be undone. -LEF read, Line 78 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 79 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 112 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring. -LEF read, Line 114 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 115 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 121 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 122 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 123 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 156 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring. -LEF read, Line 164 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 165 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 167 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 168 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 169 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 206 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 207 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 209 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 210 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 211 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 248 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 249 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 251 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 252 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read: Processed 797 lines. -digital_pll: 10000 rects -digital_pll: 20000 rects -[INFO]: Writing abstract LEF -Generating LEF output /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.lef for cell digital_pll: -Diagnostic: Write LEF header for cell digital_pll -Diagnostic: Writing LEF output for cell digital_pll -Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__einvp_1.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__einvp_1.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__clkinv_1.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_1.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvn_4" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__einvn_4.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__einvn_4.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvn_8" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__einvn_8.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__einvn_8.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__einvp_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__einvp_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__clkbuf_1.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_1.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__or2_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or2_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__conb_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__conb_1.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__conb_1.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_8" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__clkinv_8.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_8.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__clkinv_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__clkbuf_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_16" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__clkbuf_16.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_16.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__dfrtp_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__nor2_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor2_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__buf_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__nand2_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__a22o_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a22o_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__a21o_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21o_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__a32o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__a32o_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a32o_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__nand3_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand3_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o31a_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o31a_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o21ai_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21ai_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o2111a_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2111a_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o211a_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o211a_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o22a_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o22a_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o221a_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o221a_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__and3_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and3_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__nand3b_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand3b_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__nand2b_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2b_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__and2_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__and3b_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and3b_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__a211o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__a211o_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a211o_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o21a_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21a_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__a21oi_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21oi_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__inv_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__inv_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__and2b_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2b_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__mux2_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__mux2_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21boi_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__a21boi_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21boi_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__xnor2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__xnor2_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__xnor2_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__xor2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__xor2_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__xor2_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o2bb2a_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2bb2a_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__nand4b_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand4b_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__and4b_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and4b_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__nand4_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand4_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ba_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o21ba_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21ba_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__a31o_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a31o_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__o32a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__o32a_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o32a_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__tapvpwrvgnd_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__tapvpwrvgnd_1.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__tapvpwrvgnd_1.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_3" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__decap_3.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_3.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_4" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__decap_4.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_4.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__fill_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_2.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__fill_1.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_1.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_8" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__decap_8.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_8.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_6" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__decap_6.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_6.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_ef_sc_hd__decap_12" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_ef_sc_hd__decap_12.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_ef_sc_hd__decap_12.mag. -The discovered version will be used. -Warning: Parent cell lists instance of "sky130_fd_sc_hd__diode_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/sky130_fd_sc_hd__diode_2.mag. -The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__diode_2.mag. -The discovered version will be used. -Diagnostic: Scale value is 0.005000 -[INFO]: LEF Write Complete diff --git a/signoff/digital_pll/openlane-signoff/23-maglef.log b/signoff/digital_pll/openlane-signoff/23-maglef.log deleted file mode 100644 index 6c845d45..00000000 --- a/signoff/digital_pll/openlane-signoff/23-maglef.log +++ /dev/null @@ -1,18 +0,0 @@ - -Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022. -Starting magic under Tcl interpreter -Using the terminal as the console. -Using NULL graphics device. -Processing system .magicrc file -Sourcing design .magicrc for technology sky130A ... -2 Magic internal units = 1 Lambda -Input style sky130(vendor): scaleFactor=2, multiplier=2 -The following types are not handled by extraction and will be treated as non-electrical types: - ubm -Scaled tech values by 2 / 1 to match internal grid scaling -Loading sky130A Device Generator Menu ... -Using technology "sky130A", version 1.0.341-2-gde752ec -Reading LEF data from file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.lef. -This action cannot be undone. -LEF read: Processed 399 lines. -[INFO]: DONE GENERATING MAGLEF VIEW diff --git a/signoff/digital_pll/openlane-signoff/24-gdsii-klayout.log b/signoff/digital_pll/openlane-signoff/24-gdsii-klayout.log deleted file mode 100644 index 84843478..00000000 --- a/signoff/digital_pll/openlane-signoff/24-gdsii-klayout.log +++ /dev/null @@ -1,17 +0,0 @@ - -Input: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -Output: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.klayout.gds -Design: digital_pll -Technology File: /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/klayout/tech/sky130A.lyt -GDS File List: ['/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds'] -LEF File: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef - -[INFO] Clearing cells... -[INFO] Merging GDS files... - /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds -[INFO] Copying toplevel cell 'digital_pll' -WARNING: no fill config file specified -[INFO] Checking for missing GDS... -[INFO] All LEF cells have matching GDS cells -[INFO] Writing out GDS '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.klayout.gds' -[INFO] Done. diff --git a/signoff/digital_pll/openlane-signoff/25-xor.log b/signoff/digital_pll/openlane-signoff/25-xor.log deleted file mode 100644 index 54f9beab..00000000 --- a/signoff/digital_pll/openlane-signoff/25-xor.log +++ /dev/null @@ -1,820 +0,0 @@ -First Layout: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.gds -Second Layout: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.klayout.gds -Design Name: digital_pll -Output GDS will be: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.xor.xml -Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.gds .. -Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.klayout.gds .. ---- Running XOR for 10/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 192 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 192 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 192 -"output" in: xor.drc:40 - Polygons (raw): 192 (flat) 4 (hierarchical) - Elapsed: 0.010s Memory: 349.00M ---- Running XOR for 11/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 58 (flat) 6 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 58 (flat) 6 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 58 -"output" in: xor.drc:40 - Polygons (raw): 58 (flat) 6 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 11/1 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 349.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 11/2 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 4 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 4 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 4 -"output" in: xor.drc:40 - Polygons (raw): 4 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 12/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 6 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 6 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 6 -"output" in: xor.drc:40 - Polygons (raw): 6 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 122/16 --- -"input" in: xor.drc:38 - Polygons (raw): 1020 (flat) 56 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 1020 (flat) 56 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 349.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 13/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 9 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 9 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 9 -"output" in: xor.drc:40 - Polygons (raw): 9 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 13/1 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 349.00M ---- Running XOR for 13/2 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 3 (flat) 3 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 3 (flat) 3 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 3 -"output" in: xor.drc:40 - Polygons (raw): 3 (flat) 3 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 14/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 1 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 1 (flat) 1 (hierarchical) - Elapsed: 0.010s Memory: 349.00M -XOR differences: 1 -"output" in: xor.drc:40 - Polygons (raw): 1 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 235/4 --- -"input" in: xor.drc:38 - Polygons (raw): 1 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 1 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 1 -"output" in: xor.drc:40 - Polygons (raw): 1 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 236/0 --- -"input" in: xor.drc:38 - Polygons (raw): 625 (flat) 53 (hierarchical) - Elapsed: 0.010s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 625 (flat) 53 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 349.00M ---- Running XOR for 3/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 1198 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 1198 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 1198 -"output" in: xor.drc:40 - Polygons (raw): 1198 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 4/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 1198 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 1198 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 1198 -"output" in: xor.drc:40 - Polygons (raw): 1198 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 5/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 4495 (flat) 1990 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 4495 (flat) 1990 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 4495 -"output" in: xor.drc:40 - Polygons (raw): 4495 (flat) 1990 (hierarchical) - Elapsed: 0.010s Memory: 349.00M ---- Running XOR for 6/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 1502 (flat) 6 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 1502 (flat) 6 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -XOR differences: 1502 -"output" in: xor.drc:40 - Polygons (raw): 1502 (flat) 6 (hierarchical) - Elapsed: 0.000s Memory: 349.00M ---- Running XOR for 64/16 --- -"input" in: xor.drc:38 - Polygons (raw): 1020 (flat) 56 (hierarchical) - Elapsed: 0.000s Memory: 349.00M -"input" in: xor.drc:38 - Polygons (raw): 1020 (flat) 56 (hierarchical) - Elapsed: 0.010s Memory: 349.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 350.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 350.00M ---- Running XOR for 64/20 --- -"input" in: xor.drc:38 - Polygons (raw): 1093 (flat) 56 (hierarchical) - Elapsed: 0.000s Memory: 350.00M -"input" in: xor.drc:38 - Polygons (raw): 1093 (flat) 56 (hierarchical) - Elapsed: 0.000s Memory: 350.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 350.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 350.00M ---- Running XOR for 64/5 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 350.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 350.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 350.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 350.00M ---- Running XOR for 64/59 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 350.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 350.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 350.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 350.00M ---- Running XOR for 65/20 --- -"input" in: xor.drc:38 - Polygons (raw): 1581 (flat) 149 (hierarchical) - Elapsed: 0.000s Memory: 350.00M -"input" in: xor.drc:38 - Polygons (raw): 1581 (flat) 149 (hierarchical) - Elapsed: 0.000s Memory: 350.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 351.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 351.00M ---- Running XOR for 65/44 --- -"input" in: xor.drc:38 - Polygons (raw): 150 (flat) 2 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"input" in: xor.drc:38 - Polygons (raw): 150 (flat) 2 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 351.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 351.00M ---- Running XOR for 66/15 --- -"input" in: xor.drc:38 - Polygons (raw): 2 (flat) 2 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"input" in: xor.drc:38 - Polygons (raw): 2 (flat) 2 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 351.00M ---- Running XOR for 66/20 --- -"input" in: xor.drc:38 - Polygons (raw): 1976 (flat) 232 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"input" in: xor.drc:38 - Polygons (raw): 1976 (flat) 232 (hierarchical) - Elapsed: 0.010s Memory: 351.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.030s Memory: 351.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 351.00M ---- Running XOR for 66/44 --- -"input" in: xor.drc:38 - Polygons (raw): 11727 (flat) 1376 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"input" in: xor.drc:38 - Polygons (raw): 11727 (flat) 1376 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.060s Memory: 351.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 351.00M ---- Running XOR for 67/16 --- -"input" in: xor.drc:38 - Polygons (raw): 3308 (flat) 382 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"input" in: xor.drc:38 - Polygons (raw): 3308 (flat) 382 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.020s Memory: 351.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 351.00M ---- Running XOR for 67/20 --- -"input" in: xor.drc:38 - Polygons (raw): 6041 (flat) 1611 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"input" in: xor.drc:38 - Polygons (raw): 4843 (flat) 413 (hierarchical) - Elapsed: 0.000s Memory: 351.00M -"^" in: xor.drc:38 - Polygons (raw): 72 (flat) 72 (hierarchical) - Elapsed: 0.080s Memory: 354.00M -XOR differences: 72 -"output" in: xor.drc:40 - Polygons (raw): 72 (flat) 72 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 67/44 --- -"input" in: xor.drc:38 - Polygons (raw): 10344 (flat) 2070 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 9146 (flat) 872 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 1198 (flat) 1198 (hierarchical) - Elapsed: 0.050s Memory: 354.00M -XOR differences: 1198 -"output" in: xor.drc:40 - Polygons (raw): 1198 (flat) 1198 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 67/5 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 68/16 --- -"input" in: xor.drc:38 - Polygons (raw): 2222 (flat) 116 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 2222 (flat) 116 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 68/20 --- -"input" in: xor.drc:38 - Polygons (raw): 8146 (flat) 5836 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 2435 (flat) 125 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 1112 (flat) 1112 (hierarchical) - Elapsed: 0.030s Memory: 354.00M -XOR differences: 1112 -"output" in: xor.drc:40 - Polygons (raw): 1112 (flat) 1112 (hierarchical) - Elapsed: 0.010s Memory: 354.00M ---- Running XOR for 68/44 --- -"input" in: xor.drc:38 - Polygons (raw): 1502 (flat) 1502 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 1502 (flat) 1502 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 1502 -"output" in: xor.drc:40 - Polygons (raw): 1502 (flat) 1502 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 68/5 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 69/16 --- -"input" in: xor.drc:38 - Polygons (raw): 15 (flat) 15 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 15 (flat) 15 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 15 -"output" in: xor.drc:40 - Polygons (raw): 15 (flat) 15 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 69/20 --- -"input" in: xor.drc:38 - Polygons (raw): 2381 (flat) 2381 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 2381 (flat) 2381 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 2381 -"output" in: xor.drc:40 - Polygons (raw): 2381 (flat) 2381 (hierarchical) - Elapsed: 0.010s Memory: 354.00M ---- Running XOR for 69/44 --- -"input" in: xor.drc:38 - Polygons (raw): 298 (flat) 298 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 298 (flat) 298 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 298 -"output" in: xor.drc:40 - Polygons (raw): 298 (flat) 298 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 7/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 2450 (flat) 990 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 2450 (flat) 990 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 2450 -"output" in: xor.drc:40 - Polygons (raw): 2450 (flat) 990 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 7/1 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 7/2 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 15 (flat) 15 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 15 (flat) 15 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -XOR differences: 15 -"output" in: xor.drc:40 - Polygons (raw): 15 (flat) 15 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 70/16 --- -"input" in: xor.drc:38 - Polygons (raw): 22 (flat) 22 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 22 (flat) 22 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 22 -"output" in: xor.drc:40 - Polygons (raw): 22 (flat) 22 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 70/20 --- -"input" in: xor.drc:38 - Polygons (raw): 366 (flat) 366 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 366 (flat) 366 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 366 -"output" in: xor.drc:40 - Polygons (raw): 366 (flat) 366 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 70/44 --- -"input" in: xor.drc:38 - Polygons (raw): 192 (flat) 192 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 192 (flat) 192 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 192 -"output" in: xor.drc:40 - Polygons (raw): 192 (flat) 192 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 71/16 --- -"input" in: xor.drc:38 - Polygons (raw): 4 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 4 (flat) 4 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -XOR differences: 4 -"output" in: xor.drc:40 - Polygons (raw): 4 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 71/20 --- -"input" in: xor.drc:38 - Polygons (raw): 4 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 4 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 4 -"output" in: xor.drc:40 - Polygons (raw): 4 (flat) 4 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 71/44 --- -"input" in: xor.drc:38 - Polygons (raw): 6 (flat) 6 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 6 (flat) 6 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 6 -"output" in: xor.drc:40 - Polygons (raw): 6 (flat) 6 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 72/16 --- -"input" in: xor.drc:38 - Polygons (raw): 3 (flat) 3 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 3 (flat) 3 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 3 -"output" in: xor.drc:40 - Polygons (raw): 3 (flat) 3 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 72/20 --- -"input" in: xor.drc:38 - Polygons (raw): 3 (flat) 3 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 3 (flat) 3 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 3 -"output" in: xor.drc:40 - Polygons (raw): 3 (flat) 3 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 78/44 --- -"input" in: xor.drc:38 - Polygons (raw): 1140 (flat) 59 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 1140 (flat) 59 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 354.00M ---- Running XOR for 8/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 298 (flat) 5 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 298 (flat) 5 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -XOR differences: 298 -"output" in: xor.drc:40 - Polygons (raw): 298 (flat) 5 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 81/23 --- -"input" in: xor.drc:38 - Polygons (raw): 56 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 56 (flat) 1 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 354.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 354.00M ---- Running XOR for 81/4 --- -"input" in: xor.drc:38 - Polygons (raw): 1093 (flat) 56 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"input" in: xor.drc:38 - Polygons (raw): 1093 (flat) 56 (hierarchical) - Elapsed: 0.000s Memory: 354.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 355.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M ---- Running XOR for 83/44 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 355.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M ---- Running XOR for 9/0 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"input" in: xor.drc:38 - Polygons (raw): 323 (flat) 124 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"^" in: xor.drc:38 - Polygons (raw): 323 (flat) 124 (hierarchical) - Elapsed: 0.010s Memory: 355.00M -XOR differences: 323 -"output" in: xor.drc:40 - Polygons (raw): 323 (flat) 124 (hierarchical) - Elapsed: 0.000s Memory: 355.00M ---- Running XOR for 9/1 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M ---- Running XOR for 9/2 --- -"input" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 355.00M -"input" in: xor.drc:38 - Polygons (raw): 22 (flat) 22 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"^" in: xor.drc:38 - Polygons (raw): 22 (flat) 22 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -XOR differences: 22 -"output" in: xor.drc:40 - Polygons (raw): 22 (flat) 22 (hierarchical) - Elapsed: 0.000s Memory: 355.00M ---- Running XOR for 93/44 --- -"input" in: xor.drc:38 - Polygons (raw): 1168 (flat) 57 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"input" in: xor.drc:38 - Polygons (raw): 1168 (flat) 57 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.020s Memory: 355.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M ---- Running XOR for 94/20 --- -"input" in: xor.drc:38 - Polygons (raw): 1168 (flat) 57 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"input" in: xor.drc:38 - Polygons (raw): 1168 (flat) 57 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 355.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M ---- Running XOR for 95/20 --- -"input" in: xor.drc:38 - Polygons (raw): 601 (flat) 56 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"input" in: xor.drc:38 - Polygons (raw): 601 (flat) 56 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -"^" in: xor.drc:38 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.010s Memory: 355.00M -XOR differences: 0 -"output" in: xor.drc:40 - Polygons (raw): 0 (flat) 0 (hierarchical) - Elapsed: 0.000s Memory: 355.00M -Writing report database: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.xor.xml .. -Total elapsed: 0.890s Memory: 355.00M diff --git a/signoff/digital_pll/openlane-signoff/25-xor.rpt b/signoff/digital_pll/openlane-signoff/25-xor.rpt deleted file mode 100644 index 7d4583ca..00000000 --- a/signoff/digital_pll/openlane-signoff/25-xor.rpt +++ /dev/null @@ -1 +0,0 @@ -Total XOR differences = 18953 \ No newline at end of file diff --git a/signoff/digital_pll/openlane-signoff/26-spice.log b/signoff/digital_pll/openlane-signoff/26-spice.log deleted file mode 100644 index 41e7a09a..00000000 --- a/signoff/digital_pll/openlane-signoff/26-spice.log +++ /dev/null @@ -1,112 +0,0 @@ - -Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022. -Starting magic under Tcl interpreter -Using the terminal as the console. -Using NULL graphics device. -Processing system .magicrc file -Sourcing design .magicrc for technology sky130A ... -2 Magic internal units = 1 Lambda -Input style sky130(vendor): scaleFactor=2, multiplier=2 -The following types are not handled by extraction and will be treated as non-electrical types: - ubm -Scaled tech values by 2 / 1 to match internal grid scaling -Loading sky130A Device Generator Menu ... -Using technology "sky130A", version 1.0.341-2-gde752ec -Reading LEF data from file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef. -This action cannot be undone. -LEF read, Line 78 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 79 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 112 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring. -LEF read, Line 114 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 115 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 121 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 122 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 123 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 156 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring. -LEF read, Line 164 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 165 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 167 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 168 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 169 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 206 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 207 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 209 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 210 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 211 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 248 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 249 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read, Line 251 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring. -LEF read, Line 252 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring. -LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring. -LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring. -LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring. -LEF read: Processed 797 lines. -Reading DEF data from file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def. -This action cannot be undone. - Processed 4 vias total. - Processed 1093 subcell instances total. - Processed 39 pins total. - Processed 2 special nets total. - Processed 371 nets total. -DEF read: Processed 7531 lines. -Processing digital_pll -Extracting sky130_fd_sc_hd__fill_1 into sky130_fd_sc_hd__fill_1.ext: -Extracting sky130_fd_sc_hd__fill_2 into sky130_fd_sc_hd__fill_2.ext: -Extracting sky130_fd_sc_hd__decap_4 into sky130_fd_sc_hd__decap_4.ext: -Extracting sky130_fd_sc_hd__decap_3 into sky130_fd_sc_hd__decap_3.ext: -Extracting sky130_fd_sc_hd__nand2_2 into sky130_fd_sc_hd__nand2_2.ext: -Extracting sky130_fd_sc_hd__o21ai_2 into sky130_fd_sc_hd__o21ai_2.ext: -Extracting sky130_fd_sc_hd__clkbuf_16 into sky130_fd_sc_hd__clkbuf_16.ext: -Extracting sky130_fd_sc_hd__tapvpwrvgnd_1 into sky130_fd_sc_hd__tapvpwrvgnd_1.ext: -Extracting sky130_fd_sc_hd__mux2_2 into sky130_fd_sc_hd__mux2_2.ext: -Extracting sky130_fd_sc_hd__dfrtp_2 into sky130_fd_sc_hd__dfrtp_2.ext: -Extracting sky130_fd_sc_hd__nor2_2 into sky130_fd_sc_hd__nor2_2.ext: -Extracting sky130_fd_sc_hd__buf_2 into sky130_fd_sc_hd__buf_2.ext: -Extracting sky130_fd_sc_hd__diode_2 into sky130_fd_sc_hd__diode_2.ext: -Extracting sky130_fd_sc_hd__inv_2 into sky130_fd_sc_hd__inv_2.ext: -Extracting sky130_fd_sc_hd__xor2_2 into sky130_fd_sc_hd__xor2_2.ext: -Extracting sky130_fd_sc_hd__decap_6 into sky130_fd_sc_hd__decap_6.ext: -Extracting sky130_fd_sc_hd__nand3_2 into sky130_fd_sc_hd__nand3_2.ext: -Extracting sky130_fd_sc_hd__xnor2_2 into sky130_fd_sc_hd__xnor2_2.ext: -Extracting sky130_fd_sc_hd__a21boi_2 into sky130_fd_sc_hd__a21boi_2.ext: -Extracting sky130_ef_sc_hd__decap_12 into sky130_ef_sc_hd__decap_12.ext: -Extracting sky130_fd_sc_hd__nand3b_2 into sky130_fd_sc_hd__nand3b_2.ext: -Extracting sky130_fd_sc_hd__and2_2 into sky130_fd_sc_hd__and2_2.ext: -Extracting sky130_fd_sc_hd__a21oi_2 into sky130_fd_sc_hd__a21oi_2.ext: -Extracting sky130_fd_sc_hd__and3_2 into sky130_fd_sc_hd__and3_2.ext: -Extracting sky130_fd_sc_hd__nand2b_2 into sky130_fd_sc_hd__nand2b_2.ext: -Extracting sky130_fd_sc_hd__a211o_2 into sky130_fd_sc_hd__a211o_2.ext: -Extracting sky130_fd_sc_hd__decap_8 into sky130_fd_sc_hd__decap_8.ext: -Extracting sky130_fd_sc_hd__and4b_2 into sky130_fd_sc_hd__and4b_2.ext: -Extracting sky130_fd_sc_hd__o21a_2 into sky130_fd_sc_hd__o21a_2.ext: -Extracting sky130_fd_sc_hd__o211a_2 into sky130_fd_sc_hd__o211a_2.ext: -Extracting sky130_fd_sc_hd__a21o_2 into sky130_fd_sc_hd__a21o_2.ext: -Extracting sky130_fd_sc_hd__einvp_1 into sky130_fd_sc_hd__einvp_1.ext: -Extracting sky130_fd_sc_hd__clkinv_2 into sky130_fd_sc_hd__clkinv_2.ext: -Extracting sky130_fd_sc_hd__clkinv_8 into sky130_fd_sc_hd__clkinv_8.ext: -Extracting sky130_fd_sc_hd__conb_1 into sky130_fd_sc_hd__conb_1.ext: -Extracting sky130_fd_sc_hd__clkinv_1 into sky130_fd_sc_hd__clkinv_1.ext: -Extracting sky130_fd_sc_hd__o32a_2 into sky130_fd_sc_hd__o32a_2.ext: -Extracting sky130_fd_sc_hd__a31o_2 into sky130_fd_sc_hd__a31o_2.ext: -Extracting sky130_fd_sc_hd__einvp_2 into sky130_fd_sc_hd__einvp_2.ext: -Extracting sky130_fd_sc_hd__clkbuf_1 into sky130_fd_sc_hd__clkbuf_1.ext: -Extracting sky130_fd_sc_hd__o31a_2 into sky130_fd_sc_hd__o31a_2.ext: -Extracting sky130_fd_sc_hd__o22a_2 into sky130_fd_sc_hd__o22a_2.ext: -Extracting sky130_fd_sc_hd__or2_2 into sky130_fd_sc_hd__or2_2.ext: -Extracting sky130_fd_sc_hd__einvn_8 into sky130_fd_sc_hd__einvn_8.ext: -Extracting sky130_fd_sc_hd__o2111a_2 into sky130_fd_sc_hd__o2111a_2.ext: -Extracting sky130_fd_sc_hd__o2bb2a_2 into sky130_fd_sc_hd__o2bb2a_2.ext: -Extracting sky130_fd_sc_hd__einvn_4 into sky130_fd_sc_hd__einvn_4.ext: -Extracting sky130_fd_sc_hd__o21ba_2 into sky130_fd_sc_hd__o21ba_2.ext: -Extracting sky130_fd_sc_hd__nand4b_2 into sky130_fd_sc_hd__nand4b_2.ext: -Extracting sky130_fd_sc_hd__clkbuf_2 into sky130_fd_sc_hd__clkbuf_2.ext: -Extracting sky130_fd_sc_hd__a32o_2 into sky130_fd_sc_hd__a32o_2.ext: -Extracting sky130_fd_sc_hd__and3b_2 into sky130_fd_sc_hd__and3b_2.ext: -Extracting sky130_fd_sc_hd__nand4_2 into sky130_fd_sc_hd__nand4_2.ext: -Extracting sky130_fd_sc_hd__a22o_2 into sky130_fd_sc_hd__a22o_2.ext: -Extracting sky130_fd_sc_hd__and2b_2 into sky130_fd_sc_hd__and2b_2.ext: -Extracting sky130_fd_sc_hd__o221a_2 into sky130_fd_sc_hd__o221a_2.ext: -Extracting digital_pll into digital_pll.ext: -digital_pll: 34 errors -Total of 34 errors (check feedback entries). -exttospice finished. diff --git a/signoff/digital_pll/openlane-signoff/27-write_powered_def.log b/signoff/digital_pll/openlane-signoff/27-write_powered_def.log deleted file mode 100644 index 753c2cb5..00000000 --- a/signoff/digital_pll/openlane-signoff/27-write_powered_def.log +++ /dev/null @@ -1,25 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef -[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later. -The LEF parser will ignore this statement. -To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef at line 930. - -[INFO ODB-0223] Created 13 technology layers -[INFO ODB-0224] Created 25 technology vias -[INFO ODB-0225] Created 441 library cells -[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef -[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -[INFO ODB-0128] Design: digital_pll -[INFO ODB-0130] Created 39 pins. -[INFO ODB-0131] Created 1093 components and 5448 component-terminals. -[INFO ODB-0132] Created 2 special nets and 4222 connections. -[INFO ODB-0133] Created 371 nets and 1225 connections. -[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -Top-level design name: digital_pll -Found default power net 'VPWR' -Found default ground net 'VGND' -Found 1 power ports. -Found 1 ground ports. -Modified power connections of 1093/1093 cells. diff --git a/signoff/digital_pll/openlane-signoff/27-write_powered_verilog.log b/signoff/digital_pll/openlane-signoff/27-write_powered_verilog.log deleted file mode 100644 index 35c8e6d3..00000000 --- a/signoff/digital_pll/openlane-signoff/27-write_powered_verilog.log +++ /dev/null @@ -1,8 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.odb -Setting global connections for newly added cells... -[WARNING] Did not save OpenROAD database! -Writing netlist to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/26-digital_pll.nl.v... -Writing powered netlist to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/26-digital_pll.pnl.v... diff --git a/signoff/digital_pll/openlane-signoff/29-digital_pll.lef-count.log b/signoff/digital_pll/openlane-signoff/29-digital_pll.lef-count.log deleted file mode 100644 index 0a843e53..00000000 --- a/signoff/digital_pll/openlane-signoff/29-digital_pll.lef-count.log +++ /dev/null @@ -1,3 +0,0 @@ -LVS reports no net, device, pin, or property mismatches. - -Total errors = 0 diff --git a/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.json b/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.json deleted file mode 100644 index 143d6c23..00000000 --- a/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.json +++ /dev/null @@ -1,1404 +0,0 @@ -[ - { - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "VPWR" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "C1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "B1", - "C1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "VPWR" - ] - ] - }, - { - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "VPWR" - ] - ] - }, - { - "pins": [ - [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A1", - "A2", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "TE", - "VGND", - "VNB", - "VPB", - "VPWR", - "Z" - ], [ - "A", - "TE", - "VGND", - "VNB", - "VPB", - "VPWR", - "Z" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "VPWR" - ] - ] - }, - { - "pins": [ - [ - "DIODE", - "VGND", - "VNB", - "VPB", - "VPWR" - ], [ - "DIODE", - "VGND", - "VNB", - "VPB", - "VPWR" - ] - ] - }, - { - "pins": [ - [ - "A", - "TE_B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Z" - ], [ - "A", - "TE_B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Z" - ] - ] - }, - { - "pins": [ - [ - "VGND", - "VPWR" - ], [ - "VGND", - "VPWR" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "C1", - "D1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "B1", - "C1", - "D1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A0", - "A1", - "S", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A0", - "A1", - "S", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "TE_B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Z" - ], [ - "A", - "TE_B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Z" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "A3", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "A3", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "C1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "B1", - "C1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "VPWR" - ] - ] - }, - { - "pins": [ - [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A_N", - "B", - "C", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A_N", - "B", - "C", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A_N", - "B", - "C", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A_N", - "B", - "C", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A_N", - "B", - "C", - "D", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A_N", - "B", - "C", - "D", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "B", - "C", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A", - "B", - "C", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A_N", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A_N", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1_N", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A1", - "A2", - "B1_N", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "A3", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "A3", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "CLK", - "D", - "RESET_B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Q" - ], [ - "CLK", - "D", - "RESET_B", - "VGND", - "VNB", - "VPB", - "VPWR", - "Q" - ] - ] - }, - { - "pins": [ - [ - "A1_N", - "A2_N", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1_N", - "A2_N", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "TE", - "VGND", - "VNB", - "VPB", - "VPWR", - "Z" - ], [ - "A", - "TE", - "VGND", - "VNB", - "VPB", - "VPWR", - "Z" - ] - ] - }, - { - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "VPWR" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A1", - "A2", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A_N", - "B", - "C", - "D", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A_N", - "B", - "C", - "D", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1", - "B2", - "C1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "B1", - "B2", - "C1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "B", - "C", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A", - "B", - "C", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A_N", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A_N", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "B1_N", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "B1_N", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "A3", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "A3", - "B1", - "B2", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "VPWR" - ] - ] - }, - { - "pins": [ - [ - "A1", - "A2", - "A3", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A1", - "A2", - "A3", - "B1", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "VPWR", - "HI" - ], [ - "VGND", - "VNB", - "VPB", - "VPWR", - "HI" - ] - ] - }, - { - "pins": [ - [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ], [ - "A", - "B", - "VGND", - "VNB", - "VPB", - "VPWR", - "X" - ] - ] - }, - { - "pins": [ - [ - "A", - "B", - "C", - "D", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ], [ - "A", - "B", - "C", - "D", - "VGND", - "VNB", - "VPB", - "VPWR", - "Y" - ] - ] - }, - { - "name": [ - "digital_pll", - "digital_pll" - ], - "devices": [ - [ - ["sky130_fd_sc_hd__fill_2", 1], - ["sky130_fd_sc_hd__a211o_2", 3], - ["sky130_fd_sc_hd__decap_4", 1], - ["sky130_fd_sc_hd__fill_1", 1], - ["sky130_fd_sc_hd__xnor2_2", 11], - ["sky130_fd_sc_hd__nor2_2", 42], - ["sky130_fd_sc_hd__clkinv_1", 13], - ["sky130_fd_sc_hd__o21ai_2", 6], - ["sky130_fd_sc_hd__buf_2", 32], - ["sky130_fd_sc_hd__einvp_2", 26], - ["sky130_fd_sc_hd__o21a_2", 5], - ["sky130_fd_sc_hd__decap_3", 1], - ["sky130_fd_sc_hd__diode_2", 37], - ["sky130_fd_sc_hd__einvn_8", 13], - ["sky130_fd_sc_hd__tapvpwrvgnd_1", 1], - ["sky130_fd_sc_hd__o2111a_2", 2], - ["sky130_fd_sc_hd__inv_2", 13], - ["sky130_fd_sc_hd__mux2_2", 11], - ["sky130_fd_sc_hd__nand2_2", 20], - ["sky130_fd_sc_hd__a21o_2", 14], - ["sky130_fd_sc_hd__einvn_4", 13], - ["sky130_fd_sc_hd__o31a_2", 4], - ["sky130_fd_sc_hd__o211a_2", 7], - ["sky130_fd_sc_hd__decap_6", 1], - ["sky130_fd_sc_hd__and2_2", 14], - ["sky130_fd_sc_hd__clkbuf_2", 12], - ["sky130_fd_sc_hd__and3b_2", 2], - ["sky130_fd_sc_hd__o22a_2", 4], - ["sky130_fd_sc_hd__nand3b_2", 2], - ["sky130_fd_sc_hd__clkbuf_1", 13], - ["sky130_fd_sc_hd__nand4b_2", 1], - ["sky130_fd_sc_hd__a22o_2", 7], - ["sky130_fd_sc_hd__nand3_2", 3], - ["sky130_fd_sc_hd__xor2_2", 4], - ["sky130_fd_sc_hd__nand2b_2", 7], - ["sky130_fd_sc_hd__a21boi_2", 1], - ["sky130_fd_sc_hd__a32o_2", 6], - ["sky130_fd_sc_hd__dfrtp_2", 23], - ["sky130_fd_sc_hd__o2bb2a_2", 1], - ["sky130_fd_sc_hd__einvp_1", 1], - ["sky130_ef_sc_hd__decap_12", 1], - ["sky130_fd_sc_hd__a21oi_2", 5], - ["sky130_fd_sc_hd__and4b_2", 2], - ["sky130_fd_sc_hd__o221a_2", 1], - ["sky130_fd_sc_hd__and3_2", 6], - ["sky130_fd_sc_hd__and2b_2", 1], - ["sky130_fd_sc_hd__o21ba_2", 1], - ["sky130_fd_sc_hd__o32a_2", 1], - ["sky130_fd_sc_hd__clkbuf_16", 2], - ["sky130_fd_sc_hd__decap_8", 1], - ["sky130_fd_sc_hd__a31o_2", 1], - ["sky130_fd_sc_hd__clkinv_2", 2], - ["sky130_fd_sc_hd__clkinv_8", 2], - ["sky130_fd_sc_hd__conb_1", 1], - ["sky130_fd_sc_hd__or2_2", 1], - ["sky130_fd_sc_hd__nand4_2", 1 ] - ], [ - ["sky130_fd_sc_hd__fill_2", 1 ], - ["sky130_fd_sc_hd__a211o_2", 3 ], - ["sky130_fd_sc_hd__decap_4", 1 ], - ["sky130_fd_sc_hd__fill_1", 1 ], - ["sky130_fd_sc_hd__xnor2_2", 11 ], - ["sky130_fd_sc_hd__nor2_2", 42 ], - ["sky130_fd_sc_hd__clkinv_1", 13 ], - ["sky130_fd_sc_hd__o21ai_2", 6 ], - ["sky130_fd_sc_hd__buf_2", 32 ], - ["sky130_fd_sc_hd__einvp_2", 26 ], - ["sky130_fd_sc_hd__o21a_2", 5 ], - ["sky130_fd_sc_hd__decap_3", 1 ], - ["sky130_fd_sc_hd__diode_2", 37 ], - ["sky130_fd_sc_hd__einvn_8", 13 ], - ["sky130_fd_sc_hd__tapvpwrvgnd_1", 1 ], - ["sky130_fd_sc_hd__o2111a_2", 2 ], - ["sky130_fd_sc_hd__inv_2", 13 ], - ["sky130_fd_sc_hd__mux2_2", 11 ], - ["sky130_fd_sc_hd__nand2_2", 20 ], - ["sky130_fd_sc_hd__a21o_2", 14 ], - ["sky130_fd_sc_hd__einvn_4", 13 ], - ["sky130_fd_sc_hd__o31a_2", 4 ], - ["sky130_fd_sc_hd__o211a_2", 7 ], - ["sky130_fd_sc_hd__decap_6", 1 ], - ["sky130_fd_sc_hd__and2_2", 14 ], - ["sky130_fd_sc_hd__clkbuf_2", 12 ], - ["sky130_fd_sc_hd__and3b_2", 2 ], - ["sky130_fd_sc_hd__o22a_2", 4 ], - ["sky130_fd_sc_hd__nand3b_2", 2 ], - ["sky130_fd_sc_hd__clkbuf_1", 13 ], - ["sky130_fd_sc_hd__nand4b_2", 1 ], - ["sky130_fd_sc_hd__a22o_2", 7 ], - ["sky130_fd_sc_hd__nand3_2", 3 ], - ["sky130_fd_sc_hd__xor2_2", 4 ], - ["sky130_fd_sc_hd__nand2b_2", 7 ], - ["sky130_fd_sc_hd__a21boi_2", 1 ], - ["sky130_fd_sc_hd__a32o_2", 6 ], - ["sky130_fd_sc_hd__dfrtp_2", 23 ], - ["sky130_fd_sc_hd__o2bb2a_2", 1 ], - ["sky130_fd_sc_hd__einvp_1", 1 ], - ["sky130_ef_sc_hd__decap_12", 1 ], - ["sky130_fd_sc_hd__a21oi_2", 5 ], - ["sky130_fd_sc_hd__and4b_2", 2 ], - ["sky130_fd_sc_hd__o221a_2", 1 ], - ["sky130_fd_sc_hd__and3_2", 6 ], - ["sky130_fd_sc_hd__and2b_2", 1 ], - ["sky130_fd_sc_hd__o21ba_2", 1 ], - ["sky130_fd_sc_hd__o32a_2", 1 ], - ["sky130_fd_sc_hd__clkbuf_16", 2 ], - ["sky130_fd_sc_hd__decap_8", 1 ], - ["sky130_fd_sc_hd__a31o_2", 1 ], - ["sky130_fd_sc_hd__clkinv_2", 2 ], - ["sky130_fd_sc_hd__clkinv_8", 2 ], - ["sky130_fd_sc_hd__conb_1", 1 ], - ["sky130_fd_sc_hd__or2_2", 1 ], - ["sky130_fd_sc_hd__nand4_2", 1 ] - ] - ], - "nets": [ - 374, - 374 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "clockp[0]", - "clockp[1]", - "div[3]", - "div[1]", - "div[0]", - "div[4]", - "div[2]", - "ext_trim[0]", - "ext_trim[1]", - "ext_trim[2]", - "ext_trim[3]", - "ext_trim[5]", - "ext_trim[4]", - "ext_trim[6]", - "ext_trim[8]", - "ext_trim[10]", - "ext_trim[11]", - "ext_trim[7]", - "ext_trim[12]", - "ext_trim[14]", - "ext_trim[9]", - "ext_trim[15]", - "ext_trim[17]", - "ext_trim[18]", - "ext_trim[19]", - "ext_trim[20]", - "ext_trim[21]", - "ext_trim[13]", - "ext_trim[22]", - "ext_trim[16]", - "ext_trim[23]", - "ext_trim[24]", - "ext_trim[25]", - "enable", - "resetb", - "osc", - "dco", - "VGND", - "VPWR" - ], [ - "clockp[0]", - "clockp[1]", - "div[3]", - "div[1]", - "div[0]", - "div[4]", - "div[2]", - "ext_trim[0]", - "ext_trim[1]", - "ext_trim[2]", - "ext_trim[3]", - "ext_trim[5]", - "ext_trim[4]", - "ext_trim[6]", - "ext_trim[8]", - "ext_trim[10]", - "ext_trim[11]", - "ext_trim[7]", - "ext_trim[12]", - "ext_trim[14]", - "ext_trim[9]", - "ext_trim[15]", - "ext_trim[17]", - "ext_trim[18]", - "ext_trim[19]", - "ext_trim[20]", - "ext_trim[21]", - "ext_trim[13]", - "ext_trim[22]", - "ext_trim[16]", - "ext_trim[23]", - "ext_trim[24]", - "ext_trim[25]", - "enable", - "resetb", - "osc", - "dco", - "VGND", - "VPWR" - ] - ] - } -] diff --git a/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.log b/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.log deleted file mode 100644 index e21823ce..00000000 --- a/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.log +++ /dev/null @@ -1,1074 +0,0 @@ - -Circuit 1 cell sky130_fd_sc_hd__fill_2 and Circuit 2 cell sky130_fd_sc_hd__fill_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__fill_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__fill_2 |Circuit 2: sky130_fd_sc_hd__fill_2 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__fill_2 and sky130_fd_sc_hd__fill_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__a211o_2 and Circuit 2 cell sky130_fd_sc_hd__a211o_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__a211o_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a211o_2 |Circuit 2: sky130_fd_sc_hd__a211o_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -C1 |C1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a211o_2 and sky130_fd_sc_hd__a211o_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__decap_4 and Circuit 2 cell sky130_fd_sc_hd__decap_4 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__decap_4 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__decap_4 |Circuit 2: sky130_fd_sc_hd__decap_4 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__decap_4 and sky130_fd_sc_hd__decap_4 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__fill_1 and Circuit 2 cell sky130_fd_sc_hd__fill_1 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__fill_1 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__fill_1 |Circuit 2: sky130_fd_sc_hd__fill_1 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__fill_1 and sky130_fd_sc_hd__fill_1 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__xnor2_2 and Circuit 2 cell sky130_fd_sc_hd__xnor2_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__xnor2_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__xnor2_2 |Circuit 2: sky130_fd_sc_hd__xnor2_2 --------------------------------------------|------------------------------------------- -A |A -B |B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__xnor2_2 and sky130_fd_sc_hd__xnor2_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__nor2_2 and Circuit 2 cell sky130_fd_sc_hd__nor2_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__nor2_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nor2_2 |Circuit 2: sky130_fd_sc_hd__nor2_2 --------------------------------------------|------------------------------------------- -A |A -B |B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nor2_2 and sky130_fd_sc_hd__nor2_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__clkinv_1 and Circuit 2 cell sky130_fd_sc_hd__clkinv_1 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__clkinv_1 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkinv_1 |Circuit 2: sky130_fd_sc_hd__clkinv_1 --------------------------------------------|------------------------------------------- -A |A -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkinv_1 and sky130_fd_sc_hd__clkinv_1 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o21ai_2 and Circuit 2 cell sky130_fd_sc_hd__o21ai_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o21ai_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o21ai_2 |Circuit 2: sky130_fd_sc_hd__o21ai_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o21ai_2 and sky130_fd_sc_hd__o21ai_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__buf_2 and Circuit 2 cell sky130_fd_sc_hd__buf_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__buf_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__buf_2 |Circuit 2: sky130_fd_sc_hd__buf_2 --------------------------------------------|------------------------------------------- -A |A -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__buf_2 and sky130_fd_sc_hd__buf_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__einvp_2 and Circuit 2 cell sky130_fd_sc_hd__einvp_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__einvp_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__einvp_2 |Circuit 2: sky130_fd_sc_hd__einvp_2 --------------------------------------------|------------------------------------------- -A |A -TE |TE -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Z |Z ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__einvp_2 and sky130_fd_sc_hd__einvp_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o21a_2 and Circuit 2 cell sky130_fd_sc_hd__o21a_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o21a_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o21a_2 |Circuit 2: sky130_fd_sc_hd__o21a_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o21a_2 and sky130_fd_sc_hd__o21a_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__decap_3 and Circuit 2 cell sky130_fd_sc_hd__decap_3 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__decap_3 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__decap_3 |Circuit 2: sky130_fd_sc_hd__decap_3 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__decap_3 and sky130_fd_sc_hd__decap_3 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__diode_2 and Circuit 2 cell sky130_fd_sc_hd__diode_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__diode_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__diode_2 |Circuit 2: sky130_fd_sc_hd__diode_2 --------------------------------------------|------------------------------------------- -DIODE |DIODE -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__diode_2 and sky130_fd_sc_hd__diode_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__einvn_8 and Circuit 2 cell sky130_fd_sc_hd__einvn_8 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__einvn_8 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__einvn_8 |Circuit 2: sky130_fd_sc_hd__einvn_8 --------------------------------------------|------------------------------------------- -A |A -TE_B |TE_B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Z |Z ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__einvn_8 and sky130_fd_sc_hd__einvn_8 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__tapvpwrvgnd_1 and Circuit 2 cell sky130_fd_sc_hd__tapvpwrvgnd_1 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__tapvpwrvgnd_1 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__tapvpwrvgnd_1 |Circuit 2: sky130_fd_sc_hd__tapvpwrvgnd_1 --------------------------------------------|------------------------------------------- -VGND |VGND -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__tapvpwrvgnd_1 and sky130_fd_sc_hd__tapvpwrvgnd_1 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o2111a_2 and Circuit 2 cell sky130_fd_sc_hd__o2111a_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o2111a_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o2111a_2 |Circuit 2: sky130_fd_sc_hd__o2111a_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -C1 |C1 -D1 |D1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o2111a_2 and sky130_fd_sc_hd__o2111a_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__inv_2 and Circuit 2 cell sky130_fd_sc_hd__inv_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__inv_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__inv_2 |Circuit 2: sky130_fd_sc_hd__inv_2 --------------------------------------------|------------------------------------------- -A |A -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__inv_2 and sky130_fd_sc_hd__inv_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__mux2_2 and Circuit 2 cell sky130_fd_sc_hd__mux2_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__mux2_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__mux2_2 |Circuit 2: sky130_fd_sc_hd__mux2_2 --------------------------------------------|------------------------------------------- -A0 |A0 -A1 |A1 -S |S -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__mux2_2 and sky130_fd_sc_hd__mux2_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__nand2_2 and Circuit 2 cell sky130_fd_sc_hd__nand2_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__nand2_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand2_2 |Circuit 2: sky130_fd_sc_hd__nand2_2 --------------------------------------------|------------------------------------------- -A |A -B |B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand2_2 and sky130_fd_sc_hd__nand2_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__a21o_2 and Circuit 2 cell sky130_fd_sc_hd__a21o_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__a21o_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a21o_2 |Circuit 2: sky130_fd_sc_hd__a21o_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a21o_2 and sky130_fd_sc_hd__a21o_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__einvn_4 and Circuit 2 cell sky130_fd_sc_hd__einvn_4 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__einvn_4 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__einvn_4 |Circuit 2: sky130_fd_sc_hd__einvn_4 --------------------------------------------|------------------------------------------- -A |A -TE_B |TE_B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Z |Z ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__einvn_4 and sky130_fd_sc_hd__einvn_4 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o31a_2 and Circuit 2 cell sky130_fd_sc_hd__o31a_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o31a_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o31a_2 |Circuit 2: sky130_fd_sc_hd__o31a_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -A3 |A3 -B1 |B1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o31a_2 and sky130_fd_sc_hd__o31a_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o211a_2 and Circuit 2 cell sky130_fd_sc_hd__o211a_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o211a_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o211a_2 |Circuit 2: sky130_fd_sc_hd__o211a_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -C1 |C1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o211a_2 and sky130_fd_sc_hd__o211a_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__decap_6 and Circuit 2 cell sky130_fd_sc_hd__decap_6 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__decap_6 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__decap_6 |Circuit 2: sky130_fd_sc_hd__decap_6 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__decap_6 and sky130_fd_sc_hd__decap_6 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__and2_2 and Circuit 2 cell sky130_fd_sc_hd__and2_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__and2_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and2_2 |Circuit 2: sky130_fd_sc_hd__and2_2 --------------------------------------------|------------------------------------------- -A |A -B |B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and2_2 and sky130_fd_sc_hd__and2_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__clkbuf_2 and Circuit 2 cell sky130_fd_sc_hd__clkbuf_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__clkbuf_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkbuf_2 |Circuit 2: sky130_fd_sc_hd__clkbuf_2 --------------------------------------------|------------------------------------------- -A |A -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkbuf_2 and sky130_fd_sc_hd__clkbuf_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__and3b_2 and Circuit 2 cell sky130_fd_sc_hd__and3b_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__and3b_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and3b_2 |Circuit 2: sky130_fd_sc_hd__and3b_2 --------------------------------------------|------------------------------------------- -A_N |A_N -B |B -C |C -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and3b_2 and sky130_fd_sc_hd__and3b_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o22a_2 and Circuit 2 cell sky130_fd_sc_hd__o22a_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o22a_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o22a_2 |Circuit 2: sky130_fd_sc_hd__o22a_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -B2 |B2 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o22a_2 and sky130_fd_sc_hd__o22a_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__nand3b_2 and Circuit 2 cell sky130_fd_sc_hd__nand3b_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__nand3b_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand3b_2 |Circuit 2: sky130_fd_sc_hd__nand3b_2 --------------------------------------------|------------------------------------------- -A_N |A_N -B |B -C |C -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand3b_2 and sky130_fd_sc_hd__nand3b_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__clkbuf_1 and Circuit 2 cell sky130_fd_sc_hd__clkbuf_1 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__clkbuf_1 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkbuf_1 |Circuit 2: sky130_fd_sc_hd__clkbuf_1 --------------------------------------------|------------------------------------------- -A |A -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkbuf_1 and sky130_fd_sc_hd__clkbuf_1 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__nand4b_2 and Circuit 2 cell sky130_fd_sc_hd__nand4b_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__nand4b_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand4b_2 |Circuit 2: sky130_fd_sc_hd__nand4b_2 --------------------------------------------|------------------------------------------- -A_N |A_N -B |B -C |C -D |D -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand4b_2 and sky130_fd_sc_hd__nand4b_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__a22o_2 and Circuit 2 cell sky130_fd_sc_hd__a22o_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__a22o_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a22o_2 |Circuit 2: sky130_fd_sc_hd__a22o_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -B2 |B2 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a22o_2 and sky130_fd_sc_hd__a22o_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__nand3_2 and Circuit 2 cell sky130_fd_sc_hd__nand3_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__nand3_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand3_2 |Circuit 2: sky130_fd_sc_hd__nand3_2 --------------------------------------------|------------------------------------------- -A |A -B |B -C |C -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand3_2 and sky130_fd_sc_hd__nand3_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__xor2_2 and Circuit 2 cell sky130_fd_sc_hd__xor2_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__xor2_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__xor2_2 |Circuit 2: sky130_fd_sc_hd__xor2_2 --------------------------------------------|------------------------------------------- -A |A -B |B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__xor2_2 and sky130_fd_sc_hd__xor2_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__nand2b_2 and Circuit 2 cell sky130_fd_sc_hd__nand2b_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__nand2b_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand2b_2 |Circuit 2: sky130_fd_sc_hd__nand2b_2 --------------------------------------------|------------------------------------------- -A_N |A_N -B |B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand2b_2 and sky130_fd_sc_hd__nand2b_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__a21boi_2 and Circuit 2 cell sky130_fd_sc_hd__a21boi_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__a21boi_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a21boi_2 |Circuit 2: sky130_fd_sc_hd__a21boi_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1_N |B1_N -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a21boi_2 and sky130_fd_sc_hd__a21boi_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__a32o_2 and Circuit 2 cell sky130_fd_sc_hd__a32o_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__a32o_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a32o_2 |Circuit 2: sky130_fd_sc_hd__a32o_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -A3 |A3 -B1 |B1 -B2 |B2 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a32o_2 and sky130_fd_sc_hd__a32o_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__dfrtp_2 and Circuit 2 cell sky130_fd_sc_hd__dfrtp_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__dfrtp_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__dfrtp_2 |Circuit 2: sky130_fd_sc_hd__dfrtp_2 --------------------------------------------|------------------------------------------- -CLK |CLK -D |D -RESET_B |RESET_B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Q |Q ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__dfrtp_2 and sky130_fd_sc_hd__dfrtp_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o2bb2a_2 and Circuit 2 cell sky130_fd_sc_hd__o2bb2a_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o2bb2a_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o2bb2a_2 |Circuit 2: sky130_fd_sc_hd__o2bb2a_2 --------------------------------------------|------------------------------------------- -A1_N |A1_N -A2_N |A2_N -B1 |B1 -B2 |B2 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o2bb2a_2 and sky130_fd_sc_hd__o2bb2a_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__einvp_1 and Circuit 2 cell sky130_fd_sc_hd__einvp_1 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__einvp_1 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__einvp_1 |Circuit 2: sky130_fd_sc_hd__einvp_1 --------------------------------------------|------------------------------------------- -A |A -TE |TE -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Z |Z ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__einvp_1 and sky130_fd_sc_hd__einvp_1 are equivalent. - -Circuit 1 cell sky130_ef_sc_hd__decap_12 and Circuit 2 cell sky130_ef_sc_hd__decap_12 are black boxes. -Warning: Equate pins: cell sky130_ef_sc_hd__decap_12 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_ef_sc_hd__decap_12 |Circuit 2: sky130_ef_sc_hd__decap_12 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_ef_sc_hd__decap_12 and sky130_ef_sc_hd__decap_12 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__a21oi_2 and Circuit 2 cell sky130_fd_sc_hd__a21oi_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__a21oi_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a21oi_2 |Circuit 2: sky130_fd_sc_hd__a21oi_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a21oi_2 and sky130_fd_sc_hd__a21oi_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__and4b_2 and Circuit 2 cell sky130_fd_sc_hd__and4b_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__and4b_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and4b_2 |Circuit 2: sky130_fd_sc_hd__and4b_2 --------------------------------------------|------------------------------------------- -A_N |A_N -B |B -C |C -D |D -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and4b_2 and sky130_fd_sc_hd__and4b_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o221a_2 and Circuit 2 cell sky130_fd_sc_hd__o221a_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o221a_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o221a_2 |Circuit 2: sky130_fd_sc_hd__o221a_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1 |B1 -B2 |B2 -C1 |C1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o221a_2 and sky130_fd_sc_hd__o221a_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__and3_2 and Circuit 2 cell sky130_fd_sc_hd__and3_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__and3_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and3_2 |Circuit 2: sky130_fd_sc_hd__and3_2 --------------------------------------------|------------------------------------------- -A |A -B |B -C |C -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and3_2 and sky130_fd_sc_hd__and3_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__and2b_2 and Circuit 2 cell sky130_fd_sc_hd__and2b_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__and2b_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and2b_2 |Circuit 2: sky130_fd_sc_hd__and2b_2 --------------------------------------------|------------------------------------------- -A_N |A_N -B |B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and2b_2 and sky130_fd_sc_hd__and2b_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o21ba_2 and Circuit 2 cell sky130_fd_sc_hd__o21ba_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o21ba_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o21ba_2 |Circuit 2: sky130_fd_sc_hd__o21ba_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -B1_N |B1_N -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o21ba_2 and sky130_fd_sc_hd__o21ba_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__o32a_2 and Circuit 2 cell sky130_fd_sc_hd__o32a_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__o32a_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o32a_2 |Circuit 2: sky130_fd_sc_hd__o32a_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -A3 |A3 -B1 |B1 -B2 |B2 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o32a_2 and sky130_fd_sc_hd__o32a_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__clkbuf_16 and Circuit 2 cell sky130_fd_sc_hd__clkbuf_16 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__clkbuf_16 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkbuf_16 |Circuit 2: sky130_fd_sc_hd__clkbuf_16 --------------------------------------------|------------------------------------------- -A |A -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkbuf_16 and sky130_fd_sc_hd__clkbuf_16 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__decap_8 and Circuit 2 cell sky130_fd_sc_hd__decap_8 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__decap_8 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__decap_8 |Circuit 2: sky130_fd_sc_hd__decap_8 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__decap_8 and sky130_fd_sc_hd__decap_8 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__a31o_2 and Circuit 2 cell sky130_fd_sc_hd__a31o_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__a31o_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a31o_2 |Circuit 2: sky130_fd_sc_hd__a31o_2 --------------------------------------------|------------------------------------------- -A1 |A1 -A2 |A2 -A3 |A3 -B1 |B1 -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a31o_2 and sky130_fd_sc_hd__a31o_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__clkinv_2 and Circuit 2 cell sky130_fd_sc_hd__clkinv_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__clkinv_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkinv_2 |Circuit 2: sky130_fd_sc_hd__clkinv_2 --------------------------------------------|------------------------------------------- -A |A -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkinv_2 and sky130_fd_sc_hd__clkinv_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__clkinv_8 and Circuit 2 cell sky130_fd_sc_hd__clkinv_8 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__clkinv_8 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkinv_8 |Circuit 2: sky130_fd_sc_hd__clkinv_8 --------------------------------------------|------------------------------------------- -A |A -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkinv_8 and sky130_fd_sc_hd__clkinv_8 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__conb_1 and Circuit 2 cell sky130_fd_sc_hd__conb_1 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__conb_1 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__conb_1 |Circuit 2: sky130_fd_sc_hd__conb_1 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -HI |HI -LO |(no matching pin) ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__conb_1 and sky130_fd_sc_hd__conb_1 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__or2_2 and Circuit 2 cell sky130_fd_sc_hd__or2_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__or2_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__or2_2 |Circuit 2: sky130_fd_sc_hd__or2_2 --------------------------------------------|------------------------------------------- -A |A -B |B -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__or2_2 and sky130_fd_sc_hd__or2_2 are equivalent. - -Circuit 1 cell sky130_fd_sc_hd__nand4_2 and Circuit 2 cell sky130_fd_sc_hd__nand4_2 are black boxes. -Warning: Equate pins: cell sky130_fd_sc_hd__nand4_2 is a placeholder, treated as a black box. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand4_2 |Circuit 2: sky130_fd_sc_hd__nand4_2 --------------------------------------------|------------------------------------------- -A |A -B |B -C |C -D |D -VGND |VGND -VNB |VNB -VPB |VPB -VPWR |VPWR -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand4_2 and sky130_fd_sc_hd__nand4_2 are equivalent. - -Class digital_pll (0): Merged 685 parallel devices. -Class digital_pll (1): Merged 685 parallel devices. -Subcircuit summary: -Circuit 1: digital_pll |Circuit 2: digital_pll --------------------------------------------|------------------------------------------- -sky130_fd_sc_hd__fill_2 (243->1) |sky130_fd_sc_hd__fill_2 (243->1) -sky130_fd_sc_hd__a211o_2 (3) |sky130_fd_sc_hd__a211o_2 (3) -sky130_fd_sc_hd__decap_4 (85->1) |sky130_fd_sc_hd__decap_4 (85->1) -sky130_fd_sc_hd__fill_1 (150->1) |sky130_fd_sc_hd__fill_1 (150->1) -sky130_fd_sc_hd__xnor2_2 (11) |sky130_fd_sc_hd__xnor2_2 (11) -sky130_fd_sc_hd__nor2_2 (42) |sky130_fd_sc_hd__nor2_2 (42) -sky130_fd_sc_hd__clkinv_1 (13) |sky130_fd_sc_hd__clkinv_1 (13) -sky130_fd_sc_hd__o21ai_2 (6) |sky130_fd_sc_hd__o21ai_2 (6) -sky130_fd_sc_hd__buf_2 (32) |sky130_fd_sc_hd__buf_2 (32) -sky130_fd_sc_hd__einvp_2 (26) |sky130_fd_sc_hd__einvp_2 (26) -sky130_fd_sc_hd__o21a_2 (5) |sky130_fd_sc_hd__o21a_2 (5) -sky130_fd_sc_hd__decap_3 (105->1) |sky130_fd_sc_hd__decap_3 (105->1) -sky130_fd_sc_hd__diode_2 (56->37) |sky130_fd_sc_hd__diode_2 (56->37) -sky130_fd_sc_hd__einvn_8 (13) |sky130_fd_sc_hd__einvn_8 (13) -sky130_fd_sc_hd__tapvpwrvgnd_1 (75->1) |sky130_fd_sc_hd__tapvpwrvgnd_1 (75->1) -sky130_fd_sc_hd__o2111a_2 (2) |sky130_fd_sc_hd__o2111a_2 (2) -sky130_fd_sc_hd__inv_2 (13) |sky130_fd_sc_hd__inv_2 (13) -sky130_fd_sc_hd__mux2_2 (11) |sky130_fd_sc_hd__mux2_2 (11) -sky130_fd_sc_hd__nand2_2 (20) |sky130_fd_sc_hd__nand2_2 (20) -sky130_fd_sc_hd__a21o_2 (14) |sky130_fd_sc_hd__a21o_2 (14) -sky130_fd_sc_hd__einvn_4 (13) |sky130_fd_sc_hd__einvn_4 (13) -sky130_fd_sc_hd__o31a_2 (4) |sky130_fd_sc_hd__o31a_2 (4) -sky130_fd_sc_hd__o211a_2 (7) |sky130_fd_sc_hd__o211a_2 (7) -sky130_fd_sc_hd__decap_6 (7->1) |sky130_fd_sc_hd__decap_6 (7->1) -sky130_fd_sc_hd__and2_2 (14) |sky130_fd_sc_hd__and2_2 (14) -sky130_fd_sc_hd__clkbuf_2 (12) |sky130_fd_sc_hd__clkbuf_2 (12) -sky130_fd_sc_hd__and3b_2 (2) |sky130_fd_sc_hd__and3b_2 (2) -sky130_fd_sc_hd__o22a_2 (4) |sky130_fd_sc_hd__o22a_2 (4) -sky130_fd_sc_hd__nand3b_2 (2) |sky130_fd_sc_hd__nand3b_2 (2) -sky130_fd_sc_hd__clkbuf_1 (13) |sky130_fd_sc_hd__clkbuf_1 (13) -sky130_fd_sc_hd__nand4b_2 (1) |sky130_fd_sc_hd__nand4b_2 (1) -sky130_fd_sc_hd__a22o_2 (7) |sky130_fd_sc_hd__a22o_2 (7) -sky130_fd_sc_hd__nand3_2 (3) |sky130_fd_sc_hd__nand3_2 (3) -sky130_fd_sc_hd__xor2_2 (4) |sky130_fd_sc_hd__xor2_2 (4) -sky130_fd_sc_hd__nand2b_2 (7) |sky130_fd_sc_hd__nand2b_2 (7) -sky130_fd_sc_hd__a21boi_2 (1) |sky130_fd_sc_hd__a21boi_2 (1) -sky130_fd_sc_hd__a32o_2 (6) |sky130_fd_sc_hd__a32o_2 (6) -sky130_fd_sc_hd__dfrtp_2 (23) |sky130_fd_sc_hd__dfrtp_2 (23) -sky130_fd_sc_hd__o2bb2a_2 (1) |sky130_fd_sc_hd__o2bb2a_2 (1) -sky130_fd_sc_hd__einvp_1 (1) |sky130_fd_sc_hd__einvp_1 (1) -sky130_ef_sc_hd__decap_12 (3->1) |sky130_ef_sc_hd__decap_12 (3->1) -sky130_fd_sc_hd__a21oi_2 (5) |sky130_fd_sc_hd__a21oi_2 (5) -sky130_fd_sc_hd__and4b_2 (2) |sky130_fd_sc_hd__and4b_2 (2) -sky130_fd_sc_hd__o221a_2 (1) |sky130_fd_sc_hd__o221a_2 (1) -sky130_fd_sc_hd__and3_2 (6) |sky130_fd_sc_hd__and3_2 (6) -sky130_fd_sc_hd__and2b_2 (1) |sky130_fd_sc_hd__and2b_2 (1) -sky130_fd_sc_hd__o21ba_2 (1) |sky130_fd_sc_hd__o21ba_2 (1) -sky130_fd_sc_hd__o32a_2 (1) |sky130_fd_sc_hd__o32a_2 (1) -sky130_fd_sc_hd__clkbuf_16 (2) |sky130_fd_sc_hd__clkbuf_16 (2) -sky130_fd_sc_hd__decap_8 (6->1) |sky130_fd_sc_hd__decap_8 (6->1) -sky130_fd_sc_hd__a31o_2 (1) |sky130_fd_sc_hd__a31o_2 (1) -sky130_fd_sc_hd__clkinv_2 (2) |sky130_fd_sc_hd__clkinv_2 (2) -sky130_fd_sc_hd__clkinv_8 (2) |sky130_fd_sc_hd__clkinv_8 (2) -sky130_fd_sc_hd__conb_1 (1) |sky130_fd_sc_hd__conb_1 (1) -sky130_fd_sc_hd__or2_2 (1) |sky130_fd_sc_hd__or2_2 (1) -sky130_fd_sc_hd__nand4_2 (1) |sky130_fd_sc_hd__nand4_2 (1) -Number of devices: 408 |Number of devices: 408 -Number of nets: 374 |Number of nets: 374 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: digital_pll |Circuit 2: digital_pll --------------------------------------------|------------------------------------------- -clockp[0] |clockp[0] -clockp[1] |clockp[1] -div[3] |div[3] -div[1] |div[1] -div[0] |div[0] -div[4] |div[4] -div[2] |div[2] -ext_trim[0] |ext_trim[0] -ext_trim[1] |ext_trim[1] -ext_trim[2] |ext_trim[2] -ext_trim[3] |ext_trim[3] -ext_trim[5] |ext_trim[5] -ext_trim[4] |ext_trim[4] -ext_trim[6] |ext_trim[6] -ext_trim[8] |ext_trim[8] -ext_trim[10] |ext_trim[10] -ext_trim[11] |ext_trim[11] -ext_trim[7] |ext_trim[7] -ext_trim[12] |ext_trim[12] -ext_trim[14] |ext_trim[14] -ext_trim[9] |ext_trim[9] -ext_trim[15] |ext_trim[15] -ext_trim[17] |ext_trim[17] -ext_trim[18] |ext_trim[18] -ext_trim[19] |ext_trim[19] -ext_trim[20] |ext_trim[20] -ext_trim[21] |ext_trim[21] -ext_trim[13] |ext_trim[13] -ext_trim[22] |ext_trim[22] -ext_trim[16] |ext_trim[16] -ext_trim[23] |ext_trim[23] -ext_trim[24] |ext_trim[24] -ext_trim[25] |ext_trim[25] -enable |enable -resetb |resetb -osc |osc -dco |dco -VGND |VGND -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes digital_pll and digital_pll are equivalent. - -Final result: Circuits match uniquely. -. diff --git a/signoff/digital_pll/openlane-signoff/29-lvs.lef.log b/signoff/digital_pll/openlane-signoff/29-lvs.lef.log deleted file mode 100644 index cd0f88bb..00000000 --- a/signoff/digital_pll/openlane-signoff/29-lvs.lef.log +++ /dev/null @@ -1,373 +0,0 @@ -Netgen 1.5.234 compiled on Sun Oct 9 10:24:01 UTC 2022 -Warning: netgen command 'format' use fully-qualified name '::netgen::format' -Warning: netgen command 'global' use fully-qualified name '::netgen::global' -Generating JSON file result -Reading netlist file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.spice -Reading netlist file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/26-digital_pll.pnl.v -Warning: A case-insensitive file has been read and so the verilog file must be treated case-insensitive to match. -Creating placeholder cell definition for module sky130_fd_sc_hd__xnor2_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__buf_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__mux2_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__inv_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__nand2b_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__and2_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__xor2_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__a211o_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__nor2_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__nand2_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__and3_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__a21oi_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o32a_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__nand3b_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__a21o_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o2111a_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__a31o_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o21ba_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o211a_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o31a_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__nand4_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__and4b_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o21ai_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__nand4b_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__and3b_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__a32o_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o22a_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o2bb2a_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o21a_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__a21boi_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__nand3_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__and2b_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__a22o_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__o221a_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__dfrtp_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_16. -Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_1. -Creating placeholder cell definition for module sky130_fd_sc_hd__einvp_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__einvn_8. -Creating placeholder cell definition for module sky130_fd_sc_hd__einvn_4. -Creating placeholder cell definition for module sky130_fd_sc_hd__clkinv_1. -Creating placeholder cell definition for module sky130_fd_sc_hd__clkinv_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__clkinv_8. -Creating placeholder cell definition for module sky130_fd_sc_hd__conb_1. -Creating placeholder cell definition for module sky130_fd_sc_hd__or2_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__einvp_1. -Creating placeholder cell definition for module sky130_fd_sc_hd__decap_3. -Creating placeholder cell definition for module sky130_fd_sc_hd__tapvpwrvgnd_1. -Creating placeholder cell definition for module sky130_fd_sc_hd__diode_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__fill_1. -Creating placeholder cell definition for module sky130_fd_sc_hd__decap_4. -Creating placeholder cell definition for module sky130_fd_sc_hd__fill_2. -Creating placeholder cell definition for module sky130_fd_sc_hd__decap_6. -Creating placeholder cell definition for module sky130_ef_sc_hd__decap_12. -Creating placeholder cell definition for module sky130_fd_sc_hd__decap_8. -Reading setup file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/netgen/sky130A_setup.tcl -Comparison output logged to file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/signoff/29-digital_pll.lef.log -Logging to file "/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/signoff/29-digital_pll.lef.log" enabled -Circuit sky130_fd_sc_hd__fill_2 contains no devices. -Circuit sky130_fd_sc_hd__a211o_2 contains no devices. -Circuit sky130_fd_sc_hd__decap_4 contains no devices. -Circuit sky130_fd_sc_hd__fill_1 contains no devices. -Circuit sky130_fd_sc_hd__xnor2_2 contains no devices. -Circuit sky130_fd_sc_hd__nor2_2 contains no devices. -Circuit sky130_fd_sc_hd__clkinv_1 contains no devices. -Circuit sky130_fd_sc_hd__o21ai_2 contains no devices. -Circuit sky130_fd_sc_hd__buf_2 contains no devices. -Circuit sky130_fd_sc_hd__einvp_2 contains no devices. -Circuit sky130_fd_sc_hd__o21a_2 contains no devices. -Circuit sky130_fd_sc_hd__decap_3 contains no devices. -Circuit sky130_fd_sc_hd__diode_2 contains no devices. -Circuit sky130_fd_sc_hd__einvn_8 contains no devices. -Circuit sky130_fd_sc_hd__tapvpwrvgnd_1 contains no devices. -Circuit sky130_fd_sc_hd__o2111a_2 contains no devices. -Circuit sky130_fd_sc_hd__inv_2 contains no devices. -Circuit sky130_fd_sc_hd__mux2_2 contains no devices. -Circuit sky130_fd_sc_hd__nand2_2 contains no devices. -Circuit sky130_fd_sc_hd__a21o_2 contains no devices. -Circuit sky130_fd_sc_hd__einvn_4 contains no devices. -Circuit sky130_fd_sc_hd__o31a_2 contains no devices. -Circuit sky130_fd_sc_hd__o211a_2 contains no devices. -Circuit sky130_fd_sc_hd__decap_6 contains no devices. -Circuit sky130_fd_sc_hd__and2_2 contains no devices. -Circuit sky130_fd_sc_hd__clkbuf_2 contains no devices. -Circuit sky130_fd_sc_hd__and3b_2 contains no devices. -Circuit sky130_fd_sc_hd__o22a_2 contains no devices. -Circuit sky130_fd_sc_hd__nand3b_2 contains no devices. -Circuit sky130_fd_sc_hd__clkbuf_1 contains no devices. -Circuit sky130_fd_sc_hd__nand4b_2 contains no devices. -Circuit sky130_fd_sc_hd__a22o_2 contains no devices. -Circuit sky130_fd_sc_hd__nand3_2 contains no devices. -Circuit sky130_fd_sc_hd__xor2_2 contains no devices. -Circuit sky130_fd_sc_hd__nand2b_2 contains no devices. -Circuit sky130_fd_sc_hd__a21boi_2 contains no devices. -Circuit sky130_fd_sc_hd__a32o_2 contains no devices. -Circuit sky130_fd_sc_hd__dfrtp_2 contains no devices. -Circuit sky130_fd_sc_hd__o2bb2a_2 contains no devices. -Circuit sky130_fd_sc_hd__einvp_1 contains no devices. -Circuit sky130_ef_sc_hd__decap_12 contains no devices. -Circuit sky130_fd_sc_hd__a21oi_2 contains no devices. -Circuit sky130_fd_sc_hd__and4b_2 contains no devices. -Circuit sky130_fd_sc_hd__o221a_2 contains no devices. -Circuit sky130_fd_sc_hd__and3_2 contains no devices. -Circuit sky130_fd_sc_hd__and2b_2 contains no devices. -Circuit sky130_fd_sc_hd__o21ba_2 contains no devices. -Circuit sky130_fd_sc_hd__o32a_2 contains no devices. -Circuit sky130_fd_sc_hd__clkbuf_16 contains no devices. -Circuit sky130_fd_sc_hd__decap_8 contains no devices. -Circuit sky130_fd_sc_hd__a31o_2 contains no devices. -Circuit sky130_fd_sc_hd__clkinv_2 contains no devices. -Circuit sky130_fd_sc_hd__clkinv_8 contains no devices. -Circuit sky130_fd_sc_hd__conb_1 contains no devices. -Circuit sky130_fd_sc_hd__or2_2 contains no devices. -Circuit sky130_fd_sc_hd__nand4_2 contains no devices. - -Contents of circuit 1: Circuit: 'digital_pll' -Circuit digital_pll contains 1093 device instances. - Class: sky130_fd_sc_hd__a31o_2 instances: 1 - Class: sky130_fd_sc_hd__a21o_2 instances: 14 - Class: sky130_fd_sc_hd__clkbuf_16 instances: 2 - Class: sky130_fd_sc_hd__nand4_2 instances: 1 - Class: sky130_fd_sc_hd__buf_2 instances: 32 - Class: sky130_fd_sc_hd__and3b_2 instances: 2 - Class: sky130_fd_sc_hd__xor2_2 instances: 4 - Class: sky130_ef_sc_hd__decap_12 instances: 3 - Class: sky130_fd_sc_hd__dfrtp_2 instances: 23 - Class: sky130_fd_sc_hd__inv_2 instances: 13 - Class: sky130_fd_sc_hd__clkbuf_1 instances: 13 - Class: sky130_fd_sc_hd__clkbuf_2 instances: 12 - Class: sky130_fd_sc_hd__nand3_2 instances: 3 - Class: sky130_fd_sc_hd__o21ba_2 instances: 1 - Class: sky130_fd_sc_hd__conb_1 instances: 1 - Class: sky130_fd_sc_hd__and2b_2 instances: 1 - Class: sky130_fd_sc_hd__a21boi_2 instances: 1 - Class: sky130_fd_sc_hd__nand4b_2 instances: 1 - Class: sky130_fd_sc_hd__clkinv_1 instances: 13 - Class: sky130_fd_sc_hd__clkinv_2 instances: 2 - Class: sky130_fd_sc_hd__clkinv_8 instances: 2 - Class: sky130_fd_sc_hd__and3_2 instances: 6 - Class: sky130_fd_sc_hd__decap_3 instances: 105 - Class: sky130_fd_sc_hd__decap_4 instances: 85 - Class: sky130_fd_sc_hd__decap_6 instances: 7 - Class: sky130_fd_sc_hd__decap_8 instances: 6 - Class: sky130_fd_sc_hd__or2_2 instances: 1 - Class: sky130_fd_sc_hd__einvp_1 instances: 1 - Class: sky130_fd_sc_hd__einvp_2 instances: 26 - Class: sky130_fd_sc_hd__nand2_2 instances: 20 - Class: sky130_fd_sc_hd__nand3b_2 instances: 2 - Class: sky130_fd_sc_hd__mux2_2 instances: 11 - Class: sky130_fd_sc_hd__and2_2 instances: 14 - Class: sky130_fd_sc_hd__o32a_2 instances: 1 - Class: sky130_fd_sc_hd__o22a_2 instances: 4 - Class: sky130_fd_sc_hd__xnor2_2 instances: 11 - Class: sky130_fd_sc_hd__o221a_2 instances: 1 - Class: sky130_fd_sc_hd__o211a_2 instances: 7 - Class: sky130_fd_sc_hd__nand2b_2 instances: 7 - Class: sky130_fd_sc_hd__diode_2 instances: 56 - Class: sky130_fd_sc_hd__a211o_2 instances: 3 - Class: sky130_fd_sc_hd__o2111a_2 instances: 2 - Class: sky130_fd_sc_hd__a32o_2 instances: 6 - Class: sky130_fd_sc_hd__a22o_2 instances: 7 - Class: sky130_fd_sc_hd__o31a_2 instances: 4 - Class: sky130_fd_sc_hd__o2bb2a_2 instances: 1 - Class: sky130_fd_sc_hd__o21a_2 instances: 5 - Class: sky130_fd_sc_hd__einvn_4 instances: 13 - Class: sky130_fd_sc_hd__einvn_8 instances: 13 - Class: sky130_fd_sc_hd__and4b_2 instances: 2 - Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 75 - Class: sky130_fd_sc_hd__o21ai_2 instances: 6 - Class: sky130_fd_sc_hd__a21oi_2 instances: 5 - Class: sky130_fd_sc_hd__fill_1 instances: 150 - Class: sky130_fd_sc_hd__fill_2 instances: 243 - Class: sky130_fd_sc_hd__nor2_2 instances: 42 -Circuit contains 374 nets. -Contents of circuit 2: Circuit: 'digital_pll' -Circuit digital_pll contains 1093 device instances. - Class: sky130_fd_sc_hd__a31o_2 instances: 1 - Class: sky130_fd_sc_hd__a21o_2 instances: 14 - Class: sky130_fd_sc_hd__clkbuf_16 instances: 2 - Class: sky130_fd_sc_hd__nand4_2 instances: 1 - Class: sky130_fd_sc_hd__buf_2 instances: 32 - Class: sky130_fd_sc_hd__and3b_2 instances: 2 - Class: sky130_fd_sc_hd__xor2_2 instances: 4 - Class: sky130_ef_sc_hd__decap_12 instances: 3 - Class: sky130_fd_sc_hd__dfrtp_2 instances: 23 - Class: sky130_fd_sc_hd__inv_2 instances: 13 - Class: sky130_fd_sc_hd__clkbuf_1 instances: 13 - Class: sky130_fd_sc_hd__clkbuf_2 instances: 12 - Class: sky130_fd_sc_hd__nand3_2 instances: 3 - Class: sky130_fd_sc_hd__o21ba_2 instances: 1 - Class: sky130_fd_sc_hd__conb_1 instances: 1 - Class: sky130_fd_sc_hd__and2b_2 instances: 1 - Class: sky130_fd_sc_hd__a21boi_2 instances: 1 - Class: sky130_fd_sc_hd__nand4b_2 instances: 1 - Class: sky130_fd_sc_hd__clkinv_1 instances: 13 - Class: sky130_fd_sc_hd__clkinv_2 instances: 2 - Class: sky130_fd_sc_hd__clkinv_8 instances: 2 - Class: sky130_fd_sc_hd__and3_2 instances: 6 - Class: sky130_fd_sc_hd__decap_3 instances: 105 - Class: sky130_fd_sc_hd__decap_4 instances: 85 - Class: sky130_fd_sc_hd__decap_6 instances: 7 - Class: sky130_fd_sc_hd__decap_8 instances: 6 - Class: sky130_fd_sc_hd__or2_2 instances: 1 - Class: sky130_fd_sc_hd__einvp_1 instances: 1 - Class: sky130_fd_sc_hd__einvp_2 instances: 26 - Class: sky130_fd_sc_hd__nand2_2 instances: 20 - Class: sky130_fd_sc_hd__nand3b_2 instances: 2 - Class: sky130_fd_sc_hd__mux2_2 instances: 11 - Class: sky130_fd_sc_hd__and2_2 instances: 14 - Class: sky130_fd_sc_hd__o32a_2 instances: 1 - Class: sky130_fd_sc_hd__o22a_2 instances: 4 - Class: sky130_fd_sc_hd__xnor2_2 instances: 11 - Class: sky130_fd_sc_hd__o221a_2 instances: 1 - Class: sky130_fd_sc_hd__o211a_2 instances: 7 - Class: sky130_fd_sc_hd__nand2b_2 instances: 7 - Class: sky130_fd_sc_hd__diode_2 instances: 56 - Class: sky130_fd_sc_hd__a211o_2 instances: 3 - Class: sky130_fd_sc_hd__o2111a_2 instances: 2 - Class: sky130_fd_sc_hd__a32o_2 instances: 6 - Class: sky130_fd_sc_hd__a22o_2 instances: 7 - Class: sky130_fd_sc_hd__o31a_2 instances: 4 - Class: sky130_fd_sc_hd__o2bb2a_2 instances: 1 - Class: sky130_fd_sc_hd__o21a_2 instances: 5 - Class: sky130_fd_sc_hd__einvn_4 instances: 13 - Class: sky130_fd_sc_hd__einvn_8 instances: 13 - Class: sky130_fd_sc_hd__and4b_2 instances: 2 - Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 75 - Class: sky130_fd_sc_hd__o21ai_2 instances: 6 - Class: sky130_fd_sc_hd__a21oi_2 instances: 5 - Class: sky130_fd_sc_hd__fill_1 instances: 150 - Class: sky130_fd_sc_hd__fill_2 instances: 243 - Class: sky130_fd_sc_hd__nor2_2 instances: 42 -Circuit contains 374 nets. - -Circuit was modified by parallel/series device merging. -New circuit summary: - -Contents of circuit 1: Circuit: 'digital_pll' -Circuit digital_pll contains 408 device instances. - Class: sky130_fd_sc_hd__a31o_2 instances: 1 - Class: sky130_fd_sc_hd__a21o_2 instances: 14 - Class: sky130_fd_sc_hd__clkbuf_16 instances: 2 - Class: sky130_fd_sc_hd__nand4_2 instances: 1 - Class: sky130_fd_sc_hd__buf_2 instances: 32 - Class: sky130_fd_sc_hd__and3b_2 instances: 2 - Class: sky130_fd_sc_hd__xor2_2 instances: 4 - Class: sky130_ef_sc_hd__decap_12 instances: 1 - Class: sky130_fd_sc_hd__dfrtp_2 instances: 23 - Class: sky130_fd_sc_hd__inv_2 instances: 13 - Class: sky130_fd_sc_hd__clkbuf_1 instances: 13 - Class: sky130_fd_sc_hd__clkbuf_2 instances: 12 - Class: sky130_fd_sc_hd__nand3_2 instances: 3 - Class: sky130_fd_sc_hd__o21ba_2 instances: 1 - Class: sky130_fd_sc_hd__conb_1 instances: 1 - Class: sky130_fd_sc_hd__and2b_2 instances: 1 - Class: sky130_fd_sc_hd__a21boi_2 instances: 1 - Class: sky130_fd_sc_hd__nand4b_2 instances: 1 - Class: sky130_fd_sc_hd__clkinv_1 instances: 13 - Class: sky130_fd_sc_hd__clkinv_2 instances: 2 - Class: sky130_fd_sc_hd__clkinv_8 instances: 2 - Class: sky130_fd_sc_hd__and3_2 instances: 6 - Class: sky130_fd_sc_hd__decap_3 instances: 1 - Class: sky130_fd_sc_hd__decap_4 instances: 1 - Class: sky130_fd_sc_hd__decap_6 instances: 1 - Class: sky130_fd_sc_hd__decap_8 instances: 1 - Class: sky130_fd_sc_hd__or2_2 instances: 1 - Class: sky130_fd_sc_hd__einvp_1 instances: 1 - Class: sky130_fd_sc_hd__einvp_2 instances: 26 - Class: sky130_fd_sc_hd__nand2_2 instances: 20 - Class: sky130_fd_sc_hd__nand3b_2 instances: 2 - Class: sky130_fd_sc_hd__mux2_2 instances: 11 - Class: sky130_fd_sc_hd__and2_2 instances: 14 - Class: sky130_fd_sc_hd__o32a_2 instances: 1 - Class: sky130_fd_sc_hd__o22a_2 instances: 4 - Class: sky130_fd_sc_hd__xnor2_2 instances: 11 - Class: sky130_fd_sc_hd__o221a_2 instances: 1 - Class: sky130_fd_sc_hd__o211a_2 instances: 7 - Class: sky130_fd_sc_hd__nand2b_2 instances: 7 - Class: sky130_fd_sc_hd__diode_2 instances: 37 - Class: sky130_fd_sc_hd__a211o_2 instances: 3 - Class: sky130_fd_sc_hd__o2111a_2 instances: 2 - Class: sky130_fd_sc_hd__a32o_2 instances: 6 - Class: sky130_fd_sc_hd__a22o_2 instances: 7 - Class: sky130_fd_sc_hd__o31a_2 instances: 4 - Class: sky130_fd_sc_hd__o2bb2a_2 instances: 1 - Class: sky130_fd_sc_hd__o21a_2 instances: 5 - Class: sky130_fd_sc_hd__einvn_4 instances: 13 - Class: sky130_fd_sc_hd__einvn_8 instances: 13 - Class: sky130_fd_sc_hd__and4b_2 instances: 2 - Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 1 - Class: sky130_fd_sc_hd__o21ai_2 instances: 6 - Class: sky130_fd_sc_hd__a21oi_2 instances: 5 - Class: sky130_fd_sc_hd__fill_1 instances: 1 - Class: sky130_fd_sc_hd__fill_2 instances: 1 - Class: sky130_fd_sc_hd__nor2_2 instances: 42 -Circuit contains 374 nets. -Contents of circuit 2: Circuit: 'digital_pll' -Circuit digital_pll contains 408 device instances. - Class: sky130_fd_sc_hd__a31o_2 instances: 1 - Class: sky130_fd_sc_hd__a21o_2 instances: 14 - Class: sky130_fd_sc_hd__clkbuf_16 instances: 2 - Class: sky130_fd_sc_hd__nand4_2 instances: 1 - Class: sky130_fd_sc_hd__buf_2 instances: 32 - Class: sky130_fd_sc_hd__and3b_2 instances: 2 - Class: sky130_fd_sc_hd__xor2_2 instances: 4 - Class: sky130_ef_sc_hd__decap_12 instances: 1 - Class: sky130_fd_sc_hd__dfrtp_2 instances: 23 - Class: sky130_fd_sc_hd__inv_2 instances: 13 - Class: sky130_fd_sc_hd__clkbuf_1 instances: 13 - Class: sky130_fd_sc_hd__clkbuf_2 instances: 12 - Class: sky130_fd_sc_hd__nand3_2 instances: 3 - Class: sky130_fd_sc_hd__o21ba_2 instances: 1 - Class: sky130_fd_sc_hd__conb_1 instances: 1 - Class: sky130_fd_sc_hd__and2b_2 instances: 1 - Class: sky130_fd_sc_hd__a21boi_2 instances: 1 - Class: sky130_fd_sc_hd__nand4b_2 instances: 1 - Class: sky130_fd_sc_hd__clkinv_1 instances: 13 - Class: sky130_fd_sc_hd__clkinv_2 instances: 2 - Class: sky130_fd_sc_hd__clkinv_8 instances: 2 - Class: sky130_fd_sc_hd__and3_2 instances: 6 - Class: sky130_fd_sc_hd__decap_3 instances: 1 - Class: sky130_fd_sc_hd__decap_4 instances: 1 - Class: sky130_fd_sc_hd__decap_6 instances: 1 - Class: sky130_fd_sc_hd__decap_8 instances: 1 - Class: sky130_fd_sc_hd__or2_2 instances: 1 - Class: sky130_fd_sc_hd__einvp_1 instances: 1 - Class: sky130_fd_sc_hd__einvp_2 instances: 26 - Class: sky130_fd_sc_hd__nand2_2 instances: 20 - Class: sky130_fd_sc_hd__nand3b_2 instances: 2 - Class: sky130_fd_sc_hd__mux2_2 instances: 11 - Class: sky130_fd_sc_hd__and2_2 instances: 14 - Class: sky130_fd_sc_hd__o32a_2 instances: 1 - Class: sky130_fd_sc_hd__o22a_2 instances: 4 - Class: sky130_fd_sc_hd__xnor2_2 instances: 11 - Class: sky130_fd_sc_hd__o221a_2 instances: 1 - Class: sky130_fd_sc_hd__o211a_2 instances: 7 - Class: sky130_fd_sc_hd__nand2b_2 instances: 7 - Class: sky130_fd_sc_hd__diode_2 instances: 37 - Class: sky130_fd_sc_hd__a211o_2 instances: 3 - Class: sky130_fd_sc_hd__o2111a_2 instances: 2 - Class: sky130_fd_sc_hd__a32o_2 instances: 6 - Class: sky130_fd_sc_hd__a22o_2 instances: 7 - Class: sky130_fd_sc_hd__o31a_2 instances: 4 - Class: sky130_fd_sc_hd__o2bb2a_2 instances: 1 - Class: sky130_fd_sc_hd__o21a_2 instances: 5 - Class: sky130_fd_sc_hd__einvn_4 instances: 13 - Class: sky130_fd_sc_hd__einvn_8 instances: 13 - Class: sky130_fd_sc_hd__and4b_2 instances: 2 - Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 1 - Class: sky130_fd_sc_hd__o21ai_2 instances: 6 - Class: sky130_fd_sc_hd__a21oi_2 instances: 5 - Class: sky130_fd_sc_hd__fill_1 instances: 1 - Class: sky130_fd_sc_hd__fill_2 instances: 1 - Class: sky130_fd_sc_hd__nor2_2 instances: 42 -Circuit contains 374 nets. - -Circuit 1 contains 408 devices, Circuit 2 contains 408 devices. -Circuit 1 contains 374 nets, Circuit 2 contains 374 nets. - - -Final result: -Circuits match uniquely. -. -Logging to file "/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/signoff/29-digital_pll.lef.log" disabled -LVS Done. diff --git a/signoff/digital_pll/openlane-signoff/30-drc.log b/signoff/digital_pll/openlane-signoff/30-drc.log deleted file mode 100644 index 46c37895..00000000 --- a/signoff/digital_pll/openlane-signoff/30-drc.log +++ /dev/null @@ -1,84 +0,0 @@ - -Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022. -Starting magic under Tcl interpreter -Using the terminal as the console. -Using NULL graphics device. -Processing system .magicrc file -Sourcing design .magicrc for technology sky130A ... -2 Magic internal units = 1 Lambda -Input style sky130(vendor): scaleFactor=2, multiplier=2 -The following types are not handled by extraction and will be treated as non-electrical types: - ubm -Scaled tech values by 2 / 1 to match internal grid scaling -Loading sky130A Device Generator Menu ... -Using technology "sky130A", version 1.0.341-2-gde752ec -Warning: Calma reading is not undoable! I hope that's OK. -Library written using GDS-II Release 3.0 -Library name: digital_pll -Reading "sky130_fd_sc_hd__fill_1". -Reading "sky130_fd_sc_hd__fill_2". -Reading "sky130_fd_sc_hd__decap_4". -Reading "sky130_fd_sc_hd__decap_3". -Reading "sky130_fd_sc_hd__nand2_2". -Reading "sky130_fd_sc_hd__o21ai_2". -Reading "sky130_fd_sc_hd__clkbuf_16". -Reading "sky130_fd_sc_hd__tapvpwrvgnd_1". -Reading "sky130_fd_sc_hd__mux2_2". -Reading "sky130_fd_sc_hd__dfrtp_2". -Reading "sky130_fd_sc_hd__nor2_2". -Reading "sky130_fd_sc_hd__buf_2". -Reading "sky130_fd_sc_hd__diode_2". -Reading "sky130_fd_sc_hd__inv_2". -Reading "sky130_fd_sc_hd__xor2_2". -Reading "sky130_fd_sc_hd__decap_6". -Reading "sky130_fd_sc_hd__nand3_2". -Reading "sky130_fd_sc_hd__xnor2_2". -Reading "sky130_fd_sc_hd__a21boi_2". -Reading "sky130_ef_sc_hd__decap_12". -Reading "sky130_fd_sc_hd__nand3b_2". -Reading "sky130_fd_sc_hd__and2_2". -Reading "sky130_fd_sc_hd__a21oi_2". -Reading "sky130_fd_sc_hd__and3_2". -Reading "sky130_fd_sc_hd__nand2b_2". -Reading "sky130_fd_sc_hd__a211o_2". -Reading "sky130_fd_sc_hd__decap_8". -Reading "sky130_fd_sc_hd__and4b_2". -Reading "sky130_fd_sc_hd__o21a_2". -Reading "sky130_fd_sc_hd__o211a_2". -Reading "sky130_fd_sc_hd__a21o_2". -Reading "sky130_fd_sc_hd__einvp_1". -Reading "sky130_fd_sc_hd__clkinv_2". -Reading "sky130_fd_sc_hd__clkinv_8". -Reading "sky130_fd_sc_hd__conb_1". -Reading "sky130_fd_sc_hd__clkinv_1". -Reading "sky130_fd_sc_hd__o32a_2". -Reading "sky130_fd_sc_hd__a31o_2". -Reading "sky130_fd_sc_hd__einvp_2". -Reading "sky130_fd_sc_hd__clkbuf_1". -Reading "sky130_fd_sc_hd__o31a_2". -Reading "sky130_fd_sc_hd__o22a_2". -Reading "sky130_fd_sc_hd__or2_2". -Reading "sky130_fd_sc_hd__einvn_8". -Reading "sky130_fd_sc_hd__o2111a_2". -Reading "sky130_fd_sc_hd__o2bb2a_2". -Reading "sky130_fd_sc_hd__einvn_4". -Reading "sky130_fd_sc_hd__o21ba_2". -Reading "sky130_fd_sc_hd__nand4b_2". -Reading "sky130_fd_sc_hd__clkbuf_2". -Reading "sky130_fd_sc_hd__a32o_2". -Reading "sky130_fd_sc_hd__and3b_2". -Reading "sky130_fd_sc_hd__nand4_2". -Reading "sky130_fd_sc_hd__a22o_2". -Reading "sky130_fd_sc_hd__and2b_2". -Reading "sky130_fd_sc_hd__o221a_2". -Reading "digital_pll". -[INFO]: Loading digital_pll - -DRC style is now "drc(full)" -Loading DRC CIF style. -No errors found. -[INFO]: COUNT: 0 -[INFO]: Should be divided by 3 or 4 -[INFO]: DRC Checking DONE (/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/drc.rpt) -[INFO]: Saving mag view with DRC errors (/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.drc.mag) -[INFO]: Saved diff --git a/signoff/digital_pll/openlane-signoff/31-antenna.log b/signoff/digital_pll/openlane-signoff/31-antenna.log deleted file mode 100644 index a879ad72..00000000 --- a/signoff/digital_pll/openlane-signoff/31-antenna.log +++ /dev/null @@ -1,6 +0,0 @@ -OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e -This program is licensed under the BSD-3 license. See the LICENSE file for details. -Components of this program may be licensed under more restrictive licenses which must be honored. -Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.odb -[INFO ANT-0002] Found 0 net violations. -[INFO ANT-0001] Found 0 pin violations. diff --git a/signoff/digital_pll/openlane-signoff/31-antenna_violators.rpt b/signoff/digital_pll/openlane-signoff/31-antenna_violators.rpt deleted file mode 100644 index e69de29b..00000000 diff --git a/signoff/digital_pll/openlane-signoff/32-erc_screen.log b/signoff/digital_pll/openlane-signoff/32-erc_screen.log deleted file mode 100644 index b236723a..00000000 --- a/signoff/digital_pll/openlane-signoff/32-erc_screen.log +++ /dev/null @@ -1,54 +0,0 @@ -CVC: Circuit Validation Check Version 1.1.0 -CVC: Log output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.rpt -CVC: Error output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.rpt.error.gz -CVC: Debug output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.rpt.debug.gz -CVC: Start: Tue Oct 18 13:53:04 2022 - -Using the following parameters for CVC (Circuit Validation Check) from /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/cvcrc -CVC_TOP = 'digital_pll' -CVC_NETLIST = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/digital_pll.cdl' -CVC_MODE = 'digital_pll' -CVC_MODEL_FILE = '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/models' -CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/digital_pll.power' -CVC_FUSE_FILE = '' -CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.rpt' -CVC_REPORT_TITLE = 'CVC $CVC_TOP' -CVC_CIRCUIT_ERROR_LIMIT = '100' -CVC_SEARCH_LIMIT = '100' -CVC_LEAK_LIMIT = '0.0002' -CVC_SOI = 'false' -CVC_SCRC = 'false' -CVC_VTH_GATES = 'false' -CVC_MIN_VTH_GATES = 'false' -CVC_IGNORE_VTH_FLOATING = 'false' -CVC_IGNORE_NO_LEAK_FLOATING = 'false' -CVC_LEAK_OVERVOLTAGE = 'true' -CVC_LOGIC_DIODES = 'false' -CVC_ANALOG_GATES = 'true' -CVC_BACKUP_RESULTS = 'false' -CVC_MOS_DIODE_ERROR_THRESHOLD = '0' -CVC_SHORT_ERROR_THRESHOLD = '0' -CVC_BIAS_ERROR_THRESHOLD = '0' -CVC_FORWARD_ERROR_THRESHOLD = '0' -CVC_FLOATING_ERROR_THRESHOLD = '0' -CVC_GATE_ERROR_THRESHOLD = '0' -CVC_LEAK?_ERROR_THRESHOLD = '0' -CVC_EXPECTED_ERROR_THRESHOLD = '0' -CVC_OVERVOLTAGE_ERROR_THRESHOLD = '0' -CVC_PARALLEL_CIRCUIT_PORT_LIMIT = '0' -CVC_CELL_ERROR_LIMIT_FILE = '' -CVC_CELL_CHECKSUM_FILE = '' -CVC_LARGE_CIRCUIT_SIZE = '10000000' -CVC_NET_CHECK_FILE = '' -CVC_MODEL_CHECK_FILE = '' -End of parameters - -CVC: Reading device model settings... -CVC: Reading power settings... -CVC: Parsing netlist /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/digital_pll.cdl - -Cdl fixed data size 35716 -Usage CDL: Time: 0 Memory: 7292 I/O: 8 Swap: 0 -CVC: Counting and linking... -Fatal error:could not find subcircuit: XFILLER_8_114(sky130_ef_sc_hd__decap_12) in digital_pll - diff --git a/signoff/digital_pll/openlane-signoff/config.tcl b/signoff/digital_pll/openlane-signoff/config.tcl deleted file mode 100644 index 78df0908..00000000 --- a/signoff/digital_pll/openlane-signoff/config.tcl +++ /dev/null @@ -1,744 +0,0 @@ -# Run configs -set ::env(PDK_ROOT) {/home/kareem_farid/caravel/deps/openlane-new/pdk} -set ::env(BASE_SDC_FILE) {/home/kareem_farid/caravel/openlane/digital_pll/base.sdc} -set ::env(BOTTOM_MARGIN_MULT) {2} -set ::env(CARRY_SELECT_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/csa_map.v} -set ::env(CELLS_LEF) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef} -set ::env(CELLS_LEF_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef} -set ::env(CELL_CLK_PORT) {CLK} -set ::env(CELL_PAD_EXCLUDE) {sky130_fd_sc_hd__tap* sky130_fd_sc_hd__decap* sky130_ef_sc_hd__decap* sky130_fd_sc_hd__fill*} -set ::env(CHECK_ASSIGN_STATEMENTS) {0} -set ::env(CHECK_UNMAPPED_CELLS) {1} -set ::env(CLK_BUFFER) {sky130_fd_sc_hd__clkbuf_4} -set ::env(CLK_BUFFER_INPUT) {A} -set ::env(CLK_BUFFER_OUTPUT) {X} -set ::env(CLOCK_BUFFER_FANOUT) {16} -set ::env(CLOCK_PERIOD) {10.0} -set ::env(CLOCK_PORT) {} -set ::env(CLOCK_TREE_SYNTH) {0} -set ::env(CLOCK_WIRE_RC_LAYER) {met5} -set ::env(CONFIGS) {general.tcl checkers.tcl synthesis.tcl floorplan.tcl cts.tcl placement.tcl routing.tcl extraction.tcl} -set ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_2} -set ::env(CTS_CLK_MAX_WIRE_LENGTH) {0} -set ::env(CTS_DISABLE_POST_PROCESSING) {0} -set ::env(CTS_DISTANCE_BETWEEN_BUFFERS) {0} -set ::env(CTS_MAX_CAP) {1.53169} -set ::env(CTS_REPORT_TIMING) {1} -set ::env(CTS_ROOT_BUFFER) {sky130_fd_sc_hd__clkbuf_16} -set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) {50} -set ::env(CTS_SINK_CLUSTERING_SIZE) {25} -set ::env(CTS_SQR_CAP) {0.258e-3} -set ::env(CTS_SQR_RES) {0.125} -set ::env(CTS_TARGET_SKEW) {200} -set ::env(CTS_TECH_DIR) {N/A} -set ::env(CTS_TOLERANCE) {100} -set ::env(CVC_SCRIPTS_DIR) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc} -set ::env(DATA_WIRE_RC_LAYER) {met2} -set ::env(DECAP_CELL) {sky130_ef_sc_hd__decap_12 sky130_fd_sc_hd__decap_8 sky130_fd_sc_hd__decap_6 sky130_fd_sc_hd__decap_4 sky130_fd_sc_hd__decap_3} -set ::env(DEFAULT_MAX_TRAN) {0.75} -set ::env(DEF_UNITS_PER_MICRON) {1000} -set ::env(DESIGN_CONFIG) {/home/kareem_farid/caravel/openlane/digital_pll/config.tcl} -set ::env(DESIGN_IS_CORE) {1} -set ::env(DESIGN_NAME) {digital_pll} -set ::env(DETAILED_ROUTER) {tritonroute} -set ::env(DIE_AREA) {0 0 100 75} -set ::env(DIODE_CELL) {sky130_fd_sc_hd__diode_2} -set ::env(DIODE_CELL_PIN) {DIODE} -set ::env(DIODE_INSERTION_STRATEGY) {4} -set ::env(DIODE_PADDING) {0} -set ::env(DPL_CELL_PADDING) {2} -set ::env(DRC_EXCLUDE_CELL_LIST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells} -set ::env(DRC_EXCLUDE_CELL_LIST_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells} -set ::env(DRT_CELL_PADDING) {4} -set ::env(DRT_OPT_ITERS) {64} -set ::env(ECO_ENABLE) {0} -set ::env(ECO_FINISH) {0} -set ::env(ECO_ITER) {0} -set ::env(ECO_SKIP_PIN) {1} -set ::env(FAKEDIODE_CELL) {sky130_ef_sc_hd__fakediode_2} -set ::env(FILL_CELL) {sky130_fd_sc_hd__fill*} -set ::env(FILL_INSERTION) {1} -set ::env(FP_ASPECT_RATIO) {1} -set ::env(FP_CORE_UTIL) {50} -set ::env(FP_ENDCAP_CELL) {sky130_fd_sc_hd__decap_3} -set ::env(FP_IO_HEXTEND) {-1} -set ::env(FP_IO_HLAYER) {met3} -set ::env(FP_IO_HLENGTH) {4} -set ::env(FP_IO_HTHICKNESS_MULT) {2} -set ::env(FP_IO_MIN_DISTANCE) {3} -set ::env(FP_IO_MODE) {1} -set ::env(FP_IO_UNMATCHED_ERROR) {1} -set ::env(FP_IO_VEXTEND) {-1} -set ::env(FP_IO_VLAYER) {met2} -set ::env(FP_IO_VLENGTH) {4} -set ::env(FP_IO_VTHICKNESS_MULT) {2} -set ::env(FP_PDN_AUTO_ADJUST) {1} -set ::env(FP_PDN_CHECK_NODES) {1} -set ::env(FP_PDN_CORE_RING) {0} -set ::env(FP_PDN_CORE_RING_HOFFSET) {6} -set ::env(FP_PDN_CORE_RING_HSPACING) {1.7} -set ::env(FP_PDN_CORE_RING_HWIDTH) {1.6} -set ::env(FP_PDN_CORE_RING_VOFFSET) {6} -set ::env(FP_PDN_CORE_RING_VSPACING) {1.7} -set ::env(FP_PDN_CORE_RING_VWIDTH) {1.6} -set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) {1} -set ::env(FP_PDN_ENABLE_MACROS_GRID) {1} -set ::env(FP_PDN_ENABLE_RAILS) {1} -set ::env(FP_PDN_HOFFSET) {16.41} -set ::env(FP_PDN_HORIZONTAL_HALO) {10} -set ::env(FP_PDN_HPITCH) {40} -set ::env(FP_PDN_HSPACING) {18.4} -set ::env(FP_PDN_HWIDTH) {1.6} -set ::env(FP_PDN_IRDROP) {1} -set ::env(FP_PDN_LOWER_LAYER) {met4} -set ::env(FP_PDN_RAILS_LAYER) {met1} -set ::env(FP_PDN_RAIL_OFFSET) {0} -set ::env(FP_PDN_RAIL_WIDTH) {0.48} -set ::env(FP_PDN_SKIPTRIM) {1} -set ::env(FP_PDN_UPPER_LAYER) {met5} -set ::env(FP_PDN_VERTICAL_HALO) {10} -set ::env(FP_PDN_VOFFSET) {16.32} -set ::env(FP_PDN_VPITCH) {40} -set ::env(FP_PDN_VSPACING) {18.4} -set ::env(FP_PDN_VWIDTH) {1.6} -set ::env(FP_PIN_ORDER_CFG) {/home/kareem_farid/caravel/openlane/digital_pll/pin_order.cfg} -set ::env(FP_SIZING) {absolute} -set ::env(FP_TAPCELL_DIST) {13} -set ::env(FP_TAP_HORIZONTAL_HALO) {10} -set ::env(FP_TAP_VERTICAL_HALO) {10} -set ::env(FP_WELLTAP_CELL) {sky130_fd_sc_hd__tapvpwrvgnd_1} -set ::env(FULL_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/fa_map.v} -set ::env(GDS_FILES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds} -set ::env(GDS_FILES_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds} -set ::env(GENERATE_FINAL_SUMMARY_REPORT) {1} -set ::env(GLB_CFG_FILE) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/config.tcl} -set ::env(GLB_OPTIMIZE_MIRRORING) {1} -set ::env(GLB_RESIZER_ALLOW_SETUP_VIOS) {0} -set ::env(GLB_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50} -set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) {0.05} -set ::env(GLB_RESIZER_MAX_CAP_MARGIN) {10} -set ::env(GLB_RESIZER_MAX_SLEW_MARGIN) {10} -set ::env(GLB_RESIZER_MAX_WIRE_LENGTH) {0} -set ::env(GLB_RESIZER_SETUP_MAX_BUFFER_PERCENT) {50} -set ::env(GLB_RESIZER_SETUP_SLACK_MARGIN) {0.025} -set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) {0} -set ::env(GLOBAL_ROUTER) {fastroute} -set ::env(GND_PIN) {VGND} -set ::env(GPIO_PADS_LEF) { /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_io/lef/sky130_fd_io.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef } -set ::env(GPIO_PADS_LEF_CORE_SIDE) { /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/custom_cells/lef/sky130_fd_io_core.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/custom_cells/lef/sky130_ef_io_core.lef } -set ::env(GPIO_PADS_VERILOG) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/verilog/sky130_fd_io/sky130_ef_io.v} -set ::env(GPL_CELL_PADDING) {0} -set ::env(GRT_ADJUSTMENT) {0} -set ::env(GRT_ALLOW_CONGESTION) {0} -set ::env(GRT_ANT_ITERS) {3} -set ::env(GRT_ESTIMATE_PARASITICS) {1} -set ::env(GRT_LAYER_ADJUSTMENTS) {0.99,0,0,0,0,0} -set ::env(GRT_MACRO_EXTENSION) {0} -set ::env(GRT_MAX_DIODE_INS_ITERS) {1} -set ::env(GRT_OVERFLOW_ITERS) {50} -set ::env(IO_PCT) {0.2} -set ::env(KLAYOUT_DRC_KLAYOUT_GDS) {0} -set ::env(KLAYOUT_DRC_TECH_SCRIPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/klayout/drc/sky130A_mr.drc} -set ::env(KLAYOUT_PROPERTIES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/klayout/tech/sky130A.lyp} -set ::env(KLAYOUT_TECH) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/klayout/tech/sky130A.lyt} -set ::env(KLAYOUT_XOR_GDS) {1} -set ::env(KLAYOUT_XOR_XML) {1} -set ::env(LEC_ENABLE) {0} -set ::env(LEFT_MARGIN_MULT) {12} -set ::env(LIB_FASTEST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib} -set ::env(LIB_SLOWEST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib} -set ::env(LIB_SLOWEST_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib} -set ::env(LIB_SYNTH) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib} -set ::env(LIB_TYPICAL) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib} -set ::env(LOGS_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs} -set ::env(LVS_CONNECT_BY_LABEL) {0} -set ::env(LVS_INSERT_POWER_PINS) {1} -set ::env(MACRO_BLOCKAGES_LAYER) {li1 met1 met2 met3 met4} -set ::env(MAGIC_CONVERT_DRC_TO_RDB) {1} -set ::env(MAGIC_DISABLE_HIER_GDS) {1} -set ::env(MAGIC_DRC_USE_GDS) {1} -set ::env(MAGIC_EXT_USE_GDS) {0} -set ::env(MAGIC_GENERATE_GDS) {1} -set ::env(MAGIC_GENERATE_LEF) {1} -set ::env(MAGIC_GENERATE_MAGLEF) {1} -set ::env(MAGIC_INCLUDE_GDS_POINTERS) {0} -set ::env(MAGIC_MAGICRC) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/magic/sky130A.magicrc} -set ::env(MAGIC_PAD) {0} -set ::env(MAGIC_TECH_FILE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/magic/sky130A.tech} -set ::env(MAGIC_WRITE_FULL_LEF) {0} -set ::env(MAGIC_ZEROIZE_ORIGIN) {0} -set ::env(NETGEN_SETUP_FILE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/netgen/sky130A_setup.tcl} -set ::env(NO_SYNTH_CELL_LIST) {/home/kareem_farid/caravel/openlane/digital_pll/no_synth.list} -set ::env(OPENLANE_VERBOSE) {1} -set ::env(PDKPATH) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A} -set ::env(PDN_CFG) {/openlane/scripts/openroad/common/pdn_cfg.tcl} -set ::env(PLACE_SITE) {unithd} -set ::env(PLACE_SITE_HEIGHT) {2.720} -set ::env(PLACE_SITE_WIDTH) {0.460} -set ::env(PL_BASIC_PLACEMENT) {0} -set ::env(PL_ESTIMATE_PARASITICS) {1} -set ::env(PL_LIB) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib} -set ::env(PL_MACRO_CHANNEL) {0 0} -set ::env(PL_MACRO_HALO) {0 0} -set ::env(PL_MAX_DISPLACEMENT_X) {500} -set ::env(PL_MAX_DISPLACEMENT_Y) {100} -set ::env(PL_OPTIMIZE_MIRRORING) {1} -set ::env(PL_RANDOM_GLB_PLACEMENT) {0} -set ::env(PL_RANDOM_INITIAL_PLACEMENT) {0} -set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) {0} -set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) {1} -set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) {1} -set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) {0} -set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50} -set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1} -set ::env(PL_RESIZER_MAX_CAP_MARGIN) {20} -set ::env(PL_RESIZER_MAX_SLEW_MARGIN) {20} -set ::env(PL_RESIZER_MAX_WIRE_LENGTH) {0} -set ::env(PL_RESIZER_REPAIR_DESIGN) {1} -set ::env(PL_RESIZER_REPAIR_TIE_FANOUT) {1} -set ::env(PL_RESIZER_SETUP_MAX_BUFFER_PERCENT) {50} -set ::env(PL_RESIZER_SETUP_SLACK_MARGIN) {0.05} -set ::env(PL_RESIZER_TIE_SEPERATION) {0} -set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) {0} -set ::env(PL_ROUTABILITY_DRIVEN) {1} -set ::env(PL_SKIP_INITIAL_PLACEMENT) {0} -set ::env(PL_TARGET_DENSITY) {0.68} -set ::env(PL_TIME_DRIVEN) {1} -set ::env(PRIMARY_SIGNOFF_TOOL) {magic} -set ::env(PROCESS) {130} -set ::env(QUIT_ON_HOLD_VIOLATIONS) {1} -set ::env(QUIT_ON_ILLEGAL_OVERLAPS) {1} -set ::env(QUIT_ON_LVS_ERROR) {1} -set ::env(QUIT_ON_MAGIC_DRC) {1} -set ::env(QUIT_ON_SETUP_VIOLATIONS) {1} -set ::env(QUIT_ON_TIMING_VIOLATIONS) {1} -set ::env(QUIT_ON_TR_DRC) {1} -set ::env(RCX_CC_MODEL) {10} -set ::env(RCX_CONTEXT_DEPTH) {5} -set ::env(RCX_CORNER_COUNT) {1} -set ::env(RCX_COUPLING_THRESHOLD) {0.1} -set ::env(RCX_MAX_RESISTANCE) {50} -set ::env(RCX_MERGE_VIA_WIRE_RES) {1} -set ::env(RCX_RULES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre} -set ::env(RCX_RULES_MAX) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre} -set ::env(RCX_RULES_MIN) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre} -set ::env(REPORTS_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports} -set ::env(RESULTS_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results} -set ::env(RE_BUFFER_CELL) {sky130_fd_sc_hd__buf_4} -set ::env(RIGHT_MARGIN_MULT) {12} -set ::env(RIPPLE_CARRY_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/rca_map.v} -set ::env(ROOT_CLK_BUFFER) {sky130_fd_sc_hd__clkbuf_16} -set ::env(ROUTING_CORES) {2} -set ::env(RSZ_DONT_TOUCH_RX) {$^} -set ::env(RSZ_USE_OLD_REMOVER) {0} -set ::env(RT_MAX_LAYER) {met5} -set ::env(RT_MIN_LAYER) {met1} -set ::env(RUN_CVC) {1} -set ::env(RUN_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51} -set ::env(RUN_DRT) {1} -set ::env(RUN_IRDROP_REPORT) {1} -set ::env(RUN_KLAYOUT) {1} -set ::env(RUN_KLAYOUT_DRC) {0} -set ::env(RUN_KLAYOUT_XOR) {1} -set ::env(RUN_LVS) {1} -set ::env(RUN_MAGIC) {1} -set ::env(RUN_MAGIC_DRC) {1} -set ::env(RUN_SPEF_EXTRACTION) {1} -set ::env(RUN_TAG) {22_10_18_06_51} -set ::env(SPEF_EXTRACTOR) {openrcx} -set ::env(START_TIME) {2022.10.18_13.51.57} -set ::env(STA_REPORT_POWER) {1} -set ::env(STA_WRITE_LIB) {0} -set ::env(STD_CELL_GROUND_PINS) {VGND VNB} -set ::env(STD_CELL_LIBRARY) {sky130_fd_sc_hd} -set ::env(STD_CELL_LIBRARY_CDL) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl} -set ::env(STD_CELL_LIBRARY_OPT) {sky130_fd_sc_hd} -set ::env(STD_CELL_LIBRARY_OPT_CDL) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl} -set ::env(STD_CELL_POWER_PINS) {VPWR VPB} -set ::env(SYNTH_ADDER_TYPE) {YOSYS} -set ::env(SYNTH_BIN) {yosys} -set ::env(SYNTH_BUFFERING) {1} -set ::env(SYNTH_CAP_LOAD) {33.442} -set ::env(SYNTH_CLOCK_TRANSITION) {0.15} -set ::env(SYNTH_CLOCK_UNCERTAINTY) {0.25} -set ::env(SYNTH_DRIVING_CELL) {sky130_fd_sc_hd__inv_2} -set ::env(SYNTH_DRIVING_CELL_PIN) {Y} -set ::env(SYNTH_ELABORATE_ONLY) {0} -set ::env(SYNTH_EXTRA_MAPPING_FILE) {} -set ::env(SYNTH_FLAT_TOP) {0} -set ::env(SYNTH_LATCH_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/latch_map.v} -set ::env(SYNTH_MAX_FANOUT) {7} -set ::env(SYNTH_MIN_BUF_PORT) {sky130_fd_sc_hd__buf_2 A X} -set ::env(SYNTH_MUX4_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux4_map.v} -set ::env(SYNTH_MUX_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux2_map.v} -set ::env(SYNTH_NO_FLAT) {0} -set ::env(SYNTH_READ_BLACKBOX_LIB) {1} -set ::env(SYNTH_SCRIPT) {/openlane/scripts/yosys/synth.tcl} -set ::env(SYNTH_SHARE_RESOURCES) {1} -set ::env(SYNTH_SIZING) {0} -set ::env(SYNTH_STRATEGY) {AREA 0} -set ::env(SYNTH_TIEHI_PORT) {sky130_fd_sc_hd__conb_1 HI} -set ::env(SYNTH_TIELO_PORT) {sky130_fd_sc_hd__conb_1 LO} -set ::env(SYNTH_TIMING_DERATE) {0.05} -set ::env(TAKE_LAYOUT_SCROT) {0} -set ::env(TAP_DECAP_INSERTION) {1} -set ::env(TECH_LEF) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef} -set ::env(TECH_LEF_MAX) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__max.tlef} -set ::env(TECH_LEF_MIN) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__min.tlef} -set ::env(TECH_LEF_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef} -set ::env(TERMINAL_OUTPUT) {/dev/null} -set ::env(TMP_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp} -set ::env(TOP_MARGIN_MULT) {2} -set ::env(TRACKS_INFO_FILE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info} -set ::env(TRISTATE_BUFFER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tribuff_map.v} -set ::env(USE_ARC_ANTENNA_CHECK) {1} -set ::env(USE_GPIO_PADS) {0} -set ::env(VDD_PIN) {VPWR} -set ::env(VERILOG_FILES) {/home/kareem_farid/caravel/openlane/digital_pll/../../verilog/rtl/digital_pll.v} -set ::env(WIRE_RC_LAYER) {met1} -set ::env(YOSYS_REWRITE_VERILOG) {0} -set ::env(cts_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/cts} -set ::env(cts_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/cts} -set ::env(cts_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/cts} -set ::env(cts_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/cts} -set ::env(eco_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/eco} -set ::env(eco_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/eco} -set ::env(eco_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/eco} -set ::env(eco_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/eco} -set ::env(floorplan_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/floorplan} -set ::env(floorplan_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/floorplan} -set ::env(floorplan_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/floorplan} -set ::env(floorplan_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/floorplan} -set ::env(placement_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/placement} -set ::env(placement_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/placement} -set ::env(placement_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/placement} -set ::env(placement_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/placement} -set ::env(routing_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/routing} -set ::env(routing_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/routing} -set ::env(routing_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing} -set ::env(routing_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/routing} -set ::env(signoff_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/signoff} -set ::env(signoff_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff} -set ::env(signoff_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff} -set ::env(signoff_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff} -set ::env(synthesis_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/synthesis} -set ::env(synthesis_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/synthesis} -set ::env(synthesis_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/synthesis} -set ::env(synthesis_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/synthesis} -set ::env(SYNTH_MAX_TRAN) {0.75} -set ::env(CURRENT_INDEX) 32 -set ::env(CURRENT_DEF) /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def -set ::env(CURRENT_GUIDE) /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/routing/12-global.guide -set ::env(CURRENT_NETLIST) /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/26-digital_pll.nl.v -set ::env(CURRENT_POWERED_NETLIST) {0} -set ::env(CURRENT_ODB) /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.odb -set ::env(PDK_ROOT) {/home/kareem_farid/caravel/deps/openlane-new/pdk} -set ::env(ANTENNA_CHECK_CURRENT_DEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/26-digital_pll.p.def} -set ::env(ANTENNA_VIOLATOR_LIST) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/31-antenna_violators.rpt} -set ::env(BASE_SDC_FILE) {/home/kareem_farid/caravel/openlane/digital_pll/base.sdc} -set ::env(BASIC_PREP_COMPLETE) {1} -set ::env(BOTTOM_MARGIN_MULT) {2} -set ::env(CARAVEL_ROOT) {/home/kareem_farid/caravel} -set ::env(CARRY_SELECT_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/csa_map.v} -set ::env(CELLS_LEF) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef} -set ::env(CELLS_LEF_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef} -set ::env(CELL_CLK_PORT) {CLK} -set ::env(CELL_PAD_EXCLUDE) {sky130_fd_sc_hd__tap* sky130_fd_sc_hd__decap* sky130_ef_sc_hd__decap* sky130_fd_sc_hd__fill*} -set ::env(CHECK_ASSIGN_STATEMENTS) {0} -set ::env(CHECK_UNMAPPED_CELLS) {1} -set ::env(CLK_BUFFER) {sky130_fd_sc_hd__clkbuf_4} -set ::env(CLK_BUFFER_INPUT) {A} -set ::env(CLK_BUFFER_OUTPUT) {X} -set ::env(CLOCK_BUFFER_FANOUT) {16} -set ::env(CLOCK_PERIOD) {10.0} -set ::env(CLOCK_PORT) {} -set ::env(CLOCK_TREE_SYNTH) {0} -set ::env(CLOCK_WIRE_RC_LAYER) {met5} -set ::env(CONFIGS) {general.tcl checkers.tcl synthesis.tcl floorplan.tcl cts.tcl placement.tcl routing.tcl extraction.tcl} -set ::env(CORE_AREA) {5.52 5.44 94.3 68.0} -set ::env(CORE_HEIGHT) {62.56} -set ::env(CORE_WIDTH) {88.78} -set ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_2} -set ::env(CTS_CLK_MAX_WIRE_LENGTH) {0} -set ::env(CTS_CURRENT_DEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/placement/digital_pll.def} -set ::env(CTS_DISABLE_POST_PROCESSING) {0} -set ::env(CTS_DISTANCE_BETWEEN_BUFFERS) {0} -set ::env(CTS_MAX_CAP) {1.53169} -set ::env(CTS_REPORT_TIMING) {1} -set ::env(CTS_ROOT_BUFFER) {sky130_fd_sc_hd__clkbuf_16} -set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) {50} -set ::env(CTS_SINK_CLUSTERING_SIZE) {25} -set ::env(CTS_SQR_CAP) {0.258e-3} -set ::env(CTS_SQR_RES) {0.125} -set ::env(CTS_TARGET_SKEW) {200} -set ::env(CTS_TECH_DIR) {N/A} -set ::env(CTS_TOLERANCE) {100} -set ::env(CURRENT_DEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/26-digital_pll.p.def} -set ::env(CURRENT_GDS) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.gds} -set ::env(CURRENT_GUIDE) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/routing/12-global.guide} -set ::env(CURRENT_INDEX) {32} -set ::env(CURRENT_NETLIST) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/26-digital_pll.nl.v} -set ::env(CURRENT_ODB) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.odb} -set ::env(CURRENT_POWERED_NETLIST) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/26-digital_pll.pnl.v} -set ::env(CURRENT_SDC) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/floorplan/3-initial_fp.sdc} -set ::env(CURRENT_SDF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_nom/digital_pll.sdf} -set ::env(CURRENT_SPEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/process_corner_nom/digital_pll.spef} -set ::env(CURRENT_STEP) {} -set ::env(CVC_SCRIPTS_DIR) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc} -set ::env(DATA_WIRE_RC_LAYER) {met2} -set ::env(DECAP_CELL) {sky130_ef_sc_hd__decap_12 sky130_fd_sc_hd__decap_8 sky130_fd_sc_hd__decap_6 sky130_fd_sc_hd__decap_4 sky130_fd_sc_hd__decap_3} -set ::env(DEFAULT_MAX_TRAN) {0.75} -set ::env(DEF_UNITS_PER_MICRON) {1000} -set ::env(DESIGN_CONFIG) {/home/kareem_farid/caravel/openlane/digital_pll/config.tcl} -set ::env(DESIGN_DIR) {/home/kareem_farid/caravel/openlane/digital_pll} -set ::env(DESIGN_IS_CORE) {1} -set ::env(DESIGN_NAME) {digital_pll} -set ::env(DETAILED_ROUTER) {tritonroute} -set ::env(DIE_AREA) {0.0 0.0 100.0 75.0} -set ::env(DIODE_CELL) {sky130_fd_sc_hd__diode_2} -set ::env(DIODE_CELL_PIN) {DIODE} -set ::env(DIODE_INSERTION_CURRENT_DEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def} -set ::env(DIODE_INSERTION_STRATEGY) {4} -set ::env(DIODE_PADDING) {0} -set ::env(DONT_USE_CELLS) {sky130_fd_sc_hd__a2111oi_0 sky130_fd_sc_hd__a21boi_0 sky130_fd_sc_hd__and2_0 sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__clkdlybuf4s15_1 sky130_fd_sc_hd__clkdlybuf4s18_1 sky130_fd_sc_hd__fa_4 sky130_fd_sc_hd__lpflow_bleeder_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_16 sky130_fd_sc_hd__lpflow_clkbufkapwr_2 sky130_fd_sc_hd__lpflow_clkbufkapwr_4 sky130_fd_sc_hd__lpflow_clkbufkapwr_8 sky130_fd_sc_hd__lpflow_clkinvkapwr_1 sky130_fd_sc_hd__lpflow_clkinvkapwr_16 sky130_fd_sc_hd__lpflow_clkinvkapwr_2 sky130_fd_sc_hd__lpflow_clkinvkapwr_4 sky130_fd_sc_hd__lpflow_clkinvkapwr_8 sky130_fd_sc_hd__lpflow_decapkapwr_12 sky130_fd_sc_hd__lpflow_decapkapwr_3 sky130_fd_sc_hd__lpflow_decapkapwr_4 sky130_fd_sc_hd__lpflow_decapkapwr_6 sky130_fd_sc_hd__lpflow_decapkapwr_8 sky130_fd_sc_hd__lpflow_inputiso0n_1 sky130_fd_sc_hd__lpflow_inputiso0p_1 sky130_fd_sc_hd__lpflow_inputiso1n_1 sky130_fd_sc_hd__lpflow_inputiso1p_1 sky130_fd_sc_hd__lpflow_inputisolatch_1 sky130_fd_sc_hd__lpflow_isobufsrc_1 sky130_fd_sc_hd__lpflow_isobufsrc_16 sky130_fd_sc_hd__lpflow_isobufsrc_2 sky130_fd_sc_hd__lpflow_isobufsrc_4 sky130_fd_sc_hd__lpflow_isobufsrc_8 sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 sky130_fd_sc_hd__mux4_4 sky130_fd_sc_hd__o21ai_0 sky130_fd_sc_hd__o311ai_0 sky130_fd_sc_hd__or2_0 sky130_fd_sc_hd__probe_p_8 sky130_fd_sc_hd__probec_p_8 sky130_fd_sc_hd__xor3_1 sky130_fd_sc_hd__xor3_2 sky130_fd_sc_hd__xor3_4 sky130_fd_sc_hd__xnor3_1 sky130_fd_sc_hd__xnor3_2 sky130_fd_sc_hd__xnor3_4 } -set ::env(DPL_CELL_PADDING) {2} -set ::env(DRC_CURRENT_DEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/26-digital_pll.p.def} -set ::env(DRC_EXCLUDE_CELL_LIST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells} -set ::env(DRC_EXCLUDE_CELL_LIST_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells} -set ::env(DRT_CELL_PADDING) {4} -set ::env(DRT_OPT_ITERS) {64} -set ::env(ECO_ENABLE) {0} -set ::env(ECO_FINISH) {0} -set ::env(ECO_ITER) {0} -set ::env(ECO_SKIP_PIN) {1} -set ::env(EXT_NETLIST) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.spice} -set ::env(FAKEDIODE_CELL) {sky130_ef_sc_hd__fakediode_2} -set ::env(FILL_CELL) {sky130_fd_sc_hd__fill*} -set ::env(FILL_INSERTION) {1} -set ::env(FP_ASPECT_RATIO) {1} -set ::env(FP_CORE_UTIL) {50} -set ::env(FP_ENDCAP_CELL) {sky130_fd_sc_hd__decap_3} -set ::env(FP_IO_HEXTEND) {-1} -set ::env(FP_IO_HLAYER) {met3} -set ::env(FP_IO_HLENGTH) {4} -set ::env(FP_IO_HTHICKNESS_MULT) {2} -set ::env(FP_IO_MIN_DISTANCE) {3} -set ::env(FP_IO_MODE) {1} -set ::env(FP_IO_UNMATCHED_ERROR) {1} -set ::env(FP_IO_VEXTEND) {-1} -set ::env(FP_IO_VLAYER) {met2} -set ::env(FP_IO_VLENGTH) {4} -set ::env(FP_IO_VTHICKNESS_MULT) {2} -set ::env(FP_PDN_AUTO_ADJUST) {1} -set ::env(FP_PDN_CHECK_NODES) {1} -set ::env(FP_PDN_CORE_RING) {0} -set ::env(FP_PDN_CORE_RING_HOFFSET) {6} -set ::env(FP_PDN_CORE_RING_HSPACING) {1.7} -set ::env(FP_PDN_CORE_RING_HWIDTH) {1.6} -set ::env(FP_PDN_CORE_RING_VOFFSET) {6} -set ::env(FP_PDN_CORE_RING_VSPACING) {1.7} -set ::env(FP_PDN_CORE_RING_VWIDTH) {1.6} -set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) {1} -set ::env(FP_PDN_ENABLE_MACROS_GRID) {1} -set ::env(FP_PDN_ENABLE_RAILS) {1} -set ::env(FP_PDN_HOFFSET) {16.41} -set ::env(FP_PDN_HORIZONTAL_HALO) {10} -set ::env(FP_PDN_HPITCH) {40} -set ::env(FP_PDN_HSPACING) {18.4} -set ::env(FP_PDN_HWIDTH) {1.6} -set ::env(FP_PDN_IRDROP) {1} -set ::env(FP_PDN_LOWER_LAYER) {met4} -set ::env(FP_PDN_RAILS_LAYER) {met1} -set ::env(FP_PDN_RAIL_OFFSET) {0} -set ::env(FP_PDN_RAIL_WIDTH) {0.48} -set ::env(FP_PDN_SKIPTRIM) {1} -set ::env(FP_PDN_UPPER_LAYER) {met5} -set ::env(FP_PDN_VERTICAL_HALO) {10} -set ::env(FP_PDN_VOFFSET) {16.32} -set ::env(FP_PDN_VPITCH) {40} -set ::env(FP_PDN_VSPACING) {18.4} -set ::env(FP_PDN_VWIDTH) {1.6} -set ::env(FP_PIN_ORDER_CFG) {/home/kareem_farid/caravel/openlane/digital_pll/pin_order.cfg} -set ::env(FP_SIZING) {absolute} -set ::env(FP_TAPCELL_DIST) {13} -set ::env(FP_TAP_HORIZONTAL_HALO) {10} -set ::env(FP_TAP_VERTICAL_HALO) {10} -set ::env(FP_WELLTAP_CELL) {sky130_fd_sc_hd__tapvpwrvgnd_1} -set ::env(FULL_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/fa_map.v} -set ::env(GDS_FILES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds} -set ::env(GDS_FILES_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds} -set ::env(GENERATE_FINAL_SUMMARY_REPORT) {1} -set ::env(GLB_CFG_FILE) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/config.tcl} -set ::env(GLB_OPTIMIZE_MIRRORING) {1} -set ::env(GLB_RESIZER_ALLOW_SETUP_VIOS) {0} -set ::env(GLB_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50} -set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) {0.05} -set ::env(GLB_RESIZER_MAX_CAP_MARGIN) {10} -set ::env(GLB_RESIZER_MAX_SLEW_MARGIN) {10} -set ::env(GLB_RESIZER_MAX_WIRE_LENGTH) {0} -set ::env(GLB_RESIZER_SETUP_MAX_BUFFER_PERCENT) {50} -set ::env(GLB_RESIZER_SETUP_SLACK_MARGIN) {0.025} -set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) {0} -set ::env(GLOBAL_ROUTER) {fastroute} -set ::env(GND_NET) {VGND} -set ::env(GND_NETS) {VGND} -set ::env(GND_PIN) {VGND} -set ::env(GPIO_PADS_LEF) { /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_io/lef/sky130_fd_io.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef } -set ::env(GPIO_PADS_LEF_CORE_SIDE) { /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/custom_cells/lef/sky130_fd_io_core.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/custom_cells/lef/sky130_ef_io_core.lef } -set ::env(GPIO_PADS_VERILOG) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/verilog/sky130_fd_io/sky130_ef_io.v} -set ::env(GPL_CELL_PADDING) {0} -set ::env(GRT_ADJUSTMENT) {0} -set ::env(GRT_ALLOW_CONGESTION) {0} -set ::env(GRT_ANT_ITERS) {3} -set ::env(GRT_ESTIMATE_PARASITICS) {1} -set ::env(GRT_LAYER_ADJUSTMENTS) {0.99,0,0,0,0,0} -set ::env(GRT_MACRO_EXTENSION) {0} -set ::env(GRT_MAX_DIODE_INS_ITERS) {1} -set ::env(GRT_OVERFLOW_ITERS) {50} -set ::env(HOME) {/} -set ::env(HOSTNAME) {d62ec4b65d8c} -set ::env(IO_PCT) {0.2} -set ::env(KLAYOUT_DRC_KLAYOUT_GDS) {0} -set ::env(KLAYOUT_DRC_TECH_SCRIPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/klayout/drc/sky130A_mr.drc} -set ::env(KLAYOUT_PROPERTIES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/klayout/tech/sky130A.lyp} -set ::env(KLAYOUT_TECH) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/klayout/tech/sky130A.lyt} -set ::env(KLAYOUT_XOR_GDS) {1} -set ::env(KLAYOUT_XOR_XML) {1} -set ::env(LANG) {en_US.UTF-8} -set ::env(LAST_TIMING_REPORT_TAG) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/21-rcx_sta} -set ::env(LC_ALL) {en_US.UTF-8} -set ::env(LC_CTYPE) {en_US.UTF-8} -set ::env(LD_LIBRARY_PATH) {/build//lib:/build//lib/Linux-x86_64:} -set ::env(LEC_ENABLE) {0} -set ::env(LEFT_MARGIN_MULT) {12} -set ::env(LIB_CTS) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/cts/cts.lib} -set ::env(LIB_FASTEST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib} -set ::env(LIB_SLOWEST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib} -set ::env(LIB_SLOWEST_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib} -set ::env(LIB_SYNTH) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/synthesis/trimmed.lib} -set ::env(LIB_SYNTH_COMPLETE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib} -set ::env(LIB_SYNTH_COMPLETE_NO_PG) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/synthesis/1-sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib} -set ::env(LIB_SYNTH_MERGED) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/synthesis/merged.lib} -set ::env(LIB_SYNTH_NO_PG) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/synthesis/1-trimmed.no_pg.lib} -set ::env(LIB_TYPICAL) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib} -set ::env(LOGS_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs} -set ::env(LVS_CONNECT_BY_LABEL) {0} -set ::env(LVS_CURRENT_DEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def} -set ::env(LVS_INSERT_POWER_PINS) {1} -set ::env(MACRO_BLOCKAGES_LAYER) {li1 met1 met2 met3 met4} -set ::env(MAGIC_CONVERT_DRC_TO_RDB) {1} -set ::env(MAGIC_DISABLE_HIER_GDS) {1} -set ::env(MAGIC_DRC_USE_GDS) {1} -set ::env(MAGIC_EXT_USE_GDS) {0} -set ::env(MAGIC_GDS) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.magic.gds} -set ::env(MAGIC_GENERATE_GDS) {1} -set ::env(MAGIC_GENERATE_LEF) {1} -set ::env(MAGIC_GENERATE_MAGLEF) {1} -set ::env(MAGIC_INCLUDE_GDS_POINTERS) {0} -set ::env(MAGIC_MAGICRC) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/magic/sky130A.magicrc} -set ::env(MAGIC_PAD) {0} -set ::env(MAGIC_TECH_FILE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/magic/sky130A.tech} -set ::env(MAGIC_WRITE_FULL_LEF) {0} -set ::env(MAGIC_ZEROIZE_ORIGIN) {0} -set ::env(MAGTYPE) {maglef} -set ::env(MANPATH) {/build//share/man:} -set ::env(MAX_METAL_LAYER) {6} -set ::env(MC_SDF_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/sdf} -set ::env(MC_SPEF_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/mca/spef} -set ::env(MERGED_LEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.nom.lef} -set ::env(MERGED_LEF_MAX) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.max.lef} -set ::env(MERGED_LEF_MIN) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/merged.min.lef} -set ::env(MISMATCHES_OK) {1} -set ::env(NETGEN_SETUP_FILE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/netgen/sky130A_setup.tcl} -set ::env(NO_SYNTH_CELL_LIST) {/home/kareem_farid/caravel/openlane/digital_pll/no_synth.list} -set ::env(OPENLANE_ROOT) {/openlane} -set ::env(OPENLANE_RUN_TAG) {22_10_18_06_51} -set ::env(OPENLANE_VERBOSE) {1} -set ::env(OPENLANE_VERSION) {e3a5189a1b0fc4290686fcf2ae46cd6d7947cf9f} -set ::env(OPENROAD) {/build/} -set ::env(OPENROAD_BIN) {openroad} -set ::env(PARSITICS_CURRENT_DEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing/digital_pll.def} -set ::env(PATH) {/openlane:/openlane/scripts:/build//bin:/build//bin/Linux-x86_64:/build//pdn/scripts:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin} -set ::env(PDK) {sky130A} -set ::env(PDKPATH) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A} -set ::env(PDK_ROOT) {/home/kareem_farid/caravel/deps/openlane-new/pdk} -set ::env(PDN_CFG) {/openlane/scripts/openroad/common/pdn_cfg.tcl} -set ::env(PLACEMENT_CURRENT_DEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/floorplan/6-pdn.def} -set ::env(PLACE_SITE) {unithd} -set ::env(PLACE_SITE_HEIGHT) {2.720} -set ::env(PLACE_SITE_WIDTH) {0.460} -set ::env(PL_BASIC_PLACEMENT) {0} -set ::env(PL_ESTIMATE_PARASITICS) {1} -set ::env(PL_INIT_COEFF) {0.00002} -set ::env(PL_IO_ITER) {5} -set ::env(PL_LIB) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib} -set ::env(PL_MACRO_CHANNEL) {0 0} -set ::env(PL_MACRO_HALO) {0 0} -set ::env(PL_MAX_DISPLACEMENT_X) {500} -set ::env(PL_MAX_DISPLACEMENT_Y) {100} -set ::env(PL_OPTIMIZE_MIRRORING) {1} -set ::env(PL_RANDOM_GLB_PLACEMENT) {0} -set ::env(PL_RANDOM_INITIAL_PLACEMENT) {0} -set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) {0} -set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) {1} -set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) {1} -set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) {0} -set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50} -set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1} -set ::env(PL_RESIZER_MAX_CAP_MARGIN) {20} -set ::env(PL_RESIZER_MAX_SLEW_MARGIN) {20} -set ::env(PL_RESIZER_MAX_WIRE_LENGTH) {0} -set ::env(PL_RESIZER_REPAIR_DESIGN) {1} -set ::env(PL_RESIZER_REPAIR_TIE_FANOUT) {1} -set ::env(PL_RESIZER_SETUP_MAX_BUFFER_PERCENT) {50} -set ::env(PL_RESIZER_SETUP_SLACK_MARGIN) {0.05} -set ::env(PL_RESIZER_TIE_SEPERATION) {0} -set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) {0} -set ::env(PL_ROUTABILITY_DRIVEN) {1} -set ::env(PL_SKIP_INITIAL_PLACEMENT) {0} -set ::env(PL_TARGET_DENSITY) {0.68} -set ::env(PL_TIME_DRIVEN) {1} -set ::env(PRIMARY_SIGNOFF_TOOL) {magic} -set ::env(PROCESS) {130} -set ::env(PWD) {/home/kareem_farid/caravel/openlane} -set ::env(QUIT_ON_HOLD_VIOLATIONS) {1} -set ::env(QUIT_ON_ILLEGAL_OVERLAPS) {1} -set ::env(QUIT_ON_LVS_ERROR) {1} -set ::env(QUIT_ON_MAGIC_DRC) {1} -set ::env(QUIT_ON_SETUP_VIOLATIONS) {1} -set ::env(QUIT_ON_TIMING_VIOLATIONS) {1} -set ::env(QUIT_ON_TR_DRC) {1} -set ::env(RCX_CC_MODEL) {10} -set ::env(RCX_CONTEXT_DEPTH) {5} -set ::env(RCX_CORNER_COUNT) {1} -set ::env(RCX_COUPLING_THRESHOLD) {0.1} -set ::env(RCX_MAX_RESISTANCE) {50} -set ::env(RCX_MERGE_VIA_WIRE_RES) {1} -set ::env(RCX_RULES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre} -set ::env(RCX_RULES_MAX) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre} -set ::env(RCX_RULES_MIN) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre} -set ::env(RCX_SDC_FILE) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/floorplan/3-initial_fp.sdc} -set ::env(REPORTS_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports} -set ::env(RESULTS_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results} -set ::env(RE_BUFFER_CELL) {sky130_fd_sc_hd__buf_4} -set ::env(RIGHT_MARGIN_MULT) {12} -set ::env(RIPPLE_CARRY_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/rca_map.v} -set ::env(ROOT_CLK_BUFFER) {sky130_fd_sc_hd__clkbuf_16} -set ::env(ROUTING_CORES) {2} -set ::env(ROUTING_CURRENT_DEF) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/placement/digital_pll.def} -set ::env(RSZ_DONT_TOUCH_RX) {\$^} -set ::env(RSZ_LIB) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/synthesis/resizer_sky130_fd_sc_hd__tt_025C_1v80.lib} -set ::env(RSZ_USE_OLD_REMOVER) {0} -set ::env(RT_MAX_LAYER) {met5} -set ::env(RT_MIN_LAYER) {met1} -set ::env(RUN_CVC) {1} -set ::env(RUN_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51} -set ::env(RUN_DRT) {1} -set ::env(RUN_IRDROP_REPORT) {1} -set ::env(RUN_KLAYOUT) {1} -set ::env(RUN_KLAYOUT_DRC) {0} -set ::env(RUN_KLAYOUT_XOR) {1} -set ::env(RUN_LVS) {1} -set ::env(RUN_MAGIC) {1} -set ::env(RUN_MAGIC_DRC) {1} -set ::env(RUN_SPEF_EXTRACTION) {1} -set ::env(RUN_STANDALONE) {1} -set ::env(RUN_TAG) {22_10_18_06_51} -set ::env(SCRIPTS_DIR) {/openlane/scripts} -set ::env(SHLVL) {1} -set ::env(SPEF_EXTRACTOR) {openrcx} -set ::env(START_TIME) {2022.10.18_13.51.57} -set ::env(STA_PRE_CTS) {0} -set ::env(STA_REPORT_POWER) {1} -set ::env(STA_WRITE_LIB) {0} -set ::env(STD_CELL_GROUND_PINS) {VGND VNB} -set ::env(STD_CELL_LIBRARY) {sky130_fd_sc_hd} -set ::env(STD_CELL_LIBRARY_CDL) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl} -set ::env(STD_CELL_LIBRARY_OPT) {sky130_fd_sc_hd} -set ::env(STD_CELL_LIBRARY_OPT_CDL) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl} -set ::env(STD_CELL_POWER_PINS) {VPWR VPB} -set ::env(SYNTH_ADDER_TYPE) {YOSYS} -set ::env(SYNTH_BIN) {yosys} -set ::env(SYNTH_BUFFERING) {1} -set ::env(SYNTH_CAP_LOAD) {33.442} -set ::env(SYNTH_CLOCK_TRANSITION) {0.15} -set ::env(SYNTH_CLOCK_UNCERTAINTY) {0.25} -set ::env(SYNTH_DRIVING_CELL) {sky130_fd_sc_hd__inv_2} -set ::env(SYNTH_DRIVING_CELL_PIN) {Y} -set ::env(SYNTH_ELABORATE_ONLY) {0} -set ::env(SYNTH_EXTRA_MAPPING_FILE) {} -set ::env(SYNTH_FLAT_TOP) {0} -set ::env(SYNTH_LATCH_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/latch_map.v} -set ::env(SYNTH_MAX_FANOUT) {7} -set ::env(SYNTH_MAX_TRAN) {0.75} -set ::env(SYNTH_MIN_BUF_PORT) {sky130_fd_sc_hd__buf_2 A X} -set ::env(SYNTH_MUX4_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux4_map.v} -set ::env(SYNTH_MUX_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux2_map.v} -set ::env(SYNTH_NO_FLAT) {0} -set ::env(SYNTH_OPT) {0} -set ::env(SYNTH_READ_BLACKBOX_LIB) {1} -set ::env(SYNTH_SCRIPT) {/openlane/scripts/yosys/synth.tcl} -set ::env(SYNTH_SHARE_RESOURCES) {1} -set ::env(SYNTH_SIZING) {0} -set ::env(SYNTH_STRATEGY) {AREA 0} -set ::env(SYNTH_TIEHI_PORT) {sky130_fd_sc_hd__conb_1 HI} -set ::env(SYNTH_TIELO_PORT) {sky130_fd_sc_hd__conb_1 LO} -set ::env(SYNTH_TIMING_DERATE) {0.05} -set ::env(TAKE_LAYOUT_SCROT) {0} -set ::env(TAP_DECAP_INSERTION) {1} -set ::env(TECH_LEF) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef} -set ::env(TECH_LEF_MAX) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__max.tlef} -set ::env(TECH_LEF_MIN) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__min.tlef} -set ::env(TECH_LEF_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef} -set ::env(TECH_METAL_LAYERS) {li1 met1 met2 met3 met4 met5} -set ::env(TERM) {xterm} -set ::env(TERMINAL_OUTPUT) {/dev/null} -set ::env(TMP_DIR) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp} -set ::env(TOP_MARGIN_MULT) {2} -set ::env(TRACKS_INFO_FILE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info} -set ::env(TRACKS_INFO_FILE_PROCESSED) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/routing/config.tracks} -set ::env(TRISTATE_BUFFER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tribuff_map.v} -set ::env(USE_ARC_ANTENNA_CHECK) {1} -set ::env(USE_GPIO_PADS) {0} -set ::env(VCHECK_OUTPUT) {} -set ::env(VDD_NET) {VPWR} -set ::env(VDD_NETS) {VPWR} -set ::env(VDD_PIN) {VPWR} -set ::env(VERILOG_FILES) {/home/kareem_farid/caravel/openlane/digital_pll/../../verilog/rtl/digital_pll.v} -set ::env(WIRE_RC_LAYER) {met1} -set ::env(YOSYS_REWRITE_VERILOG) {0} -set ::env(_) {/openlane/flow.tcl} -set ::env(cts_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/cts} -set ::env(cts_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/cts} -set ::env(cts_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/cts} -set ::env(cts_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/cts} -set ::env(drc_prefix) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/drc} -set ::env(eco_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/eco} -set ::env(eco_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/eco} -set ::env(eco_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/eco} -set ::env(eco_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/eco} -set ::env(floorplan_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/floorplan} -set ::env(floorplan_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/floorplan} -set ::env(floorplan_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/floorplan} -set ::env(floorplan_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/floorplan} -set ::env(fp_report_prefix) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/floorplan/3-initial_fp} -set ::env(placement_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/placement} -set ::env(placement_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/placement} -set ::env(placement_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/placement} -set ::env(placement_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/placement} -set ::env(routing_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/routing} -set ::env(routing_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/routing} -set ::env(routing_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/routing} -set ::env(routing_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/routing} -set ::env(signoff_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/signoff} -set ::env(signoff_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff} -set ::env(signoff_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff} -set ::env(signoff_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff} -set ::env(synth_report_prefix) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/synthesis/1-synthesis} -set ::env(synthesis_logs) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/logs/synthesis} -set ::env(synthesis_reports) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/synthesis} -set ::env(synthesis_results) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/synthesis} -set ::env(synthesis_tmpfiles) {/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/synthesis} -set ::env(timer_end) {1666101184} -set ::env(timer_routed) {1666101163} -set ::env(timer_start) {1666101117} diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.rpt b/signoff/digital_pll/openlane-signoff/digital_pll.rpt deleted file mode 100644 index d1eb5918..00000000 --- a/signoff/digital_pll/openlane-signoff/digital_pll.rpt +++ /dev/null @@ -1,51 +0,0 @@ -CVC: Log output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.rpt -CVC: Error output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.rpt.error.gz -CVC: Debug output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.rpt.debug.gz -CVC: Circuit Validation Check Version 1.1.0 -CVC: Start: Tue Oct 18 13:53:04 2022 - -Using the following parameters for CVC (Circuit Validation Check) from /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/cvcrc -CVC_TOP = 'digital_pll' -CVC_NETLIST = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/digital_pll.cdl' -CVC_MODE = 'digital_pll' -CVC_MODEL_FILE = '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/models' -CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/digital_pll.power' -CVC_FUSE_FILE = '' -CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/reports/signoff/digital_pll.rpt' -CVC_REPORT_TITLE = 'CVC $CVC_TOP' -CVC_CIRCUIT_ERROR_LIMIT = '100' -CVC_SEARCH_LIMIT = '100' -CVC_LEAK_LIMIT = '0.0002' -CVC_SOI = 'false' -CVC_SCRC = 'false' -CVC_VTH_GATES = 'false' -CVC_MIN_VTH_GATES = 'false' -CVC_IGNORE_VTH_FLOATING = 'false' -CVC_IGNORE_NO_LEAK_FLOATING = 'false' -CVC_LEAK_OVERVOLTAGE = 'true' -CVC_LOGIC_DIODES = 'false' -CVC_ANALOG_GATES = 'true' -CVC_BACKUP_RESULTS = 'false' -CVC_MOS_DIODE_ERROR_THRESHOLD = '0' -CVC_SHORT_ERROR_THRESHOLD = '0' -CVC_BIAS_ERROR_THRESHOLD = '0' -CVC_FORWARD_ERROR_THRESHOLD = '0' -CVC_FLOATING_ERROR_THRESHOLD = '0' -CVC_GATE_ERROR_THRESHOLD = '0' -CVC_LEAK?_ERROR_THRESHOLD = '0' -CVC_EXPECTED_ERROR_THRESHOLD = '0' -CVC_OVERVOLTAGE_ERROR_THRESHOLD = '0' -CVC_PARALLEL_CIRCUIT_PORT_LIMIT = '0' -CVC_CELL_ERROR_LIMIT_FILE = '' -CVC_CELL_CHECKSUM_FILE = '' -CVC_LARGE_CIRCUIT_SIZE = '10000000' -CVC_NET_CHECK_FILE = '' -CVC_MODEL_CHECK_FILE = '' -End of parameters - -CVC: Reading device model settings... -CVC: Reading power settings... -CVC: Parsing netlist /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/tmp/signoff/digital_pll.cdl -Cdl fixed data size 35716 -Usage CDL: Time: 0 Memory: 7292 I/O: 8 Swap: 0 -CVC: Counting and linking... diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.rpt.debug.gz b/signoff/digital_pll/openlane-signoff/digital_pll.rpt.debug.gz deleted file mode 100644 index 229151a5..00000000 Binary files a/signoff/digital_pll/openlane-signoff/digital_pll.rpt.debug.gz and /dev/null differ diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.rpt.error.gz b/signoff/digital_pll/openlane-signoff/digital_pll.rpt.error.gz deleted file mode 100644 index 229151a5..00000000 Binary files a/signoff/digital_pll/openlane-signoff/digital_pll.rpt.error.gz and /dev/null differ diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.sdc b/signoff/digital_pll/openlane-signoff/digital_pll.sdc deleted file mode 100644 index 821df08a..00000000 --- a/signoff/digital_pll/openlane-signoff/digital_pll.sdc +++ /dev/null @@ -1,94 +0,0 @@ -############################################################################### -# Created by write_sdc -# Tue Oct 18 13:52:05 2022 -############################################################################### -current_design digital_pll -############################################################################### -# Timing Constraints -############################################################################### -create_clock -name pll_control_clock -period 6.6667 [get_pins {ringosc.ibufp01/Y}] -set_clock_transition 0.1500 [get_clocks {pll_control_clock}] -set_clock_uncertainty 0.2500 pll_control_clock -set_input_delay 2.0000 -add_delay [get_ports {dco}] -set_input_delay 2.0000 -add_delay [get_ports {div[0]}] -set_input_delay 2.0000 -add_delay [get_ports {div[1]}] -set_input_delay 2.0000 -add_delay [get_ports {div[2]}] -set_input_delay 2.0000 -add_delay [get_ports {div[3]}] -set_input_delay 2.0000 -add_delay [get_ports {div[4]}] -set_input_delay 2.0000 -add_delay [get_ports {enable}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[0]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[10]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[11]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[12]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[13]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[14]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[15]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[16]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[17]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[18]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[19]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[1]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[20]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[21]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[22]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[23]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[24]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[25]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[2]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[3]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[4]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[5]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[6]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[7]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[8]}] -set_input_delay 2.0000 -add_delay [get_ports {ext_trim[9]}] -set_input_delay 2.0000 -add_delay [get_ports {osc}] -set_input_delay 2.0000 -add_delay [get_ports {resetb}] -set_output_delay 2.0000 -add_delay [get_ports {clockp[0]}] -set_output_delay 2.0000 -add_delay [get_ports {clockp[1]}] -############################################################################### -# Environment -############################################################################### -set_load -pin_load 0.0334 [get_ports {clockp[1]}] -set_load -pin_load 0.0334 [get_ports {clockp[0]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {dco}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {enable}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {osc}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {resetb}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[4]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[3]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[2]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[1]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[0]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[25]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[24]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[23]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[22]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[21]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[20]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[19]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[18]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[17]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[16]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[15]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[14]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[13]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[12]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[11]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[10]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[9]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[8]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[7]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[6]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[5]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[4]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[3]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[2]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[1]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[0]}] -set_timing_derate -early 0.9500 -set_timing_derate -late 1.0500 -############################################################################### -# Design Rules -############################################################################### -set_max_fanout 7.0000 [current_design] diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.xor.gds b/signoff/digital_pll/openlane-signoff/digital_pll.xor.gds deleted file mode 100644 index f1bf76db..00000000 Binary files a/signoff/digital_pll/openlane-signoff/digital_pll.xor.gds and /dev/null differ diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.xor.xml b/signoff/digital_pll/openlane-signoff/digital_pll.xor.xml deleted file mode 100644 index 915131aa..00000000 --- a/signoff/digital_pll/openlane-signoff/digital_pll.xor.xml +++ /dev/null @@ -1,115290 +0,0 @@ - - - XOR /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.gds vs. /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_18_06_51/results/signoff/digital_pll.klayout.gds - - drc: script='/openlane/scripts/klayout/xor.drc' - digital_pll - - - - - 10/0 - XOR results for layer 10/0 - - - - - 11/0 - XOR results for layer 11/0 - - - - - 11/1 - XOR results for layer 11/1 - - - - - 11/2 - XOR results for layer 11/2 - - - - - 12/0 - XOR results for layer 12/0 - - - - - 122/16 - XOR results for layer 122/16 - - - - - 13/0 - XOR results for layer 13/0 - - - - - 13/1 - XOR results for layer 13/1 - - - - - 13/2 - XOR results for layer 13/2 - - - - - 14/0 - XOR results for layer 14/0 - - - - - 235/4 - XOR results for layer 235/4 - - - - - 236/0 - XOR results for layer 236/0 - - - - - 3/0 - XOR results for layer 3/0 - - - - - 4/0 - XOR results for layer 4/0 - - - - - 5/0 - XOR results for layer 5/0 - - - - - 6/0 - XOR results for layer 6/0 - - - - - 64/16 - XOR results for layer 64/16 - - - - - 64/20 - XOR results for layer 64/20 - - - - - 64/5 - XOR results for layer 64/5 - - - - - 64/59 - XOR results for layer 64/59 - - - - - 65/20 - XOR results for layer 65/20 - - - - - 65/44 - XOR results for layer 65/44 - - - - - 66/15 - XOR results for layer 66/15 - - - - - 66/20 - XOR results for layer 66/20 - - - - - 66/44 - XOR results for layer 66/44 - - - - - 67/16 - XOR results for layer 67/16 - - - - - 67/20 - XOR results for layer 67/20 - - - - - 67/44 - XOR results for layer 67/44 - - - - - 67/5 - XOR results for layer 67/5 - - - - - 68/16 - XOR results for layer 68/16 - - - - - 68/20 - XOR results for layer 68/20 - - - - - 68/44 - XOR results for layer 68/44 - - - - - 68/5 - XOR results for layer 68/5 - - - - - 69/16 - XOR results for layer 69/16 - - - - - 69/20 - XOR results for layer 69/20 - - - - - 69/44 - XOR results for layer 69/44 - - - - - 7/0 - XOR results for layer 7/0 - - - - - 7/1 - XOR results for layer 7/1 - - - - - 7/2 - XOR results for layer 7/2 - - - - - 70/16 - XOR results for layer 70/16 - - - - - 70/20 - XOR results for layer 70/20 - - - - - 70/44 - XOR results for layer 70/44 - - - - - 71/16 - XOR results for layer 71/16 - - - - - 71/20 - XOR results for layer 71/20 - - - - - 71/44 - XOR results for layer 71/44 - - - - - 72/16 - XOR results for layer 72/16 - - - - - 72/20 - XOR results for layer 72/20 - - - - - 78/44 - XOR results for layer 78/44 - - - - - 8/0 - XOR results for layer 8/0 - - - - - 81/23 - XOR results for layer 81/23 - - - - - 81/4 - XOR results for layer 81/4 - - - - - 83/44 - XOR results for layer 83/44 - - - - - 9/0 - XOR results for layer 9/0 - - - - - 9/1 - XOR results for layer 9/1 - - - - - 9/2 - XOR results for layer 9/2 - - - - - 93/44 - XOR results for layer 93/44 - - - - - 94/20 - XOR results for layer 94/20 - - - - - 95/20 - XOR results for layer 95/20 - - - - - - - digital_pll - - - - - - VIA_via4_5_1600_480_1_4_400_400 - - - - digital_pll - r0 *1 81.84,65.28 - - - - - VIA_via5_6_1600_1600_1_1_1600_1600 - - - - digital_pll - r0 *1 61.84,61.85 - - - - - VIA_L1M1_PR - - - - digital_pll - r0 *1 14.03,36.55 - - - - - VIA_M1M2_PR - - - - digital_pll - r0 *1 54.51,36.55 - - - - - VIA_via2_3_1600_480_1_5_320_320 - - - - digital_pll - r0 *1 81.84,65.28 - - - - - VIA_M2M3_PR - - - - digital_pll - r0 *1 50.37,61.54 - - - - - VIA_via3_4_1600_480_1_4_400_400 - - - - digital_pll - r0 *1 81.84,65.28 - - - - - - - - '10/0' - VIA_via4_5_1600_480_1_4_400_400 - false - 1 - - - polygon: (-0.7,-0.1;-0.7,0.1;-0.5,0.1;-0.5,-0.1) - - - - - '10/0' - VIA_via4_5_1600_480_1_4_400_400 - false - 1 - - - polygon: (-0.3,-0.1;-0.3,0.1;-0.1,0.1;-0.1,-0.1) - - - - - '10/0' - VIA_via4_5_1600_480_1_4_400_400 - false - 1 - - - polygon: (0.1,-0.1;0.1,0.1;0.3,0.1;0.3,-0.1) - - - - - '10/0' - VIA_via4_5_1600_480_1_4_400_400 - false - 1 - - - polygon: (0.5,-0.1;0.5,0.1;0.7,0.1;0.7,-0.1) - - - - - '11/0' - digital_pll - false - 1 - - - path: (81.84,5.2;81.84,68.24) w=1.6 bx=0 ex=0 r=false - - - - - '11/0' - digital_pll - false - 1 - - - path: (41.84,5.2;41.84,68.24) w=1.6 bx=0 ex=0 r=false - - - - - '11/0' - digital_pll - false - 1 - - - path: (61.84,5.2;61.84,68.24) w=1.6 bx=0 ex=0 r=false - - - - - '11/0' - digital_pll - false - 1 - - - path: (21.84,5.2;21.84,68.24) w=1.6 bx=0 ex=0 r=false - - - - - '11/0' - VIA_via4_5_1600_480_1_4_400_400 - false - 1 - - - polygon: (-0.8,-0.165;-0.8,0.165;0.8,0.165;0.8,-0.165) - - - - - '11/0' - VIA_via5_6_1600_1600_1_1_1600_1600 - false - 1 - - - polygon: (-0.8,-0.59;-0.8,0.59;0.8,0.59;0.8,-0.59) - - - - - '11/1' - digital_pll - false - 1 - - - label: ('VGND',r0 41.84,36.72) - - - - - '11/1' - digital_pll - false - 1 - - - label: ('VPWR',r0 21.84,36.72) - - - - - '11/2' - digital_pll - false - 1 - - - polygon: (81.04,5.2;81.04,68.24;82.64,68.24;82.64,5.2) - - - - - '11/2' - digital_pll - false - 1 - - - polygon: (41.04,5.2;41.04,68.24;42.64,68.24;42.64,5.2) - - - - - '11/2' - digital_pll - false - 1 - - - polygon: (61.04,5.2;61.04,68.24;62.64,68.24;62.64,5.2) - - - - - '11/2' - digital_pll - false - 1 - - - polygon: (21.04,5.2;21.04,68.24;22.64,68.24;22.64,5.2) - - - - - '12/0' - VIA_via5_6_1600_1600_1_1_1600_1600 - false - 1 - - - polygon: (-0.4,-0.4;-0.4,0.4;0.4,0.4;0.4,-0.4) - - - - - '13/0' - digital_pll - false - 1 - - - path: (5.28,41.85;94.54,41.85) w=1.6 bx=0 ex=0 r=false - - - - - '13/0' - digital_pll - false - 1 - - - path: (5.28,61.85;94.54,61.85) w=1.6 bx=0 ex=0 r=false - - - - - '13/0' - digital_pll - false - 1 - - - path: (5.28,21.85;94.54,21.85) w=1.6 bx=0 ex=0 r=false - - - - - '13/0' - VIA_via5_6_1600_1600_1_1_1600_1600 - false - 1 - - - polygon: (-0.71,-0.8;-0.71,0.8;0.71,0.8;0.71,-0.8) - - - - - '13/1' - digital_pll - false - 1 - - - label: ('VGND',r0 49.91,41.85) - - - - - '13/1' - digital_pll - false - 1 - - - label: ('VPWR',r0 49.91,21.85) - - - - - '13/2' - digital_pll - false - 1 - - - polygon: (5.28,41.05;5.28,42.65;94.54,42.65;94.54,41.05) - - - - - '13/2' - digital_pll - false - 1 - - - polygon: (5.28,61.05;5.28,62.65;94.54,62.65;94.54,61.05) - - - - - '13/2' - digital_pll - false - 1 - - - polygon: (5.28,21.05;5.28,22.65;94.54,22.65;94.54,21.05) - - - - - '14/0' - digital_pll - false - 1 - - - polygon: (0,0;0,75;100,75;100,0) - - - - - '235/4' - digital_pll - false - 1 - - - polygon: (0,0;0,75;100,75;100,0) - - - - - '3/0' - VIA_L1M1_PR - false - 1 - - - polygon: (-0.085,-0.085;-0.085,0.085;0.085,0.085;0.085,-0.085) - - - - - '4/0' - VIA_L1M1_PR - false - 1 - - - polygon: (-0.085,-0.085;-0.085,0.085;0.085,0.085;0.085,-0.085) - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,65.28;94.3,65.28) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,59.84;94.3,59.84) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,54.4;94.3,54.4) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,48.96;94.3,48.96) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,43.52;94.3,43.52) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,38.08;94.3,38.08) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,32.64;94.3,32.64) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,27.2;94.3,27.2) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,21.76;94.3,21.76) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,16.32;94.3,16.32) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,10.88;94.3,10.88) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,5.44;94.3,5.44) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,68;94.3,68) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,62.56;94.3,62.56) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,57.12;94.3,57.12) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,51.68;94.3,51.68) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,46.24;94.3,46.24) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,40.8;94.3,40.8) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,35.36;94.3,35.36) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,29.92;94.3,29.92) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,24.48;94.3,24.48) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,19.04;94.3,19.04) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,13.6;94.3,13.6) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (5.52,8.16;94.3,8.16) w=0.48 bx=0 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,30.43;50.83,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,4.59;91.77,4.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.23,8.67;52.21,8.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,18.19;53.59,18.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,6.97;51.75,6.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,48.11;50.37,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.99,36.55;26.91,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,36.55;45.77,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,36.55;45.77,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,36.55;36.11,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.09,36.21;65.09,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,36.55;60.95,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,37.57;54.51,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,36.55;65.09,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.45,42.33;50.37,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (44.85,40.29;50.37,40.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,45.05;54.51,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.45,35.87;49.91,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,41.31;57.73,41.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,41.65;50.83,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.47,47.43;58.19,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.33,39.95;53.13,39.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.99,45.39;53.59,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,28.05;50.37,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.23,38.59;51.75,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,31.79;54.05,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.23,36.21;52.67,36.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,44.71;53.13,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,39.61;57.27,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,34.17;50.83,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,36.55;58.19,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,36.55;74.29,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,36.55;68.77,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,36.55;77.51,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,31.45;49.91,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,35.87;54.05,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.47,37.23;54.05,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,17.17;50.37,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,6.29;55.43,6.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,49.47;53.13,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,52.19;52.21,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.45,58.65;50.37,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,57.97;53.59,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,55.25;53.59,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.45,57.63;54.51,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,55.93;53.59,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.91,53.21;51.75,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,53.55;51.29,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,62.05;56.81,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.99,59.33;52.67,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.93,14.11;59.11,14.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,23.29;50.37,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,20.91;59.11,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.91,22.61;49.91,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.91,22.61;54.51,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,22.95;49.91,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,19.89;61.87,19.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.47,22.27;80.27,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.91,42.67;53.13,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.93,46.75;49.91,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,58.99;49.91,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.73,63.75;55.89,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,61.03;51.29,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.99,51.17;57.73,51.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.99,66.13;54.97,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.45,60.35;57.53,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (18.63,48.45;54.97,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.99,15.47;57.73,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.99,25.67;58.19,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.17,13.09;51.29,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,14.45;53.59,14.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,18.53;54.05,18.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,17.51;49.91,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,36.55;67.39,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.45,36.55;52.21,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,36.55;52.21,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.91,44.03;49.91,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.91,44.03;59.11,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.91,49.81;49.91,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.91,50.15;52.21,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,49.81;49.91,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.99,36.89;49.91,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.91,30.77;66.01,30.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.23,34.51;62.1,34.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,47.09;62.79,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,56.61;54.97,56.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.45,52.53;55.43,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.93,53.89;61.41,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,64.77;53.13,64.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,60.69;51.75,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.51,63.07;61.41,63.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,61.71;51.29,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,65.79;49.91,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,64.43;49.91,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (44.85,66.81;71.53,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,64.09;51.29,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.23,15.81;62.1,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,50.83;57.27,50.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.61,36.89;73.37,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,52.53;64.63,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,53.89;74.75,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,39.61;73.83,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.61,59.33;75.21,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.97,52.19;77.97,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,47.43;72.91,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.99,42.67;77.05,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,56.27;72.91,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.31,60.35;74.29,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,52.53;60.95,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,55.25;72.45,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,52.87;72.91,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,58.99;74.75,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,60.69;76.59,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.69,52.19;72.45,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.61,44.03;72.91,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.31,44.37;72.45,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.63,61.71;76.59,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.71,68.51;86.71,68.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.09,61.37;76.13,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.23,46.75;80.27,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.17,43.01;75.67,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,50.49;72.45,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,37.23;78.89,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,57.63;73.37,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,45.73;81.19,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.23,65.79;75.21,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,67.15;81.65,67.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.15,63.07;77.05,63.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,57.97;74.29,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,38.93;82.57,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,66.47;75.67,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.49,63.75;74.29,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,58.31;74.29,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.67,53.21;76.59,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,55.59;76.13,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.21,58.99;75.21,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,61.37;76.59,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,61.37;85.33,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.33,61.03;85.33,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.33,61.03;91.77,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.49,58.65;78.89,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.45,55.25;72.45,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.05,55.59;77.05,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,60.69;83.49,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,60.35;80.27,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.97,53.21;78.43,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.25,66.81;90.39,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.28,53.21;77.51,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.75,57.97;79.35,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,55.93;72.91,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.35,57.97;83.95,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (87.63,56.27;89.93,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.85,53.55;91.31,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.95,60.35;86.25,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.95,52.87;84.87,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.39,55.59;90.85,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,55.25;73.83,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,55.25;77.97,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.65,66.47;81.65,67.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.73,66.47;81.65,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,66.81;81.19,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.45,64.43;77.51,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.37,55.93;77.05,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.13,66.45;76.13,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.13,66.45;76.59,66.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,66.45;76.59,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,66.47;77.05,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,53.89;77.51,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,53.21;73.83,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,53.55;76.59,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.67,65.79;75.67,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.67,65.79;77.51,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.51,65.79;77.51,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.51,66.13;78.43,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.43,66.13;78.43,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.39,61.37;91.31,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.01,61.71;89.93,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.71,66.13;88.09,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,63.41;80.73,63.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,66.13;86.25,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,61.03;81.19,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,63.75;74.29,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,53.55;76.59,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.97,64.09;77.97,64.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,64.09;77.97,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.97,64.77;83.95,64.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.33,62.05;85.79,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.79,61.37;87.63,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.79,61.37;85.79,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (92.23,64.09;92.23,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.93,64.43;92.23,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.8,64.43;89.93,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.8,64.09;82.8,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,64.09;82.8,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,57.63;85.79,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.49,55.59;86.25,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,53.89;83.49,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.65,53.21;91.77,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (84.41,58.65;84.41,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.13,58.99;84.41,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.13,58.65;76.13,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,58.65;76.13,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,58.31;74.29,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (88.55,52.87;89.01,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (84.41,58.65;88.55,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.75,56.27;77.97,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.81,55.59;79.81,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.81,56.27;86.25,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.25,55.93;86.25,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.25,55.93;88.55,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.35,55.59;79.81,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.19,58.31;81.19,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.19,58.31;89.01,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.01,58.31;89.01,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.73,60.35;81.65,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.73,58.65;81.19,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (84.41,57.97;84.41,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,61.37;64.17,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,59.33;65.55,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.27,53.55;61.87,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,55.59;60.95,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,56.27;63.25,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,63.41;63.71,63.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.53,60.35;57.53,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.49,58.65;62.79,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,61.71;63.25,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,64.09;62.79,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,64.77;71.99,64.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,57.63;62.1,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,58.99;61.87,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.65,52.87;67.85,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,65.79;63.25,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,57.97;60.95,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,64.09;62.79,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,64.43;66.01,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,64.09;66.01,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,64.09;69.69,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.23,65.79;69.23,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.43,61.03;64.63,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,61.71;63.25,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,66.47;71.53,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,61.03;63.71,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,61.03;63.25,61.08) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,61.08;63.25,61.08) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,61.03;62.79,61.08) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,62.05;64.17,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.55,66.47;66.47,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.09,62.05;65.55,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,65.79;68.77,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,62.05;71.53,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.55,61.03;67.39,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.33,66.47;63.25,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.71,61.71;64.17,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.17,61.37;64.17,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.29,61.37;51.75,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,66.47;59.11,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.75,61.37;51.75,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.75,61.71;59.11,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,61.37;54.05,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,60.69;54.97,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,60.69;56.795,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.43,61.03;55.89,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,64.43;55.43,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.43,65.79;58.19,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,65.79;54.05,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,64.43;59.11,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,64.09;55.89,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,62.05;60.49,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,64.09;55.89,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,65.79;60.03,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.81,66.13;60.03,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,61.03;54.05,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,63.41;55.89,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.185,61.03;52.21,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.29,61.03;51.29,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.35,63.75;60.03,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,63.75;60.03,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.77,53.21;57.27,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,60.35;60.03,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,58.65;60.055,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,55.25;60.03,55.56) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,52.87;51.29,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.53,55.59;58.19,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,55.25;58.19,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,55.25;59.11,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,55.93;53.59,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.49,58.31;60.49,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,56.27;55.89,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,58.99;53.13,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,52.87;53.59,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,52.87;58.19,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,57.97;59.11,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,57.97;59.11,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.75,52.87;51.75,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.75,52.87;53.59,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,53.55;55.43,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.27,53.21;57.27,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,58.99;59.57,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.43,59.33;59.57,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,55.59;54.05,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,56.27;53.13,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,55.25;60.03,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,58.99;53.13,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,54.91;59.11,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,59.33;54.51,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,53.21;60.03,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,55.93;55.43,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.65,55.55;58.65,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.65,55.55;59.11,55.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,55.55;59.11,55.56) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.35,55.57;56.35,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,55.57;56.35,55.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,54.91;55.89,55.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.29,54.91;55.89,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.73,58.65;58.19,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,55.56;59.56,55.56) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.49,55.56;60.49,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.35,58.99;58.65,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,55.56;60.49,55.56) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.73,54.91;59.11,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.81,55.47;56.81,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.56,55.56;59.56,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.56,55.59;59.57,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,58.65;56.35,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.81,55.47;57.27,55.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.35,58.65;56.35,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,57.97;53.59,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.27,55.47;57.27,55.48) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.27,55.48;57.53,55.48) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.53,55.48;57.53,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,54.91;71.53,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,52.87;71.53,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,56.61;69.23,56.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.47,59.33;69.23,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.55,58.99;65.55,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,58.65;70.61,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,55.59;68.77,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,55.93;64.63,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.23,53.55;71.53,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.63,55.25;69.23,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,55.59;71.895,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.63,55.25;64.63,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,55.59;71.53,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,55.93;71.53,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.09,56.61;68.31,56.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,53.55;66.01,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.58,55.59;62.33,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,53.55;66.01,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,55.59;68.77,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.17,60.35;68.31,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.17,53.21;65.55,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.17,57.63;66.47,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,57.63;62.1,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.87,54.91;64.17,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,53.55;67.39,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.47,57.63;66.93,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.69,55.59;71.07,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.69,54.91;69.69,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.31,54.91;69.69,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,53.55;68.31,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,47.77;61.87,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,45.39;65.55,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,41.99;60.95,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,45.05;61.87,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,44.03;59.11,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.045,44.03;54.045,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,52.19;64.17,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,38.93;61.41,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,37.57;60.95,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,41.31;61.41,41.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.65,45.73;62.33,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,47.43;61.41,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,37.23;60.95,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,36.89;60.95,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,43.01;63.71,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,39.61;62.79,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,42.33;61.41,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,44.37;66.01,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.47,44.03;66.47,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,48.45;62.1,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,44.37;66.01,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.49,51.17;67.85,51.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,44.37;53.59,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,42.67;61.41,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,39.95;66.47,39.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.73,50.15;63.25,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.65,49.81;61.41,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.07,51.17;71.53,51.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,45.39;71.99,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,50.15;68.77,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,47.77;71.53,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,47.77;65.09,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,47.09;63.71,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.87,44.71;64.17,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,49.47;62.1,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.87,44.71;61.87,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.33,45.05;66.93,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.33,47.77;62.79,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.61,44.71;71.53,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.17,47.09;66.93,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,47.09;66.93,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.09,49.47;65.09,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.31,46.75;68.77,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.09,50.15;65.55,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,49.47;69.23,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.87,47.43;61.87,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.41,49.81;62.1,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.71,50.15;64.17,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.17,50.83;66.47,50.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,48.11;70.15,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,48.11;62.1,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,47.77;68.31,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,44.71;67.39,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,46.75;67.85,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,49.47;63.25,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,48.45;71.99,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,46.75;62.79,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,44.71;66.93,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.99,47.77;71.99,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.87,47.43;65.55,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,50.15;63.25,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.09,49.47;65.55,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,44.71;70.15,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.43,50.49;57.27,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.43,49.81;55.43,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,48.11;54.97,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,50.49;54.51,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,50.15;54.51,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,49.47;55.89,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,49.47;55.89,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,45.05;54.51,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.825,44.71;54.97,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,50.15;52.21,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,44.71;54.97,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,45.39;54.97,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,45.05;54.97,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,47.77;52.67,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,49.47;57.73,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,51.17;60.03,51.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,45.73;58.65,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,50.15;54.05,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,44.71;59.11,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.49,44.71;58.65,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,48.11;50.875,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.43,48.45;55.89,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.81,49.81;56.81,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.73,50.15;57.73,51.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.29,49.81;55.43,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.81,49.81;58.65,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.27,50.15;57.27,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,46.75;52.67,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,48.11;59.11,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,42.33;59.57,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.29,41.99;52.21,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.43,36.89;58.65,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,37.23;54.51,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,41.65;52.21,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,44.37;53.59,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,38.59;53.59,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,39.27;56.35,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,39.95;53.13,40.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,41.65;58.65,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.75,38.59;51.75,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,38.59;60.03,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,38.59;60.03,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.8,36.89;60.03,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,39.61;58.19,40.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,38.59;52.67,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,38.59;52.21,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,42.33;55.89,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,42.33;53.13,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,38.93;58.235,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,38.93;53.59,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.75,39.27;53.59,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,42.33;66.01,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,41.99;62.79,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.23,36.89;69.69,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.84,42.44;66.84,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,44.03;69.23,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,39.61;67.85,40.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.87,42.33;62.33,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.41,37.23;65.55,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.41,41.99;61.41,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.71,44.03;66.47,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.41,41.99;62.79,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.07,42.33;71.07,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.69,42.67;71.07,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,41.65;67.85,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.63,41.65;65.55,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.63,40.29;67.85,40.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.71,42.67;66.84,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.71,42.67;63.71,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,42.33;69.23,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,41.65;67.39,42.28) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,41.31;68.31,41.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,41.31;66.93,42.44) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.84,42.44;66.925,42.44) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.79,38.93;62.79,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.87,36.89;68.77,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.23,40.29;71.99,40.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.385,42.28;67.39,42.28) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.925,42.44;66.93,42.44) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.75,42.33;75.67,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.13,43.01;80.27,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,42.33;80.27,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.21,52.19;77.97,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,50.15;72.91,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,41.31;81.19,41.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,50.49;75.21,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.21,36.89;76.13,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,50.15;78.89,50.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,48.45;77.05,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.45,44.37;72.45,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.05,48.11;77.05,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.05,48.11;78.89,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.05,47.09;77.97,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,47.77;78.89,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,47.77;85.33,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.71,47.77;89.01,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,36.89;81.19,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.23,42.33;79.81,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,36.89;78.89,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.81,41.99;79.81,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.57,38.59;87.17,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.81,41.99;82.11,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,41.99;82.11,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,42.33;92.23,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.57,38.59;82.57,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (92.23,41.65;92.23,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.13,42.33;76.59,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.77,44.71;77.97,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.97,44.71;80.27,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.45,50.15;72.45,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,49.81;76.59,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.37,49.81;76.59,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.19,49.47;81.19,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,49.81;81.19,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.49,49.81;87.17,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,48.11;83.49,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (88.55,47.43;90.85,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (88.55,47.09;88.55,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.65,47.09;88.55,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.75,50.83;78.89,50.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.39,45.39;90.85,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.93,42.67;90.39,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.19,44.71;83.49,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.19,44.71;81.19,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,37.57;77.97,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,44.37;76.59,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,44.03;72.91,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.49,47.43;88.09,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.05,44.03;77.05,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.67,44.71;75.67,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.95,48.11;84.41,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.45,45.05;75.67,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (88.55,50.15;88.55,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (88.55,50.49;90.39,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.39,50.49;90.39,51.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.71,41.99;89.93,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.25,37.23;87.17,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,37.23;86.25,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.65,37.57;83.03,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.57,39.95;90.85,39.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.81,39.61;80.27,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,39.27;84.41,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,39.27;80.27,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.45,45.05;72.45,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.49,36.89;83.95,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,41.65;83.49,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.49,41.99;83.925,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.49,41.65;83.49,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (88.55,37.23;89.47,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.47,36.89;90.85,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.47,36.89;89.47,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.21,44.03;77.05,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.05,44.03;77.51,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,47.09;72.91,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,47.09;73.83,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,41.65;73.83,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,45.39;77.97,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.97,45.05;77.97,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.97,45.05;80.73,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.73,44.37;80.73,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.73,44.37;84.87,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,50.15;80.27,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,42.33;81.65,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.43,45.39;80.73,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.71,52.53;41.17,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.79,49.47;28.29,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,59.33;28.29,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.99,58.65;27.83,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,66.81;32.43,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,52.19;34.73,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,52.19;22.31,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.25,52.53;22.31,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.07,39.95;31.97,39.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.07,37.23;36.11,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.01,45.05;28.75,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.91,58.31;40.71,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.19,54.91;35.19,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.45,52.53;49.45,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,52.87;36.11,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,52.19;36.11,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.59,52.19;41.59,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.59,52.53;42.55,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,52.53;42.55,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.53,53.89;42.09,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.25,38.93;46.23,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.45,58.99;29.67,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (15.87,48.11;29.67,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.91,55.25;28.75,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.15,61.37;27.83,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.85,66.13;27.83,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.91,61.03;29.21,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.15,63.41;32.89,63.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.01,41.99;29.21,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,45.73;28.75,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,52.53;48.07,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.93,60.69;42.55,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,59.33;42.09,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,57.97;41.17,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.95,53.21;39.79,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.03,58.65;40.25,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.95,56.27;42.55,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,54.91;47.15,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.87,61.03;46.69,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,55.93;40.71,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (27.83,67.15;39.33,67.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.89,66.81;40.25,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.03,61.37;40.71,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,64.43;42.09,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,67.49;42.55,67.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.87,58.99;41.17,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,60.35;38.87,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.87,62.05;45.77,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,60.69;44.85,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,60.69;46.69,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,66.47;46.69,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.23,62.05;46.69,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,64.09;42.09,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.25,66.47;40.25,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,64.09;47.15,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,64.43;47.15,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,61.37;48.99,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,65.79;48.53,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.47,66.13;48.07,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,61.37;43.47,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,61.01;47.61,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,61.01;47.61,61.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,61.01;47.15,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.38,61.03;47.61,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.73,66.13;36.57,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,65.79;37.03,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,66.13;37.95,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.75,64.09;29.67,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.21,61.03;29.21,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.21,61.37;36.11,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,64.04;36.57,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,64.04;36.57,64.04) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,64.04;36.11,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,64.09;36.11,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,64.09;35.65,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,61.37;36.11,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,62.05;38.41,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.29,64.43;35.65,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.59,64.09;34.27,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,61.71;38.41,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.51,63.75;33.35,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,66.47;35.19,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,64.43;36.11,64.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.59,64.77;34.73,64.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,66.47;32.43,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.05,65.79;32.89,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,63.41;37.95,63.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,66.13;33.35,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,65.79;33.35,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.03,64.09;37.49,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.19,58.65;35.65,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.21,58.65;30.59,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.59,56.27;36.57,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (27.83,53.55;29.21,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.93,55.59;37.37,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.37,55.59;37.37,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,55.93;37.37,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,53.55;35.65,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.29,53.21;29.67,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,55.59;34.27,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,55.25;36.11,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.73,59.33;35.19,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,56.27;37.95,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.59,55.59;33.35,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.89,58.99;38.41,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.95,55.93;37.95,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.29,59.33;31.05,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.75,55.25;30.13,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,58.99;31.51,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,58.99;38.41,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.605,55.59;47.61,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,53.89;43.01,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.79,53.21;40.25,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,52.87;49.45,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,57.97;43.01,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,54.91;47.15,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.33,55.59;40.25,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.47,58.31;48.53,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.71,55.59;40.71,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,59.33;48.53,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,55.93;47.51,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.51,55.59;47.51,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,57.97;41.63,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.51,55.59;47.605,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,55.48;41.695,55.48) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,54.91;41.63,55.48) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.93,53.55;43.93,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,53.55;43.93,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,53.55;42.09,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,55.93;42.55,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.71,55.59;41.17,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,58.65;42.09,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,53.21;41.71,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,55.59;48.53,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.93,55.59;46.52,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.26,55.59;43.93,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,53.21;45.77,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,55.25;47.15,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,58.65;42.55,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,53.21;49.45,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,59.33;47.15,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,53.21;44.85,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,58.65;47.61,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,58.31;21.39,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (18.63,58.65;23.69,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,58.65;17.71,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.53,53.55;25.53,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.79,58.65;17.71,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,66.81;19.55,67.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (18.63,53.21;20.47,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,53.55;24.61,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.47,58.99;25.99,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.91,55.25;26.91,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.79,60.35;20.01,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.73,61.37;13.57,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,64.43;11.73,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,56.27;14.03,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,60.69;14.95,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (7.59,53.55;9.43,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (7.59,58.31;8.97,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.51,63.07;8.51,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.11,64.09;14.95,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.49,66.47;14.95,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.99,58.65;25.99,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.73,53.55;13.11,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,64.43;25.07,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,66.47;22.31,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.25,66.13;21.39,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.33,63.41;21.85,63.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.45,57.63;26.45,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,66.47;24.15,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.85,62.05;23.23,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.69,58.31;26.45,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.69,58.31;23.69,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.11,53.55;14.03,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.25,61.03;19.55,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (6.67,67.49;19.55,67.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.15,58.65;25.53,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (15.41,57.97;23.69,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.15,63.41;24.15,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (15.41,57.97;15.41,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (18.17,63.75;18.17,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (18.17,63.75;24.15,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (15.87,63.75;18.17,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,52.87;11.27,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,52.87;11.73,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.69,57.97;25.99,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.93,60.69;20.93,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,47.09;20.47,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.39,38.59;23.23,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.25,50.83;19.55,50.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.03,50.15;14.95,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.11,50.15;14.03,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.93,44.37;22.31,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,44.71;24.61,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,44.37;22.31,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.99,50.49;25.99,51.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.05,36.89;9.43,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.11,45.05;20.01,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.09,50.49;25.99,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.53,39.27;26.45,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,42.67;25.53,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.53,45.39;26.45,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.39,47.43;21.39,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.39,47.43;26.45,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,49.81;21.39,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.47,42.33;22.31,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,42.33;22.31,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.85,37.57;25.53,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.11,48.45;17.25,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,49.47;16.79,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,39.27;24.61,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.91,39.27;26.91,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.97,49.81;10.81,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.97,49.47;8.97,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.23,39.61;26.91,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.69,36.89;24.15,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.73,37.57;13.11,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.23,39.61;23.23,39.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,44.37;27.37,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,36.89;18.17,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.09,36.89;20.01,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.01,51.17;25.53,51.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,36.89;23.23,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,52.19;18.17,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,52.19;41.59,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,45.73;49.45,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,43.01;38.87,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.87,43.01;39.79,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.95,42.33;40.25,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,41.99;38.87,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.95,46.75;42.55,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,48.11;43.47,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.03,37.23;41.63,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,42.67;43.47,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.27,49.81;45.31,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,39.61;39.33,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.87,36.89;39.79,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,44.37;42.55,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (44.39,52.19;47.61,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.71,47.43;40.71,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,47.77;48.99,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.25,44.71;40.25,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,46.75;43.93,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.79,45.39;43.01,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.62,50.15;40.71,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.71,50.15;40.71,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.71,50.49;41.63,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,50.49;43.47,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.25,47.77;40.71,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.71,47.43;43.01,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,52.19;44.39,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,46.75;42.55,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,44.71;47.15,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,47.43;43.01,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,49.81;47.15,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.33,50.49;39.79,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,50.15;43.93,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.79,49.47;47.61,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,44.71;48.07,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,47.77;48.07,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.25,45.05;43.47,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,47.77;46.69,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,47.77;44.85,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.23,50.49;48.99,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.23,50.15;46.23,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,47.43;35.65,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.19,52.19;35.65,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.29,47.77;28.75,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,47.43;35.65,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.59,50.15;30.59,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.67,50.49;30.59,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.29,49.81;31.51,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,44.71;38.41,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,50.49;36.57,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.29,49.47;28.29,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.27,49.81;34.27,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.03,47.43;37.03,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,47.43;37.03,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,47.43;36.11,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,46.75;36.57,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,46.75;36.57,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.05,45.05;31.05,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.97,50.15;34.27,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.05,45.39;34.73,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.21,50.15;30.13,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.51,49.47;31.51,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,45.05;35.65,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,45.73;36.57,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.51,49.47;34.27,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,47.77;32.43,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,47.77;36.57,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.67,48.11;32.43,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,41.99;36.11,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.97,39.61;31.97,39.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,41.99;37.49,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.11,36.89;36.11,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,41.99;32.43,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.67,36.89;33.81,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,41.65;35.65,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.21,41.99;29.21,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.03,37.23;37.03,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,44.03;37.95,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,42.67;33.81,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,42.33;30.13,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,42.67;33.81,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,43.01;33.35,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.29,42.67;28.75,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,42.33;32.43,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,42.33;37.49,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,42.33;37.49,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.67,37.57;30.13,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,41.65;35.65,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.67,41.99;32.43,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.27,42.67;36.11,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,39.27;47.61,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.25,42.33;41.63,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,38.93;47.61,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.47,44.03;48.07,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,42.33;44.39,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,37.23;41.63,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.33,39.27;39.33,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,42.67;48.53,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (49.45,42.33;49.45,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,39.27;45.31,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.71,39.61;47.61,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,41.99;48.07,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,42.33;45.31,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,41.99;41.63,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,36.89;48.53,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (44.85,42.33;45.31,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.79,39.61;40.71,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,44.37;48.99,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,41.99;47.61,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.79,37.57;40.71,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,43.01;49.45,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,41.99;49.45,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.25,36.89;40.35,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.23,38.59;46.23,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,42.33;46.69,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.61,26.01;27.83,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.61,17.51;27.83,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.35,20.57;11.27,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.45,33.83;29.21,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.53,30.43;29.67,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.23,22.61;28.29,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,20.57;45.77,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.91,9.35;30.13,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.61,6.29;34.5,6.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.03,4.93;32.43,4.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.47,24.99;30.13,24.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.07,22.27;28.29,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.99,17.85;28.75,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.23,18.19;33.81,18.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.07,9.01;30.59,9.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.45,14.79;32.89,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.91,13.09;39.79,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (27.37,28.05;30.13,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (27.37,31.79;29.21,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.45,23.29;27.83,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.85,28.73;28.29,28.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.79,14.45;28.75,14.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (27.37,11.39;43.01,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.07,19.89;32.43,19.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.53,25.67;28.75,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.87,28.05;47.15,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,28.05;47.61,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.47,22.27;43.47,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.33,23.29;43.47,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.47,22.95;43.47,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.67,22.95;30.13,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,22.95;30.13,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,23.97;37.49,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,23.63;37.49,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,23.63;39.33,23.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.33,23.29;39.33,23.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,26.35;45.77,26.35) w=0.14 bx=0 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (27.83,31.11;32.43,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (27.83,31.11;27.83,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,26.01;34.73,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.73,29.07;36.11,29.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,27.71;39.79,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.27,31.45;35.19,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,31.45;45.31,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,35.87;48.53,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,33.83;48.53,34.17) w=0.14 bx=0 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (44.85,31.11;45.77,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,28.39;48.53,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,33.49;45.77,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,30.77;48.07,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,22.61;41.63,22.61) w=0.14 bx=0 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (36.57,30.43;37.49,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,26.35;48.53,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,34.85;49.45,34.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,28.73;47.61,28.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (39.79,33.83;40.71,33.83) w=0.14 bx=0 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,32.13;43.01,32.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,33.49;43.01,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,31.45;40.25,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.25,30.77;40.25,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.63,28.39;41.63,28.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.89,27.71;33.81,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,24.99;32.89,24.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,23.29;46.23,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,29.41;48.07,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,31.45;45.31,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.19,27.71;38.41,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,33.49;33.81,33.83) w=0.14 bx=0.07 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.29,22.61;28.29,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,28.05;30.13,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,33.49;35.19,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.21,31.45;29.21,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,22.27;42.09,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.27,22.27;42.09,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.27,22.27;34.27,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.29,22.61;34.27,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.05,25.67;35.19,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.19,25.67;45.77,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,25.67;48.99,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,22.27;43.01,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,28.39;47.61,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,22.95;46.69,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,31.45;33.35,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,31.79;34.73,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.27,33.83;34.73,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.73,31.79;37.49,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,28.39;40.25,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.65,30.77;18.17,30.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.43,22.27;10.35,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.95,30.43;25.07,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,22.61;22.77,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,22.61;17.71,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.51,34.17;12.65,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.57,33.15;14.03,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (15.87,26.01;16.33,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.51,23.29;20.47,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.05,31.45;9.89,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.05,30.43;8.05,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,26.69;18.63,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (18.63,31.45;18.635,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.05,33.15;8.05,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,33.15;20.47,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,31.11;22.77,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,31.11;22.77,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,31.45;25.82,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,33.83;19.55,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.33,33.15;16.33,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.33,33.15;19.55,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.05,33.49;16.33,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,22.95;22.31,23.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.95,23.63;22.31,23.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.95,23.63;14.95,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.33,34.17;23.23,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.23,34.17;23.23,34.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.03,26.35;15.87,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (15.87,26.01;15.87,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.05,27.71;14.03,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,20.91;25.07,20.91) w=0.14 bx=0 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.35,30.43;13.11,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.53,33.83;26.45,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.11,28.73;14.95,28.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.35,28.05;10.35,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.35,28.05;12.65,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.61,21.25;25.53,21.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.93,21.25;24.61,21.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.65,28.05;12.65,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.01,25.67;20.01,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,28.39;11.27,29.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,29.07;19.55,29.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.45,26.69;26.91,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.69,33.83;24.15,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,33.83;12.19,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (15.87,29.41;17.71,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.79,33.49;22.31,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.23,26.01;24.15,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.79,33.49;16.79,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.69,27.71;25.07,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,28.05;23.69,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.69,27.71;23.69,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.45,31.45;26.45,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.65,29.41;15.87,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.61,31.79;26.45,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.07,25.33;25.07,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.15,25.33;25.07,25.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.15,28.05;24.61,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.39,29.41;24.15,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.81,29.41;12.19,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.79,31.45;16.79,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.47,26.35;27.37,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.47,26.35;20.47,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.19,31.79;16.79,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.43,22.95;10.81,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.01,25.67;24.61,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.39,28.05;21.85,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.85,28.05;21.85,28.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.61,25.67;24.61,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (21.85,26.01;22.31,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.47,31.45;21.39,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.49,28.39;20.47,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.47,28.39;20.47,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,31.45;22.31,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,31.79;23.23,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,26.69;23.23,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.03,28.05;14.03,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.03,28.05;14.49,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.19,24.99;12.65,24.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,31.79;17.71,32.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,32.13;21.85,32.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.01,22.27;23.69,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,33.49;24.61,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.49,27.71;14.49,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.49,27.71;23.23,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.03,34.85;15.87,34.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.81,34.51;13.57,34.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.35,34.51;10.81,34.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.55,31.11;14.49,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (18.17,31.45;18.17,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.65,26.01;14.49,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.93,25.33;23.69,25.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.69,23.97;25.53,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.57,32.13;17.71,32.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.81,20.91;12.65,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.65,30.77;12.65,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.65,20.91;12.65,21.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (18.17,30.77;24.61,30.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,31.79;18.17,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.45,14.79;26.45,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,19.89;23.23,19.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.77,12.41;26.91,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (26.91,12.41;26.91,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,15.47;11.27,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.51,10.03;10.35,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.43,17.85;10.81,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,6.97;24.61,6.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.61,6.29;24.61,6.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (17.71,12.07;19.55,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,11.39;19.55,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.43,11.73;9.43,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.43,11.73;14.49,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.49,7.65;20.01,7.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.55,11.39;24.15,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.43,9.35;9.43,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.43,9.35;9.89,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.89,8.67;9.89,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.89,8.67;24.15,8.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.35,12.07;11.73,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.73,9.35;11.73,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.73,9.35;14.03,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.57,9.69;13.57,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.03,17.17;26.45,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.51,17.51;8.97,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.47,15.81;21.85,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.57,10.03;16.33,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.33,17.51;16.79,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.57,12.07;13.57,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (16.33,6.63;16.79,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (8.51,11.39;18.17,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.57,12.41;14.49,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (13.57,20.23;16.79,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.19,10.03;13.11,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (24.15,10.03;25.99,10.03) w=0.14 bx=0 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.03,18.53;15.87,18.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (12.19,14.45;16.79,14.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (27.37,11.39;27.37,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,12.75;23.23,12.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,15.13;14.95,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,17.85;12.19,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.07,19.89;25.07,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.49,16.83;16.33,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.81,15.13;11.27,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (14.49,9.35;20.93,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.93,12.07;25.53,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.09,14.79;19.09,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (19.09,14.79;25.53,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.53,14.79;25.53,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (10.35,15.47;11.27,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.01,11.73;20.01,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.01,11.73;25.07,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (20.01,15.13;25.07,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (15.87,12.07;16.33,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,10.37;15.87,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (11.27,9.69;11.27,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (9.89,9.69;11.27,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (22.31,5.95;23.23,5.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (15.87,10.37;23.23,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (23.23,10.37;25.53,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (25.53,10.37;26.45,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,17.85;43.01,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,9.35;30.13,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,10.03;37.03,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,15.81;38.87,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.87,20.23;47.15,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,9.35;49.45,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (28.75,20.23;32.43,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.59,9.01;30.59,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.15,19.89;47.15,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.03,15.81;37.49,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.59,9.35;34.73,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.99,15.13;48.99,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,16.83;43.93,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (31.51,18.53;31.97,18.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.59,6.63;35.1,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,10.37;43.01,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.09,6.63;43.01,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.47,12.07;45.77,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,6.97;38.87,6.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.17,11.73;41.17,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.87,11.73;41.17,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.21,9.69;29.67,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (41.17,12.07;41.17,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.73,14.79;48.53,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,14.45;48.53,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.5,5.95;34.5,6.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.59,15.13;33.35,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,14.79;33.35,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.35,14.79;34.73,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,17.17;35.65,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (35.65,17.17;35.65,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,6.29;45.31,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (27.83,6.63;29.67,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,19.55;32.43,19.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,6.29;45.31,6.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.77,17.17;45.77,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.07,6.63;48.53,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.43,9.69;33.81,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,6.29;48.53,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.67,7.65;30.13,7.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.01,5.95;43.01,6.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,10.37;32.43,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (34.5,5.95;43.01,5.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,17.17;45.31,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (40.25,17.17;45.31,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (47.61,6.63;47.61,6.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (48.53,17.51;48.53,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,17.85;48.53,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,17.51;45.31,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,6.63;47.61,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,17.51;47.15,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (32.89,10.37;33.35,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.69,6.29;46.69,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (45.31,6.29;46.69,6.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (42.55,19.89;46.69,19.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (38.41,17.51;38.41,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,9.69;30.13,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,12.07;37.49,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (37.49,12.07;40.71,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,19.55;41.17,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.21,15.13;29.67,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.67,15.12;29.67,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.67,15.12;30.13,15.12) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,15.12;30.13,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (46.23,12.07;46.69,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (43.93,19.55;46.23,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (30.13,15.47;33.81,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (33.81,15.13;33.81,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (29.21,16.83;29.67,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.49,20.23;60.49,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.37,20.57;52.21,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,20.23;63.25,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.07,20.23;71.07,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.55,20.23;65.55,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.25,20.57;65.55,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,10.37;72.91,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,25.67;73.83,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,22.61;73.83,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.99,17.17;78.43,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.07,21.25;77.51,21.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,29.41;83.03,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.85,20.57;91.31,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.85,20.57;90.85,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,15.47;76.59,15.47) w=0.14 bx=0.07 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.33,20.57;87.63,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (87.63,20.23;87.63,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,23.63;72.45,23.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.23,8.67;74.29,8.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,31.45;74.29,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.07,31.79;74.29,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,20.57;69.23,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,34.85;72.91,34.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,20.57;66.01,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,20.57;59.11,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.15,20.57;70.61,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.49,20.57;62.1,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.61,15.81;80.73,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.01,20.57;90.39,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.01,20.57;89.01,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,20.56;62.1,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,20.56;62.33,20.56) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.33,20.56;62.33,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.13,28.73;78.43,28.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,27.71;76.59,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,28.05;78.43,28.05) w=0.14 bx=0.07 ex=0 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.37,33.83;76.59,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,30.77;73.83,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,30.77;76.59,30.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.59,30.77;76.59,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (84.41,21.25;85.33,21.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.33,22.61;88.09,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (88.09,22.61;88.09,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.21,22.61;76.59,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (84.41,23.29;88.55,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,27.71;83.49,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,31.11;74.29,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.51,33.83;77.97,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,28.39;74.75,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,33.83;78.89,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (76.13,34.17;78.89,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (75.67,31.45;79.81,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.73,23.97;82.57,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,26.69;79.35,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.27,20.91;83.49,20.91) w=0.14 bx=0 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,26.69;77.51,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.89,32.13;85.33,32.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,34.51;87.63,34.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,34.51;72.91,34.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (87.63,30.77;90.85,30.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.71,33.49;90.39,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.01,29.07;91.77,29.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,26.69;83.03,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.03,26.69;83.95,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.95,26.35;86.25,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,31.45;84.41,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.39,29.41;90.85,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.79,33.83;85.79,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.79,34.17;90.39,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (87.63,26.35;88.09,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (88.55,25.67;89.93,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.95,26.35;83.95,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.47,28.39;90.39,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (73.83,22.61;73.83,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.39,20.91;90.85,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (85.79,20.91;89.01,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.19,31.79;85.79,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.39,20.91;90.39,21.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.35,31.79;80.27,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.35,28.73;85.33,28.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.25,21.25;90.39,21.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.73,33.83;82.11,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.45,27.71;76.59,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.25,33.83;88.09,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.25,33.49;86.25,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,33.49;86.25,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.11,33.49;82.11,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,25.67;60.03,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,23.29;65.09,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.41,31.45;61.41,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.41,33.83;65.24,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,34.17;53.59,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,33.83;55.43,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,35.87;65.55,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,31.79;55.43,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.37,33.49;50.37,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.07,31.45;71.07,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,22.61;67.39,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,25.67;68.77,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,31.45;71.07,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,30.77;66.01,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.37,33.49;54.97,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,33.49;54.97,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,31.11;70.15,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,22.95;67.85,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.85,23.29;68.31,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.31,23.29;68.31,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.31,23.97;71.99,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.31,30.43;70.15,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.37,28.73;53.13,28.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.37,28.05;50.37,28.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.47,34.51;67.39,34.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,33.83;54.28,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,34.51;62.1,34.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,33.83;50.83,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,28.05;71.99,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.99,28.05;71.99,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.81,26.35;59.57,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,20.91;68.77,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.37,22.95;50.37,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.09,36.21;66.01,36.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,20.91;66.01,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.28,33.83;54.51,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,34.17;70.61,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.35,23.97;67.85,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,33.83;67.39,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,35.87;66.01,36.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.24,33.83;67.39,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.53,31.11;71.53,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.61,31.11;71.53,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,26.69;54.51,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,35.87;68.77,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.01,28.39;69.69,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.69,22.95;71.07,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.77,33.83;71.53,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,31.11;64.17,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (64.17,26.69;66.93,26.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.09,23.29;67.39,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.71,33.15;64.63,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,33.15;57.73,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.73,33.15;57.73,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.95,33.15;62.79,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.73,30.43;58.65,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,31.45;54.05,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,31.45;61.41,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,8.67;58.65,8.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,15.13;54.05,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,12.75;59.57,12.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,12.75;59.57,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,13.09;68.77,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.37,11.73;55.89,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,10.03;58.19,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,17.51;53.59,18.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,9.69;53.59,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,8.67;52.21,9.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (67.39,9.69;67.39,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,10.37;67.39,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,14.45;53.59,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.21,9.01;52.67,9.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,9.01;52.67,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.31,15.13;69.23,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.23,15.13;69.69,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.71,14.79;63.71,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.59,14.79;55.89,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,10.03;58.19,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,12.07;55.43,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,20.23;60.49,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (63.71,14.79;68.31,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (68.31,14.79;68.31,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.37,17.51;51.29,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,12.41;54.05,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.515,17.51;55.89,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,14.11;59.11,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.11,14.79;63.71,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,17.51;55.89,18.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,18.53;55.89,18.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,11.73;61.41,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.87,20.23;63.25,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,12.07;52.67,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (61.87,19.89;61.87,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (52.67,12.41;53.13,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.97,16.83;54.97,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.81,17.17;59.57,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (53.13,16.83;54.97,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (65.55,20.23;71.07,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.07,13.09;71.99,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.29,17.51;52.21,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.99,17.17;71.99,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.89,18.53;66.93,18.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (57.73,17.85;58.19,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.37,17.17;50.37,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.33,14.45;63.25,14.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.81,11.39;56.81,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.33,19.55;71.07,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (55.43,11.39;56.81,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.33,15.13;62.33,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,15.47;62.33,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.1,15.47;62.1,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,15.13;66.93,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (62.33,15.47;66.93,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.61,15.13;70.61,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (66.93,15.47;70.61,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.29,14.79;53.13,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (70.61,15.47;70.61,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (71.07,20.23;71.99,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (58.19,12.41;60.03,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (56.35,13.09;59.11,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,6.63;60.03,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (59.57,14.11;60.03,14.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,9.69;50.83,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,9.35;66.01,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (69.69,12.07;71.07,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,9.01;60.03,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.05,9.01;60.03,9.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (54.51,17.17;54.51,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (50.83,17.17;54.51,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (51.29,13.09;52.67,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (60.03,7.65;60.95,7.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.57,12.07;89.93,12.07) w=0.14 bx=0 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.97,9.69;77.97,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,10.37;77.97,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.71,9.35;86.71,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.03,9.35;86.71,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.65,5.95;83.03,5.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,20.23;83.03,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.95,9.69;85.79,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.65,19.55;83.95,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.47,17.85;90.39,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.93,15.81;90.39,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (84.41,18.53;89.47,18.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.47,17.85;89.47,18.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.47,11.39;89.47,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (84.41,11.39;89.47,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.01,16.83;89.47,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.93,11.73;90.39,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (81.65,15.81;89.47,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.49,5.95;84.41,5.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (87.17,15.47;87.63,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.05,12.41;85.33,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,17.51;75.67,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,11.39;74.75,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (87.63,20.23;91.77,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (91.31,18.53;91.77,18.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.93,12.07;89.93,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (78.43,9.69;79.81,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.8,6.63;83.95,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.71,19.89;86.71,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.73,19.89;86.71,19.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.85,10.37;91.31,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.85,6.63;91.31,6.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (77.05,17.85;84.41,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.75,9.35;76.59,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (87.63,5.95;89.01,5.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (74.29,14.79;87.63,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (90.85,11.73;91.77,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (87.63,19.55;88.55,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (83.03,17.17;84.87,17.17) w=0.14 bx=0 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (89.93,17.51;91.77,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (80.73,6.97;82.8,6.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (82.8,6.63;82.8,6.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (72.91,12.41;75.67,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.81,10.03;84.87,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (79.81,9.69;79.81,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - path: (86.25,16.83;87.08,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.255,35.8;70.255,35.94;70.61,35.94;70.61,35.8) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (53.235,35.8;53.235,35.94;53.59,35.94;53.59,35.8) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (49.555,58.24;49.555,58.38;49.91,58.38;49.91,58.24) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (49.315,55.86;49.315,56;49.91,56;49.91,55.86) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (32.535,35.8;32.535,35.94;32.89,35.94;32.89,35.8) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (49.555,28.32;49.555,28.46;49.91,28.46;49.91,28.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.715,39.88;70.715,40.02;71.07,40.02;71.07,39.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.475,50.42;70.475,50.56;71.07,50.56;71.07,50.42) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.715,66.4;70.715,66.54;71.07,66.54;71.07,66.4) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.715,60.96;70.715,61.1;71.07,61.1;71.07,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (76.695,59.26;76.695,59.4;77.05,59.4;77.05,59.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (72.095,53.48;72.095,53.62;72.45,53.62;72.45,53.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (77.155,58.24;77.155,58.38;77.51,58.38;77.51,58.24) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (77.97,58.24;77.97,58.38;78.325,58.38;78.325,58.24) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (75.775,53.82;75.775,53.96;76.13,53.96;76.13,53.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (75.535,56.2;75.535,56.34;76.13,56.34;76.13,56.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (84.515,60.96;84.515,61.1;84.87,61.1;84.87,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (71.99,58.58;71.99,58.72;72.345,58.72;72.345,58.58) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (75.21,58.92;75.21,59.06;75.805,59.06;75.805,58.92) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (71.175,58.92;71.175,59.06;71.53,59.06;71.53,58.92) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (87.275,53.48;87.275,53.62;87.63,53.62;87.63,53.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (86.815,52.46;86.815,52.6;87.17,52.6;87.17,52.46) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (90.495,57.9;90.495,58.04;90.85,58.04;90.85,57.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (73.015,66.06;73.015,66.2;73.37,66.2;73.37,66.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (72.775,64.36;72.775,64.5;73.37,64.5;73.37,64.36) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (77.155,61.64;77.155,61.78;77.51,61.78;77.51,61.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (83.595,60.28;83.595,60.42;83.95,60.42;83.95,60.28) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (76.235,56.54;76.235,56.68;76.59,56.68;76.59,56.54) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (76.695,60.96;76.695,61.1;77.05,61.1;77.05,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (90.955,63;90.955,63.14;91.31,63.14;91.31,63) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (88.655,64.02;88.655,64.16;89.01,64.16;89.01,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (88.655,65.72;88.655,65.86;89.01,65.86;89.01,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (83.595,66.4;83.595,66.54;83.95,66.54;83.95,66.4) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (84.975,64.02;84.975,64.16;85.33,64.16;85.33,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (78.535,64.02;78.535,64.16;78.89,64.16;78.89,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (78.535,65.72;78.535,65.86;78.89,65.86;78.89,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (85.435,55.86;85.435,56;85.79,56;85.79,55.86) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (82.895,55.52;82.895,55.66;83.49,55.66;83.49,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (78.995,53.48;78.995,53.62;79.35,53.62;79.35,53.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (84.055,55.18;84.055,55.32;84.41,55.32;84.41,55.18) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.21,60.96;52.21,61.1;52.54,61.1;52.54,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (53.13,59.26;53.13,59.4;53.725,59.4;53.725,59.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (62.895,57.56;62.895,57.7;63.25,57.7;63.25,57.56) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (59.7,58.58;59.7,58.72;60.03,58.72;60.03,58.58) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (59.435,60.28;59.435,60.42;60.03,60.42;60.03,60.28) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (66.115,55.86;66.115,56;66.47,56;66.47,55.86) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (66.115,53.14;66.115,53.28;66.47,53.28;66.47,53.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.935,58.58;50.935,58.72;51.29,58.72;51.29,58.58) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (68.875,64.36;68.875,64.5;69.23,64.5;69.23,64.36) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (68.635,67.08;68.635,67.22;69.23,67.22;69.23,67.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (57.73,55.86;57.73,56;58.085,56;58.085,55.86) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (54.615,55.52;54.615,55.66;54.97,55.66;54.97,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (62.895,53.14;62.895,53.28;63.25,53.28;63.25,53.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.67,53.14;52.67,53.28;53.025,53.28;53.025,53.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (67.955,60.96;67.955,61.1;68.31,61.1;68.31,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (65.195,64.02;65.195,64.16;65.55,64.16;65.55,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.15,64.02;70.15,64.16;70.505,64.16;70.505,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (61.975,60.96;61.975,61.1;62.33,61.1;62.33,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (53.695,64.02;53.695,64.16;54.05,64.16;54.05,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.775,65.72;52.775,65.86;53.13,65.86;53.13,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (69.335,58.92;69.335,59.06;69.69,59.06;69.69,58.92) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (57.835,64.02;57.835,64.16;58.19,64.16;58.19,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (61.055,66.06;61.055,66.2;61.41,66.2;61.41,66.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.475,66.4;50.475,66.54;50.83,66.54;50.83,66.4) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.235,64.02;50.235,64.16;50.83,64.16;50.83,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.015,58.58;70.015,58.72;70.61,58.72;70.61,58.58) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (62.435,53.48;62.435,53.62;62.79,53.62;62.79,53.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (65.655,55.52;65.655,55.66;66.01,55.66;66.01,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (67.035,55.52;67.035,55.66;67.39,55.66;67.39,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (51.855,55.52;51.855,55.66;52.21,55.66;52.21,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (60.595,53.14;60.595,53.28;60.95,53.28;60.95,53.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.315,58.24;52.315,58.38;52.67,58.38;52.67,58.24) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (56.35,60.96;56.35,61.1;56.705,61.1;56.705,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.775,60.96;52.775,61.1;53.13,61.1;53.13,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (63.355,66.06;63.355,66.2;63.71,66.2;63.71,66.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.015,56.2;50.015,56.34;50.37,56.34;50.37,56.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (51.395,42.26;51.395,42.4;51.75,42.4;51.75,42.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (62.895,49.4;62.895,49.54;63.25,49.54;63.25,49.4) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (58.295,47.7;58.295,47.84;58.65,47.84;58.65,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (62.79,45.32;62.79,45.46;63.385,45.46;63.385,45.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (65.195,44.64;65.195,44.78;65.55,44.78;65.55,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (64.955,39.88;64.955,40.02;65.55,40.02;65.55,39.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (60.595,38.52;60.595,38.66;60.95,38.66;60.95,38.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (58.975,37.5;58.975,37.64;59.57,37.64;59.57,37.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.015,50.42;50.015,50.56;50.37,50.56;50.37,50.42) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (64.495,44.98;64.495,45.12;65.09,45.12;65.09,44.98) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.255,42.26;70.255,42.4;70.61,42.4;70.61,42.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (65.655,47.7;65.655,47.84;66.01,47.84;66.01,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (53.695,47.7;53.695,47.84;54.05,47.84;54.05,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (58.055,41.58;58.055,41.72;58.65,41.72;58.65,41.58) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.67,36.82;52.67,36.96;53.025,36.96;53.025,36.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (63.71,39.2;63.71,39.34;64.065,39.34;64.065,39.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (63.115,36.48;63.115,36.62;63.71,36.62;63.71,36.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (54.615,47.7;54.615,47.84;54.97,47.84;54.97,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.315,45.66;52.315,45.8;52.67,45.8;52.67,45.66) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.875,48.04;50.875,48.18;51.185,48.18;51.185,48.04) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (51.855,36.82;51.855,36.96;52.21,36.96;52.21,36.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (60.595,44.64;60.595,44.78;60.95,44.78;60.95,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (60.135,49.4;60.135,49.54;60.49,49.54;60.49,49.4) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.21,42.26;52.21,42.4;52.565,42.4;52.565,42.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (66.115,50.08;66.115,50.22;66.47,50.22;66.47,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (54.51,50.08;54.51,50.22;54.865,50.22;54.865,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (64.735,39.2;64.735,39.34;65.09,39.34;65.09,39.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.475,49.74;50.475,49.88;50.83,49.88;50.83,49.74) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (67.495,36.14;67.495,36.28;67.85,36.28;67.85,36.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (87.955,50.08;87.955,50.22;88.55,50.22;88.55,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (89.575,37.5;89.575,37.64;89.93,37.64;89.93,37.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (85.895,41.58;85.895,41.72;86.25,41.72;86.25,41.58) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (83.03,38.86;83.03,39;83.385,39;83.385,38.86) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (89.795,39.88;89.795,40.02;90.39,40.02;90.39,39.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (89.115,39.2;89.115,39.34;89.47,39.34;89.47,39.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (89.115,41.24;89.115,41.38;89.47,41.38;89.47,41.24) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (74.29,50.08;74.29,50.22;74.645,50.22;74.645,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (85.895,48.04;85.895,48.18;86.25,48.18;86.25,48.04) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (73.695,42.6;73.695,42.74;74.29,42.74;74.29,42.6) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (72.91,44.64;72.91,44.78;73.265,44.78;73.265,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (78.075,50.08;78.075,50.22;78.43,50.22;78.43,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (78.075,47.7;78.075,47.84;78.43,47.84;78.43,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (91.875,41.58;91.875,41.72;92.23,41.72;92.23,41.58) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (73.935,39.2;73.935,39.34;74.29,39.34;74.29,39.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (75.315,50.08;75.315,50.22;75.67,50.22;75.67,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (71.175,47.7;71.175,47.84;71.53,47.84;71.53,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (76.695,47.7;76.695,47.84;77.05,47.84;77.05,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (75.775,47.7;75.775,47.84;76.13,47.84;76.13,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (76.695,50.42;76.695,50.56;77.05,50.56;77.05,50.42) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (72.095,47.02;72.095,47.16;72.45,47.16;72.45,47.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (76.455,41.24;76.455,41.38;77.05,41.38;77.05,41.24) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (77.51,42.6;77.51,42.74;77.865,42.74;77.865,42.6) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (72.555,47.7;72.555,47.84;72.91,47.84;72.91,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (74.855,36.14;74.855,36.28;75.21,36.28;75.21,36.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (78.075,38.52;78.075,38.66;78.43,38.66;78.43,38.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (73.475,50.08;73.475,50.22;73.83,50.22;73.83,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (86.575,49.74;86.575,49.88;87.17,49.88;87.17,49.74) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (76.235,48.04;76.235,48.18;76.59,48.18;76.59,48.04) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (90.495,50.08;90.495,50.22;90.85,50.22;90.85,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (90.035,47.02;90.035,47.16;90.39,47.16;90.39,47.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (82.895,44.64;82.895,44.78;83.49,44.78;83.49,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (83.595,50.08;83.595,50.22;83.95,50.22;83.95,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (90.035,51.1;90.035,51.24;90.39,51.24;90.39,51.1) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (88.195,44.64;88.195,44.78;88.55,44.78;88.55,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (33.215,53.48;33.215,53.62;33.81,53.62;33.81,53.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (43.01,58.92;43.01,59.06;43.605,59.06;43.605,58.92) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (36.215,53.14;36.215,53.28;36.57,53.28;36.57,53.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (30.235,65.72;30.235,65.86;30.59,65.86;30.59,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (47.61,60.96;47.61,61.1;47.975,61.1;47.975,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.135,53.14;37.135,53.28;37.49,53.28;37.49,53.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (36.895,54.84;36.895,54.98;37.49,54.98;37.49,54.84) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (45.31,55.18;45.31,55.32;45.665,55.32;45.665,55.18) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (47.715,60.28;47.715,60.42;48.07,60.42;48.07,60.28) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (45.875,60.62;45.875,60.76;46.23,60.76;46.23,60.62) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (48.635,52.46;48.635,52.6;48.99,52.6;48.99,52.46) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.595,61.64;37.595,61.78;37.95,61.78;37.95,61.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (31.155,60.96;31.155,61.1;31.51,61.1;31.51,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (48.855,52.12;48.855,52.26;49.45,52.26;49.45,52.12) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (28.855,60.28;28.855,60.42;29.21,60.42;29.21,60.28) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (29.315,55.52;29.315,55.66;29.67,55.66;29.67,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (30.235,53.14;30.235,53.28;30.59,53.28;30.59,53.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (29.775,60.96;29.775,61.1;30.13,61.1;30.13,60.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (43.115,56.2;43.115,56.34;43.47,56.34;43.47,56.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (29.075,61.3;29.075,61.44;29.67,61.44;29.67,61.3) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (47.475,66.06;47.475,66.2;48.07,66.2;48.07,66.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (42.655,65.72;42.655,65.86;43.01,65.86;43.01,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (44.495,63.34;44.495,63.48;44.85,63.48;44.85,63.34) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (46.335,64.02;46.335,64.16;46.69,64.16;46.69,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (48.53,60.28;48.53,60.42;48.885,60.42;48.885,60.28) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (47.61,55.52;47.61,55.66;47.96,55.66;47.96,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (18.955,58.24;18.955,58.38;19.55,58.38;19.55,58.24) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (23.555,52.8;23.555,52.94;24.15,52.94;24.15,52.8) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (25.635,65.72;25.635,65.86;25.99,65.86;25.99,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (13.215,65.72;13.215,65.86;13.57,65.86;13.57,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (10.915,65.72;10.915,65.86;11.27,65.86;11.27,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (10.915,61.64;10.915,61.78;11.27,61.78;11.27,61.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (11.375,58.92;11.375,59.06;11.73,59.06;11.73,58.92) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (11.73,65.72;11.73,65.86;12.085,65.86;12.085,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (10.915,57.9;10.915,58.04;11.27,58.04;11.27,57.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (7.235,55.52;7.235,55.66;7.59,55.66;7.59,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (8.615,61.3;8.615,61.44;8.97,61.44;8.97,61.3) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (8.375,63;8.375,63.14;8.97,63.14;8.97,63) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (16.895,58.92;16.895,59.06;17.25,59.06;17.25,58.92) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (14.595,59.26;14.595,59.4;14.95,59.4;14.95,59.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (14.595,55.52;14.595,55.66;14.95,55.66;14.95,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (24.015,64.36;24.015,64.5;24.61,64.5;24.61,64.36) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (24.255,61.64;24.255,61.78;24.61,61.78;24.61,61.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (21.85,66.06;21.85,66.2;22.205,66.2;22.205,66.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (22.415,64.02;22.415,64.16;22.77,64.16;22.77,64.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (16.895,56.54;16.895,56.68;17.25,56.68;17.25,56.54) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (15.515,61.64;15.515,61.78;15.87,61.78;15.87,61.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (9.995,53.14;9.995,53.28;10.35,53.28;10.35,53.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (16.895,61.98;16.895,62.12;17.25,62.12;17.25,61.98) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (19.655,52.8;19.655,52.94;20.01,52.94;20.01,52.8) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (19.875,53.14;19.875,53.28;20.47,53.28;20.47,53.14) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (27.935,65.72;27.935,65.86;28.29,65.86;28.29,65.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (25.635,56.2;25.635,56.34;25.99,56.34;25.99,56.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (19.195,55.52;19.195,55.66;19.55,55.66;19.55,55.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (15.515,52.12;15.515,52.26;15.87,52.26;15.87,52.12) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (22.875,42.26;22.875,42.4;23.23,42.4;23.23,42.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (27.015,40.22;27.015,40.36;27.37,40.36;27.37,40.22) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (20.575,42.6;20.575,42.74;20.93,42.74;20.93,42.6) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (12.755,42.26;12.755,42.4;13.11,42.4;13.11,42.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (26.555,42.26;26.555,42.4;26.91,42.4;26.91,42.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (25.175,47.7;25.175,47.84;25.53,47.84;25.53,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (8.615,49.4;8.615,49.54;8.97,49.54;8.97,49.4) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (27.475,37.5;27.475,37.64;27.83,37.64;27.83,37.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (9.995,36.82;9.995,36.96;10.35,36.96;10.35,36.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (11.835,50.08;11.835,50.22;12.19,50.22;12.19,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (10.915,47.7;10.915,47.84;11.27,47.84;11.27,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (20.115,50.08;20.115,50.22;20.47,50.22;20.47,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (14.03,36.48;14.03,36.62;14.385,36.62;14.385,36.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (17.355,50.42;17.355,50.56;17.71,50.56;17.71,50.42) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (14.03,47.7;14.03,47.84;14.385,47.84;14.385,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (23.795,50.08;23.795,50.22;24.15,50.22;24.15,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (15.515,36.82;15.515,36.96;15.87,36.96;15.87,36.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (26.095,50.08;26.095,50.22;26.45,50.22;26.45,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (20.795,47.7;20.795,47.84;21.39,47.84;21.39,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (11.835,36.48;11.835,36.62;12.19,36.62;12.19,36.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (16.895,36.48;16.895,36.62;17.25,36.62;17.25,36.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (27.935,44.3;27.935,44.44;28.29,44.44;28.29,44.3) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (11.135,49.4;11.135,49.54;11.73,49.54;11.73,49.4) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (27.235,41.92;27.235,42.06;27.83,42.06;27.83,41.92) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (9.995,46.68;9.995,46.82;10.35,46.82;10.35,46.68) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (9.995,44.64;9.995,44.78;10.35,44.78;10.35,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (7.235,39.2;7.235,39.34;7.59,39.34;7.59,39.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (25.175,36.82;25.175,36.96;25.53,36.96;25.53,36.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (7.235,44.3;7.235,44.44;7.59,44.44;7.59,44.3) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (15.055,38.86;15.055,39;15.41,39;15.41,38.86) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (24.255,46.68;24.255,46.82;24.61,46.82;24.61,46.68) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (22.875,39.88;22.875,40.02;23.23,40.02;23.23,39.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (9.075,36.82;9.075,36.96;9.43,36.96;9.43,36.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (9.075,41.24;9.075,41.38;9.43,41.38;9.43,41.24) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (42.655,43.96;42.655,44.1;43.01,44.1;43.01,43.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (35.755,47.02;35.755,47.16;36.11,47.16;36.11,47.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (48.635,42.26;48.635,42.4;48.99,42.4;48.99,42.26) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (38.975,43.96;38.975,44.1;39.33,44.1;39.33,43.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (29.775,44.64;29.775,44.78;30.13,44.78;30.13,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.815,42.6;37.815,42.74;38.41,42.74;38.41,42.6) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (41.275,47.7;41.275,47.84;41.63,47.84;41.63,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (33.455,49.74;33.455,49.88;33.81,49.88;33.81,49.74) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (47.715,36.48;47.715,36.62;48.07,36.62;48.07,36.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (46.795,44.64;46.795,44.78;47.15,44.78;47.15,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (46.555,42.94;46.555,43.08;47.15,43.08;47.15,42.94) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (44.495,36.82;44.495,36.96;44.85,36.96;44.85,36.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (43.115,38.52;43.115,38.66;43.47,38.66;43.47,38.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (38.87,44.64;38.87,44.78;39.225,44.78;39.225,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (34.135,46.68;34.135,46.82;34.73,46.82;34.73,46.68) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (45.415,38.52;45.415,38.66;45.77,38.66;45.77,38.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (32.995,42.94;32.995,43.08;33.35,43.08;33.35,42.94) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (30.235,47.7;30.235,47.84;30.59,47.84;30.59,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (29.995,42.94;29.995,43.08;30.59,43.08;30.59,42.94) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (45.77,36.82;45.77,36.96;46.365,36.96;46.365,36.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (41.17,50.08;41.17,50.22;41.525,50.22;41.525,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (45.875,41.24;45.875,41.38;46.23,41.38;46.23,41.24) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (33.915,44.64;33.915,44.78;34.27,44.78;34.27,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (49.095,39.2;49.095,39.34;49.45,39.34;49.45,39.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (32.995,39.2;32.995,39.34;33.35,39.34;33.35,39.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (36.11,44.64;36.11,44.78;36.465,44.78;36.465,44.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (35.515,41.58;35.515,41.72;36.11,41.72;36.11,41.58) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (47.715,45.32;47.715,45.46;48.07,45.46;48.07,45.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (45.415,48.04;45.415,48.18;45.77,48.18;45.77,48.04) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (36.895,37.16;36.895,37.3;37.49,37.3;37.49,37.16) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (42.195,47.7;42.195,47.84;42.55,47.84;42.55,47.7) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (42.415,49.74;42.415,49.88;43.01,49.88;43.01,49.74) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (49.095,50.08;49.095,50.22;49.45,50.22;49.45,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (34.835,50.08;34.835,50.22;35.19,50.22;35.19,50.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (40.355,41.92;40.355,42.06;40.71,42.06;40.71,41.92) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (29.315,40.22;29.315,40.36;29.67,40.36;29.67,40.22) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (39.435,47.36;39.435,47.5;39.79,47.5;39.79,47.36) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (38.975,37.5;38.975,37.64;39.33,37.64;39.33,37.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (39.33,39.2;39.33,39.34;39.925,39.34;39.925,39.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (27.475,20.16;27.475,20.3;27.83,20.3;27.83,20.16) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (33.455,20.16;33.455,20.3;33.81,20.3;33.81,20.16) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (48.175,20.16;48.175,20.3;48.53,20.3;48.53,20.16) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (25.635,20.16;25.635,20.3;25.99,20.3;25.99,20.16) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (28.395,12.34;28.395,12.48;28.75,12.48;28.75,12.34) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (30.455,20.16;30.455,20.3;31.05,20.3;31.05,20.16) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (45.415,32.06;45.415,32.2;45.77,32.2;45.77,32.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (28.855,20.5;28.855,20.64;29.21,20.64;29.21,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (40.355,28.66;40.355,28.8;40.71,28.8;40.71,28.66) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (39.435,23.9;39.435,24.04;39.79,24.04;39.79,23.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (32.075,23.22;32.075,23.36;32.43,23.36;32.43,23.22) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (42.655,20.5;42.655,20.64;43.01,20.64;43.01,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (46.335,22.88;46.335,23.02;46.69,23.02;46.69,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (29.535,22.88;29.535,23.02;30.13,23.02;30.13,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (32.295,23.9;32.295,24.04;32.89,24.04;32.89,23.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.135,34.1;37.135,34.24;37.49,34.24;37.49,34.1) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (42.195,31.04;42.195,31.18;42.55,31.18;42.55,31.04) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (31.615,28.32;31.615,28.46;31.97,28.46;31.97,28.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (36.215,26.28;36.215,26.42;36.57,26.42;36.57,26.28) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (43.575,26.62;43.575,26.76;43.93,26.76;43.93,26.62) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (30.695,22.88;30.695,23.02;31.05,23.02;31.05,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (43.335,29.34;43.335,29.48;43.93,29.48;43.93,29.34) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.135,28.32;37.135,28.46;37.49,28.46;37.49,28.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (49.095,20.5;49.095,20.64;49.45,20.64;49.45,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (23.335,29;23.335,29.14;23.69,29.14;23.69,29) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (16.435,22.2;16.435,22.34;16.79,22.34;16.79,22.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (13.11,31.38;13.11,31.52;13.465,31.52;13.465,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (26.91,31.38;26.91,31.52;27.265,31.52;27.265,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (21.035,22.88;21.035,23.02;21.39,23.02;21.39,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (20.795,22.2;20.795,22.34;21.39,22.34;21.39,22.2) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (14.03,29;14.03,29.14;14.625,29.14;14.625,29) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (17.355,31.38;17.355,31.52;17.71,31.52;17.71,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (11.835,33.08;11.835,33.22;12.19,33.22;12.19,33.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (9.075,20.5;9.075,20.64;9.43,20.64;9.43,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (8.155,20.84;8.155,20.98;8.51,20.98;8.51,20.84) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (8.375,23.22;8.375,23.36;8.97,23.36;8.97,23.22) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (12.755,22.88;12.755,23.02;13.11,23.02;13.11,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (7.695,30.36;7.695,30.5;8.05,30.5;8.05,30.36) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (9.535,33.76;9.535,33.9;9.89,33.9;9.89,33.76) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (9.295,31.38;9.295,31.52;9.89,31.52;9.89,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (14.03,31.38;14.03,31.52;14.385,31.52;14.385,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (18.635,31.38;18.635,31.52;18.985,31.52;18.985,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (7.695,33.08;7.695,33.22;8.05,33.22;8.05,33.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (14.595,23.9;14.595,24.04;14.95,24.04;14.95,23.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (13.215,28.32;13.215,28.46;13.57,28.46;13.57,28.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (27.935,20.5;27.935,20.64;28.29,20.64;28.29,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (27.475,28.32;27.475,28.46;27.83,28.46;27.83,28.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (21.255,27.98;21.255,28.12;21.85,28.12;21.85,27.98) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (20.115,29.34;20.115,29.48;20.47,29.48;20.47,29.34) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (11.835,20.5;11.835,20.64;12.19,20.64;12.19,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (12.295,23.9;12.295,24.04;12.65,24.04;12.65,23.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (25.53,27.64;25.53,27.78;25.885,27.78;25.885,27.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (12.295,28.32;12.295,28.46;12.65,28.46;12.65,28.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (25.175,22.88;25.175,23.02;25.53,23.02;25.53,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (20.575,25.94;20.575,26.08;20.93,26.08;20.93,25.94) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (19.655,31.72;19.655,31.86;20.01,31.86;20.01,31.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (26.095,27.98;26.095,28.12;26.45,28.12;26.45,27.98) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (24.255,22.88;24.255,23.02;24.61,23.02;24.61,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (23.555,6.9;23.555,7.04;24.15,7.04;24.15,6.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (25.635,6.56;25.635,6.7;25.99,6.7;25.99,6.56) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (15.975,12.68;15.975,12.82;16.33,12.82;16.33,12.68) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (20.575,12;20.575,12.14;20.93,12.14;20.93,12) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (20.335,14.72;20.335,14.86;20.93,14.86;20.93,14.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (24.475,15.06;24.475,15.2;25.07,15.2;25.07,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (12.65,16.76;12.65,16.9;13.005,16.9;13.005,16.76) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (27.695,14.72;27.695,14.86;28.29,14.86;28.29,14.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (26.91,15.06;26.91,15.2;27.265,15.2;27.265,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (23.795,15.74;23.795,15.88;24.15,15.88;24.15,15.74) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (26.775,17.78;26.775,17.92;27.37,17.92;27.37,17.78) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (14.03,12;14.03,12.14;14.385,12.14;14.385,12) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (12.295,13.02;12.295,13.16;12.65,13.16;12.65,13.02) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (17.815,9.96;17.815,10.1;18.17,10.1;18.17,9.96) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (12.755,17.1;12.755,17.24;13.11,17.24;13.11,17.1) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (22.415,6.22;22.415,6.36;22.77,6.36;22.77,6.22) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (16.435,9.62;16.435,9.76;16.79,9.76;16.79,9.62) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (13.215,15.74;13.215,15.88;13.57,15.88;13.57,15.74) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (22.875,17.44;22.875,17.58;23.23,17.58;23.23,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (19.195,17.78;19.195,17.92;19.55,17.92;19.55,17.78) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (26.555,6.56;26.555,6.7;26.91,6.7;26.91,6.56) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (24.935,19.82;24.935,19.96;25.53,19.96;25.53,19.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (8.615,15.06;8.615,15.2;8.97,15.2;8.97,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (26.775,4.52;26.775,4.66;27.37,4.66;27.37,4.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (8.615,16.76;8.615,16.9;8.97,16.9;8.97,16.76) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (26.095,12;26.095,12.14;26.45,12.14;26.45,12) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (7.235,6.9;7.235,7.04;7.59,7.04;7.59,6.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (46.095,19.82;46.095,19.96;46.69,19.96;46.69,19.82) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (28.855,15.74;28.855,15.88;29.21,15.88;29.21,15.74) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (38.975,17.44;38.975,17.58;39.33,17.58;39.33,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (32.075,5.88;32.075,6.02;32.43,6.02;32.43,5.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (38.055,15.06;38.055,15.2;38.41,15.2;38.41,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.135,17.78;37.135,17.92;37.49,17.92;37.49,17.78) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (46.795,6.9;46.795,7.04;47.15,7.04;47.15,6.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (47.255,15.4;47.255,15.54;47.61,15.54;47.61,15.4) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (47.255,16.76;47.255,16.9;47.61,16.9;47.61,16.76) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.815,17.44;37.815,17.58;38.41,17.58;38.41,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (45.875,11.32;45.875,11.46;46.23,11.46;46.23,11.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (48.99,15.06;48.99,15.2;49.345,15.2;49.345,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (38.515,9.28;38.515,9.42;38.87,9.42;38.87,9.28) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (35.295,17.44;35.295,17.58;35.65,17.58;35.65,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (35.055,14.72;35.055,14.86;35.65,14.86;35.65,14.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (32.995,17.44;32.995,17.58;33.35,17.58;33.35,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (43.115,17.44;43.115,17.58;43.47,17.58;43.47,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (42.195,17.44;42.195,17.58;42.55,17.58;42.55,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.95,6.22;37.95,6.36;38.305,6.36;38.305,6.22) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (39.895,15.74;39.895,15.88;40.25,15.88;40.25,15.74) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.355,4.52;37.355,4.66;37.95,4.66;37.95,4.52) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (48.855,17.44;48.855,17.58;49.45,17.58;49.45,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (45.875,6.56;45.875,6.7;46.23,6.7;46.23,6.56) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.355,12;37.355,12.14;37.95,12.14;37.95,12) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (37.135,6.22;37.135,6.36;37.49,6.36;37.49,6.22) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (33.81,15.06;33.81,15.2;34.165,15.2;34.165,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (29.075,15.06;29.075,15.2;29.67,15.2;29.67,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (29.775,11.66;29.775,11.8;30.13,11.8;30.13,11.66) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (80.375,9.62;80.375,9.76;80.73,9.76;80.73,9.62) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (53.695,20.16;53.695,20.3;54.05,20.3;54.05,20.16) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (79.455,8.94;79.455,9.08;79.81,9.08;79.81,8.94) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (58.755,15.06;58.755,15.2;59.11,15.2;59.11,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (55.535,6.9;55.535,7.04;55.89,7.04;55.89,6.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (55.535,9.28;55.535,9.42;55.89,9.42;55.89,9.28) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (87.735,6.56;87.735,6.7;88.09,6.7;88.09,6.56) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (87.735,12.68;87.735,12.82;88.09,12.82;88.09,12.68) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (85.895,15.06;85.895,15.2;86.25,15.2;86.25,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (89.575,20.84;89.575,20.98;89.93,20.98;89.93,20.84) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (61.055,31.72;61.055,31.86;61.41,31.86;61.41,31.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (84.515,22.54;84.515,22.68;84.87,22.68;84.87,22.54) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (59.215,33.76;59.215,33.9;59.57,33.9;59.57,33.76) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (84.975,14.04;84.975,14.18;85.33,14.18;85.33,14.04) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (82.435,32.06;82.435,32.2;83.03,32.2;83.03,32.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (86.25,22.88;86.25,23.02;86.605,23.02;86.605,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (73.935,6.9;73.935,7.04;74.29,7.04;74.29,6.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (90.035,22.88;90.035,23.02;90.39,23.02;90.39,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (88.415,11.32;88.415,11.46;89.01,11.46;89.01,11.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (88.655,14.72;88.655,14.86;89.01,14.86;89.01,14.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (88.875,15.74;88.875,15.88;89.47,15.88;89.47,15.74) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (67.85,33.76;67.85,33.9;68.205,33.9;68.205,33.76) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (91.31,20.5;91.31,20.64;91.905,20.64;91.905,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.315,31.38;52.315,31.52;52.67,31.52;52.67,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (90.955,14.72;90.955,14.86;91.31,14.86;91.31,14.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (53.235,31.38;53.235,31.52;53.59,31.52;53.59,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (66.575,14.38;66.575,14.52;66.93,14.52;66.93,14.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (64.735,20.16;64.735,20.3;65.09,20.3;65.09,20.16) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (91.415,5.88;91.415,6.02;91.77,6.02;91.77,5.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (59.675,21.18;59.675,21.32;60.03,21.32;60.03,21.18) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (69.795,26.28;69.795,26.42;70.15,26.42;70.15,26.28) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.475,23.56;50.475,23.7;50.83,23.7;50.83,23.56) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (63.355,22.54;63.355,22.68;63.71,22.68;63.71,22.54) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (62.895,21.18;62.895,21.32;63.25,21.32;63.25,21.18) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (57.835,15.06;57.835,15.2;58.19,15.2;58.19,15.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (53.235,17.44;53.235,17.58;53.59,17.58;53.59,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (56.455,27.64;56.455,27.78;56.81,27.78;56.81,27.64) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (53.915,33.76;53.915,33.9;54.28,33.9;54.28,33.76) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (75.775,34.1;75.775,34.24;76.13,34.24;76.13,34.1) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (79.455,33.08;79.455,33.22;79.81,33.22;79.81,33.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (74.855,31.38;74.855,31.52;75.21,31.52;75.21,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (76.235,30.36;76.235,30.5;76.59,30.5;76.59,30.36) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (60.595,32.06;60.595,32.2;60.95,32.2;60.95,32.06) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (57.375,28.32;57.375,28.46;57.73,28.46;57.73,28.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (87.275,29;87.275,29.14;87.63,29.14;87.63,29) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.935,11.32;50.935,11.46;51.29,11.46;51.29,11.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (50.695,6.9;50.695,7.04;51.29,7.04;51.29,6.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (65.195,33.08;65.195,33.22;65.55,33.22;65.55,33.08) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (66.47,31.04;66.47,31.18;66.825,31.18;66.825,31.04) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (68.635,23.9;68.635,24.04;69.23,24.04;69.23,23.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (71.175,16.76;71.175,16.9;71.53,16.9;71.53,16.76) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (68.415,22.88;68.415,23.02;68.77,23.02;68.77,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.775,20.5;52.775,20.64;53.13,20.64;53.13,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (51.855,19.48;51.855,19.62;52.21,19.62;52.21,19.48) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (55.075,14.38;55.075,14.52;55.43,14.52;55.43,14.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.715,17.44;70.715,17.58;71.07,17.58;71.07,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (72.555,6.9;72.555,7.04;72.91,7.04;72.91,6.9) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (72.555,14.72;72.555,14.86;72.91,14.86;72.91,14.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (72.555,20.16;72.555,20.3;72.91,20.3;72.91,20.16) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (73.475,23.22;73.475,23.36;73.83,23.36;73.83,23.22) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (62.33,20.5;62.33,20.64;62.685,20.64;62.685,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (70.715,28.32;70.715,28.46;71.07,28.46;71.07,28.32) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (55.075,9.62;55.075,9.76;55.43,9.76;55.43,9.62) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (71.635,17.44;71.635,17.58;71.99,17.58;71.99,17.44) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (90.035,31.38;90.035,31.52;90.39,31.52;90.39,31.38) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (52.315,6.56;52.315,6.7;52.67,6.7;52.67,6.56) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (55.535,14.72;55.535,14.86;55.89,14.86;55.89,14.72) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (84.515,6.56;84.515,6.7;84.87,6.7;84.87,6.56) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (90.85,33.42;90.85,33.56;91.205,33.56;91.205,33.42) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (86.355,26.62;86.355,26.76;86.71,26.76;86.71,26.62) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (86.115,34.1;86.115,34.24;86.71,34.24;86.71,34.1) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (88.09,27.98;88.09,28.12;88.445,28.12;88.445,27.98) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (88.195,20.5;88.195,20.64;88.55,20.64;88.55,20.5) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (85.435,22.88;85.435,23.02;85.79,23.02;85.79,22.88) - - - - - '5/0' - digital_pll - false - 1 - - - polygon: (85.195,19.82;85.195,19.96;85.79,19.96;85.79,19.82) - - - - - '5/0' - VIA_M1M2_PR - false - 1 - - - polygon: (-0.16,-0.13;-0.16,0.13;0.16,0.13;0.16,-0.13) - - - - - '5/0' - VIA_L1M1_PR - false - 1 - - - polygon: (-0.145,-0.115;-0.145,0.115;0.145,0.115;0.145,-0.115) - - - - - '5/0' - VIA_via2_3_1600_480_1_5_320_320 - false - 1 - - - polygon: (-0.8,-0.24;-0.8,0.24;0.8,0.24;0.8,-0.24) - - - - - '6/0' - VIA_M1M2_PR - false - 1 - - - polygon: (-0.075,-0.075;-0.075,0.075;0.075,0.075;0.075,-0.075) - - - - - '6/0' - VIA_via2_3_1600_480_1_5_320_320 - false - 1 - - - polygon: (-0.715,-0.075;-0.715,0.075;-0.565,0.075;-0.565,-0.075) - - - - - '6/0' - VIA_via2_3_1600_480_1_5_320_320 - false - 1 - - - polygon: (-0.395,-0.075;-0.395,0.075;-0.245,0.075;-0.245,-0.075) - - - - - '6/0' - VIA_via2_3_1600_480_1_5_320_320 - false - 1 - - - polygon: (-0.075,-0.075;-0.075,0.075;0.075,0.075;0.075,-0.075) - - - - - '6/0' - VIA_via2_3_1600_480_1_5_320_320 - false - 1 - - - polygon: (0.245,-0.075;0.245,0.075;0.395,0.075;0.395,-0.075) - - - - - '6/0' - VIA_via2_3_1600_480_1_5_320_320 - false - 1 - - - polygon: (0.565,-0.075;0.565,0.075;0.715,0.075;0.715,-0.075) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (25.905,6.545;25.905,6.715;25.925,6.715;25.925,6.545) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (46.145,6.545;46.145,6.715;46.15,6.715;46.15,6.545) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (7.505,6.885;7.505,7.055;7.535,7.055;7.535,6.885) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (55.805,9.385;55.805,9.435;55.825,9.435;55.825,9.385) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (26.53,15.045;26.53,15.215;26.535,15.215;26.535,15.045) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (10.43,15.385;10.43,15.515;10.435,15.515;10.435,15.385) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (71.595,15.385;71.595,15.555;71.615,15.555;71.615,15.385) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (50.745,17.125;50.745,17.255;50.75,17.255;50.75,17.125) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (45.685,17.425;45.685,17.595;45.69,17.595;45.69,17.425) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (51.205,17.425;51.205,17.595;51.21,17.595;51.21,17.425) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (54.885,17.425;54.885,17.595;54.89,17.595;54.89,17.425) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (37.405,17.765;37.405,17.935;37.425,17.935;37.425,17.765) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (27.745,20.145;27.745,20.315;27.765,20.315;27.765,20.145) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (65.005,20.145;65.005,20.315;65.025,20.315;65.025,20.145) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (89.845,20.825;89.845,20.995;89.865,20.995;89.865,20.825) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (41.545,22.525;41.545,22.695;41.565,22.695;41.565,22.525) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (84.785,22.525;84.785,22.695;84.805,22.695;84.805,22.525) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (88.465,23.205;88.465,23.255;88.635,23.255;88.635,23.205) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (46.145,23.205;46.145,23.375;46.165,23.375;46.165,23.205) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (24.985,27.625;24.985,27.795;24.99,27.795;24.99,27.625) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (13.65,28.305;13.65,28.475;13.655,28.475;13.655,28.305) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (28.205,28.645;28.205,28.695;28.375,28.695;28.375,28.645) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (40.625,28.645;40.625,28.815;40.645,28.815;40.645,28.645) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (50.435,28.645;50.435,28.815;50.455,28.815;50.455,28.645) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (66.385,31.025;66.385,31.195;66.39,31.195;66.39,31.025) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (18.085,31.365;18.085,31.535;18.09,31.535;18.09,31.365) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (26.53,31.365;26.53,31.535;26.535,31.535;26.535,31.365) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (54.575,31.705;54.575,31.875;54.595,31.875;54.595,31.705) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (80.185,31.705;80.185,31.875;80.19,31.875;80.19,31.705) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (12.255,33.065;12.255,33.235;12.275,33.235;12.275,33.065) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (24.065,33.745;24.065,33.915;24.105,33.915;24.105,33.745) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (10.89,34.425;10.89,34.595;10.895,34.595;10.895,34.425) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (67.465,34.425;67.465,34.595;67.475,34.595;67.475,34.425) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (74.205,36.465;74.205,36.635;74.265,36.635;74.265,36.465) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (59.755,36.805;59.755,36.835;59.885,36.835;59.885,36.805) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (15.785,36.805;15.785,36.975;15.81,36.975;15.81,36.805) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (60.985,36.805;60.985,36.975;61.035,36.975;61.035,36.805) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (41.665,37.145;41.665,37.315;41.715,37.315;41.715,37.145) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (63.77,39.185;63.77,39.355;63.795,39.355;63.795,39.185) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (59.025,39.865;59.025,39.875;59.11,39.875;59.11,39.865) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (48.905,42.245;48.905,42.415;48.91,42.415;48.91,42.245) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (51.765,42.245;51.765,42.415;51.835,42.415;51.835,42.245) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (20.845,42.585;20.845,42.695;20.85,42.695;20.85,42.585) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (72.055,42.585;72.055,42.755;72.075,42.755;72.075,42.585) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (7.645,44.385;7.645,44.455;7.675,44.455;7.675,44.385) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (35.565,44.965;35.565,45.135;35.585,45.135;35.585,44.965) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (30.045,47.465;30.045,47.515;30.215,47.515;30.215,47.465) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (66.995,47.345;66.995,47.515;67.015,47.515;67.015,47.345) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (76.965,47.685;76.965,47.855;76.97,47.855;76.97,47.685) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (78.345,47.685;78.345,47.855;78.37,47.855;78.37,47.685) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (45.225,49.725;45.225,49.895;45.245,49.895;45.245,49.725) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (73.91,50.065;73.91,50.235;73.915,50.235;73.915,50.065) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (76.505,50.065;76.505,50.235;76.51,50.235;76.51,50.065) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (32.495,50.405;32.495,50.575;32.515,50.575;32.515,50.405) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (50.395,50.405;50.395,50.575;50.455,50.575;50.455,50.405) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (40.625,52.445;40.625,52.615;40.63,52.615;40.63,52.445) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (50.91,52.785;50.91,52.955;50.915,52.955;50.915,52.785) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (67.765,52.785;67.765,52.955;67.77,52.955;67.77,52.785) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (77.235,53.125;77.235,53.155;77.365,53.155;77.365,53.125) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (37.57,53.125;37.57,53.295;37.575,53.295;37.575,53.125) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (78.465,53.125;78.465,53.295;78.515,53.295;78.515,53.125) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (67.305,53.465;67.305,53.595;67.31,53.595;67.31,53.465) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (35.565,55.165;35.565,55.335;35.615,55.335;35.615,55.165) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (47.23,55.505;47.23,55.675;47.235,55.675;47.235,55.505) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (60.865,55.505;60.865,55.675;60.87,55.675;60.87,55.505) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (35.685,56.525;35.685,56.695;35.735,56.695;35.735,56.525) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (36.65,57.885;36.65,58.055;36.655,58.055;36.655,57.885) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (75.125,58.905;75.125,59.075;75.175,59.075;75.175,58.905) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (48.905,59.245;48.905,59.415;48.925,59.415;48.925,59.245) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (46.145,60.605;46.145,60.775;46.195,60.775;46.195,60.605) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (47.295,61.085;47.295,61.115;47.425,61.115;47.425,61.085) - - - - - '67/20' - digital_pll - false - 1 - - - polygon: (46.265,61.965;46.265,62.135;46.315,62.135;46.315,61.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.825,17.425;49.825,17.595;49.995,17.595;49.995,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.825,22.865;49.825,23.035;49.995,23.035;49.995,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.825,28.305;49.825,28.475;49.995,28.475;49.995,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.825,31.365;49.825,31.535;49.995,31.535;49.995,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.825,35.785;49.825,35.955;49.995,35.955;49.995,35.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.825,44.285;49.825,44.455;49.995,44.455;49.995,44.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.825,58.225;49.825,58.395;49.995,58.395;49.995,58.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.825,64.345;49.825,64.515;49.995,64.515;49.995,64.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.825,65.705;49.825,65.875;49.995,65.875;49.995,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,39.865;70.985,40.035;71.155,40.035;71.155,39.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,42.245;70.985,42.415;71.155,42.415;71.155,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.365,52.105;72.365,52.275;72.535,52.275;72.535,52.105) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,55.505;70.985,55.675;71.155,55.675;71.155,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,60.945;70.985,61.115;71.155,61.115;71.155,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,66.385;70.985,66.555;71.155,66.555;71.155,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.745,53.125;73.745,53.295;73.915,53.295;73.915,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.585,53.125;75.585,53.295;75.755,53.295;75.755,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.195,53.125;77.195,53.295;77.365,53.295;77.365,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.345,53.125;78.345,53.295;78.515,53.295;78.515,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.565,53.125;81.565,53.295;81.735,53.295;81.735,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (91.685,53.125;91.685,53.295;91.855,53.295;91.855,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.445,53.465;71.445,53.635;71.615,53.635;71.615,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.365,53.465;72.365,53.635;72.535,53.635;72.535,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (79.265,53.465;79.265,53.635;79.435,53.635;79.435,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (87.545,53.465;87.545,53.635;87.715,53.635;87.715,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (91.225,53.465;91.225,53.635;91.395,53.635;91.395,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.045,53.805;76.045,53.975;76.215,53.975;76.215,53.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.505,53.805;76.505,53.975;76.675,53.975;76.675,53.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.025,53.805;82.025,53.975;82.195,53.975;82.195,53.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.325,55.165;84.325,55.335;84.495,55.335;84.495,55.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (87.085,52.445;87.085,52.615;87.255,52.615;87.255,52.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.81,55.505;71.81,55.675;71.98,55.675;71.98,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.365,55.505;72.365,55.675;72.535,55.675;72.535,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.745,55.505;73.745,55.675;73.915,55.675;73.915,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.045,55.505;76.045,55.675;76.215,55.675;76.215,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.965,55.505;76.965,55.675;77.135,55.675;77.135,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (79.725,55.505;79.725,55.675;79.895,55.675;79.895,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.405,55.505;83.405,55.675;83.575,55.675;83.575,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.165,55.505;86.165,55.675;86.335,55.675;86.335,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,55.505;90.765,55.675;90.935,55.675;90.935,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.825,55.845;72.825,56.015;72.995,56.015;72.995,55.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.705,55.845;85.705,56.015;85.875,56.015;85.875,55.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.465,55.845;88.465,56.015;88.635,56.015;88.635,55.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.665,56.185;74.665,56.355;74.835,56.355;74.835,56.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.845,56.185;89.845,56.355;90.015,56.355;90.015,56.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.505,56.525;76.505,56.695;76.675,56.695;76.675,56.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.025,57.545;82.025,57.715;82.195,57.715;82.195,57.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,57.885;74.205,58.055;74.375,58.055;74.375,57.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (79.265,57.885;79.265,58.055;79.435,58.055;79.435,57.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,57.885;90.765,58.055;90.935,58.055;90.935,57.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.425,58.225;77.425,58.395;77.595,58.395;77.595,58.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.885,58.225;77.885,58.395;78.055,58.395;78.055,58.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.905,58.565;71.905,58.735;72.075,58.735;72.075,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.405,58.565;76.405,58.735;76.575,58.735;76.575,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.105,58.565;81.105,58.735;81.275,58.735;81.275,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.325,58.565;84.325,58.735;84.495,58.735;84.495,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.925,58.565;88.925,58.735;89.095,58.735;89.095,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.445,58.905;71.445,59.075;71.615,59.075;71.615,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.125,58.905;75.125,59.075;75.295,59.075;75.295,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.965,59.245;76.965,59.415;77.135,59.415;77.135,59.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.565,60.265;81.565,60.435;81.735,60.435;81.735,60.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.865,60.265;83.865,60.435;84.035,60.435;84.035,60.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.405,60.605;83.405,60.775;83.575,60.775;83.575,60.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.785,52.785;84.785,52.955;84.955,52.955;84.955,52.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.965,60.945;76.965,61.115;77.135,61.115;77.135,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.105,60.945;81.105,61.115;81.275,61.115;81.275,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.785,60.945;84.785,61.115;84.955,61.115;84.955,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (91.685,60.945;91.685,61.115;91.855,61.115;91.855,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (87.545,61.285;87.545,61.455;87.715,61.455;87.715,61.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,61.285;90.305,61.455;90.475,61.455;90.475,61.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.425,61.625;77.425,61.795;77.595,61.795;77.595,61.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.845,61.625;89.845,61.795;90.015,61.795;90.015,61.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.705,61.965;85.705,62.135;85.875,62.135;85.875,61.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (91.225,62.985;91.225,63.155;91.395,63.155;91.395,62.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (80.645,63.325;80.645,63.495;80.815,63.495;80.815,63.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,64.005;74.205,64.175;74.375,64.175;74.375,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.805,64.005;78.805,64.175;78.975,64.175;78.975,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.245,64.005;85.245,64.175;85.415,64.175;85.415,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.925,64.005;88.925,64.175;89.095,64.175;89.095,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (92.145,64.005;92.145,64.175;92.315,64.175;92.315,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.365,64.345;72.365,64.515;72.535,64.515;72.535,64.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.845,64.345;89.845,64.515;90.015,64.515;90.015,64.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.905,64.685;71.905,64.855;72.075,64.855;72.075,64.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.125,65.705;75.125,65.875;75.295,65.875;75.295,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.805,65.705;78.805,65.875;78.975,65.875;78.975,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.925,65.705;88.925,65.875;89.095,65.875;89.095,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.285,66.045;73.285,66.215;73.455,66.215;73.455,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.165,66.045;86.165,66.215;86.335,66.215;86.335,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.625,66.045;86.625,66.215;86.795,66.215;86.795,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.005,66.045;88.005,66.215;88.175,66.215;88.175,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.925,52.785;88.925,52.955;89.095,52.955;89.095,52.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.045,66.385;76.045,66.555;76.215,66.555;76.215,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.345,66.385;78.345,66.555;78.515,66.555;78.515,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (80.645,66.385;80.645,66.555;80.815,66.555;80.815,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.865,66.385;83.865,66.555;84.035,66.555;84.035,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.745,66.725;73.745,66.895;73.915,66.895;73.915,66.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.105,66.725;81.105,66.895;81.275,66.895;81.275,66.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,66.725;90.305,66.895;90.475,66.895;90.475,66.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.765,58.565;67.765,58.735;67.935,58.735;67.935,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.525,58.565;70.525,58.735;70.695,58.735;70.695,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.405,55.505;60.405,55.675;60.575,55.675;60.575,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,55.505;60.865,55.675;61.035,55.675;61.035,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.495,55.505;61.495,55.675;61.665,55.675;61.665,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.925,55.505;65.925,55.675;66.095,55.675;66.095,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.305,55.505;67.305,55.675;67.475,55.675;67.475,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.485,58.905;59.485,59.075;59.655,59.075;59.655,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.465,58.905;65.465,59.075;65.635,59.075;65.635,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (69.605,58.905;69.605,59.075;69.775,59.075;69.775,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.765,55.505;67.765,55.675;67.935,55.675;67.935,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.345,52.445;55.345,52.615;55.515,52.615;55.515,52.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.425,59.245;54.425,59.415;54.595,59.415;54.595,59.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.385,59.245;66.385,59.415;66.555,59.415;66.555,59.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.585,53.125;52.585,53.295;52.755,53.295;52.755,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.945,60.265;59.945,60.435;60.115,60.435;60.115,60.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.225,60.265;68.225,60.435;68.395,60.435;68.395,60.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.505,53.125;53.505,53.295;53.675,53.295;53.675,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.965,53.465;53.965,53.635;54.135,53.635;54.135,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.585,60.605;52.585,60.775;52.755,60.775;52.755,60.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.71,60.605;56.71,60.775;56.88,60.775;56.88,60.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.165,60.605;63.165,60.775;63.335,60.775;63.335,60.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.705,53.465;62.705,53.635;62.875,53.635;62.875,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.1,60.945;52.1,61.115;52.27,61.115;52.27,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,60.945;53.045,61.115;53.215,61.115;53.215,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.965,60.945;53.965,61.115;54.135,61.115;54.135,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.345,60.945;55.345,61.115;55.515,61.115;55.515,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.265,60.945;56.265,61.115;56.435,61.115;56.435,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (57.445,60.945;57.445,61.115;57.615,61.115;57.615,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.245,60.945;62.245,61.115;62.415,61.115;62.415,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.625,60.945;63.625,61.115;63.795,61.115;63.795,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (64.345,60.945;64.345,61.115;64.515,61.115;64.515,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.305,60.945;67.305,61.115;67.475,61.115;67.475,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.225,60.945;68.225,61.115;68.395,61.115;68.395,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.305,53.465;67.305,53.635;67.475,53.635;67.475,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.685,53.125;54.685,53.295;54.855,53.295;54.855,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.945,53.125;59.945,53.295;60.115,53.295;60.115,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,53.125;60.865,53.295;61.035,53.295;61.035,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.165,53.125;63.165,53.295;63.335,53.295;63.335,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.345,55.845;55.345,56.015;55.515,56.015;55.515,55.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (57.645,55.845;57.645,56.015;57.815,56.015;57.815,55.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (51.205,61.625;51.205,61.795;51.375,61.795;51.375,61.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.705,55.845;62.705,56.015;62.875,56.015;62.875,55.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.385,55.845;66.385,56.015;66.555,56.015;66.555,55.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,61.965;58.105,62.135;58.275,62.135;58.275,61.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.005,61.965;65.005,62.135;65.175,62.135;65.175,61.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (64.085,53.125;64.085,53.295;64.255,53.295;64.255,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.385,53.125;66.385,53.295;66.555,53.295;66.555,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.745,52.785;50.745,52.955;50.915,52.955;50.915,52.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.265,63.665;56.265,63.835;56.435,63.835;56.435,63.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (51.205,64.005;51.205,64.175;51.375,64.175;51.375,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.965,64.005;53.965,64.175;54.135,64.175;54.135,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,64.005;58.105,64.175;58.275,64.175;58.275,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.705,64.005;62.705,64.175;62.875,64.175;62.875,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.465,64.005;65.465,64.175;65.635,64.175;65.635,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.065,64.005;70.065,64.175;70.235,64.175;70.235,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,56.185;50.285,56.355;50.455,56.355;50.455,56.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,56.185;53.045,56.355;53.215,56.355;53.215,56.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.765,52.785;67.765,52.955;67.935,52.955;67.935,52.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (64.085,54.825;64.085,54.995;64.255,54.995;64.255,54.825) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.685,56.525;68.685,56.695;68.855,56.695;68.855,56.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.505,64.345;53.505,64.515;53.675,64.515;53.675,64.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.025,64.345;59.025,64.515;59.195,64.515;59.195,64.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (69.145,64.345;69.145,64.515;69.315,64.515;69.315,64.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,52.445;60.865,52.615;61.035,52.615;61.035,52.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.165,57.545;63.165,57.715;63.335,57.715;63.335,57.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.385,57.545;66.385,57.715;66.555,57.715;66.555,57.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,65.705;53.045,65.875;53.215,65.875;53.215,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.505,65.705;53.505,65.875;53.675,65.875;53.675,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,65.705;58.105,65.875;58.275,65.875;58.275,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.165,65.705;63.165,65.875;63.335,65.875;63.335,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.125,55.505;52.125,55.675;52.295,55.675;52.295,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,57.885;60.865,58.055;61.035,58.055;61.035,57.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,55.505;53.045,55.675;53.215,55.675;53.215,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.885,66.045;54.885,66.215;55.055,66.215;55.055,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.325,66.045;61.325,66.215;61.495,66.215;61.495,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.625,66.045;63.625,66.215;63.795,66.215;63.795,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (69.145,66.045;69.145,66.215;69.315,66.215;69.315,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.885,55.505;54.885,55.675;55.055,55.675;55.055,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.265,55.505;56.265,55.675;56.435,55.675;56.435,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.585,58.225;52.585,58.395;52.755,58.395;52.755,58.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.725,55.505;56.725,55.675;56.895,55.675;56.895,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.745,66.385;50.745,66.555;50.915,66.555;50.915,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.025,66.385;59.025,66.555;59.195,66.555;59.195,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.245,66.385;62.245,66.555;62.415,66.555;62.415,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.385,66.385;66.385,66.555;66.555,66.555;66.555,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.485,55.505;59.485,55.675;59.655,55.675;59.655,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,58.565;50.285,58.735;50.455,58.735;50.455,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (51.205,58.565;51.205,58.735;51.375,58.735;51.375,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.505,58.565;53.505,58.735;53.675,58.735;53.675,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,58.565;58.105,58.735;58.275,58.735;58.275,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.025,58.565;59.025,58.735;59.195,58.735;59.195,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.97,58.565;59.97,58.735;60.14,58.735;60.14,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.705,58.565;62.705,58.735;62.875,58.735;62.875,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.685,67.065;68.685,67.235;68.855,67.235;68.855,67.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.625,39.185;63.625,39.355;63.795,39.355;63.795,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.005,39.185;65.005,39.355;65.175,39.355;65.175,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.025,39.865;59.025,40.035;59.195,40.035;59.195,39.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,36.805;60.865,36.975;61.035,36.975;61.035,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,40.205;50.285,40.375;50.455,40.375;50.455,40.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,40.205;53.045,40.375;53.215,40.375;53.215,40.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,40.205;58.105,40.375;58.275,40.375;58.275,40.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (69.145,40.205;69.145,40.375;69.315,40.375;69.315,40.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.325,41.225;61.325,41.395;61.495,41.395;61.495,41.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.565,41.565;58.565,41.735;58.735,41.735;58.735,41.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.465,41.565;65.465,41.735;65.635,41.735;65.635,41.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.3,42.195;67.3,42.365;67.47,42.365;67.47,42.195) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,42.245;50.285,42.415;50.455,42.415;50.455,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (51.665,42.245;51.665,42.415;51.835,42.415;51.835,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.125,42.245;52.125,42.415;52.295,42.415;52.295,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.805,42.245;55.805,42.415;55.975,42.415;55.975,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.485,42.245;59.485,42.415;59.655,42.415;59.655,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.785,42.245;61.785,42.415;61.955,42.415;61.955,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.765,42.245;67.765,42.415;67.935,42.415;67.935,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.685,42.245;68.685,42.415;68.855,42.415;68.855,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.525,42.245;70.525,42.415;70.695,42.415;70.695,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.785,36.805;61.785,36.975;61.955,36.975;61.955,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.84,42.355;66.84,42.525;67.01,42.525;67.01,42.355) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.425,42.585;54.425,42.755;54.595,42.755;54.595,42.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (64.085,42.925;64.085,43.095;64.255,43.095;64.255,42.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.765,43.945;67.765,44.115;67.935,44.115;67.935,43.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.125,36.805;52.125,36.975;52.295,36.975;52.295,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.505,44.625;53.505,44.795;53.675,44.795;53.675,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.96,44.625;53.96,44.795;54.13,44.795;54.13,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.74,44.625;54.74,44.795;54.91,44.795;54.91,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.405,44.625;55.405,44.795;55.575,44.795;55.575,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.025,44.625;59.025,44.795;59.195,44.795;59.195,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,44.625;60.865,44.795;61.035,44.795;61.035,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.785,44.625;61.785,44.795;61.955,44.795;61.955,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.465,44.625;65.465,44.795;65.635,44.795;65.635,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.925,44.625;65.925,44.795;66.095,44.795;66.095,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.385,44.625;66.385,44.795;66.555,44.795;66.555,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.845,44.625;66.845,44.795;67.015,44.795;67.015,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,45.305;60.865,45.475;61.035,45.475;61.035,45.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.585,45.645;52.585,45.815;52.755,45.815;52.755,45.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.565,45.645;58.565,45.815;58.735,45.815;58.735,45.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.745,46.665;50.745,46.835;50.915,46.835;50.915,46.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.685,46.665;68.685,46.835;68.855,46.835;68.855,46.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.165,47.005;63.165,47.175;63.335,47.175;63.335,47.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,47.345;58.105,47.515;58.275,47.515;58.275,47.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.325,47.345;61.325,47.515;61.495,47.515;61.495,47.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.845,47.345;66.845,47.515;67.015,47.515;67.015,47.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.125,47.685;52.125,47.855;52.295,47.855;52.295,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.965,47.685;53.965,47.855;54.135,47.855;54.135,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.885,47.685;54.885,47.855;55.055,47.855;55.055,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.565,47.685;58.565,47.855;58.735,47.855;58.735,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.945,47.685;59.945,47.855;60.115,47.855;60.115,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.245,47.685;62.245,47.855;62.415,47.855;62.415,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.565,36.805;58.565,36.975;58.735,36.975;58.735,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.005,47.685;65.005,47.855;65.175,47.855;65.175,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.925,47.685;65.925,47.855;66.095,47.855;66.095,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.225,47.685;68.225,47.855;68.395,47.855;68.395,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.79,48.025;50.79,48.195;50.96,48.195;50.96,48.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.805,48.365;55.805,48.535;55.975,48.535;55.975,48.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,49.385;53.045,49.555;53.215,49.555;53.215,49.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.715,36.805;59.715,36.975;59.885,36.975;59.885,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.405,49.385;60.405,49.555;60.575,49.555;60.575,49.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.165,49.385;63.165,49.555;63.335,49.555;63.335,49.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (69.145,49.385;69.145,49.555;69.315,49.555;69.315,49.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.745,49.725;50.745,49.895;50.915,49.895;50.915,49.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (51.205,49.725;51.205,49.895;51.375,49.895;51.375,49.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.325,49.725;61.325,49.895;61.495,49.895;61.495,49.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.505,50.065;53.505,50.235;53.675,50.235;53.675,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.425,50.065;54.425,50.235;54.595,50.235;54.595,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.805,50.065;55.805,50.235;55.975,50.235;55.975,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.585,36.805;52.585,36.975;52.755,36.975;52.755,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.725,50.065;56.725,50.235;56.895,50.235;56.895,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (57.185,50.065;57.185,50.235;57.355,50.235;57.355,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.625,50.065;63.625,50.235;63.795,50.235;63.795,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.465,50.065;65.465,50.235;65.635,50.235;65.635,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.385,50.065;66.385,50.235;66.555,50.235;66.555,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.305,50.065;67.305,50.235;67.475,50.235;67.475,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,50.405;50.285,50.575;50.455,50.575;50.455,50.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.485,51.085;59.485,51.255;59.655,51.255;59.655,51.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.405,51.085;60.405,51.255;60.575,51.255;60.575,51.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (69.605,36.805;69.605,36.975;69.775,36.975;69.775,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.465,37.145;65.465,37.315;65.635,37.315;65.635,37.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.025,37.485;59.025,37.655;59.195,37.655;59.195,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,38.505;53.045,38.675;53.215,38.675;53.215,38.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,38.505;60.865,38.675;61.035,38.675;61.035,38.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.125,38.845;52.125,39.015;52.295,39.015;52.295,38.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.15,38.845;58.15,39.015;58.32,39.015;58.32,38.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.425,39.185;54.425,39.355;54.595,39.355;54.595,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.265,39.185;56.265,39.355;56.435,39.355;56.435,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,47.005;90.305,47.175;90.475,47.175;90.475,47.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.325,39.185;84.325,39.355;84.495,39.355;84.495,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.385,39.185;89.385,39.355;89.555,39.355;89.555,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.745,39.525;73.745,39.695;73.915,39.695;73.915,39.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.005,47.345;88.005,47.515;88.175,47.515;88.175,47.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,47.345;90.765,47.515;90.935,47.515;90.935,47.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (80.185,39.525;80.185,39.695;80.355,39.695;80.355,39.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.885,37.485;77.885,37.655;78.055,37.655;78.055,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.565,37.485;81.565,37.655;81.735,37.655;81.735,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.665,42.245;74.665,42.415;74.835,42.415;74.835,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.045,42.245;76.045,42.415;76.215,42.415;76.215,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.145,42.245;78.145,42.415;78.315,42.415;78.315,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.565,42.245;81.565,42.415;81.735,42.415;81.735,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.485,39.865;82.485,40.035;82.655,40.035;82.655,39.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,39.865;90.765,40.035;90.935,40.035;90.935,39.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.445,47.685;71.445,47.855;71.615,47.855;71.615,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.905,47.685;71.905,47.855;72.075,47.855;72.075,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.825,47.685;72.825,47.855;72.995,47.855;72.995,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.045,47.685;76.045,47.855;76.215,47.855;76.215,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.965,47.685;76.965,47.855;77.135,47.855;77.135,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.345,47.685;78.345,47.855;78.515,47.855;78.515,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.245,47.685;85.245,47.855;85.415,47.855;85.415,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.625,47.685;86.625,47.855;86.795,47.855;86.795,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.905,42.585;71.905,42.755;72.075,42.755;72.075,42.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.505,48.025;76.505,48.195;76.675,48.195;76.675,48.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.025,48.025;82.025,48.195;82.195,48.195;82.195,48.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.325,48.025;84.325,48.195;84.495,48.195;84.495,48.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.165,48.025;86.165,48.195;86.335,48.195;86.335,48.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.965,42.585;76.965,42.755;77.135,42.755;77.135,42.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.425,42.585;77.425,42.755;77.595,42.755;77.595,42.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.845,42.585;89.845,42.755;90.015,42.755;90.015,42.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.845,37.485;89.845,37.655;90.015,37.655;90.015,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.805,36.805;78.805,36.975;78.975,36.975;78.975,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.105,49.385;81.105,49.555;81.275,49.555;81.275,49.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.865,36.805;83.865,36.975;84.035,36.975;84.035,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.505,44.285;76.505,44.455;76.675,44.455;76.675,44.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.965,44.285;76.965,44.455;77.135,44.455;77.135,44.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (87.085,49.725;87.085,49.895;87.255,49.895;87.255,49.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.345,38.505;78.345,38.675;78.515,38.675;78.515,38.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,36.805;90.765,36.975;90.935,36.975;90.935,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.105,41.225;81.105,41.395;81.275,41.395;81.275,41.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.385,41.225;89.385,41.395;89.555,41.395;89.555,41.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.285,36.805;73.285,36.975;73.455,36.975;73.455,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.945,38.845;82.945,39.015;83.115,39.015;83.115,38.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.745,41.565;73.745,41.735;73.915,41.735;73.915,41.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.805,41.565;78.805,41.735;78.975,41.735;78.975,41.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.165,41.565;86.165,41.735;86.335,41.735;86.335,41.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.365,50.065;72.365,50.235;72.535,50.235;72.535,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.825,50.065;72.825,50.235;72.995,50.235;72.995,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.745,50.065;73.745,50.235;73.915,50.235;73.915,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,50.065;74.205,50.235;74.375,50.235;74.375,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.585,50.065;75.585,50.235;75.755,50.235;75.755,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.505,50.065;76.505,50.235;76.675,50.235;76.675,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.345,50.065;78.345,50.235;78.515,50.235;78.515,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (80.185,50.065;80.185,50.235;80.355,50.235;80.355,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.865,50.065;83.865,50.235;84.035,50.235;84.035,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.465,50.065;88.465,50.235;88.635,50.235;88.635,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,50.065;90.765,50.235;90.935,50.235;90.935,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (92.145,41.565;92.145,41.735;92.315,41.735;92.315,41.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.965,50.405;76.965,50.575;77.135,50.575;77.135,50.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.84,41.905;83.84,42.075;84.01,42.075;84.01,41.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.445,44.625;71.445,44.795;71.615,44.795;71.615,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.445,51.085;71.445,51.255;71.615,51.255;71.615,51.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,51.085;90.305,51.255;90.475,51.255;90.475,51.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.365,44.625;72.365,44.795;72.535,44.795;72.535,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.825,44.625;72.825,44.795;72.995,44.795;72.995,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.585,44.625;75.585,44.795;75.755,44.795;75.755,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.685,44.625;77.685,44.795;77.855,44.795;77.855,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (80.185,44.625;80.185,44.795;80.355,44.795;80.355,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.405,44.625;83.405,44.795;83.575,44.795;83.575,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.465,44.625;88.465,44.795;88.635,44.795;88.635,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.625,41.905;86.625,42.075;86.795,42.075;86.795,41.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.905,45.305;71.905,45.475;72.075,45.475;72.075,45.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.745,45.305;73.745,45.475;73.915,45.475;73.915,45.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.345,45.305;78.345,45.475;78.515,45.475;78.515,45.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,45.305;90.765,45.475;90.935,45.475;90.935,45.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.025,37.145;82.025,37.315;82.195,37.315;82.195,37.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (87.085,37.145;87.085,37.315;87.255,37.315;87.255,37.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.465,37.145;88.465,37.315;88.635,37.315;88.635,37.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.125,36.805;75.125,36.975;75.295,36.975;75.295,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,39.185;74.205,39.355;74.375,39.355;74.375,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.365,47.005;72.365,47.175;72.535,47.175;72.535,47.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.565,47.005;81.565,47.175;81.735,47.175;81.735,47.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.665,55.165;28.665,55.335;28.835,55.335;28.835,55.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.665,42.585;28.665,42.755;28.835,42.755;28.835,42.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.665,64.005;28.665,64.175;28.835,64.175;28.835,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (15.785,52.105;15.785,52.275;15.955,52.275;15.955,52.105) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (18.085,52.105;18.085,52.275;18.255,52.275;18.255,52.105) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.565,52.105;35.565,52.275;35.735,52.275;35.735,52.105) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.445,52.105;48.445,52.275;48.615,52.275;48.615,52.105) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.665,44.965;28.665,45.135;28.835,45.135;28.835,44.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.265,55.505;33.265,55.675;33.435,55.675;33.435,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.845,55.505;36.845,55.675;37.015,55.675;37.015,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.165,55.505;40.165,55.675;40.335,55.675;40.335,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.085,55.505;41.085,55.675;41.255,55.675;41.255,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.175,55.505;42.175,55.675;42.345,55.675;42.345,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.435,55.505;46.435,55.675;46.605,55.675;46.605,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.065,55.505;47.065,55.675;47.235,55.675;47.235,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.52,55.505;47.52,55.675;47.69,55.675;47.69,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.445,55.505;48.445,55.675;48.615,55.675;48.615,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.865,55.845;37.865,56.015;38.035,56.015;38.035,55.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.325,55.845;38.325,56.015;38.495,56.015;38.495,55.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (43.385,56.185;43.385,56.355;43.555,56.355;43.555,56.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.565,56.525;35.565,56.695;35.735,56.695;35.735,56.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.485,57.885;36.485,58.055;36.655,58.055;36.655,57.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.625,58.225;40.625,58.395;40.795,58.395;40.795,58.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.445,58.225;48.445,58.395;48.615,58.395;48.615,58.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,53.805;42.465,53.975;42.635,53.975;42.635,53.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,58.565;29.125,58.735;29.295,58.735;29.295,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.565,58.565;35.565,58.735;35.735,58.735;35.735,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.945,58.565;36.945,58.735;37.115,58.735;37.115,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.545,58.565;41.545,58.735;41.715,58.735;41.715,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,58.565;42.465,58.735;42.635,58.735;42.635,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,58.905;30.045,59.075;30.215,59.075;30.215,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.805,58.905;32.805,59.075;32.975,59.075;32.975,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.785,58.905;38.785,59.075;38.955,59.075;38.955,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.925,58.905;42.925,59.075;43.095,59.075;43.095,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (34.645,59.245;34.645,59.415;34.815,59.415;34.815,59.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,59.245;45.685,59.415;45.855,59.415;45.855,59.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.525,59.245;47.525,59.415;47.695,59.415;47.695,59.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.905,59.245;48.905,59.415;49.075,59.415;49.075,59.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,60.265;29.125,60.435;29.295,60.435;29.295,60.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.985,60.265;47.985,60.435;48.155,60.435;48.155,60.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.445,60.265;48.445,60.435;48.615,60.435;48.615,60.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.925,60.605;42.925,60.775;43.095,60.775;43.095,60.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.145,60.605;46.145,60.775;46.315,60.775;46.315,60.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,60.945;30.045,61.115;30.215,61.115;30.215,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (31.425,60.945;31.425,61.115;31.595,61.115;31.595,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.785,60.945;38.785,61.115;38.955,61.115;38.955,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.295,60.945;47.295,61.115;47.465,61.115;47.465,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.625,61.285;40.625,61.455;40.795,61.455;40.795,61.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (43.385,61.285;43.385,61.455;43.555,61.455;43.555,61.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.905,61.285;48.905,61.455;49.075,61.455;49.075,61.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.865,61.625;37.865,61.795;38.035,61.795;38.035,61.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.145,61.965;46.145,62.135;46.315,62.135;46.315,61.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.565,63.325;35.565,63.495;35.735,63.495;35.735,63.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (44.765,63.325;44.765,63.495;44.935,63.495;44.935,63.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.265,63.665;33.265,63.835;33.435,63.835;33.435,63.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,54.825;37.405,54.995;37.575,54.995;37.575,54.825) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.505,64.005;30.505,64.175;30.675,64.175;30.675,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,64.005;37.405,64.175;37.575,64.175;37.575,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.005,64.005;42.005,64.175;42.175,64.175;42.175,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.605,64.005;46.605,64.175;46.775,64.175;46.775,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.025,64.345;36.025,64.515;36.195,64.515;36.195,64.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.725,53.465;33.725,53.635;33.895,53.635;33.895,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.565,55.165;35.565,55.335;35.735,55.335;35.735,55.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.225,55.165;45.225,55.335;45.395,55.335;45.395,55.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.61,55.395;41.61,55.565;41.78,55.565;41.78,55.395) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.625,52.445;40.625,52.615;40.795,52.615;40.795,52.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.505,65.705;30.505,65.875;30.675,65.875;30.675,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.805,65.705;32.805,65.875;32.975,65.875;32.975,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.945,65.705;36.945,65.875;37.115,65.875;37.115,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.925,65.705;42.925,65.875;43.095,65.875;43.095,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.905,52.445;48.905,52.615;49.075,52.615;49.075,52.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (34.645,66.045;34.645,66.215;34.815,66.215;34.815,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,66.045;37.405,66.215;37.575,66.215;37.575,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (43.385,66.045;43.385,66.215;43.555,66.215;43.555,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.985,66.045;47.985,66.215;48.155,66.215;48.155,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.445,66.045;48.445,66.215;48.615,66.215;48.615,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.505,53.125;30.505,53.295;30.675,53.295;30.675,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.345,66.385;32.345,66.555;32.515,66.555;32.515,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.725,66.385;33.725,66.555;33.895,66.555;33.895,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.165,66.385;40.165,66.555;40.335,66.555;40.335,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,66.385;45.685,66.555;45.855,66.555;45.855,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.485,53.125;36.485,53.295;36.655,53.295;36.655,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,53.125;37.405,53.295;37.575,53.295;37.575,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.865,53.125;37.865,53.295;38.035,53.295;38.035,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.165,53.125;40.165,53.295;40.335,53.295;40.335,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.625,53.125;41.625,53.295;41.795,53.295;41.795,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (44.765,53.125;44.765,53.295;44.935,53.295;44.935,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,53.125;45.685,53.295;45.855,53.295;45.855,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.605,53.125;46.605,53.295;46.775,53.295;46.775,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.585,55.505;29.585,55.675;29.755,55.675;29.755,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.245,67.065;39.245,67.235;39.415,67.235;39.415,67.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.165,61.965;17.165,62.135;17.335,62.135;17.335,61.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.765,61.965;21.765,62.135;21.935,62.135;21.935,61.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.645,53.465;11.645,53.635;11.815,53.635;11.815,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.245,63.325;16.245,63.495;16.415,63.495;16.415,63.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.025,53.465;13.025,53.635;13.195,53.635;13.195,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.945,56.185;13.945,56.355;14.115,56.355;14.115,56.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.645,58.905;11.645,59.075;11.815,59.075;11.815,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.425,64.005;8.425,64.175;8.595,64.175;8.595,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.025,64.005;13.025,64.175;13.195,64.175;13.195,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (18.085,64.005;18.085,64.175;18.255,64.175;18.255,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.685,64.005;22.685,64.175;22.855,64.175;22.855,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.065,64.005;24.065,64.175;24.235,64.175;24.235,64.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.165,58.905;17.165,59.075;17.335,59.075;17.335,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.365,58.905;26.365,59.075;26.535,59.075;26.535,58.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.905,56.185;25.905,56.355;26.075,56.355;26.075,56.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.525,53.465;24.525,53.635;24.695,53.635;24.695,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.165,56.525;17.165,56.695;17.335,56.695;17.335,56.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.645,64.345;11.645,64.515;11.815,64.515;11.815,64.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.985,64.345;24.985,64.515;25.155,64.515;25.155,64.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,53.465;25.445,53.635;25.615,53.635;25.615,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.865,59.245;14.865,59.415;15.035,59.415;15.035,59.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,59.245;28.205,59.415;28.375,59.415;28.375,59.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.185,57.885;11.185,58.055;11.355,58.055;11.355,57.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (23.605,57.885;23.605,58.055;23.775,58.055;23.775,57.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.745,53.465;27.745,53.635;27.915,53.635;27.915,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.185,65.705;11.185,65.875;11.355,65.875;11.355,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.645,65.705;11.645,65.875;11.815,65.875;11.815,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.485,65.705;13.485,65.875;13.655,65.875;13.655,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.905,65.705;25.905,65.875;26.075,65.875;26.075,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,65.705;28.205,65.875;28.375,65.875;28.375,65.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.885,58.225;8.885,58.395;9.055,58.395;9.055,58.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.925,60.265;19.925,60.435;20.095,60.435;20.095,60.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.465,58.225;19.465,58.395;19.635,58.395;19.635,58.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.305,58.225;21.305,58.395;21.475,58.395;21.475,58.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.345,53.465;9.345,53.635;9.515,53.635;9.515,53.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.925,52.785;19.925,52.955;20.095,52.955;20.095,52.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.685,52.785;22.685,52.955;22.855,52.955;22.855,52.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.165,66.045;17.165,66.215;17.335,66.215;17.335,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.305,66.045;21.305,66.215;21.475,66.215;21.475,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.765,66.045;21.765,66.215;21.935,66.215;21.935,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.745,66.045;27.745,66.215;27.915,66.215;27.915,66.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.865,60.605;14.865,60.775;15.035,60.775;15.035,60.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (7.505,55.505;7.505,55.675;7.675,55.675;7.675,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.865,55.505;14.865,55.675;15.035,55.675;15.035,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.465,60.945;19.465,61.115;19.635,61.115;19.635,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.845,60.945;20.845,61.115;21.015,61.115;21.015,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.265,53.125;10.265,53.295;10.435,53.295;10.435,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.185,53.125;11.185,53.295;11.355,53.295;11.355,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (18.545,53.125;18.545,53.295;18.715,53.295;18.715,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.385,53.125;20.385,53.295;20.555,53.295;20.555,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,53.125;28.205,53.295;28.375,53.295;28.375,53.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.825,60.945;26.825,61.115;26.995,61.115;26.995,60.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.405,66.385;14.405,66.555;14.575,66.555;14.575,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,66.385;17.625,66.555;17.795,66.555;17.795,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.065,66.385;24.065,66.555;24.235,66.555;24.235,66.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (15.325,58.565;15.325,58.735;15.495,58.735;15.495,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,58.565;17.625,58.735;17.795,58.735;17.795,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (18.545,58.565;18.545,58.735;18.715,58.735;18.715,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.065,58.565;24.065,58.735;24.235,58.735;24.235,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.885,61.285;8.885,61.455;9.055,61.455;9.055,61.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.645,61.285;11.645,61.455;11.815,61.455;11.815,61.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.065,61.285;24.065,61.455;24.235,61.455;24.235,61.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,58.565;25.445,58.735;25.615,58.735;25.615,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.745,58.565;27.745,58.735;27.915,58.735;27.915,58.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.465,55.505;19.465,55.675;19.635,55.675;19.635,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.185,61.625;11.185,61.795;11.355,61.795;11.355,61.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (15.785,61.625;15.785,61.795;15.955,61.795;15.955,61.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.525,61.625;24.525,61.795;24.695,61.795;24.695,61.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.825,55.505;26.825,55.675;26.995,55.675;26.995,55.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.465,67.405;19.465,67.575;19.635,67.575;19.635,67.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.885,49.385;8.885,49.555;9.055,49.555;9.055,49.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.185,49.385;11.185,49.555;11.355,49.555;11.355,49.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,49.385;28.205,49.555;28.375,49.555;28.375,49.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.645,37.485;11.645,37.655;11.815,37.655;11.815,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (15.785,36.805;15.785,36.975;15.955,36.975;15.955,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.765,37.485;21.765,37.655;21.935,37.655;21.935,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.725,49.725;10.725,49.895;10.895,49.895;10.895,49.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,44.285;22.225,44.455;22.395,44.455;22.395,44.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.685,44.285;22.685,44.455;22.855,44.455;22.855,44.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,44.285;28.205,44.455;28.375,44.455;28.375,44.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.745,37.485;27.745,37.655;27.915,37.655;27.915,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.265,44.625;10.265,44.795;10.435,44.795;10.435,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.525,44.625;24.525,44.795;24.695,44.795;24.695,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.465,49.725;19.465,49.895;19.635,49.895;19.635,49.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (23.145,42.245;23.145,42.415;23.315,42.415;23.315,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.265,46.665;10.265,46.835;10.435,46.835;10.435,46.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.305,38.505;21.305,38.675;21.475,38.675;21.475,38.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.845,42.585;20.845,42.755;21.015,42.755;21.015,42.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.525,46.665;24.525,46.835;24.695,46.835;24.695,46.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,36.805;17.625,36.975;17.795,36.975;17.795,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.005,36.805;19.005,36.975;19.175,36.975;19.175,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.185,47.685;11.185,47.855;11.355,47.855;11.355,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.945,47.685;13.945,47.855;14.115,47.855;14.115,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.305,47.685;21.305,47.855;21.475,47.855;21.475,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (23.145,39.865;23.145,40.035;23.315,40.035;23.315,39.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,47.685;25.445,47.855;25.615,47.855;25.615,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,42.585;22.225,42.755;22.395,42.755;22.395,42.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,47.685;28.205,47.855;28.375,47.855;28.375,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.825,42.245;26.825,42.415;26.995,42.415;26.995,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.285,40.205;27.285,40.375;27.455,40.375;27.455,40.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,36.805;22.225,36.975;22.395,36.975;22.395,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (15.325,38.845;15.325,39.015;15.495,39.015;15.495,38.845) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (7.505,39.185;7.505,39.355;7.675,39.355;7.675,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.685,39.185;22.685,39.355;22.855,39.355;22.855,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (7.505,44.285;7.505,44.455;7.675,44.455;7.675,44.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.105,50.065;12.105,50.235;12.275,50.235;12.275,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,50.405;17.625,50.575;17.795,50.575;17.795,50.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.025,50.065;13.025,50.235;13.195,50.235;13.195,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,39.185;25.445,39.355;25.615,39.355;25.615,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.825,39.185;26.825,39.355;26.995,39.355;26.995,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.385,47.005;20.385,47.175;20.555,47.175;20.555,47.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.025,42.245;13.025,42.415;13.195,42.415;13.195,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.865,50.065;14.865,50.235;15.035,50.235;15.035,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.385,50.065;20.385,50.235;20.555,50.235;20.555,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.065,50.065;24.065,50.235;24.235,50.235;24.235,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.365,50.065;26.365,50.235;26.535,50.235;26.535,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,45.645;17.625,45.815;17.795,45.815;17.795,45.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (7.965,36.805;7.965,36.975;8.135,36.975;8.135,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.165,50.745;17.165,50.915;17.335,50.915;17.335,50.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,51.085;25.445,51.255;25.615,51.255;25.615,51.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.345,36.805;9.345,36.975;9.515,36.975;9.515,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.265,36.805;10.265,36.975;10.435,36.975;10.435,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.345,41.225;9.345,41.395;9.515,41.395;9.515,41.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.065,36.805;24.065,36.975;24.235,36.975;24.235,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.925,44.965;19.925,45.135;20.095,45.135;20.095,44.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,45.305;25.445,45.475;25.615,45.475;25.615,45.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,36.805;25.445,36.975;25.615,36.975;25.615,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.385,42.245;20.385,42.415;20.555,42.415;20.555,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.585,40.205;29.585,40.375;29.755,40.375;29.755,40.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,47.345;30.045,47.515;30.215,47.515;30.215,47.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.705,47.345;39.705,47.515;39.875,47.515;39.875,47.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.725,49.725;33.725,49.895;33.895,49.895;33.895,49.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.225,49.725;45.225,49.895;45.395,49.895;45.395,49.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.245,43.945;39.245,44.115;39.415,44.115;39.415,43.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.925,43.945;42.925,44.115;43.095,44.115;43.095,43.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.365,39.185;49.365,39.355;49.535,39.355;49.535,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.025,36.805;36.025,36.975;36.195,36.975;36.195,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.785,36.805;38.785,36.975;38.955,36.975;38.955,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.545,37.145;41.545,37.315;41.715,37.315;41.715,37.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.265,36.805;40.265,36.975;40.435,36.975;40.435,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.545,42.245;41.545,42.415;41.715,42.415;41.715,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.345,50.405;32.345,50.575;32.515,50.575;32.515,50.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.705,50.405;39.705,50.575;39.875,50.575;39.875,50.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.005,50.405;42.005,50.575;42.175,50.575;42.175,50.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.705,37.485;39.705,37.655;39.875,37.655;39.875,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,42.245;42.465,42.415;42.635,42.415;42.635,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.225,42.245;45.225,42.415;45.395,42.415;45.395,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.025,50.745;36.025,50.915;36.195,50.915;36.195,50.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (44.765,36.805;44.765,36.975;44.935,36.975;44.935,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,36.805;45.685,36.975;45.855,36.975;45.855,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.905,36.805;48.905,36.975;49.075,36.975;49.075,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.605,42.245;46.605,42.415;46.775,42.415;46.775,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.985,42.245;47.985,42.415;48.155,42.415;48.155,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.025,47.005;36.025,47.175;36.195,47.175;36.195,47.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.625,41.905;40.625,42.075;40.795,42.075;40.795,41.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.145,41.225;46.145,41.395;46.315,41.395;46.315,41.225) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,42.245;30.045,42.415;30.215,42.415;30.215,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.265,46.665;33.265,46.835;33.435,46.835;33.435,46.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,48.025;45.685,48.195;45.855,48.195;45.855,48.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.865,46.665;37.865,46.835;38.035,46.835;38.035,46.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.505,47.685;30.505,47.855;30.675,47.855;30.675,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (31.885,39.525;31.885,39.695;32.055,39.695;32.055,39.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,50.065;29.125,50.235;29.295,50.235;29.295,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.505,50.065;30.505,50.235;30.675,50.235;30.675,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (31.885,50.065;31.885,50.235;32.055,50.235;32.055,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.105,50.065;35.105,50.235;35.275,50.235;35.275,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.345,47.685;32.345,47.855;32.515,47.855;32.515,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.565,47.685;35.565,47.855;35.735,47.855;35.735,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.485,47.685;36.485,47.855;36.655,47.855;36.655,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.945,47.685;36.945,47.855;37.115,47.855;37.115,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.165,47.685;40.165,47.855;40.335,47.855;40.335,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.545,47.685;41.545,47.855;41.715,47.855;41.715,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,47.685;42.465,47.855;42.635,47.855;42.635,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (43.385,38.505;43.385,38.675;43.555,38.675;43.555,38.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,38.505;45.685,38.675;45.855,38.675;45.855,38.505) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.535,50.065;40.535,50.235;40.705,50.235;40.705,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.085,50.065;41.085,50.235;41.255,50.235;41.255,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,50.065;42.465,50.235;42.635,50.235;42.635,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.145,50.065;46.145,50.235;46.315,50.235;46.315,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.065,50.065;47.065,50.235;47.235,50.235;47.235,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.365,50.065;49.365,50.235;49.535,50.235;49.535,50.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (43.845,46.665;43.845,46.835;44.015,46.835;44.015,46.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.345,42.245;32.345,42.415;32.515,42.415;32.515,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.605,47.685;46.605,47.855;46.775,47.855;46.775,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.525,47.685;47.525,47.855;47.695,47.855;47.695,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,37.485;30.045,37.655;30.215,37.655;30.215,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.945,37.485;36.945,37.655;37.115,37.655;37.115,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.485,39.525;36.485,39.695;36.655,39.695;36.655,39.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.625,39.525;40.625,39.695;40.795,39.695;40.795,39.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,44.625;30.045,44.795;30.215,44.795;30.215,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (34.185,44.625;34.185,44.795;34.355,44.795;34.355,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.525,39.525;47.525,39.695;47.695,39.695;47.695,39.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.905,47.685;48.905,47.855;49.075,47.855;49.075,47.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.905,42.245;48.905,42.415;49.075,42.415;49.075,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.565,44.965;35.565,45.135;35.735,45.135;35.735,44.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (34.185,49.385;34.185,49.555;34.355,49.555;34.355,49.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.725,36.805;33.725,36.975;33.895,36.975;33.895,36.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.025,44.625;36.025,44.795;36.195,44.795;36.195,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,44.625;37.405,44.795;37.575,44.795;37.575,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.265,42.925;33.265,43.095;33.435,43.095;33.435,42.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.785,42.925;38.785,43.095;38.955,43.095;38.955,42.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,42.245;29.125,42.415;29.295,42.415;29.295,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.265,43.945;33.265,44.115;33.435,44.115;33.435,43.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.785,44.625;38.785,44.795;38.955,44.795;38.955,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.165,44.625;40.165,44.795;40.335,44.795;40.335,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,44.625;42.465,44.795;42.635,44.795;42.635,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.985,45.305;47.985,45.475;48.155,45.475;48.155,45.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.565,42.245;35.565,42.415;35.735,42.415;35.735,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.485,42.245;36.485,42.415;36.655,42.415;36.655,42.245) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.065,44.625;47.065,44.795;47.235,44.795;47.235,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.985,44.625;47.985,44.795;48.155,44.795;48.155,44.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.245,37.485;39.245,37.655;39.415,37.655;39.415,37.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,41.905;37.405,42.075;37.575,42.075;37.575,41.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.265,39.185;33.265,39.355;33.435,39.355;33.435,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.245,39.185;39.245,39.355;39.415,39.355;39.415,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.225,39.185;45.225,39.355;45.395,39.355;45.395,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.965,44.965;30.965,45.135;31.135,45.135;31.135,44.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.605,39.185;46.605,39.355;46.775,39.355;46.775,39.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.665,17.765;28.665,17.935;28.835,17.935;28.835,17.765) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.845,21.165;20.845,21.335;21.015,21.335;21.015,21.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,21.165;25.445,21.335;25.615,21.335;25.615,21.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.665,25.585;28.665,25.755;28.835,25.755;28.835,25.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.665,12.325;28.665,12.495;28.835,12.495;28.835,12.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.965,22.865;30.965,23.035;31.135,23.035;31.135,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.005,22.865;42.005,23.035;42.175,23.035;42.175,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (43.385,22.865;43.385,23.035;43.555,23.035;43.555,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.605,22.865;46.605,23.035;46.775,23.035;46.775,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.985,22.865;47.985,23.035;48.155,23.035;48.155,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.345,23.205;32.345,23.375;32.515,23.375;32.515,23.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.145,23.205;46.145,23.375;46.315,23.375;46.315,23.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.705,23.885;39.705,24.055;39.875,24.055;39.875,23.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,24.905;30.045,25.075;30.215,25.075;30.215,24.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.805,24.905;32.805,25.075;32.975,25.075;32.975,24.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.545,22.525;41.545,22.695;41.715,22.695;41.715,22.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.105,25.585;35.105,25.755;35.275,25.755;35.275,25.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,25.585;45.685,25.755;45.855,25.755;45.855,25.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.725,25.925;33.725,26.095;33.895,26.095;33.895,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.485,26.265;36.485,26.435;36.655,26.435;36.655,26.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.065,26.265;47.065,26.435;47.235,26.435;47.235,26.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (43.845,26.605;43.845,26.775;44.015,26.775;44.015,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.805,27.625;32.805,27.795;32.975,27.795;32.975,27.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.325,27.625;38.325,27.795;38.495,27.795;38.495,27.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.785,27.965;38.785,28.135;38.955,28.135;38.955,27.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,28.305;30.045,28.475;30.215,28.475;30.215,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (31.885,28.305;31.885,28.475;32.055,28.475;32.055,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,28.305;37.405,28.475;37.575,28.475;37.575,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.545,28.305;41.545,28.475;41.715,28.475;41.715,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,28.305;42.465,28.475;42.635,28.475;42.635,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.445,28.305;48.445,28.475;48.615,28.475;48.615,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.625,28.645;40.625,28.815;40.795,28.815;40.795,28.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (36.025,28.985;36.025,29.155;36.195,29.155;36.195,28.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.585,30.345;29.585,30.515;29.755,30.515;29.755,30.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,30.345;37.405,30.515;37.575,30.515;37.575,30.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.165,30.685;40.165,30.855;40.335,30.855;40.335,30.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.985,30.685;47.985,30.855;48.155,30.855;48.155,30.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.345,31.025;32.345,31.195;32.515,31.195;32.515,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,31.025;42.465,31.195;42.635,31.195;42.635,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,31.025;45.685,31.195;45.855,31.195;45.855,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,31.365;29.125,31.535;29.295,31.535;29.295,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.265,31.365;33.265,31.535;33.435,31.535;33.435,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (34.185,31.365;34.185,31.535;34.355,31.535;34.355,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.325,31.365;38.325,31.535;38.495,31.535;38.495,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.925,31.365;42.925,31.535;43.095,31.535;43.095,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.225,31.365;45.225,31.535;45.395,31.535;45.395,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,32.045;42.465,32.215;42.635,32.215;42.635,32.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,32.045;45.685,32.215;45.855,32.215;45.855,32.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.545,33.405;41.545,33.575;41.715,33.575;41.715,33.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.225,33.405;45.225,33.575;45.395,33.575;45.395,33.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,33.745;29.125,33.915;29.295,33.915;29.295,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (34.185,33.745;34.185,33.915;34.355,33.915;34.355,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,34.085;37.405,34.255;37.575,34.255;37.575,34.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.805,35.785;32.805,35.955;32.975,35.955;32.975,35.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,35.785;42.465,35.955;42.635,35.955;42.635,35.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.145,36.125;46.145,36.295;46.315,36.295;46.315,36.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.985,36.465;47.985,36.635;48.155,36.635;48.155,36.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.585,22.865;29.585,23.035;29.755,23.035;29.755,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.305,27.965;21.305,28.135;21.475,28.135;21.475,27.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,27.965;22.225,28.135;22.395,28.135;22.395,27.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.525,27.965;24.525,28.135;24.695,28.135;24.695,27.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.365,27.965;26.365,28.135;26.535,28.135;26.535,27.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.365,23.205;26.365,23.375;26.535,23.375;26.535,23.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.265,28.305;10.265,28.475;10.435,28.475;10.435,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.185,28.305;11.185,28.475;11.355,28.475;11.355,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.565,28.305;12.565,28.475;12.735,28.475;12.735,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.485,28.305;13.485,28.475;13.655,28.475;13.655,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.945,28.305;13.945,28.475;14.115,28.475;14.115,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.405,28.305;14.405,28.475;14.575,28.475;14.575,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.745,28.305;27.745,28.475;27.915,28.475;27.915,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.025,22.865;13.025,23.035;13.195,23.035;13.195,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,22.865;17.625,23.035;17.795,23.035;17.795,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.565,23.885;12.565,24.055;12.735,24.055;12.735,23.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.865,23.885;14.865,24.055;15.035,24.055;15.035,23.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.305,22.865;21.305,23.035;21.475,23.035;21.475,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.565,24.905;12.565,25.075;12.735,25.075;12.735,24.905) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.865,28.645;14.865,28.815;15.035,28.815;15.035,28.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,28.645;28.205,28.815;28.375,28.815;28.375,28.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,22.865;22.225,23.035;22.395,23.035;22.395,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (23.605,28.985;23.605,29.155;23.775,29.155;23.775,28.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.525,22.865;24.525,23.035;24.695,23.035;24.695,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.725,29.325;10.725,29.495;10.895,29.495;10.895,29.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (15.785,29.325;15.785,29.495;15.955,29.495;15.955,29.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.385,29.325;20.385,29.495;20.555,29.495;20.555,29.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.305,29.325;21.305,29.495;21.475,29.495;21.475,29.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (7.965,30.345;7.965,30.515;8.135,30.515;8.135,30.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.265,30.345;10.265,30.515;10.435,30.515;10.435,30.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.865,30.345;14.865,30.515;15.035,30.515;15.035,30.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.985,25.585;24.985,25.755;25.155,25.755;25.155,25.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,22.865;25.445,23.035;25.615,23.035;25.615,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.525,30.685;24.525,30.855;24.695,30.855;24.695,30.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,22.865;28.205,23.035;28.375,23.035;28.375,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.705,22.185;16.705,22.355;16.875,22.355;16.875,22.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.465,31.025;13.465,31.195;13.635,31.195;13.635,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.565,25.925;12.565,26.095;12.735,26.095;12.735,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.405,25.925;14.405,26.095;14.575,26.095;14.575,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (15.785,25.925;15.785,26.095;15.955,26.095;15.955,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.805,31.365;9.805,31.535;9.975,31.535;9.975,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.565,31.365;12.565,31.535;12.735,31.535;12.735,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.025,31.365;13.025,31.535;13.195,31.535;13.195,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.945,31.365;13.945,31.535;14.115,31.535;14.115,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.705,31.365;16.705,31.535;16.875,31.535;16.875,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,31.365;17.625,31.535;17.795,31.535;17.795,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (18.085,31.365;18.085,31.535;18.255,31.535;18.255,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (18.55,31.365;18.55,31.535;18.72,31.535;18.72,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.305,31.365;21.305,31.535;21.475,31.535;21.475,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,31.365;22.225,31.535;22.395,31.535;22.395,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.735,31.365;25.735,31.535;25.905,31.535;25.905,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.365,31.365;26.365,31.535;26.535,31.535;26.535,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.825,31.365;26.825,31.535;26.995,31.535;26.995,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.745,31.365;27.745,31.535;27.915,31.535;27.915,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.925,25.925;19.925,26.095;20.095,26.095;20.095,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.845,25.925;20.845,26.095;21.015,26.095;21.015,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,25.925;22.225,26.095;22.395,26.095;22.395,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.065,25.925;24.065,26.095;24.235,26.095;24.235,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.745,25.925;27.745,26.095;27.915,26.095;27.915,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.925,22.185;19.925,22.355;20.095,22.355;20.095,22.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.925,31.705;19.925,31.875;20.095,31.875;20.095,31.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.765,32.045;21.765,32.215;21.935,32.215;21.935,32.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,22.185;28.205,22.355;28.375,22.355;28.375,22.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (23.145,22.525;23.145,22.695;23.315,22.695;23.315,22.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (7.965,33.065;7.965,33.235;8.135,33.235;8.135,33.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.105,33.065;12.105,33.235;12.275,33.235;12.275,33.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.485,33.065;13.485,33.235;13.655,33.235;13.655,33.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.385,33.065;20.385,33.235;20.555,33.235;20.555,33.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,33.405;22.225,33.575;22.395,33.575;22.395,33.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,26.605;17.625,26.775;17.795,26.775;17.795,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.385,26.605;20.385,26.775;20.555,26.775;20.555,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.805,33.745;9.805,33.915;9.975,33.915;9.975,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.185,33.745;11.185,33.915;11.355,33.915;11.355,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.705,33.745;16.705,33.915;16.875,33.915;16.875,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,33.745;17.625,33.915;17.795,33.915;17.795,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.065,33.745;24.065,33.915;24.235,33.915;24.235,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.365,33.745;26.365,33.915;26.535,33.915;26.535,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.685,26.605;22.685,26.775;22.855,26.775;22.855,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.825,26.605;26.825,26.775;26.995,26.775;26.995,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.565,34.085;12.565,34.255;12.735,34.255;12.735,34.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.265,22.185;10.265,22.355;10.435,22.355;10.435,22.185) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.725,34.425;10.725,34.595;10.895,34.595;10.895,34.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (15.785,34.765;15.785,34.935;15.955,34.935;15.955,34.765) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (7.965,27.625;7.965,27.795;8.135,27.795;8.135,27.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.985,27.625;24.985,27.795;25.155,27.795;25.155,27.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,27.625;25.445,27.795;25.615,27.795;25.615,27.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.105,36.465;12.105,36.635;12.275,36.635;12.275,36.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.945,36.465;13.945,36.635;14.115,36.635;14.115,36.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.165,36.465;17.165,36.635;17.335,36.635;17.335,36.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.905,36.465;25.905,36.635;26.075,36.635;26.075,36.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.345,22.865;9.345,23.035;9.515,23.035;9.515,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.425,23.205;8.425,23.375;8.595,23.375;8.595,23.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.425,17.425;8.425,17.595;8.595,17.595;8.595,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.945,18.445;13.945,18.615;14.115,18.615;14.115,18.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (23.145,19.805;23.145,19.975;23.315,19.975;23.315,19.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.485,20.145;13.485,20.315;13.655,20.315;13.655,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.985,20.145;24.985,20.315;25.155,20.315;25.155,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.905,20.145;25.905,20.315;26.075,20.315;26.075,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.745,20.145;27.745,20.315;27.915,20.315;27.915,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.345,20.485;9.345,20.655;9.515,20.655;9.515,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.265,20.485;10.265,20.655;10.435,20.655;10.435,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.685,6.205;22.685,6.375;22.855,6.375;22.855,6.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.105,20.485;12.105,20.655;12.275,20.655;12.275,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (28.205,20.485;28.205,20.655;28.375,20.655;28.375,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.425,20.825;8.425,20.995;8.595,20.995;8.595,20.825) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.725,20.825;10.725,20.995;10.895,20.995;10.895,20.825) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.705,17.425;16.705,17.595;16.875,17.595;16.875,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (23.145,17.425;23.145,17.595;23.315,17.595;23.315,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.525,17.425;24.525,17.595;24.695,17.595;24.695,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,5.865;22.225,6.035;22.395,6.035;22.395,5.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.725,17.765;10.725,17.935;10.895,17.935;10.895,17.765) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.105,17.765;12.105,17.935;12.275,17.935;12.275,17.765) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.245,6.545;16.245,6.715;16.415,6.715;16.415,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.905,6.545;25.905,6.715;26.075,6.715;26.075,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.825,6.545;26.825,6.715;26.995,6.715;26.995,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.745,6.545;27.745,6.715;27.915,6.715;27.915,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (7.505,6.885;7.505,7.055;7.675,7.055;7.675,6.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,6.885;22.225,7.055;22.395,7.055;22.395,6.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.925,7.565;19.925,7.735;20.095,7.735;20.095,7.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.405,9.265;14.405,9.435;14.575,9.435;14.575,9.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.345,9.605;9.345,9.775;9.515,9.775;9.515,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.805,9.605;9.805,9.775;9.975,9.775;9.975,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.645,9.605;11.645,9.775;11.815,9.775;11.815,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.485,9.605;13.485,9.775;13.655,9.775;13.655,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.705,9.605;16.705,9.775;16.875,9.775;16.875,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.425,9.945;8.425,10.115;8.595,10.115;8.595,9.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.105,9.945;12.105,10.115;12.275,10.115;12.275,9.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (18.085,9.945;18.085,10.115;18.255,10.115;18.255,9.945) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,10.285;25.445,10.455;25.615,10.455;25.615,10.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.425,11.305;8.425,11.475;8.595,11.475;8.595,11.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (9.345,11.985;9.345,12.155;9.515,12.155;9.515,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.645,11.985;11.645,12.155;11.815,12.155;11.815,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.485,11.985;13.485,12.155;13.655,12.155;13.655,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.945,11.985;13.945,12.155;14.115,12.155;14.115,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.245,11.985;16.245,12.155;16.415,12.155;16.415,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (17.625,11.985;17.625,12.155;17.795,12.155;17.795,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.925,11.985;19.925,12.155;20.095,12.155;20.095,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (20.845,11.985;20.845,12.155;21.015,12.155;21.015,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,11.985;25.445,12.155;25.615,12.155;25.615,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.365,11.985;26.365,12.155;26.535,12.155;26.535,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (27.285,11.985;27.285,12.155;27.455,12.155;27.455,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.685,12.325;22.685,12.495;22.855,12.495;22.855,12.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.465,17.765;19.465,17.935;19.635,17.935;19.635,17.765) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.245,12.665;16.245,12.835;16.415,12.835;16.415,12.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (22.225,12.665;22.225,12.835;22.395,12.835;22.395,12.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.565,13.005;12.565,13.175;12.735,13.175;12.735,13.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.885,15.045;8.885,15.215;9.055,15.215;9.055,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (11.185,15.045;11.185,15.215;11.355,15.215;11.355,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (14.865,15.045;14.865,15.215;15.035,15.215;15.035,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.005,15.045;19.005,15.215;19.175,15.215;19.175,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (19.925,15.045;19.925,15.215;20.095,15.215;20.095,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.985,15.045;24.985,15.215;25.155,15.215;25.155,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (25.445,15.045;25.445,15.215;25.615,15.215;25.615,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.365,15.045;26.365,15.215;26.535,15.215;26.535,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.825,15.045;26.825,15.215;26.995,15.215;26.995,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (10.265,15.385;10.265,15.555;10.435,15.555;10.435,15.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.485,15.725;13.485,15.895;13.655,15.895;13.655,15.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (21.765,15.725;21.765,15.895;21.935,15.895;21.935,15.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (24.065,15.725;24.065,15.895;24.235,15.895;24.235,15.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (8.885,16.745;8.885,16.915;9.055,16.915;9.055,16.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (12.565,16.745;12.565,16.915;12.735,16.915;12.735,16.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (16.245,16.745;16.245,16.915;16.415,16.915;16.415,16.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (13.025,17.085;13.025,17.255;13.195,17.255;13.195,17.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (26.365,17.085;26.365,17.255;26.535,17.255;26.535,17.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,9.605;29.125,9.775;29.295,9.775;29.295,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,9.605;30.045,9.775;30.215,9.775;30.215,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.345,9.605;32.345,9.775;32.515,9.775;32.515,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.325,17.425;38.325,17.595;38.495,17.595;38.495,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.245,17.425;39.245,17.595;39.415,17.595;39.415,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,20.485;29.125,20.655;29.295,20.655;29.295,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.925,20.485;42.925,20.655;43.095,20.655;43.095,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,10.285;30.045,10.455;30.215,10.455;30.215,10.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.805,10.285;32.805,10.455;32.975,10.455;32.975,10.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.005,10.285;42.005,10.455;42.175,10.455;42.175,10.285) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,20.485;45.685,20.655;45.855,20.655;45.855,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.145,11.305;46.145,11.475;46.315,11.475;46.315,11.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,11.645;30.045,11.815;30.215,11.815;30.215,11.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.365,20.485;49.365,20.655;49.535,20.655;49.535,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,6.205;37.405,6.375;37.575,6.375;37.575,6.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,17.765;37.405,17.935;37.575,17.935;37.575,17.765) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.345,5.865;32.345,6.035;32.515,6.035;32.515,5.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.725,18.105;33.725,18.275;33.895,18.275;33.895,18.105) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.465,17.425;42.465,17.595;42.635,17.595;42.635,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (31.425,18.445;31.425,18.615;31.595,18.615;31.595,18.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.085,19.465;41.085,19.635;41.255,19.635;41.255,19.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.865,6.205;37.865,6.375;38.035,6.375;38.035,6.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (43.845,19.465;43.845,19.635;44.015,19.635;44.015,19.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.925,5.865;42.925,6.035;43.095,6.035;43.095,5.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.625,11.985;40.625,12.155;40.795,12.155;40.795,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (41.085,11.985;41.085,12.155;41.255,12.155;41.255,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,11.985;45.685,12.155;45.855,12.155;45.855,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.605,11.985;46.605,12.155;46.775,12.155;46.775,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (43.385,17.425;43.385,17.595;43.555,17.595;43.555,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.605,19.805;46.605,19.975;46.775,19.975;46.775,19.805) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,12.325;37.405,12.495;37.575,12.495;37.575,12.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.505,6.545;30.505,6.715;30.675,6.715;30.675,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.015,6.545;35.015,6.715;35.185,6.715;35.185,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.005,6.545;42.005,6.715;42.175,6.715;42.175,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (39.705,13.005;39.705,13.175;39.875,13.175;39.875,13.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.805,14.705;32.805,14.875;32.975,14.875;32.975,14.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (34.645,14.705;34.645,14.875;34.815,14.875;34.815,14.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.225,6.545;45.225,6.715;45.395,6.715;45.395,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.145,6.545;46.145,6.715;46.315,6.715;46.315,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.985,6.545;47.985,6.715;48.155,6.715;48.155,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.225,17.425;45.225,17.595;45.395,17.595;45.395,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (45.685,17.425;45.685,17.595;45.855,17.595;45.855,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,6.885;37.405,7.055;37.575,7.055;37.575,6.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.065,6.885;47.065,7.055;47.235,7.055;47.235,6.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (46.605,17.425;46.605,17.595;46.775,17.595;46.775,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.585,7.565;29.585,7.735;29.755,7.735;29.755,7.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,15.045;29.125,15.215;29.295,15.215;29.295,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.505,15.045;30.505,15.215;30.675,15.215;30.675,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.725,15.045;33.725,15.215;33.895,15.215;33.895,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.325,15.045;38.325,15.215;38.495,15.215;38.495,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.905,15.045;48.905,15.215;49.075,15.215;49.075,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (30.045,17.085;30.045,17.255;30.215,17.255;30.215,17.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.525,15.385;47.525,15.555;47.695,15.555;47.695,15.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (34.645,9.265;34.645,9.435;34.815,9.435;34.815,9.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (38.785,9.265;38.785,9.435;38.955,9.435;38.955,9.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (49.365,9.265;49.365,9.435;49.535,9.435;49.535,9.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,15.725;29.125,15.895;29.295,15.895;29.295,15.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (37.405,15.725;37.405,15.895;37.575,15.895;37.575,15.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (40.165,15.725;40.165,15.895;40.335,15.895;40.335,15.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (32.345,20.145;32.345,20.315;32.515,20.315;32.515,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.725,20.145;33.725,20.315;33.895,20.315;33.895,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (48.445,20.145;48.445,20.315;48.615,20.315;48.615,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (29.125,16.745;29.125,16.915;29.295,16.915;29.295,16.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (42.925,16.745;42.925,16.915;43.095,16.915;43.095,16.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (47.525,16.745;47.525,16.915;47.695,16.915;47.695,16.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (33.265,17.425;33.265,17.595;33.435,17.595;33.435,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (35.565,17.425;35.565,17.595;35.735,17.595;35.735,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,22.865;70.985,23.035;71.155,23.035;71.155,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,11.985;70.985,12.155;71.155,12.155;71.155,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,17.425;70.985,17.595;71.155,17.595;71.155,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,28.305;70.985,28.475;71.155,28.475;71.155,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,20.485;70.985,20.655;71.155,20.655;71.155,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,31.365;70.985,31.535;71.155,31.535;71.155,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.945,21.165;59.945,21.335;60.115,21.335;60.115,21.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.165,21.165;63.165,21.335;63.335,21.335;63.335,21.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.985,21.165;70.985,21.335;71.155,21.335;71.155,21.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.325,21.165;84.325,21.335;84.495,21.335;84.495,21.165) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,36.465;74.205,36.635;74.375,36.635;74.375,36.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.445,33.745;71.445,33.915;71.615,33.915;71.615,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.705,22.865;85.705,23.035;85.875,23.035;85.875,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.165,22.865;86.165,23.035;86.335,23.035;86.335,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.005,22.865;88.005,23.035;88.175,23.035;88.175,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,22.865;90.305,23.035;90.475,23.035;90.475,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.745,23.205;73.745,23.375;73.915,23.375;73.915,23.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.465,23.205;88.465,23.375;88.635,23.375;88.635,23.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.905,23.885;71.905,24.055;72.075,24.055;72.075,23.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.485,23.885;82.485,24.055;82.655,24.055;82.655,23.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.845,25.585;89.845,25.755;90.015,25.755;90.015,25.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.285,33.745;73.285,33.915;73.455,33.915;73.455,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (87.545,26.265;87.545,26.435;87.715,26.435;87.715,26.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.425,26.605;77.425,26.775;77.595,26.775;77.595,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (79.265,26.605;79.265,26.775;79.435,26.775;79.435,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.025,26.605;82.025,26.775;82.195,26.775;82.195,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.865,26.605;83.865,26.775;84.035,26.775;84.035,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.625,26.605;86.625,26.775;86.795,26.775;86.795,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.365,27.625;72.365,27.795;72.535,27.795;72.535,27.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.405,27.625;83.405,27.795;83.575,27.795;83.575,27.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.885,33.745;77.885,33.915;78.055,33.915;78.055,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.005,27.965;88.005,28.135;88.175,28.135;88.175,27.965) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.805,33.745;78.805,33.915;78.975,33.915;78.975,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.905,28.305;71.905,28.475;72.075,28.475;72.075,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.665,28.305;74.665,28.475;74.835,28.475;74.835,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.385,28.305;89.385,28.475;89.555,28.475;89.555,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.045,28.645;76.045,28.815;76.215,28.815;76.215,28.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.245,28.645;85.245,28.815;85.415,28.815;85.415,28.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (87.545,28.985;87.545,29.155;87.715,29.155;87.715,28.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (91.685,28.985;91.685,29.155;91.855,29.155;91.855,28.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,29.325;90.305,29.495;90.475,29.495;90.475,29.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.505,30.345;76.505,30.515;76.675,30.515;76.675,30.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,30.685;90.765,30.855;90.935,30.855;90.935,30.685) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (73.745,31.025;73.745,31.195;73.915,31.195;73.915,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,31.025;74.205,31.195;74.375,31.195;74.375,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.025,33.745;82.025,33.915;82.195,33.915;82.195,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.705,33.745;85.705,33.915;85.875,33.915;85.875,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.445,31.365;71.445,31.535;71.615,31.535;71.615,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.125,31.365;75.125,31.535;75.295,31.535;75.295,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.585,31.365;75.585,31.535;75.755,31.535;75.755,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (82.025,31.365;82.025,31.535;82.195,31.535;82.195,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.325,31.365;84.325,31.535;84.495,31.535;84.495,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,31.365;90.305,31.535;90.475,31.535;90.475,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (80.185,31.705;80.185,31.875;80.355,31.875;80.355,31.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.105,31.705;81.105,31.875;81.275,31.875;81.275,31.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (78.805,32.045;78.805,32.215;78.975,32.215;78.975,32.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.005,33.745;88.005,33.915;88.175,33.915;88.175,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.045,34.085;76.045,34.255;76.215,34.255;76.215,34.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (79.725,33.065;79.725,33.235;79.895,33.235;79.895,33.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,34.085;90.305,34.255;90.475,34.255;90.475,34.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.125,36.125;75.125,36.295;75.295,36.295;75.295,36.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.625,33.405;86.625,33.575;86.795,33.575;86.795,33.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,33.405;90.765,33.575;90.935,33.575;90.935,33.405) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.125,22.525;75.125,22.695;75.295,22.695;75.295,22.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.785,22.525;84.785,22.695;84.955,22.695;84.955,22.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.005,23.205;65.005,23.375;65.175,23.375;65.175,23.205) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.195,33.745;54.195,33.915;54.365,33.915;54.365,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,28.645;50.285,28.815;50.455,28.815;50.455,28.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.305,34.425;67.305,34.595;67.475,34.595;67.475,34.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.745,23.545;50.745,23.715;50.915,23.715;50.915,23.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.685,23.545;68.685,23.715;68.855,23.715;68.855,23.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.265,23.885;56.265,24.055;56.435,24.055;56.435,23.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.845,29.325;66.845,29.495;67.015,29.495;67.015,29.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.505,35.785;53.505,35.955;53.675,35.955;53.675,35.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.745,30.345;50.745,30.515;50.915,30.515;50.915,30.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.565,30.345;58.565,30.515;58.735,30.515;58.735,30.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.225,30.345;68.225,30.515;68.395,30.515;68.395,30.345) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.525,35.785;70.525,35.955;70.695,35.955;70.695,35.785) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,25.585;58.105,25.755;58.275,25.755;58.275,25.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,31.025;60.865,31.195;61.035,31.195;61.035,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.385,31.025;66.385,31.195;66.555,31.195;66.555,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.305,31.025;67.305,31.195;67.475,31.195;67.475,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.065,31.025;70.065,31.195;70.235,31.195;70.235,31.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.765,36.125;67.765,36.295;67.935,36.295;67.935,36.125) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.885,33.745;54.885,33.915;55.055,33.915;55.055,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.685,25.925;68.685,26.095;68.855,26.095;68.855,25.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.585,31.365;52.585,31.535;52.755,31.535;52.755,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.505,31.365;53.505,31.535;53.675,31.535;53.675,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.925,31.365;65.925,31.535;66.095,31.535;66.095,31.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.485,26.265;59.485,26.435;59.655,26.435;59.655,26.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.065,26.265;70.065,26.435;70.235,26.435;70.235,26.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,36.465;58.105,36.635;58.275,36.635;58.275,36.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.425,26.605;54.425,26.775;54.595,26.775;54.595,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.845,26.605;66.845,26.775;67.015,26.775;67.015,26.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.005,36.465;65.005,36.635;65.175,36.635;65.175,36.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.925,36.465;65.925,36.635;66.095,36.635;66.095,36.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.425,31.705;54.425,31.875;54.595,31.875;54.595,31.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.325,31.705;61.325,31.875;61.495,31.875;61.495,31.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (57.645,33.745;57.645,33.915;57.815,33.915;57.815,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,22.865;50.285,23.035;50.455,23.035;50.455,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,32.045;60.865,32.215;61.035,32.215;61.035,32.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.765,22.865;67.765,23.035;67.935,23.035;67.935,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.725,27.625;56.725,27.795;56.895,27.795;56.895,27.625) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.685,22.865;68.685,23.035;68.855,23.035;68.855,22.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.885,33.065;54.885,33.235;55.055,33.235;55.055,33.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.705,33.065;62.705,33.235;62.875,33.235;62.875,33.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (64.545,33.065;64.545,33.235;64.715,33.235;64.715,33.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.465,33.065;65.465,33.235;65.635,33.235;65.635,33.065) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.485,33.745;59.485,33.915;59.655,33.915;59.655,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.155,33.745;65.155,33.915;65.325,33.915;65.325,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.765,33.745;67.765,33.915;67.935,33.915;67.935,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (57.645,28.305;57.645,28.475;57.815,28.475;57.815,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.925,28.305;65.925,28.475;66.095,28.475;66.095,28.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.625,22.525;63.625,22.695;63.795,22.695;63.795,22.525) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,34.085;53.045,34.255;53.215,34.255;53.215,34.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,33.745;50.285,33.915;50.455,33.915;50.455,33.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (51.205,17.425;51.205,17.595;51.375,17.595;51.375,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.505,17.425;53.505,17.595;53.675,17.595;53.675,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.965,20.145;53.965,20.315;54.135,20.315;54.135,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.725,17.085;56.725,17.255;56.895,17.255;56.895,17.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.005,20.145;65.005,20.315;65.175,20.315;65.175,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.485,17.085;59.485,17.255;59.655,17.255;59.655,17.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.345,9.605;55.345,9.775;55.515,9.775;55.515,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.425,17.425;54.425,17.595;54.595,17.595;54.595,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.885,17.425;54.885,17.595;55.055,17.595;55.055,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.43,17.425;55.43,17.595;55.6,17.595;55.6,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.585,11.985;52.585,12.155;52.755,12.155;52.755,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.565,8.585;58.565,8.755;58.735,8.755;58.735,8.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (69.145,8.585;69.145,8.755;69.315,8.755;69.315,8.585) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.965,8.925;53.965,9.095;54.135,9.095;54.135,8.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (51.205,11.305;51.205,11.475;51.375,11.475;51.375,11.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,20.485;50.285,20.655;50.455,20.655;50.455,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,20.485;53.045,20.655;53.215,20.655;53.215,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.025,20.485;59.025,20.655;59.195,20.655;59.195,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.405,20.485;60.405,20.655;60.575,20.655;60.575,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.245,20.485;62.245,20.655;62.415,20.655;62.415,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.165,20.485;63.165,20.655;63.335,20.655;63.335,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.925,20.485;65.925,20.655;66.095,20.655;66.095,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.845,20.485;66.845,20.655;67.015,20.655;67.015,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.065,20.485;70.065,20.655;70.235,20.655;70.235,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (54.885,11.985;54.885,12.155;55.055,12.155;55.055,11.985) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.585,6.545;52.585,6.715;52.755,6.715;52.755,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (51.205,13.005;51.205,13.175;51.375,13.175;51.375,13.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.265,13.005;56.265,13.175;56.435,13.175;56.435,13.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.685,13.005;68.685,13.175;68.855,13.175;68.855,13.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,17.765;58.105,17.935;58.275,17.935;58.275,17.765) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.945,14.025;59.945,14.195;60.115,14.195;60.115,14.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.845,18.445;66.845,18.615;67.015,18.615;67.015,18.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (68.225,15.045;68.225,15.215;68.395,15.215;68.395,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.845,15.045;66.845,15.215;67.015,15.215;67.015,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (67.305,9.605;67.305,9.775;67.475,9.775;67.475,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (65.925,9.265;65.925,9.435;66.095,9.435;66.095,9.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (69.605,15.045;69.605,15.215;69.775,15.215;69.775,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.805,9.265;55.805,9.435;55.975,9.435;55.975,9.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.345,14.365;55.345,14.535;55.515,14.535;55.515,14.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.965,12.325;53.965,12.495;54.135,12.495;54.135,12.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.945,12.325;59.945,12.495;60.115,12.495;60.115,12.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.285,11.645;50.285,11.815;50.455,11.815;50.455,11.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (51.665,6.885;51.665,7.055;51.835,7.055;51.835,6.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.245,14.365;62.245,14.535;62.415,14.535;62.415,14.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.125,19.465;52.125,19.635;52.295,19.635;52.295,19.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.805,6.885;55.805,7.055;55.975,7.055;55.975,6.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (70.525,15.045;70.525,15.215;70.695,15.215;70.695,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (66.845,14.365;66.845,14.535;67.015,14.535;67.015,14.365) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.945,6.545;59.945,6.715;60.115,6.715;60.115,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (63.625,15.045;63.625,15.215;63.795,15.215;63.795,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.745,9.605;50.745,9.775;50.915,9.775;50.915,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (56.725,11.645;56.725,11.815;56.895,11.815;56.895,11.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (60.865,7.565;60.865,7.735;61.035,7.735;61.035,7.565) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.045,14.705;53.045,14.875;53.215,14.875;53.215,14.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (61.325,11.645;61.325,11.815;61.495,11.815;61.495,11.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (53.965,15.045;53.965,15.215;54.135,15.215;54.135,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (58.105,15.045;58.105,15.215;58.275,15.215;58.275,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (59.025,15.045;59.025,15.215;59.195,15.215;59.195,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (62.245,15.045;62.245,15.215;62.415,15.215;62.415,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (55.805,14.705;55.805,14.875;55.975,14.875;55.975,14.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (52.585,9.605;52.585,9.775;52.755,9.775;52.755,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (50.745,17.085;50.745,17.255;50.915,17.255;50.915,17.085) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (83.865,6.545;83.865,6.715;84.035,6.715;84.035,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.785,6.545;84.785,6.715;84.955,6.715;84.955,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.385,15.725;89.385,15.895;89.555,15.895;89.555,15.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.845,15.725;89.845,15.895;90.015,15.895;90.015,15.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,6.545;90.765,6.715;90.935,6.715;90.935,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,11.645;90.305,11.815;90.475,11.815;90.475,11.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.325,18.445;84.325,18.615;84.495,18.615;84.495,18.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (91.685,18.445;91.685,18.615;91.855,18.615;91.855,18.445) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.165,15.045;86.165,15.215;86.335,15.215;86.335,15.045) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (80.645,9.605;80.645,9.775;80.815,9.775;80.815,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.705,9.605;85.705,9.775;85.875,9.775;85.875,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.005,12.665;88.005,12.835;88.175,12.835;88.175,12.665) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.385,11.645;89.385,11.815;89.555,11.815;89.555,11.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.245,20.485;85.245,20.655;85.415,20.655;85.415,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.465,20.485;88.465,20.655;88.635,20.655;88.635,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.305,20.485;90.305,20.655;90.475,20.655;90.475,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.445,15.385;71.445,15.555;71.615,15.555;71.615,15.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.925,5.865;88.925,6.035;89.095,6.035;89.095,5.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (91.685,5.865;91.685,6.035;91.855,6.035;91.855,5.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.565,19.465;81.565,19.635;81.735,19.635;81.735,19.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.465,19.465;88.465,19.635;88.635,19.635;88.635,19.465) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.565,5.865;81.565,6.035;81.735,6.035;81.735,5.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (87.545,15.385;87.545,15.555;87.715,15.555;87.715,15.385) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,11.645;90.765,11.815;90.935,11.815;90.935,11.645) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.505,9.265;76.505,9.435;76.675,9.435;76.675,9.265) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (77.885,9.605;77.885,9.775;78.055,9.775;78.055,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (91.225,20.485;91.225,20.655;91.395,20.655;91.395,20.485) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.905,17.425;71.905,17.595;72.075,17.595;72.075,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.325,5.865;84.325,6.035;84.495,6.035;84.495,5.865) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.825,14.705;72.825,14.875;72.995,14.875;72.995,14.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,14.705;74.205,14.875;74.375,14.875;74.375,14.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,11.305;74.205,11.475;74.375,11.475;74.375,11.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (84.325,11.305;84.325,11.475;84.495,11.475;84.495,11.305) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.845,20.825;89.845,20.995;90.015,20.995;90.015,20.825) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.585,17.425;75.585,17.595;75.755,17.595;75.755,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.845,17.425;89.845,17.595;90.015,17.595;90.015,17.425) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.005,6.545;88.005,6.715;88.175,6.715;88.175,6.545) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.625,9.605;86.625,9.775;86.795,9.775;86.795,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (81.565,15.725;81.565,15.895;81.735,15.895;81.735,15.725) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (88.925,14.705;88.925,14.875;89.095,14.875;89.095,14.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (91.225,14.705;91.225,14.875;91.395,14.875;91.395,14.705) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.825,6.885;72.825,7.055;72.995,7.055;72.995,6.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (79.725,9.605;79.725,9.775;79.895,9.775;79.895,9.605) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.445,16.745;71.445,16.915;71.615,16.915;71.615,16.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (72.825,20.145;72.825,20.315;72.995,20.315;72.995,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,20.145;74.205,20.315;74.375,20.315;74.375,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.625,20.145;86.625,20.315;86.795,20.315;86.795,20.145) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (86.995,16.745;86.995,16.915;87.165,16.915;87.165,16.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.965,17.765;76.965,17.935;77.135,17.935;77.135,17.765) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.385,17.765;89.385,17.935;89.555,17.935;89.555,17.765) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (71.905,13.005;71.905,13.175;72.075,13.175;72.075,13.005) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (75.585,12.325;75.585,12.495;75.755,12.495;75.755,12.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (85.245,14.025;85.245,14.195;85.415,14.195;85.415,14.025) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (76.965,12.325;76.965,12.495;77.135,12.495;77.135,12.325) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (89.385,16.745;89.385,16.915;89.555,16.915;89.555,16.745) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (74.205,6.885;74.205,7.055;74.375,7.055;74.375,6.885) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (79.725,8.925;79.725,9.095;79.895,9.095;79.895,8.925) - - - - - '67/44' - digital_pll - false - 1 - - - polygon: (90.765,10.285;90.765,10.455;90.935,10.455;90.935,10.285) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.61,4.46;22.61,4.72;22.93,4.72;22.93,4.66;27.21,4.66;27.21,4.72;27.53,4.72;27.53,4.66;37.79,4.66;37.79,4.72;38.11,4.72;38.11,4.66;91.61,4.66;91.61,4.72;91.93,4.72;91.93,4.46;91.61,4.46;91.61,4.52;38.11,4.52;38.11,4.46;37.79,4.46;37.79,4.52;27.53,4.52;27.53,4.46;27.21,4.46;27.21,4.52;22.93,4.52;22.93,4.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,6.16;55.27,6.22;48.46,6.22;48.46,6.5;48.37,6.5;48.37,6.56;48.215,6.56;48.215,6.515;47.925,6.515;47.925,6.745;48.215,6.745;48.215,6.7;48.37,6.7;48.37,6.76;48.69,6.76;48.69,6.5;48.6,6.5;48.6,6.36;55.27,6.36;55.27,6.42;55.59,6.42;55.59,6.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.865,5.835;42.865,5.88;34.43,5.88;34.43,6.22;24.54,6.22;24.54,6.9;24.31,6.9;24.31,6.84;23.99,6.84;23.99,6.9;22.455,6.9;22.455,6.855;22.165,6.855;22.165,7.085;22.455,7.085;22.455,7.04;23.99,7.04;23.99,7.1;24.31,7.1;24.31,7.04;24.68,7.04;24.68,6.36;34.57,6.36;34.57,6.02;42.865,6.02;42.865,6.065;42.94,6.065;42.94,6.36;45.24,6.36;45.24,6.515;45.165,6.515;45.165,6.745;45.455,6.745;45.455,6.515;45.38,6.515;45.38,6.36;46.62,6.36;46.62,6.7;47.54,6.7;47.54,7.04;51.13,7.04;51.13,7.1;51.45,7.1;51.45,7.04;51.605,7.04;51.605,7.085;51.895,7.085;51.895,6.855;51.605,6.855;51.605,6.9;51.45,6.9;51.45,6.84;51.13,6.84;51.13,6.9;47.68,6.9;47.68,6.56;46.76,6.56;46.76,6.22;43.08,6.22;43.08,6.065;43.155,6.065;43.155,5.835) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.99,9.22;46.99,9.48;47.31,9.48;47.31,9.42;49.305,9.42;49.305,9.465;49.595,9.465;49.595,9.235;49.305,9.235;49.305,9.28;47.31,9.28;47.31,9.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.07,8.54;46.07,8.8;46.39,8.8;46.39,8.74;52.14,8.74;52.14,9.08;52.6,9.08;52.6,9.575;52.525,9.575;52.525,9.805;52.815,9.805;52.815,9.76;53.43,9.76;53.43,9.82;53.75,9.82;53.75,9.56;53.43,9.56;53.43,9.62;52.815,9.62;52.815,9.575;52.74,9.575;52.74,8.94;52.28,8.94;52.28,8.6;46.39,8.6;46.39,8.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (38.71,11.6;38.71,11.86;39.03,11.86;39.03,11.8;41.1,11.8;41.1,11.955;41.025,11.955;41.025,12.185;41.1,12.185;41.1,13.16;51.145,13.16;51.145,13.205;51.435,13.205;51.435,13.16;52.51,13.16;52.51,13.22;52.83,13.22;52.83,12.96;52.51,12.96;52.51,13.02;51.435,13.02;51.435,12.975;51.145,12.975;51.145,13.02;41.24,13.02;41.24,12.185;41.315,12.185;41.315,11.955;41.24,11.955;41.24,11.66;39.03,11.66;39.03,11.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.46,14.38;48.46,14.72;35.81,14.72;35.81,14.66;35.49,14.66;35.49,14.72;34.875,14.72;34.875,14.675;34.585,14.675;34.585,14.72;33.28,14.72;33.28,15.06;30.735,15.06;30.735,15.015;30.445,15.015;30.445,15.245;30.735,15.245;30.735,15.2;33.42,15.2;33.42,14.86;34.585,14.86;34.585,14.905;34.875,14.905;34.875,14.86;35.49,14.86;35.49,14.92;35.81,14.92;35.81,14.86;48.6,14.86;48.6,14.52;53.52,14.52;53.52,14.86;55.73,14.86;55.73,14.92;56.05,14.92;56.05,14.66;55.73,14.66;55.73,14.72;53.66,14.72;53.66,14.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.77,13.98;43.77,14.24;44.09,14.24;44.09,14.18;59.04,14.18;59.04,14.86;63.64,14.86;63.64,15.015;63.565,15.015;63.565,15.245;63.855,15.245;63.855,15.015;63.78,15.015;63.78,14.86;68.24,14.86;68.24,15.015;68.165,15.015;68.165,15.245;68.455,15.245;68.455,15.2;69.07,15.2;69.07,15.26;69.39,15.26;69.39,15.2;69.545,15.2;69.545,15.245;69.835,15.245;69.835,15.015;69.545,15.015;69.545,15.06;69.39,15.06;69.39,15;69.07,15;69.07,15.06;68.455,15.06;68.455,15.015;68.38,15.015;68.38,14.72;59.18,14.72;59.18,14.04;44.09,14.04;44.09,13.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.83,15;48.83,15.26;48.92,15.26;48.92,15.54;57.57,15.54;57.57,15.6;57.89,15.6;57.89,15.34;57.57,15.34;57.57,15.4;49.06,15.4;49.06,15.26;49.15,15.26;49.15,15.2;49.345,15.2;49.345,15.06;49.15,15.06;49.15,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (62.185,15.015;62.185,15.245;62.26,15.245;62.26,15.4;62.03,15.4;62.03,15.74;46.39,15.74;46.39,15.68;46.07,15.68;46.07,15.94;46.39,15.94;46.39,15.88;62.17,15.88;62.17,15.54;70.54,15.54;70.54,15.88;80.57,15.88;80.57,15.94;80.89,15.94;80.89,15.68;80.57,15.68;80.57,15.74;70.68,15.74;70.68,15.245;70.755,15.245;70.755,15.015;70.465,15.015;70.465,15.245;70.54,15.245;70.54,15.4;67,15.4;67,15.245;67.075,15.245;67.075,15.015;66.785,15.015;66.785,15.245;66.86,15.245;66.86,15.4;62.4,15.4;62.4,15.245;62.475,15.245;62.475,15.015) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.7,17.1;45.7,17.395;45.625,17.395;45.625,17.625;45.915,17.625;45.915,17.395;45.84,17.395;45.84,17.24;50.3,17.24;50.3,17.58;51.145,17.58;51.145,17.625;51.435,17.625;51.435,17.58;52.05,17.58;52.05,17.64;52.37,17.64;52.37,17.38;52.05,17.38;52.05,17.44;51.435,17.44;51.435,17.395;51.145,17.395;51.145,17.44;50.44,17.44;50.44,17.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.09,17.04;40.09,17.3;40.41,17.3;40.41,17.24;45.24,17.24;45.24,17.395;45.165,17.395;45.165,17.625;45.24,17.625;45.24,17.92;48.6,17.92;48.6,17.58;49.29,17.58;49.29,17.64;49.61,17.64;49.61,17.58;49.765,17.58;49.765,17.625;50.055,17.625;50.055,17.395;49.765,17.395;49.765,17.44;49.61,17.44;49.61,17.38;49.29,17.38;49.29,17.44;48.46,17.44;48.46,17.78;45.38,17.78;45.38,17.625;45.455,17.625;45.455,17.395;45.38,17.395;45.38,17.1;40.41,17.1;40.41,17.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.43,17.38;53.43,17.44;53.235,17.44;53.235,17.58;53.43,17.58;53.43,17.64;53.52,17.64;53.52,18.12;47.31,18.12;47.31,18.06;46.99,18.06;46.99,18.32;47.31,18.32;47.31,18.26;53.66,18.26;53.66,17.64;53.75,17.64;53.75,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.37,17.395;55.37,17.625;55.66,17.625;55.66,17.58;55.82,17.58;55.82,18.46;54.21,18.46;54.21,18.4;53.89,18.4;53.89,18.46;32.59,18.46;32.59,18.4;32.27,18.4;32.27,18.66;32.59,18.66;32.59,18.6;53.89,18.6;53.89,18.66;54.21,18.66;54.21,18.6;66.785,18.6;66.785,18.645;67.075,18.645;67.075,18.415;66.785,18.415;66.785,18.46;55.96,18.46;55.96,17.44;55.66,17.44;55.66,17.395) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.08,19.82;47.08,20.16;39.03,20.16;39.03,20.1;38.71,20.1;38.71,20.36;39.03,20.36;39.03,20.3;47.22,20.3;47.22,19.96;61.8,19.96;61.8,20.3;63.18,20.3;63.18,20.455;63.105,20.455;63.105,20.685;63.395,20.685;63.395,20.64;65.62,20.64;65.62,20.3;71,20.3;71,20.455;70.925,20.455;70.925,20.685;71.215,20.685;71.215,20.455;71.14,20.455;71.14,20.3;71.83,20.3;71.83,20.36;72.15,20.36;72.15,20.1;71.83,20.1;71.83,20.16;65.48,20.16;65.48,20.5;63.395,20.5;63.395,20.455;63.32,20.455;63.32,20.16;61.94,20.16;61.94,19.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,20.44;49.29,20.5;49.095,20.5;49.095,20.64;49.29,20.64;49.29,20.7;49.61,20.7;49.61,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.965,20.455;58.965,20.685;59.04,20.685;59.04,20.84;46.85,20.84;46.85,20.78;46.53,20.78;46.53,21.04;46.85,21.04;46.85,20.98;68.61,20.98;68.61,21.04;68.93,21.04;68.93,20.78;68.61,20.78;68.61,20.84;66.08,20.84;66.08,20.685;66.155,20.685;66.155,20.455;65.865,20.455;65.865,20.685;65.94,20.685;65.94,20.84;59.18,20.84;59.18,20.685;59.255,20.685;59.255,20.455) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.35,22.48;54.35,22.54;49.84,22.54;49.84,22.835;49.765,22.835;49.765,22.88;48.215,22.88;48.215,22.835;47.925,22.835;47.925,23.065;48.215,23.065;48.215,23.02;49.765,23.02;49.765,23.065;50.055,23.065;50.055,22.835;49.98,22.835;49.98,22.68;54.35,22.68;54.35,22.74;54.67,22.74;54.67,22.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.53,22.82;46.53,22.88;46.335,22.88;46.335,23.02;46.53,23.02;46.53,23.08;46.62,23.08;46.62,23.36;50.44,23.36;50.44,23.065;50.515,23.065;50.515,22.835;50.225,22.835;50.225,23.065;50.3,23.065;50.3,23.22;46.76,23.22;46.76,23.08;46.85,23.08;46.85,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.11,22.14;80.11,22.2;43.4,22.2;43.4,22.835;43.325,22.835;43.325,23.065;43.4,23.065;43.4,23.22;39.49,23.22;39.49,23.16;39.17,23.16;39.17,23.42;39.26,23.42;39.26,23.56;37.42,23.56;37.42,23.9;33.05,23.9;33.05,23.84;32.73,23.84;32.73,23.9;30.2,23.9;30.2,23.08;30.29,23.08;30.29,22.82;29.97,22.82;29.97,22.88;29.815,22.88;29.815,22.835;29.525,22.835;29.525,23.065;29.815,23.065;29.815,23.02;29.97,23.02;29.97,23.08;30.06,23.08;30.06,24.04;32.73,24.04;32.73,24.1;33.05,24.1;33.05,24.04;37.56,24.04;37.56,23.7;39.4,23.7;39.4,23.42;39.49,23.42;39.49,23.36;43.54,23.36;43.54,23.065;43.615,23.065;43.615,22.835;43.54,22.835;43.54,22.34;80.11,22.34;80.11,22.4;80.43,22.4;80.43,22.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.89,25.54;30.89,25.8;31.21,25.8;31.21,25.74;35.045,25.74;35.045,25.785;35.335,25.785;35.335,25.74;45.625,25.74;45.625,25.785;45.915,25.785;45.915,25.74;48.83,25.74;48.83,25.8;49.15,25.8;49.15,25.74;58.045,25.74;58.045,25.785;58.335,25.785;58.335,25.74;59.87,25.74;59.87,25.8;60.19,25.8;60.19,25.54;59.87,25.54;59.87,25.6;58.335,25.6;58.335,25.555;58.045,25.555;58.045,25.6;49.15,25.6;49.15,25.54;48.83,25.54;48.83,25.6;45.915,25.6;45.915,25.555;45.625,25.555;45.625,25.6;35.335,25.6;35.335,25.555;35.045,25.555;35.045,25.6;31.21,25.6;31.21,25.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.54,27.98;47.54,28.32;42.695,28.32;42.695,28.275;42.405,28.275;42.405,28.505;42.695,28.505;42.695,28.46;47.68,28.46;47.68,28.12;50.3,28.12;50.3,28.615;50.225,28.615;50.225,28.845;50.515,28.845;50.515,28.8;52.97,28.8;52.97,28.86;53.29,28.86;53.29,28.6;52.97,28.6;52.97,28.66;50.515,28.66;50.515,28.615;50.44,28.615;50.44,27.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.37,30.3;48.37,30.56;48.69,30.56;48.69,30.5;50.685,30.5;50.685,30.545;50.975,30.545;50.975,30.315;50.685,30.315;50.685,30.36;48.69,30.36;48.69,30.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.925,30.655;47.925,30.885;48,30.885;48,31.52;49.765,31.52;49.765,31.565;50.055,31.565;50.055,31.335;49.765,31.335;49.765,31.38;48.14,31.38;48.14,30.885;48.215,30.885;48.215,30.655) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.865,31.335;42.865,31.565;43.155,31.565;43.155,31.52;45.165,31.52;45.165,31.565;45.24,31.565;45.24,31.86;54.12,31.86;54.12,31.52;61.34,31.52;61.34,31.66;61.25,31.66;61.25,31.72;61.055,31.72;61.055,31.86;61.25,31.86;61.25,31.92;61.57,31.92;61.57,31.66;61.48,31.66;61.48,31.38;53.98,31.38;53.98,31.72;45.38,31.72;45.38,31.565;45.455,31.565;45.455,31.335;45.165,31.335;45.165,31.38;43.155,31.38;43.155,31.335) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.35,33.7;54.35,33.715;54.135,33.715;54.135,33.76;50.76,33.76;50.76,34.1;48.6,34.1;48.6,33.96;48.69,33.96;48.69,33.9;48.37,33.9;48.37,33.96;48.46,33.96;48.46,34.24;50.9,34.24;50.9,33.9;54.135,33.9;54.135,33.945;54.35,33.945;54.35,33.96;54.67,33.96;54.67,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.17,34.04;16.17,34.3;16.49,34.3;16.49,34.24;23.16,34.24;23.16,34.38;23.07,34.38;23.07,34.64;23.39,34.64;23.39,34.58;62.03,34.58;62.03,34.92;72.98,34.92;72.98,34.58;87.47,34.58;87.47,34.64;87.79,34.64;87.79,34.38;87.47,34.38;87.47,34.44;72.84,34.44;72.84,34.78;62.17,34.78;62.17,34.44;23.39,34.44;23.39,34.38;23.3,34.38;23.3,34.1;16.49,34.1;16.49,34.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,34.72;47.45,34.98;47.77,34.98;47.77,34.92;49.29,34.92;49.29,34.98;49.61,34.98;49.61,34.72;49.29,34.72;49.29,34.78;47.77,34.78;47.77,34.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,35.74;49.29,36;49.61,36;49.61,35.94;49.765,35.94;49.765,35.985;50.055,35.985;50.055,35.755;49.765,35.755;49.765,35.8;49.61,35.8;49.61,35.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,36.08;52.51,36.14;46.375,36.14;46.375,36.095;46.085,36.095;46.085,36.325;46.375,36.325;46.375,36.28;52.51,36.28;52.51,36.34;52.83,36.34;52.83,36.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.03,36.42;12.03,36.48;11.835,36.48;11.835,36.62;12.03,36.62;12.03,36.68;12.35,36.68;12.35,36.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,36.42;13.87,36.68;14.19,36.68;14.19,36.62;14.385,36.62;14.385,36.48;14.19,36.48;14.19,36.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (17.09,36.42;17.09,36.48;16.895,36.48;16.895,36.62;17.09,36.62;17.09,36.68;17.41,36.68;17.41,36.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.75,36.42;26.75,36.48;26.135,36.48;26.135,36.435;25.845,36.435;25.845,36.665;26.135,36.665;26.135,36.62;26.75,36.62;26.75,36.68;27.07,36.68;27.07,36.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.91,36.42;47.91,36.48;47.715,36.48;47.715,36.62;47.91,36.62;47.91,36.68;48.23,36.68;48.23,36.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.35,36.42;54.35,36.68;54.67,36.68;54.67,36.62;58.045,36.62;58.045,36.665;58.335,36.665;58.335,36.435;58.045,36.435;58.045,36.48;54.67,36.48;54.67,36.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.23,36.42;67.23,36.48;66.155,36.48;66.155,36.435;65.865,36.435;65.865,36.665;66.155,36.665;66.155,36.62;67.23,36.62;67.23,36.68;67.55,36.68;67.55,36.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.35,36.42;77.35,36.48;74.435,36.48;74.435,36.435;74.145,36.435;74.145,36.48;68.7,36.48;68.7,36.82;62.015,36.82;62.015,36.775;61.725,36.775;61.725,37.005;62.015,37.005;62.015,36.96;68.84,36.96;68.84,36.62;74.145,36.62;74.145,36.665;74.435,36.665;74.435,36.62;77.35,36.62;77.35,36.68;77.67,36.68;77.67,36.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.75,36.76;49.75,36.82;49.135,36.82;49.135,36.775;48.845,36.775;48.845,37.005;49.135,37.005;49.135,36.96;49.75,36.96;49.75,37.02;50.07,37.02;50.07,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,36.42;49.29,36.68;49.61,36.68;49.61,36.62;52.14,36.62;52.14,36.76;52.05,36.76;52.05,36.82;51.855,36.82;51.855,36.96;52.05,36.96;52.05,37.02;52.37,37.02;52.37,36.76;52.28,36.76;52.28,36.48;49.61,36.48;49.61,36.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.04,36.48;36.04,36.775;35.965,36.775;35.965,37.005;36.04,37.005;36.04,37.16;25.23,37.16;25.23,37.1;24.91,37.1;24.91,37.36;25.23,37.36;25.23,37.3;36.18,37.3;36.18,37.005;36.255,37.005;36.255,36.775;36.18,36.775;36.18,36.62;45.7,36.62;45.7,36.76;45.61,36.76;45.61,37.02;45.93,37.02;45.93,36.96;48.37,36.96;48.37,37.02;48.69,37.02;48.69,36.76;48.37,36.76;48.37,36.82;45.93,36.82;45.93,36.76;45.84,36.76;45.84,36.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,35.74;65.39,35.8;53.98,35.8;53.98,37.16;43.63,37.16;43.63,37.1;43.31,37.1;43.31,37.36;43.63,37.36;43.63,37.3;54.12,37.3;54.12,35.94;65.39,35.94;65.39,36;65.71,36;65.71,35.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.61,35.74;68.61,35.8;65.94,35.8;65.94,36.14;65.02,36.14;65.02,36.435;64.945,36.435;64.945,36.48;63.87,36.48;63.87,36.42;63.55,36.42;63.55,36.48;60.88,36.48;60.88,36.775;60.805,36.775;60.805,37.005;60.88,37.005;60.88,37.16;54.44,37.16;54.44,37.5;41.7,37.5;41.7,37.345;41.775,37.345;41.775,37.115;41.485,37.115;41.485,37.16;37.65,37.16;37.65,37.1;37.33,37.1;37.33,37.16;36.895,37.16;36.895,37.3;36.96,37.3;36.96,37.455;36.885,37.455;36.885,37.685;37.175,37.685;37.175,37.455;37.1,37.455;37.1,37.3;37.33,37.3;37.33,37.36;37.65,37.36;37.65,37.3;41.485,37.3;41.485,37.345;41.56,37.345;41.56,37.64;54.58,37.64;54.58,37.3;61.02,37.3;61.02,37.005;61.095,37.005;61.095,36.775;61.02,36.775;61.02,36.62;63.55,36.62;63.55,36.68;63.87,36.68;63.87,36.62;64.945,36.62;64.945,36.665;65.235,36.665;65.235,36.435;65.16,36.435;65.16,36.28;66.08,36.28;66.08,35.94;68.61,35.94;68.61,36;68.93,36;68.93,35.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.16,38.52;46.16,38.86;17.41,38.86;17.41,38.8;17.09,38.8;17.09,39.06;17.41,39.06;17.41,39;46.3,39;46.3,38.66;51.68,38.66;51.68,39.34;53.66,39.34;53.66,39;58.09,39;58.09,39.045;58.38,39.045;58.38,38.815;58.09,38.815;58.09,38.86;53.52,38.86;53.52,39.2;51.82,39.2;51.82,38.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,39.14;49.29,39.2;49.095,39.2;49.095,39.34;49.29,39.34;49.29,39.4;49.61,39.4;49.61,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.63,39.48;39.63,39.74;39.95,39.74;39.95,39.68;40.565,39.68;40.565,39.725;40.855,39.725;40.855,39.68;47.465,39.68;47.465,39.725;47.755,39.725;47.755,39.68;57.11,39.68;57.11,39.74;57.43,39.74;57.43,39.48;57.11,39.48;57.11,39.54;47.755,39.54;47.755,39.495;47.465,39.495;47.465,39.54;40.855,39.54;40.855,39.495;40.565,39.495;40.565,39.54;39.95,39.54;39.95,39.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.17,39.82;39.17,40.08;39.49,40.08;39.49,40.02;53.06,40.02;53.06,40.175;52.985,40.175;52.985,40.405;53.275,40.405;53.275,40.175;53.2,40.175;53.2,39.88;39.49,39.88;39.49,39.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (44.69,40.16;44.69,40.42;45.01,40.42;45.01,40.36;50.225,40.36;50.225,40.405;50.515,40.405;50.515,40.175;50.225,40.175;50.225,40.22;45.01,40.22;45.01,40.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.91,41.18;47.91,41.44;48.23,41.44;48.23,41.38;57.57,41.38;57.57,41.44;57.89,41.44;57.89,41.18;57.57,41.18;57.57,41.24;48.23,41.24;48.23,41.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.19,41.52;33.19,41.78;33.51,41.78;33.51,41.72;35.58,41.72;35.58,42.215;35.505,42.215;35.505,42.445;35.795,42.445;35.795,42.215;35.72,42.215;35.72,41.72;35.95,41.72;35.95,41.78;36.27,41.78;36.27,41.72;50.67,41.72;50.67,41.78;50.99,41.78;50.99,41.52;50.67,41.52;50.67,41.58;36.27,41.58;36.27,41.52;35.95,41.52;35.95,41.58;33.51,41.58;33.51,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,41.86;49.29,41.92;48,41.92;48,42.215;47.925,42.215;47.925,42.445;48.215,42.445;48.215,42.215;48.14,42.215;48.14,42.06;49.29,42.06;49.29,42.12;49.61,42.12;49.61,41.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (44.69,42.2;44.69,42.46;45.01,42.46;45.01,42.4;45.165,42.4;45.165,42.445;45.24,42.445;45.24,43.08;46.99,43.08;46.99,43.14;47.31,43.14;47.31,43.08;49.52,43.08;49.52,42.4;50.225,42.4;50.225,42.445;50.515,42.445;50.515,42.215;50.225,42.215;50.225,42.26;49.38,42.26;49.38,42.94;47.31,42.94;47.31,42.88;46.99,42.88;46.99,42.94;45.38,42.94;45.38,42.445;45.455,42.445;45.455,42.215;45.165,42.215;45.165,42.26;45.01,42.26;45.01,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,44.58;47.45,44.84;47.77,44.84;47.77,44.78;47.925,44.78;47.925,44.825;48.215,44.825;48.215,44.78;52.97,44.78;52.97,44.84;53.29,44.84;53.29,44.58;52.97,44.58;52.97,44.64;48.215,44.64;48.215,44.595;47.925,44.595;47.925,44.64;47.77,44.64;47.77,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.83,45.26;48.83,45.52;49.15,45.52;49.15,45.46;53.43,45.46;53.43,45.52;53.75,45.52;53.75,45.26;53.43,45.26;53.43,45.32;49.15,45.32;49.15,45.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.99,44.58;46.99,44.64;46.795,44.64;46.795,44.78;46.99,44.78;46.99,44.84;47.08,44.84;47.08,45.12;54.44,45.12;54.44,45.46;54.81,45.46;54.81,45.52;55.13,45.52;55.13,45.26;54.81,45.26;54.81,45.32;54.58,45.32;54.58,45.12;55.04,45.12;55.04,44.64;54.97,44.64;54.97,44.595;54.68,44.595;54.68,44.825;54.9,44.825;54.9,44.98;47.22,44.98;47.22,44.84;47.31,44.84;47.31,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.505,44.935;35.505,45.165;35.58,45.165;35.58,45.8;36.41,45.8;36.41,45.86;36.73,45.86;36.73,45.8;49.29,45.8;49.29,45.86;49.61,45.86;49.61,45.6;49.29,45.6;49.29,45.66;36.73,45.66;36.73,45.6;36.41,45.6;36.41,45.66;35.72,45.66;35.72,45.165;35.795,45.165;35.795,44.935) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,46.62;42.85,46.88;43.17,46.88;43.17,46.82;43.785,46.82;43.785,46.865;44.075,46.865;44.075,46.82;49.75,46.82;49.75,46.88;50.07,46.88;50.07,46.62;49.75,46.62;49.75,46.68;44.075,46.68;44.075,46.635;43.785,46.635;43.785,46.68;43.17,46.68;43.17,46.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.69,46.62;67.69,46.68;62.72,46.68;62.72,47.02;42.62,47.02;42.62,46.68;38.095,46.68;38.095,46.635;37.805,46.635;37.805,46.865;38.095,46.865;38.095,46.82;42.48,46.82;42.48,47.16;62.86,47.16;62.86,46.82;67.69,46.82;67.69,46.88;68.01,46.88;68.01,46.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.31,47.3;43.31,47.56;43.63,47.56;43.63,47.5;58.045,47.5;58.045,47.545;58.335,47.545;58.335,47.315;58.045,47.315;58.045,47.36;43.63,47.36;43.63,47.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.545,47.655;46.545,47.885;46.62,47.885;46.62,48.18;50.21,48.18;50.21,48.24;50.53,48.24;50.53,47.98;50.21,47.98;50.21,48.04;46.76,48.04;46.76,47.885;46.835,47.885;46.835,47.655) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.95,47.98;58.95,48.04;54.9,48.04;54.9,48.38;18.79,48.38;18.79,48.32;18.47,48.32;18.47,48.58;18.79,48.58;18.79,48.52;55.04,48.52;55.04,48.18;58.95,48.18;58.95,48.24;59.27,48.24;59.27,47.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.37,49.34;48.37,49.6;48.69,49.6;48.69,49.54;52.985,49.54;52.985,49.585;53.275,49.585;53.275,49.355;52.985,49.355;52.985,49.4;48.69,49.4;48.69,49.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,50.02;49.29,50.08;49.095,50.08;49.095,50.22;49.29,50.22;49.29,50.28;49.61,50.28;49.61,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.08,49.74;47.08,50.035;47.005,50.035;47.005,50.265;47.295,50.265;47.295,50.035;47.22,50.035;47.22,49.88;49.84,49.88;49.84,50.22;52.14,50.22;52.14,50.36;52.05,50.36;52.05,50.62;52.37,50.62;52.37,50.56;54.58,50.56;54.58,50.28;54.67,50.28;54.67,50.22;54.865,50.22;54.865,50.08;54.67,50.08;54.67,50.02;54.35,50.02;54.35,50.28;54.44,50.28;54.44,50.42;52.37,50.42;52.37,50.36;52.28,50.36;52.28,50.08;49.98,50.08;49.98,49.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (57.11,50.7;57.11,50.76;36.255,50.76;36.255,50.715;35.965,50.715;35.965,50.945;36.255,50.945;36.255,50.9;57.11,50.9;57.11,50.96;57.43,50.96;57.43,50.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.305,50.035;72.305,50.265;72.38,50.265;72.38,50.42;71.23,50.42;71.23,50.36;70.91,50.36;70.91,50.42;63.32,50.42;63.32,50.08;57.66,50.08;57.66,51.1;26.06,51.1;26.06,50.42;19.25,50.42;19.25,50.36;18.93,50.36;18.93,50.62;19.25,50.62;19.25,50.56;25.92,50.56;25.92,51.24;57.8,51.24;57.8,50.22;63.18,50.22;63.18,50.56;70.91,50.56;70.91,50.62;71.23,50.62;71.23,50.56;72.52,50.56;72.52,50.265;72.595,50.265;72.595,50.035) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,52.06;49.29,52.12;48.675,52.12;48.675,52.075;48.385,52.075;48.385,52.305;48.675,52.305;48.675,52.26;49.29,52.26;49.29,52.32;49.61,52.32;49.61,52.26;52.05,52.26;52.05,52.32;52.37,52.32;52.37,52.06;52.05,52.06;52.05,52.12;49.61,52.12;49.61,52.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.04,52.12;36.04,52.8;24.31,52.8;24.31,52.74;23.99,52.74;23.99,52.8;22.915,52.8;22.915,52.755;22.625,52.755;22.625,52.985;22.915,52.985;22.915,52.94;23.99,52.94;23.99,53;24.31,53;24.31,52.94;36.18,52.94;36.18,52.26;41.52,52.26;41.52,52.6;42.48,52.6;42.48,52.94;49.52,52.94;49.52,52.6;55.285,52.6;55.285,52.645;55.575,52.645;55.575,52.415;55.285,52.415;55.285,52.46;49.38,52.46;49.38,52.8;42.62,52.8;42.62,52.46;41.66,52.46;41.66,52.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,53.08;49.29,53.14;46.835,53.14;46.835,53.095;46.545,53.095;46.545,53.325;46.835,53.325;46.835,53.28;49.29,53.28;49.29,53.34;49.61,53.34;49.61,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.625,53.095;45.625,53.325;45.7,53.325;45.7,53.62;51.13,53.62;51.13,53.68;51.45,53.68;51.45,53.42;51.13,53.42;51.13,53.48;45.84,53.48;45.84,53.325;45.915,53.325;45.915,53.095) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.385,53.435;25.385,53.665;25.46,53.665;25.46,53.96;42.16,53.96;42.16,53.62;43.86,53.62;43.86,53.96;61.25,53.96;61.25,54.02;61.57,54.02;61.57,53.76;61.25,53.76;61.25,53.82;44,53.82;44,53.48;42.02,53.48;42.02,53.82;25.6,53.82;25.6,53.665;25.675,53.665;25.675,53.435) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.33,54.78;37.33,54.84;36.895,54.84;36.895,54.98;37.33,54.98;37.33,55.04;37.65,55.04;37.65,54.98;41.56,54.98;41.56,55.365;41.55,55.365;41.55,55.595;41.84,55.595;41.84,55.365;41.7,55.365;41.7,54.98;47.08,54.98;47.08,55.475;47.005,55.475;47.005,55.705;47.295,55.705;47.295,55.475;47.22,55.475;47.22,55.32;53.43,55.32;53.43,55.38;53.75,55.38;53.75,55.12;53.43,55.12;53.43,55.18;47.22,55.18;47.22,54.84;37.65,54.84;37.65,54.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.385,55.475;48.385,55.705;48.46,55.705;48.46,56;49.75,56;49.75,56.06;50.07,56.06;50.07,56;53.52,56;53.52,56.34;55.73,56.34;55.73,56.4;56.05,56.4;56.05,56.14;55.73,56.14;55.73,56.2;53.66,56.2;53.66,55.86;50.07,55.86;50.07,55.8;49.75,55.8;49.75,55.86;48.6,55.86;48.6,55.705;48.675,55.705;48.675,55.475) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.81,56.48;54.81,56.54;35.795,56.54;35.795,56.495;35.505,56.495;35.505,56.725;35.795,56.725;35.795,56.68;54.81,56.68;54.81,56.74;55.13,56.74;55.13,56.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.35,57.5;54.35,57.56;26.38,57.56;26.38,58.24;23.62,58.24;23.62,58.58;18.775,58.58;18.775,58.535;18.485,58.535;18.485,58.765;18.775,58.765;18.775,58.72;23.76,58.72;23.76,58.38;26.52,58.38;26.52,57.7;54.35,57.7;54.35,57.76;54.67,57.76;54.67,57.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,58.52;49.29,58.78;49.61,58.78;49.61,58.72;50.225,58.72;50.225,58.765;50.515,58.765;50.515,58.535;50.225,58.535;50.225,58.58;49.61,58.58;49.61,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,58.86;42.85,59.12;43.17,59.12;43.17,59.06;49.75,59.06;49.75,59.12;50.07,59.12;50.07,58.86;49.75,58.86;49.75,58.92;43.17,58.92;43.17,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,57.84;47.45,58.1;47.77,58.1;47.77,58.04;53.52,58.04;53.52,58.535;53.445,58.535;53.445,58.765;53.735,58.765;53.735,58.72;56.28,58.72;56.28,58.86;56.19,58.86;56.19,59.12;56.51,59.12;56.51,59.06;58.49,59.06;58.49,59.12;58.81,59.12;58.81,58.86;58.49,58.86;58.49,58.92;56.51,58.92;56.51,58.86;56.42,58.86;56.42,58.58;53.735,58.58;53.735,58.535;53.66,58.535;53.66,57.9;47.77,57.9;47.77,57.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,59.2;52.51,59.26;49.135,59.26;49.135,59.215;48.845,59.215;48.845,59.445;49.135,59.445;49.135,59.4;52.51,59.4;52.51,59.46;52.83,59.46;52.83,59.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (51.59,60.56;51.59,60.62;46.62,60.62;46.62,60.96;39.015,60.96;39.015,60.915;38.725,60.915;38.725,61.145;39.015,61.145;39.015,61.1;46.76,61.1;46.76,60.76;51.59,60.76;51.59,60.82;51.91,60.82;51.91,60.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.29,60.22;49.29,60.48;49.61,60.48;49.61,60.42;57.46,60.42;57.46,60.915;57.385,60.915;57.385,61.145;57.675,61.145;57.675,60.915;57.6,60.915;57.6,60.28;49.61,60.28;49.61,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.99,60.9;46.99,61.16;47.31,61.16;47.31,61.145;47.525,61.145;47.525,61.1;51.22,61.1;51.22,61.44;51.68,61.44;51.68,61.78;58.95,61.78;58.95,61.84;59.27,61.84;59.27,61.58;58.95,61.58;58.95,61.64;51.82,61.64;51.82,61.3;51.36,61.3;51.36,60.96;47.68,60.96;47.68,60.94;47.525,60.94;47.525,60.915;47.31,60.915;47.31,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.765,60.915;26.765,61.145;27.055,61.145;27.055,61.1;29.14,61.1;29.14,61.3;29.075,61.3;29.075,61.44;29.51,61.44;29.51,61.5;29.83,61.5;29.83,61.44;36.04,61.44;36.04,62.12;38.48,62.12;38.48,61.78;51.145,61.78;51.145,61.825;51.435,61.825;51.435,61.595;51.145,61.595;51.145,61.64;38.34,61.64;38.34,61.98;36.18,61.98;36.18,61.3;29.83,61.3;29.83,61.24;29.51,61.24;29.51,61.3;29.28,61.3;29.28,60.96;27.055,60.96;27.055,60.915) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,61.92;47.45,62.18;47.77,62.18;47.77,62.12;56.65,62.12;56.65,62.18;56.97,62.18;56.97,61.92;56.65,61.92;56.65,61.98;47.77,61.98;47.77,61.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.55,63.28;63.55,63.34;55.82,63.34;55.82,63.68;34.89,63.68;34.89,63.62;34.57,63.62;34.57,63.88;34.89,63.88;34.89,63.82;55.96,63.82;55.96,63.48;63.55,63.48;63.55,63.54;63.87,63.54;63.87,63.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (8.81,62.94;8.81,63;8.375,63;8.375,63.14;8.44,63.14;8.44,63.975;8.365,63.975;8.365,64.205;8.655,64.205;8.655,63.975;8.58,63.975;8.58,63.14;8.81,63.14;8.81,63.2;9.13,63.2;9.13,63.14;61.25,63.14;61.25,63.2;61.57,63.2;61.57,62.94;61.25,62.94;61.25,63;9.13,63;9.13,62.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.67,63.96;50.67,64.02;47.08,64.02;47.08,64.36;42.16,64.36;42.16,64.205;42.235,64.205;42.235,63.975;41.945,63.975;41.945,64.205;42.02,64.205;42.02,64.36;36.64,64.36;36.64,63.97;36.04,63.97;36.04,64.02;35.58,64.02;35.58,64.36;28.45,64.36;28.45,64.3;28.13,64.3;28.13,64.56;28.45,64.56;28.45,64.5;35.72,64.5;35.72,64.16;36.18,64.16;36.18,64.11;36.5,64.11;36.5,64.5;47.22,64.5;47.22,64.16;50.67,64.16;50.67,64.22;50.99,64.22;50.99,64.16;51.145,64.16;51.145,64.205;51.435,64.205;51.435,63.975;51.145,63.975;51.145,64.02;50.99,64.02;50.99,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.91,64.3;47.91,64.56;48.23,64.56;48.23,64.5;49.765,64.5;49.765,64.545;50.055,64.545;50.055,64.315;49.765,64.315;49.765,64.36;48.23,64.36;48.23,64.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.965,64.315;35.965,64.545;36.04,64.545;36.04,64.84;52.97,64.84;52.97,64.9;53.29,64.9;53.29,64.64;52.97,64.64;52.97,64.7;36.18,64.7;36.18,64.545;36.255,64.545;36.255,64.315) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.765,65.675;49.765,65.72;48.46,65.72;48.46,66.015;48.385,66.015;48.385,66.245;48.675,66.245;48.675,66.015;48.6,66.015;48.6,65.86;49.765,65.86;49.765,65.905;50.055,65.905;50.055,65.675) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.83,66;48.83,66.26;49.15,66.26;49.15,66.2;54.825,66.2;54.825,66.245;55.115,66.245;55.115,66.015;54.825,66.015;54.825,66.06;49.15,66.06;49.15,66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.6,65.72;75.6,66.4;71.46,66.4;71.46,66.74;45.01,66.74;45.01,66.68;44.69,66.68;44.69,66.94;45.01,66.94;45.01,66.88;71.6,66.88;71.6,66.54;75.74,66.54;75.74,65.86;77.44,65.86;77.44,66.2;78.36,66.2;78.36,66.355;78.285,66.355;78.285,66.585;78.575,66.585;78.575,66.355;78.5,66.355;78.5,66.06;77.58,66.06;77.58,65.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (81.03,36.76;81.03,36.82;79.035,36.82;79.035,36.775;78.745,36.775;78.745,37.005;78.82,37.005;78.82,37.16;66.17,37.16;66.17,37.1;65.85,37.1;65.85,37.36;66.17,37.36;66.17,37.3;78.96,37.3;78.96,37.005;79.035,37.005;79.035,36.96;81.03,36.96;81.03,37.02;81.35,37.02;81.35,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.925,42.215;70.925,42.445;71,42.445;71,42.6;69.85,42.6;69.85,42.54;69.53,42.54;69.53,42.8;69.85,42.8;69.85,42.74;71.14,42.74;71.14,42.445;71.215,42.445;71.215,42.215) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.51,42.88;75.51,42.94;64.315,42.94;64.315,42.895;64.025,42.895;64.025,43.125;64.315,43.125;64.315,43.08;75.51,43.08;75.51,43.14;75.83,43.14;75.83,42.88) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.45,43.9;70.45,44.16;70.77,44.16;70.77,44.1;72.84,44.1;72.84,44.44;76.445,44.44;76.445,44.485;76.735,44.485;76.735,44.255;76.445,44.255;76.445,44.3;72.98,44.3;72.98,43.96;70.77,43.96;70.77,43.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.15,44.24;68.15,44.5;68.47,44.5;68.47,44.44;72.38,44.44;72.38,44.595;72.305,44.595;72.305,44.825;72.595,44.825;72.595,44.595;72.52,44.595;72.52,44.3;68.47,44.3;68.47,44.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.45,44.58;70.45,44.84;70.77,44.84;70.77,44.78;71.385,44.78;71.385,44.825;71.675,44.825;71.675,44.595;71.385,44.595;71.385,44.64;70.77,44.64;70.77,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,44.58;83.33,44.64;81.12,44.64;81.12,45.66;68.01,45.66;68.01,45.6;67.69,45.6;67.69,45.86;68.01,45.86;68.01,45.8;81.26,45.8;81.26,44.78;83.33,44.78;83.33,44.84;83.65,44.84;83.65,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,46.62;69.07,46.88;69.39,46.88;69.39,46.82;80.11,46.82;80.11,46.88;80.43,46.88;80.43,46.62;80.11,46.62;80.11,46.68;69.39,46.68;69.39,46.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.01,46.96;64.01,47.22;64.33,47.22;64.33,47.16;66.86,47.16;66.86,47.315;66.785,47.315;66.785,47.545;67.075,47.545;67.075,47.5;72.98,47.5;72.98,47.16;73.67,47.16;73.67,47.22;73.99,47.22;73.99,46.96;73.67,46.96;73.67,47.02;72.84,47.02;72.84,47.36;67.075,47.36;67.075,47.315;67,47.315;67,47.02;64.33,47.02;64.33,46.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.61,47.64;68.61,47.9;68.93,47.9;68.93,47.84;71.37,47.84;71.37,47.9;71.69,47.9;71.69,47.64;71.37,47.64;71.37,47.7;68.93,47.7;68.93,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.845,47.655;71.845,47.885;71.92,47.885;71.92,48.38;67.55,48.38;67.55,48.32;67.23,48.32;67.23,48.58;67.55,48.58;67.55,48.52;72.06,48.52;72.06,47.885;72.135,47.885;72.135,47.655) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.45,36.76;70.45,37.02;70.77,37.02;70.77,36.96;73.225,36.96;73.225,37.005;73.515,37.005;73.515,36.775;73.225,36.775;73.225,36.82;70.77,36.82;70.77,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.91,51.04;70.91,51.3;71.23,51.3;71.23,51.24;71.385,51.24;71.385,51.285;71.675,51.285;71.675,51.055;71.385,51.055;71.385,51.1;71.23,51.1;71.23,51.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.53,52.06;69.53,52.32;69.85,52.32;69.85,52.26;72.305,52.26;72.305,52.305;72.595,52.305;72.595,52.075;72.305,52.075;72.305,52.12;69.85,52.12;69.85,52.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.73,52.4;55.73,52.66;56.05,52.66;56.05,52.6;60.805,52.6;60.805,52.645;61.095,52.645;61.095,52.415;60.805,52.415;60.805,52.46;56.05,52.46;56.05,52.4) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,52.4;63.09,52.66;63.41,52.66;63.41,52.6;64.47,52.6;64.47,52.66;64.79,52.66;64.79,52.4;64.47,52.4;64.47,52.46;63.41,52.46;63.41,52.4) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.01,52.4;87.01,52.46;86.815,52.46;86.815,52.6;87.01,52.6;87.01,52.66;87.33,52.66;87.33,52.4) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.49,52.74;58.49,53;58.81,53;58.81,52.94;67.705,52.94;67.705,52.985;67.995,52.985;67.995,52.94;71.37,52.94;71.37,53;71.69,53;71.69,52.94;72.75,52.94;72.75,53;73.07,53;73.07,52.74;72.75,52.74;72.75,52.8;71.69,52.8;71.69,52.74;71.37,52.74;71.37,52.8;67.995,52.8;67.995,52.755;67.705,52.755;67.705,52.8;58.81,52.8;58.81,52.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.05,52.06;75.05,52.32;75.37,52.32;75.37,52.26;77.9,52.26;77.9,53.28;78.285,53.28;78.285,53.325;78.575,53.325;78.575,53.095;78.285,53.095;78.285,53.14;78.04,53.14;78.04,52.12;75.37,52.12;75.37,52.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,53.42;69.07,53.68;69.39,53.68;69.39,53.62;71.385,53.62;71.385,53.665;71.675,53.665;71.675,53.435;71.385,53.435;71.385,53.48;69.39,53.48;69.39,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (62.63,53.42;62.63,53.48;62.435,53.48;62.435,53.62;62.63,53.62;62.63,53.68;62.95,53.68;62.95,53.62;65.85,53.62;65.85,53.68;65.94,53.68;65.94,53.96;74.59,53.96;74.59,54.02;74.91,54.02;74.91,53.76;74.59,53.76;74.59,53.82;66.08,53.82;66.08,53.68;66.17,53.68;66.17,53.42;65.85,53.42;65.85,53.48;62.95,53.48;62.95,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.15,54.78;68.15,55.04;68.47,55.04;68.47,54.98;69.62,54.98;69.62,55.66;70.925,55.66;70.925,55.705;71.215,55.705;71.215,55.475;70.925,55.475;70.925,55.52;69.76,55.52;69.76,54.84;68.47,54.84;68.47,54.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.61,55.46;68.61,55.52;67.995,55.52;67.995,55.475;67.705,55.475;67.705,55.705;67.995,55.705;67.995,55.66;68.61,55.66;68.61,55.72;68.7,55.72;68.7,56;71.6,56;71.6,55.66;71.75,55.66;71.75,55.705;72.04,55.705;72.04,55.475;71.75,55.475;71.75,55.52;71.46,55.52;71.46,55.86;68.84,55.86;68.84,55.72;68.93,55.72;68.93,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.805,55.475;60.805,55.705;60.88,55.705;60.88,56.34;63.09,56.34;63.09,56.4;63.41,56.4;63.41,56.34;72.98,56.34;72.98,56.045;73.055,56.045;73.055,55.815;72.765,55.815;72.765,56.045;72.84,56.045;72.84,56.2;63.41,56.2;63.41,56.14;63.09,56.14;63.09,56.2;61.02,56.2;61.02,55.705;61.095,55.705;61.095,55.475) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.91,39.82;70.91,39.88;70.715,39.88;70.715,40.02;70.91,40.02;70.91,40.08;71.23,40.08;71.23,39.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.69,57.5;67.69,57.76;68.01,57.76;68.01,57.7;73.21,57.7;73.21,57.76;73.53,57.76;73.53,57.5;73.21,57.5;73.21,57.56;68.01,57.56;68.01,57.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.81,57.5;54.81,57.76;55.13,57.76;55.13,57.7;62.03,57.7;62.03,58.04;74.145,58.04;74.145,58.085;74.435,58.085;74.435,57.855;74.145,57.855;74.145,57.9;62.17,57.9;62.17,57.56;55.13,57.56;55.13,57.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.805,57.855;60.805,58.085;60.88,58.085;60.88,58.38;74.22,58.38;74.22,58.72;76.06,58.72;76.06,59.06;84.48,59.06;84.48,58.765;84.555,58.765;84.555,58.72;88.39,58.72;88.39,58.78;88.71,58.78;88.71,58.52;88.39,58.52;88.39,58.58;84.555,58.58;84.555,58.535;84.265,58.535;84.265,58.765;84.34,58.765;84.34,58.92;76.2,58.92;76.2,58.58;74.36,58.58;74.36,58.24;61.02,58.24;61.02,58.085;61.095,58.085;61.095,57.855) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.01,38.46;87.01,38.52;82.5,38.52;82.5,38.86;62.72,38.86;62.72,39.54;58.12,39.54;58.12,40.175;58.045,40.175;58.045,40.405;58.335,40.405;58.335,40.175;58.26,40.175;58.26,39.68;62.86,39.68;62.86,39;82.64,39;82.64,38.66;87.01,38.66;87.01,38.72;87.33,38.72;87.33,38.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (73.685,39.495;73.685,39.54;67.78,39.54;67.78,40.22;64.79,40.22;64.79,40.16;64.47,40.16;64.47,40.42;64.79,40.42;64.79,40.36;67.92,40.36;67.92,39.68;73.685,39.68;73.685,39.725;73.975,39.725;73.975,39.495) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.05,58.86;75.05,59.12;75.14,59.12;75.14,59.26;70.77,59.26;70.77,59.2;70.45,59.2;70.45,59.46;70.77,59.46;70.77,59.4;75.28,59.4;75.28,59.12;75.37,59.12;75.37,59.06;75.805,59.06;75.805,58.92;75.37,58.92;75.37,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.01,60.22;64.01,60.48;64.33,60.48;64.33,60.42;68.165,60.42;68.165,60.465;68.455,60.465;68.455,60.42;74.13,60.42;74.13,60.48;74.45,60.48;74.45,60.22;74.13,60.22;74.13,60.28;68.455,60.28;68.455,60.235;68.165,60.235;68.165,60.28;64.33,60.28;64.33,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.43,60.56;76.43,60.62;63.395,60.62;63.395,60.575;63.105,60.575;63.105,60.805;63.395,60.805;63.395,60.76;76.43,60.76;76.43,60.82;76.75,60.82;76.75,60.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.83,40.16;71.83,40.22;69.375,40.22;69.375,40.175;69.085,40.175;69.085,40.405;69.375,40.405;69.375,40.36;71.83,40.36;71.83,40.42;72.15,40.42;72.15,40.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.91,60.9;70.91,60.96;70.715,60.96;70.715,61.1;70.91,61.1;70.91,61.16;71.23,61.16;71.23,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.93,61.24;64.93,61.5;65.25,61.5;65.25,61.44;75.97,61.44;75.97,61.5;76.29,61.5;76.29,61.24;75.97,61.24;75.97,61.3;65.25,61.3;65.25,61.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.625,60.915;91.625,60.96;85.26,60.96;85.26,61.3;76.52,61.3;76.52,61.64;64.79,61.64;64.79,61.58;64.47,61.58;64.47,61.84;64.79,61.84;64.79,61.78;76.66,61.78;76.66,61.44;85.4,61.44;85.4,61.1;91.625,61.1;91.625,61.145;91.915,61.145;91.915,60.915) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.61,61.92;68.61,62.18;68.93,62.18;68.93,62.12;71.37,62.12;71.37,62.18;71.69,62.18;71.69,61.92;71.37,61.92;71.37,61.98;68.93,61.98;68.93,61.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.99,62.94;69.99,63.2;70.31,63.2;70.31,63.14;76.89,63.14;76.89,63.2;77.21,63.2;77.21,62.94;76.89,62.94;76.89,63;70.31,63;70.31,62.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.03,64.64;58.03,64.9;58.35,64.9;58.35,64.84;71.845,64.84;71.845,64.885;72.135,64.885;72.135,64.655;71.845,64.655;71.845,64.7;58.35,64.7;58.35,64.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.33,63.62;60.33,63.88;60.65,63.88;60.65,63.82;74.22,63.82;74.22,63.975;74.145,63.975;74.145,64.205;74.435,64.205;74.435,64.16;77.9,64.16;77.9,64.84;83.79,64.84;83.79,64.9;84.11,64.9;84.11,64.64;83.79,64.64;83.79,64.7;78.04,64.7;78.04,64.02;74.435,64.02;74.435,63.975;74.36,63.975;74.36,63.68;60.65,63.68;60.65,63.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.065,65.675;75.065,65.72;69.16,65.72;69.16,66.015;69.085,66.015;69.085,66.245;69.375,66.245;69.375,66.015;69.3,66.015;69.3,65.86;75.065,65.86;75.065,65.905;75.355,65.905;75.355,65.675) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.91,66.34;70.91,66.4;70.715,66.4;70.715,66.54;70.91,66.54;70.91,66.6;71.23,66.6;71.23,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.585,66.355;80.585,66.585;80.875,66.585;80.875,66.54;81.58,66.54;81.58,67.08;69.39,67.08;69.39,67.02;69.07,67.02;69.07,67.08;68.915,67.08;68.915,67.035;68.625,67.035;68.625,67.265;68.915,67.265;68.915,67.22;69.07,67.22;69.07,67.28;69.39,67.28;69.39,67.22;81.72,67.22;81.72,66.4;80.875,66.4;80.875,66.355) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.55,68.38;63.55,68.64;63.87,68.64;63.87,68.58;86.55,68.58;86.55,68.64;86.87,68.64;86.87,68.38;86.55,68.38;86.55,68.44;63.87,68.44;63.87,68.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.97,56.14;75.97,56.2;74.895,56.2;74.895,56.155;74.605,56.155;74.605,56.385;74.895,56.385;74.895,56.34;75.97,56.34;75.97,56.4;76.29,56.4;76.29,56.34;77.81,56.34;77.81,56.4;78.13,56.4;78.13,56.14;77.81,56.14;77.81,56.2;76.29,56.2;76.29,56.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.47,56.14;87.47,56.4;87.79,56.4;87.79,56.34;89.785,56.34;89.785,56.385;90.075,56.385;90.075,56.155;89.785,56.155;89.785,56.2;87.79,56.2;87.79,56.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.39,52.74;88.39,53;88.71,53;88.71,52.94;88.865,52.94;88.865,52.985;89.155,52.985;89.155,52.755;88.865,52.755;88.865,52.8;88.71,52.8;88.71,52.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.43,56.48;76.43,56.54;76.235,56.54;76.235,56.68;76.43,56.68;76.43,56.74;76.75,56.74;76.75,56.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.29,53.42;72.29,53.48;72.095,53.48;72.095,53.62;72.29,53.62;72.29,53.68;72.61,53.68;72.61,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.63,57.5;85.63,57.56;82.255,57.56;82.255,57.515;81.965,57.515;81.965,57.745;82.255,57.745;82.255,57.7;85.63,57.7;85.63,57.76;85.95,57.76;85.95,57.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (79.19,53.42;79.19,53.48;78.995,53.48;78.995,53.62;79.19,53.62;79.19,53.68;79.51,53.68;79.51,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.59,57.84;74.59,58.1;74.91,58.1;74.91,58.04;79.205,58.04;79.205,58.085;79.495,58.085;79.495,58.04;83.79,58.04;83.79,58.1;84.11,58.1;84.11,57.84;83.79,57.84;83.79,57.9;79.495,57.9;79.495,57.855;79.205,57.855;79.205,57.9;74.91,57.9;74.91,57.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.69,57.84;90.69,57.9;90.495,57.9;90.495,58.04;90.69,58.04;90.69,58.1;91.01,58.1;91.01,57.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.35,58.18;77.35,58.24;77.155,58.24;77.155,58.38;77.35,58.38;77.35,58.44;77.67,58.44;77.67,58.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.81,58.18;77.81,58.44;78.13,58.44;78.13,58.38;78.325,58.38;78.325,58.24;78.13,58.24;78.13,58.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.83,58.52;71.83,58.78;72.15,58.78;72.15,58.72;72.345,58.72;72.345,58.58;72.15,58.58;72.15,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.73,58.52;78.73,58.58;76.635,58.58;76.635,58.535;76.345,58.535;76.345,58.765;76.635,58.765;76.635,58.72;78.73,58.72;78.73,58.78;79.05,58.78;79.05,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.25,57.84;84.25,58.1;84.34,58.1;84.34,58.24;81.12,58.24;81.12,58.535;81.045,58.535;81.045,58.58;80.89,58.58;80.89,58.52;80.57,58.52;80.57,58.78;80.89,58.78;80.89,58.72;81.045,58.72;81.045,58.765;81.335,58.765;81.335,58.535;81.26,58.535;81.26,58.38;88.94,58.38;88.94,58.535;88.865,58.535;88.865,58.765;89.155,58.765;89.155,58.535;89.08,58.535;89.08,58.24;84.48,58.24;84.48,58.1;84.57,58.1;84.57,57.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.47,53.42;87.47,53.48;87.275,53.48;87.275,53.62;87.47,53.62;87.47,53.68;87.79,53.68;87.79,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.69,53.42;90.69,53.68;91.01,53.68;91.01,53.62;91.165,53.62;91.165,53.665;91.455,53.665;91.455,53.435;91.165,53.435;91.165,53.48;91.01,53.48;91.01,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.37,58.86;71.37,58.92;71.175,58.92;71.175,59.06;71.37,59.06;71.37,59.12;71.69,59.12;71.69,59.06;74.59,59.06;74.59,59.12;74.91,59.12;74.91,58.86;74.59,58.86;74.59,58.92;71.69,58.92;71.69,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.79,52.74;83.79,53;84.11,53;84.11,52.94;84.725,52.94;84.725,52.985;85.015,52.985;85.015,52.755;84.725,52.755;84.725,52.8;84.11,52.8;84.11,52.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.97,53.76;75.97,53.82;75.775,53.82;75.775,53.96;75.97,53.96;75.97,54.02;76.29,54.02;76.29,53.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.89,59.2;76.89,59.26;76.695,59.26;76.695,59.4;76.89,59.4;76.89,59.46;77.21,59.46;77.21,59.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (73.685,53.095;73.685,53.325;73.76,53.325;73.76,53.62;76.52,53.62;76.52,53.775;76.445,53.775;76.445,54.005;76.735,54.005;76.735,53.96;77.35,53.96;77.35,54.02;77.67,54.02;77.67,53.76;77.35,53.76;77.35,53.82;76.735,53.82;76.735,53.775;76.66,53.775;76.66,53.48;73.9,53.48;73.9,53.325;73.975,53.325;73.975,53.095) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.57,60.22;80.57,60.48;80.89,60.48;80.89,60.42;81.505,60.42;81.505,60.465;81.795,60.465;81.795,60.235;81.505,60.235;81.505,60.28;80.89,60.28;80.89,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.79,60.22;83.79,60.28;83.595,60.28;83.595,60.42;83.79,60.42;83.79,60.48;84.11,60.48;84.11,60.42;86.09,60.42;86.09,60.48;86.41,60.48;86.41,60.22;86.09,60.22;86.09,60.28;84.11,60.28;84.11,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.11,60.22;80.11,60.48;80.2,60.48;80.2,60.76;83.345,60.76;83.345,60.805;83.635,60.805;83.635,60.575;83.345,60.575;83.345,60.62;80.34,60.62;80.34,60.48;80.43,60.48;80.43,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,53.76;83.33,53.82;82.255,53.82;82.255,53.775;81.965,53.775;81.965,54.005;82.255,54.005;82.255,53.96;83.33,53.96;83.33,54.02;83.65,54.02;83.65,53.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.25,55.12;84.25,55.18;84.055,55.18;84.055,55.32;84.25,55.32;84.25,55.38;84.57,55.38;84.57,55.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (81.505,53.095;81.505,53.325;81.795,53.325;81.795,53.28;91.625,53.28;91.625,53.325;91.915,53.325;91.915,53.095;91.625,53.095;91.625,53.14;81.795,53.14;81.795,53.095) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.89,60.9;76.89,60.96;76.695,60.96;76.695,61.1;76.89,61.1;76.89,61.16;77.21,61.16;77.21,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.11,60.9;80.11,61.16;80.43,61.16;80.43,61.1;81.045,61.1;81.045,61.145;81.335,61.145;81.335,60.915;81.045,60.915;81.045,60.96;80.43,60.96;80.43,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.71,60.9;84.71,60.96;84.515,60.96;84.515,61.1;84.71,61.1;84.71,61.16;85.03,61.16;85.03,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.37,54.78;71.37,55.04;71.46,55.04;71.46,55.32;72.38,55.32;72.38,55.475;72.305,55.475;72.305,55.705;72.595,55.705;72.595,55.475;72.52,55.475;72.52,55.18;71.6,55.18;71.6,55.04;71.69,55.04;71.69,54.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.15,61.24;91.15,61.3;90.535,61.3;90.535,61.255;90.245,61.255;90.245,61.485;90.535,61.485;90.535,61.44;91.15,61.44;91.15,61.5;91.47,61.5;91.47,61.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.81,55.12;77.81,55.18;73.76,55.18;73.76,55.475;73.685,55.475;73.685,55.705;73.975,55.705;73.975,55.475;73.9,55.475;73.9,55.32;77.81,55.32;77.81,55.38;78.13,55.38;78.13,55.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.35,61.58;77.35,61.64;77.155,61.64;77.155,61.78;77.35,61.78;77.35,61.84;77.67,61.84;77.67,61.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.85,61.58;88.85,61.84;89.17,61.84;89.17,61.78;89.785,61.78;89.785,61.825;90.075,61.825;90.075,61.595;89.785,61.595;89.785,61.64;89.17,61.64;89.17,61.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.13,55.46;74.13,55.72;74.45,55.72;74.45,55.66;75.985,55.66;75.985,55.705;76.275,55.705;76.275,55.475;75.985,55.475;75.985,55.52;74.45,55.52;74.45,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.485,61.255;87.485,61.3;85.72,61.3;85.72,61.935;85.645,61.935;85.645,61.98;85.49,61.98;85.49,61.92;85.17,61.92;85.17,62.18;85.49,62.18;85.49,62.12;85.645,62.12;85.645,62.165;85.935,62.165;85.935,61.935;85.86,61.935;85.86,61.44;87.485,61.44;87.485,61.485;87.775,61.485;87.775,61.255) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,55.46;83.33,55.52;82.895,55.52;82.895,55.66;83.33,55.66;83.33,55.72;83.65,55.72;83.65,55.66;86.105,55.66;86.105,55.705;86.395,55.705;86.395,55.475;86.105,55.475;86.105,55.52;83.65,55.52;83.65,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.15,62.94;91.15,63;90.955,63;90.955,63.14;91.15,63.14;91.15,63.2;91.47,63.2;91.47,62.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.11,63.28;80.11,63.54;80.43,63.54;80.43,63.48;80.585,63.48;80.585,63.525;80.875,63.525;80.875,63.295;80.585,63.295;80.585,63.34;80.43,63.34;80.43,63.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.17,63.96;85.17,64.02;84.975,64.02;84.975,64.16;85.17,64.16;85.17,64.22;85.49,64.22;85.49,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.85,63.96;88.85,64.02;88.655,64.02;88.655,64.16;88.85,64.16;88.85,64.22;89.17,64.22;89.17,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.73,63.96;78.73,64.02;78.535,64.02;78.535,64.16;78.73,64.16;78.73,64.22;79.05,64.22;79.05,64.16;82.73,64.16;82.73,64.5;89.785,64.5;89.785,64.545;90.075,64.545;90.075,64.5;92.3,64.5;92.3,64.205;92.375,64.205;92.375,63.975;92.085,63.975;92.085,64.205;92.16,64.205;92.16,64.36;90.075,64.36;90.075,64.315;89.785,64.315;89.785,64.36;82.87,64.36;82.87,64.02;79.05,64.02;79.05,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (73.21,64.3;73.21,64.36;72.595,64.36;72.595,64.315;72.305,64.315;72.305,64.545;72.595,64.545;72.595,64.5;73.21,64.5;73.21,64.56;73.53,64.56;73.53,64.5;77.35,64.5;77.35,64.56;77.67,64.56;77.67,64.3;77.35,64.3;77.35,64.36;73.53,64.36;73.53,64.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,55.46;90.23,55.72;90.55,55.72;90.55,55.66;90.705,55.66;90.705,55.705;90.995,55.705;90.995,55.475;90.705,55.475;90.705,55.52;90.55,55.52;90.55,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.43,53.08;76.43,53.14;75.815,53.14;75.815,53.095;75.525,53.095;75.525,53.325;75.815,53.325;75.815,53.28;76.43,53.28;76.43,53.34;76.75,53.34;76.75,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.73,65.66;78.73,65.72;78.535,65.72;78.535,65.86;78.73,65.86;78.73,65.92;79.05,65.92;79.05,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.85,65.66;88.85,65.72;88.655,65.72;88.655,65.86;88.85,65.86;88.85,65.92;89.17,65.92;89.17,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.905,55.475;76.905,55.705;76.98,55.705;76.98,55.86;73.53,55.86;73.53,55.8;73.21,55.8;73.21,56.06;73.53,56.06;73.53,56;77.12,56;77.12,55.705;77.195,55.705;77.195,55.475) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.565,66.015;86.565,66.245;86.855,66.245;86.855,66.2;87.945,66.2;87.945,66.245;88.235,66.245;88.235,66.015;87.945,66.015;87.945,66.06;86.855,66.06;86.855,66.015) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (73.21,66;73.21,66.06;73.015,66.06;73.015,66.2;73.21,66.2;73.21,66.26;73.53,66.26;73.53,66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.11,66;80.11,66.26;80.43,66.26;80.43,66.2;86.105,66.2;86.105,66.245;86.395,66.245;86.395,66.015;86.105,66.015;86.105,66.06;80.43,66.06;80.43,66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.63,55.8;85.63,55.86;85.435,55.86;85.435,56;85.63,56;85.63,56.06;85.95,56.06;85.95,55.8) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.89,66.34;76.89,66.4;76.66,66.4;76.66,66.38;76.275,66.38;76.275,66.355;75.985,66.355;75.985,66.585;76.275,66.585;76.275,66.52;76.52,66.52;76.52,66.54;76.89,66.54;76.89,66.6;77.21,66.6;77.21,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.79,66.34;83.79,66.4;83.595,66.4;83.595,66.54;83.79,66.54;83.79,66.6;84.11,66.6;84.11,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (73.685,66.695;73.685,66.925;73.975,66.925;73.975,66.88;81.045,66.88;81.045,66.925;81.335,66.925;81.335,66.695;81.045,66.695;81.045,66.74;73.975,66.74;73.975,66.695) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.09,66.68;86.09,66.94;86.41,66.94;86.41,66.88;90.245,66.88;90.245,66.925;90.535,66.925;90.535,66.695;90.245,66.695;90.245,66.74;86.41,66.74;86.41,66.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (79.19,55.46;79.19,55.72;79.51,55.72;79.51,55.66;79.665,55.66;79.665,55.705;79.74,55.705;79.74,56.34;86.32,56.34;86.32,56;88.405,56;88.405,56.045;88.695,56.045;88.695,55.815;88.405,55.815;88.405,55.86;86.18,55.86;86.18,56.2;79.88,56.2;79.88,55.705;79.955,55.705;79.955,55.475;79.665,55.475;79.665,55.52;79.51,55.52;79.51,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.35,53.08;77.35,53.095;77.135,53.095;77.135,53.325;77.35,53.325;77.35,53.34;77.67,53.34;77.67,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (61.71,58.86;61.71,58.92;59.715,58.92;59.715,58.875;59.425,58.875;59.425,59.105;59.5,59.105;59.5,59.26;55.59,59.26;55.59,59.2;55.27,59.2;55.27,59.46;55.59,59.46;55.59,59.4;59.64,59.4;59.64,59.105;59.715,59.105;59.715,59.06;61.71,59.06;61.71,59.12;62.03,59.12;62.03,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.405,58.875;65.405,59.105;65.48,59.105;65.48,59.26;61.11,59.26;61.11,59.2;60.79,59.2;60.79,59.46;61.11,59.46;61.11,59.4;65.62,59.4;65.62,59.105;65.695,59.105;65.695,58.875) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,59.2;69.07,59.26;66.615,59.26;66.615,59.215;66.325,59.215;66.325,59.445;66.615,59.445;66.615,59.4;69.07,59.4;69.07,59.46;69.39,59.46;69.39,59.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (57.57,55.8;57.57,56.06;57.89,56.06;57.89,56;58.085,56;58.085,55.86;57.89,55.86;57.89,55.8) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,55.12;69.07,55.18;64.56,55.18;64.56,55.8;64.47,55.8;64.47,55.86;62.935,55.86;62.935,55.815;62.645,55.815;62.645,56.045;62.935,56.045;62.935,56;64.47,56;64.47,56.06;64.79,56.06;64.79,55.8;64.7,55.8;64.7,55.32;69.07,55.32;69.07,55.38;69.39,55.38;69.39,55.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.95,60.22;58.95,60.48;59.27,60.48;59.27,60.42;59.87,60.42;59.87,60.48;60.19,60.48;60.19,60.22;59.87,60.22;59.87,60.28;59.27,60.28;59.27,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (66.31,55.8;66.31,55.86;66.115,55.86;66.115,56;66.31,56;66.31,56.06;66.63,56.06;66.63,55.8) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (51.13,52.74;51.13,52.8;50.975,52.8;50.975,52.755;50.685,52.755;50.685,52.985;50.975,52.985;50.975,52.94;51.13,52.94;51.13,53;51.45,53;51.45,52.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.03,52.74;58.03,52.8;51.68,52.8;51.68,53.14;50.07,53.14;50.07,53.08;49.75,53.08;49.75,53.34;50.07,53.34;50.07,53.28;51.82,53.28;51.82,52.94;53.52,52.94;53.52,53.095;53.445,53.095;53.445,53.325;53.735,53.325;53.735,53.095;53.66,53.095;53.66,52.94;58.03,52.94;58.03,53;58.35,53;58.35,52.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,53.42;55.27,53.48;54.195,53.48;54.195,53.435;53.905,53.435;53.905,53.665;54.195,53.665;54.195,53.62;55.27,53.62;55.27,53.68;55.59,53.68;55.59,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.81,60.56;54.81,60.62;52.815,60.62;52.815,60.575;52.525,60.575;52.525,60.805;52.815,60.805;52.815,60.76;54.81,60.76;54.81,60.82;55.13,60.82;55.13,60.76;56.65,60.76;56.65,60.805;56.94,60.805;56.94,60.575;56.65,60.575;56.65,60.62;55.13,60.62;55.13,60.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.21,56.14;50.21,56.2;50.015,56.2;50.015,56.34;50.21,56.34;50.21,56.4;50.53,56.4;50.53,56.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,56.14;52.51,56.4;52.83,56.4;52.83,56.34;52.985,56.34;52.985,56.385;53.275,56.385;53.275,56.155;52.985,56.155;52.985,56.2;52.83,56.2;52.83,56.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.05,60.9;52.05,60.915;52.04,60.915;52.04,61.145;52.05,61.145;52.05,61.16;52.37,61.16;52.37,61.1;52.54,61.1;52.54,60.96;52.37,60.96;52.37,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.97,60.9;52.97,60.96;52.775,60.96;52.775,61.1;52.97,61.1;52.97,61.16;53.29,61.16;53.29,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.73,60.9;55.73,60.96;55.575,60.96;55.575,60.915;55.285,60.915;55.285,61.145;55.575,61.145;55.575,61.1;55.73,61.1;55.73,61.16;56.05,61.16;56.05,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (56.19,60.9;56.19,61.16;56.51,61.16;56.51,61.1;56.705,61.1;56.705,60.96;56.51,60.96;56.51,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (62.17,60.9;62.17,60.96;61.975,60.96;61.975,61.1;62.17,61.1;62.17,61.16;62.49,61.16;62.49,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (62.63,60.9;62.63,61.16;62.95,61.16;62.95,61.15;63.32,61.15;63.32,61.1;63.565,61.1;63.565,61.145;63.855,61.145;63.855,60.915;63.565,60.915;63.565,60.96;63.18,60.96;63.18,61.01;62.95,61.01;62.95,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.47,60.9;64.47,60.915;64.285,60.915;64.285,61.145;64.47,61.145;64.47,61.16;64.79,61.16;64.79,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,60.9;65.39,61.16;65.71,61.16;65.71,61.1;67.245,61.1;67.245,61.145;67.535,61.145;67.535,60.915;67.245,60.915;67.245,60.96;65.71,60.96;65.71,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.15,60.9;68.15,60.96;67.955,60.96;67.955,61.1;68.15,61.1;68.15,61.16;68.47,61.16;68.47,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (61.71,54.78;61.71,55.04;62.03,55.04;62.03,54.98;64.025,54.98;64.025,55.025;64.315,55.025;64.315,54.795;64.025,54.795;64.025,54.84;62.03,54.84;62.03,54.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (57.11,53.08;57.11,53.14;54.915,53.14;54.915,53.095;54.625,53.095;54.625,53.325;54.915,53.325;54.915,53.28;57.11,53.28;57.11,53.34;57.2,53.34;57.2,53.62;61.71,53.62;61.71,53.68;62.03,53.68;62.03,53.42;61.71,53.42;61.71,53.48;57.34,53.48;57.34,53.34;57.43,53.34;57.43,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (51.13,54.78;51.13,55.04;51.45,55.04;51.45,54.98;55.82,54.98;55.82,55.64;56.205,55.64;56.205,55.705;56.495,55.705;56.495,55.475;56.205,55.475;56.205,55.5;55.96,55.5;55.96,54.84;51.45,54.84;51.45,54.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (57.57,54.78;57.57,55.04;57.89,55.04;57.89,54.98;59.04,54.98;59.04,55.18;58.12,55.18;58.12,55.52;57.6,55.52;57.6,55.41;57.34,55.41;57.34,55.4;56.74,55.4;56.74,55.475;56.665,55.475;56.665,55.705;56.955,55.705;56.955,55.54;57.2,55.54;57.2,55.55;57.46,55.55;57.46,55.66;58.26,55.66;58.26,55.32;59.96,55.32;59.96,55.63;60.345,55.63;60.345,55.705;60.635,55.705;60.635,55.475;60.345,55.475;60.345,55.49;60.1,55.49;60.1,55.18;59.18,55.18;59.18,54.84;57.89,54.84;57.89,54.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.93,56.48;64.93,56.74;65.25,56.74;65.25,56.68;68.15,56.68;68.15,56.74;68.47,56.74;68.47,56.48;68.15,56.48;68.15,56.54;65.25,56.54;65.25,56.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,56.48;69.07,56.54;68.915,56.54;68.915,56.495;68.625,56.495;68.625,56.725;68.915,56.725;68.915,56.68;69.07,56.68;69.07,56.74;69.39,56.74;69.39,56.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.905,60.915;53.905,61.145;53.98,61.145;53.98,61.3;52.83,61.3;52.83,61.24;52.51,61.24;52.51,61.5;52.83,61.5;52.83,61.44;64.1,61.44;64.1,61.64;63.87,61.64;63.87,61.58;63.55,61.58;63.55,61.84;63.87,61.84;63.87,61.78;64.24,61.78;64.24,61.3;54.12,61.3;54.12,61.145;54.195,61.145;54.195,60.915) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (66.77,53.42;66.77,53.68;67.09,53.68;67.09,53.62;67.245,53.62;67.245,53.665;67.535,53.665;67.535,53.62;68.15,53.62;68.15,53.68;68.47,53.68;68.47,53.42;68.15,53.42;68.15,53.48;67.535,53.48;67.535,53.435;67.245,53.435;67.245,53.48;67.09,53.48;67.09,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,57.5;63.09,57.56;62.895,57.56;62.895,57.7;63.09,57.7;63.09,57.76;63.41,57.76;63.41,57.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.01,57.5;64.01,57.76;64.33,57.76;64.33,57.7;66.325,57.7;66.325,57.745;66.615,57.745;66.615,57.7;66.77,57.7;66.77,57.76;67.09,57.76;67.09,57.5;66.77,57.5;66.77,57.56;66.615,57.56;66.615,57.515;66.325,57.515;66.325,57.56;64.33,57.56;64.33,57.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.33,61.92;60.33,61.98;58.335,61.98;58.335,61.935;58.045,61.935;58.045,62.165;58.335,62.165;58.335,62.12;60.33,62.12;60.33,62.18;60.65,62.18;60.65,61.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.41,61.58;59.41,61.84;59.73,61.84;59.73,61.78;63.18,61.78;63.18,62.12;64.01,62.12;64.01,62.18;64.33,62.18;64.33,61.92;64.01,61.92;64.01,61.98;63.32,61.98;63.32,61.64;59.73,61.64;59.73,61.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,61.92;65.39,61.98;65.235,61.98;65.235,61.935;64.945,61.935;64.945,62.165;65.235,62.165;65.235,62.12;65.39,62.12;65.39,62.18;65.71,62.18;65.71,61.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,53.08;52.51,53.34;52.83,53.34;52.83,53.28;53.025,53.28;53.025,53.14;52.83,53.14;52.83,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.41,53.08;59.41,53.34;59.73,53.34;59.73,53.28;59.885,53.28;59.885,53.325;60.175,53.325;60.175,53.095;59.885,53.095;59.885,53.14;59.73,53.14;59.73,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.05,55.46;52.05,55.52;51.855,55.52;51.855,55.66;52.05,55.66;52.05,55.72;52.37,55.72;52.37,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.89,55.46;53.89,55.52;53.275,55.52;53.275,55.475;52.985,55.475;52.985,55.705;53.275,55.705;53.275,55.66;53.89,55.66;53.89,55.72;54.21,55.72;54.21,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.81,55.46;54.81,55.52;54.615,55.52;54.615,55.66;54.81,55.66;54.81,55.72;55.13,55.72;55.13,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.03,63.96;58.03,64.02;57.835,64.02;57.835,64.16;58.03,64.16;58.03,64.22;58.35,64.22;58.35,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,63.96;65.39,64.02;65.195,64.02;65.195,64.16;65.39,64.16;65.39,64.22;65.71,64.22;65.71,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.99,63.96;69.99,64.22;70.31,64.22;70.31,64.16;70.505,64.16;70.505,64.02;70.31,64.02;70.31,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.75,58.18;49.75,58.24;49.555,58.24;49.555,58.38;49.75,58.38;49.75,58.44;50.07,58.44;50.07,58.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,58.18;52.51,58.24;52.315,58.24;52.315,58.38;52.51,58.38;52.51,58.44;52.83,58.44;52.83,58.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (56.205,63.635;56.205,63.865;56.495,63.865;56.495,63.82;59.96,63.82;59.96,64.16;62.645,64.16;62.645,64.205;62.72,64.205;62.72,64.5;66.08,64.5;66.08,64.16;69.53,64.16;69.53,64.22;69.85,64.22;69.85,63.96;69.53,63.96;69.53,64.02;65.94,64.02;65.94,64.36;62.86,64.36;62.86,64.205;62.935,64.205;62.935,63.975;62.645,63.975;62.645,64.02;60.1,64.02;60.1,63.68;56.495,63.68;56.495,63.635) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.89,63.96;53.89,64.02;53.695,64.02;53.695,64.16;53.89,64.16;53.89,64.22;54.21,64.22;54.21,64.16;55.82,64.16;55.82,64.5;58.965,64.5;58.965,64.545;59.255,64.545;59.255,64.315;58.965,64.315;58.965,64.36;55.96,64.36;55.96,64.02;54.21,64.02;54.21,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.49,55.46;58.49,55.72;58.81,55.72;58.81,55.62;59.04,55.62;59.04,55.63;59.425,55.63;59.425,55.705;59.715,55.705;59.715,55.475;59.425,55.475;59.425,55.49;59.18,55.49;59.18,55.48;58.81,55.48;58.81,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,64.3;55.27,64.36;53.735,64.36;53.735,64.315;53.445,64.315;53.445,64.545;53.735,64.545;53.735,64.5;55.27,64.5;55.27,64.56;55.59,64.56;55.59,64.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,64.3;69.07,64.36;68.875,64.36;68.875,64.5;69.07,64.5;69.07,64.56;69.39,64.56;69.39,64.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (62.17,55.46;62.17,55.52;61.725,55.52;61.725,55.475;61.435,55.475;61.435,55.705;61.725,55.705;61.725,55.66;62.17,55.66;62.17,55.72;62.49,55.72;62.49,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.03,57.84;58.03,58.1;58.35,58.1;58.35,58.04;59.04,58.04;59.04,58.535;58.965,58.535;58.965,58.765;59.255,58.765;59.255,58.535;59.18,58.535;59.18,57.9;58.35,57.9;58.35,57.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.33,58.18;60.33,58.44;60.42,58.44;60.42,58.72;62.645,58.72;62.645,58.765;62.935,58.765;62.935,58.535;62.645,58.535;62.645,58.58;60.56,58.58;60.56,58.44;60.65,58.44;60.65,58.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.97,65.66;52.97,65.72;52.775,65.72;52.775,65.86;52.97,65.86;52.97,65.92;53.29,65.92;53.29,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.89,65.66;53.89,65.72;53.735,65.72;53.735,65.675;53.445,65.675;53.445,65.905;53.735,65.905;53.735,65.86;53.89,65.86;53.89,65.92;54.21,65.92;54.21,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,65.66;55.27,65.92;55.59,65.92;55.59,65.86;58.045,65.86;58.045,65.905;58.335,65.905;58.335,65.675;58.045,65.675;58.045,65.72;55.59,65.72;55.59,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (51.13,58.52;51.13,58.58;50.935,58.58;50.935,58.72;51.13,58.72;51.13,58.78;51.45,58.78;51.45,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (57.57,58.52;57.57,58.78;57.89,58.78;57.89,58.72;58.045,58.72;58.045,58.765;58.335,58.765;58.335,58.535;58.045,58.535;58.045,58.58;57.89,58.58;57.89,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.87,58.52;59.87,58.58;59.7,58.58;59.7,58.72;59.87,58.72;59.87,58.78;60.19,58.78;60.19,58.765;60.2,58.765;60.2,58.535;60.19,58.535;60.19,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.45,58.52;70.45,58.58;67.995,58.58;67.995,58.535;67.705,58.535;67.705,58.765;67.995,58.765;67.995,58.72;70.45,58.72;70.45,58.78;70.77,58.78;70.77,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.61,65.66;68.61,65.72;63.395,65.72;63.395,65.675;63.105,65.675;63.105,65.72;59.96,65.72;59.96,66.06;56.97,66.06;56.97,66;56.65,66;56.65,66.26;56.97,66.26;56.97,66.2;60.1,66.2;60.1,65.86;63.105,65.86;63.105,65.905;63.395,65.905;63.395,65.86;68.61,65.86;68.61,65.92;68.93,65.92;68.93,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (61.25,66;61.25,66.06;61.055,66.06;61.055,66.2;61.25,66.2;61.25,66.26;61.57,66.26;61.57,66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.55,66;63.55,66.06;63.355,66.06;63.355,66.2;63.55,66.2;63.55,66.26;63.87,66.26;63.87,66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.85,55.46;65.85,55.52;65.655,55.52;65.655,55.66;65.85,55.66;65.85,55.72;66.17,55.72;66.17,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.23,55.46;67.23,55.52;67.035,55.52;67.035,55.66;67.23,55.66;67.23,55.72;67.55,55.72;67.55,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.67,66.34;50.67,66.4;50.475,66.4;50.475,66.54;50.67,66.54;50.67,66.6;50.99,66.6;50.99,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.03,66.34;58.03,66.6;58.35,66.6;58.35,66.54;58.965,66.54;58.965,66.585;59.255,66.585;59.255,66.355;58.965,66.355;58.965,66.4;58.35,66.4;58.35,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,66.34;63.09,66.4;62.475,66.4;62.475,66.355;62.185,66.355;62.185,66.585;62.475,66.585;62.475,66.54;63.09,66.54;63.09,66.6;63.41,66.6;63.41,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,66.34;65.39,66.6;65.71,66.6;65.71,66.54;66.325,66.54;66.325,66.585;66.615,66.585;66.615,66.355;66.325,66.355;66.325,66.4;65.71,66.4;65.71,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.79,53.08;60.79,53.14;60.595,53.14;60.595,53.28;60.79,53.28;60.79,53.34;61.11,53.34;61.11,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,53.08;63.09,53.14;62.895,53.14;62.895,53.28;63.09,53.28;63.09,53.34;63.41,53.34;63.41,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,53.08;65.39,53.14;64.315,53.14;64.315,53.095;64.025,53.095;64.025,53.325;64.315,53.325;64.315,53.28;65.39,53.28;65.39,53.34;65.71,53.34;65.71,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.53,58.86;69.53,58.92;69.335,58.92;69.335,59.06;69.53,59.06;69.53,59.12;69.85,59.12;69.85,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (66.31,53.08;66.31,53.14;66.115,53.14;66.115,53.28;66.31,53.28;66.31,53.34;66.63,53.34;66.63,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.35,55.8;54.35,56.06;54.67,56.06;54.67,56;55.285,56;55.285,56.045;55.575,56.045;55.575,55.815;55.285,55.815;55.285,55.86;54.67,55.86;54.67,55.8) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.67,58.86;50.67,59.12;50.99,59.12;50.99,59.06;53.06,59.06;53.06,59.2;52.97,59.2;52.97,59.46;53.29,59.46;53.29,59.4;54.365,59.4;54.365,59.445;54.655,59.445;54.655,59.215;54.365,59.215;54.365,59.26;53.29,59.26;53.29,59.2;53.2,59.2;53.2,58.92;50.99,58.92;50.99,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.85,49.34;65.85,49.6;66.17,49.6;66.17,49.54;69.085,49.54;69.085,49.585;69.375,49.585;69.375,49.355;69.085,49.355;69.085,49.4;66.17,49.4;66.17,49.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,36.76;55.27,37.02;55.59,37.02;55.59,36.96;58.505,36.96;58.505,37.005;58.795,37.005;58.795,36.775;58.505,36.775;58.505,36.82;55.59,36.82;55.59,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.67,49.68;50.67,49.74;50.475,49.74;50.475,49.88;50.67,49.88;50.67,49.94;50.99,49.94;50.99,49.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.43,49.34;53.43,49.6;53.75,49.6;53.75,49.54;55.82,49.54;55.82,50.035;55.745,50.035;55.745,50.265;56.035,50.265;56.035,50.035;55.96,50.035;55.96,49.54;57.57,49.54;57.57,49.6;57.89,49.6;57.89,49.34;57.57,49.34;57.57,49.4;53.75,49.4;53.75,49.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,49.34;63.09,49.4;62.03,49.4;62.03,49.74;61.555,49.74;61.555,49.695;61.265,49.695;61.265,49.74;58.81,49.74;58.81,49.68;58.49,49.68;58.49,49.74;56.74,49.74;56.74,50.035;56.665,50.035;56.665,50.265;56.955,50.265;56.955,50.035;56.88,50.035;56.88,49.88;58.49,49.88;58.49,49.94;58.81,49.94;58.81,49.88;61.265,49.88;61.265,49.925;61.555,49.925;61.555,49.88;62.17,49.88;62.17,49.54;63.09,49.54;63.09,49.6;63.41,49.6;63.41,49.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,49.34;65.39,49.4;65.02,49.4;65.02,50.22;65.405,50.22;65.405,50.265;65.695,50.265;65.695,50.035;65.405,50.035;65.405,50.08;65.16,50.08;65.16,49.54;65.39,49.54;65.39,49.6;65.71,49.6;65.71,49.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.89,50.02;53.89,50.08;53.735,50.08;53.735,50.035;53.445,50.035;53.445,50.265;53.735,50.265;53.735,50.22;53.89,50.22;53.89,50.28;54.21,50.28;54.21,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.01,50.02;64.01,50.08;63.855,50.08;63.855,50.035;63.565,50.035;63.565,50.265;63.855,50.265;63.855,50.22;64.01,50.22;64.01,50.28;64.33,50.28;64.33,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (66.31,50.02;66.31,50.08;66.115,50.08;66.115,50.22;66.31,50.22;66.31,50.28;66.63,50.28;66.63,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.61,50.02;68.61,50.08;67.535,50.08;67.535,50.035;67.245,50.035;67.245,50.265;67.535,50.265;67.535,50.22;68.61,50.22;68.61,50.28;68.93,50.28;68.93,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,49.68;55.27,49.74;51.435,49.74;51.435,49.695;51.145,49.695;51.145,49.925;51.435,49.925;51.435,49.88;55.27,49.88;55.27,49.94;55.36,49.94;55.36,50.56;57.34,50.56;57.34,50.265;57.415,50.265;57.415,50.035;57.125,50.035;57.125,50.265;57.2,50.265;57.2,50.42;55.5,50.42;55.5,49.94;55.59,49.94;55.59,49.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.21,50.36;50.21,50.42;50.015,50.42;50.015,50.56;50.21,50.56;50.21,50.62;50.53,50.62;50.53,50.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,36.76;69.07,37.02;69.39,37.02;69.39,36.96;69.545,36.96;69.545,37.005;69.835,37.005;69.835,36.775;69.545,36.775;69.545,36.82;69.39,36.82;69.39,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.365,39.155;54.365,39.385;54.655,39.385;54.655,39.34;56.205,39.34;56.205,39.385;56.495,39.385;56.495,39.155;56.205,39.155;56.205,39.2;54.655,39.2;54.655,39.155) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.01,50.7;64.01,50.96;64.33,50.96;64.33,50.9;66.31,50.9;66.31,50.96;66.63,50.96;66.63,50.7;66.31,50.7;66.31,50.76;64.33,50.76;64.33,50.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.15,41.18;68.15,41.24;66.86,41.24;66.86,42.325;66.78,42.325;66.78,42.37;66.77,42.37;66.77,42.6;63.64,42.6;63.64,42.94;50.99,42.94;50.99,42.88;50.67,42.88;50.67,43.14;50.99,43.14;50.99,43.08;63.78,43.08;63.78,42.74;66.91,42.74;66.91,42.555;67.07,42.555;67.07,42.325;67,42.325;67,41.38;68.15,41.38;68.15,41.44;68.47,41.44;68.47,41.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.87,51.04;59.87,51.1;59.715,51.1;59.715,51.055;59.425,51.055;59.425,51.285;59.715,51.285;59.715,51.24;59.87,51.24;59.87,51.3;60.19,51.3;60.19,51.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.87,41.18;59.87,41.44;60.19,41.44;60.19,41.38;61.265,41.38;61.265,41.425;61.555,41.425;61.555,41.195;61.265,41.195;61.265,41.24;60.19,41.24;60.19,41.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.69,51.04;67.69,51.1;60.635,51.1;60.635,51.055;60.345,51.055;60.345,51.285;60.635,51.285;60.635,51.24;67.69,51.24;67.69,51.3;68.01,51.3;68.01,51.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,39.82;65.39,39.88;59.255,39.88;59.255,39.835;58.965,39.835;58.965,40.065;59.255,40.065;59.255,40.02;65.39,40.02;65.39,40.08;65.71,40.08;65.71,40.02;66.31,40.02;66.31,40.08;66.63,40.08;66.63,39.82;66.31,39.82;66.31,39.88;65.71,39.88;65.71,39.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.69,41.52;67.69,41.78;67.78,41.78;67.78,42.215;67.705,42.215;67.705,42.445;67.995,42.445;67.995,42.215;67.92,42.215;67.92,41.78;68.01,41.78;68.01,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.55,39.14;63.55,39.4;63.87,39.4;63.87,39.34;64.065,39.34;64.065,39.2;63.87,39.2;63.87,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.35,52.06;54.35,52.32;54.67,52.32;54.67,52.26;64.01,52.26;64.01,52.32;64.33,52.32;64.33,52.06;64.01,52.06;64.01,52.12;54.67,52.12;54.67,52.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,43.9;69.07,43.96;67.995,43.96;67.995,43.915;67.705,43.915;67.705,44.145;67.995,44.145;67.995,44.1;69.07,44.1;69.07,44.16;69.39,44.16;69.39,43.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (51.59,42.2;51.59,42.26;51.395,42.26;51.395,42.4;51.59,42.4;51.59,42.46;51.91,42.46;51.91,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,44.24;52.51,44.5;52.83,44.5;52.83,44.44;53.52,44.44;53.52,44.595;53.445,44.595;53.445,44.825;53.735,44.825;53.735,44.595;53.66,44.595;53.66,44.3;52.83,44.3;52.83,44.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.41,44.24;59.41,44.5;59.73,44.5;59.73,44.44;65.94,44.44;65.94,44.595;65.865,44.595;65.865,44.825;66.155,44.825;66.155,44.595;66.08,44.595;66.08,44.3;59.73,44.3;59.73,44.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.93,39.14;64.93,39.2;64.735,39.2;64.735,39.34;64.93,39.34;64.93,39.4;65.25,39.4;65.25,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.55,43.9;63.55,44.16;63.87,44.16;63.87,44.1;66.4,44.1;66.4,44.595;66.325,44.595;66.325,44.825;66.615,44.825;66.615,44.595;66.54,44.595;66.54,43.96;63.87,43.96;63.87,43.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.05,42.2;52.05,42.46;52.37,42.46;52.37,42.4;52.565,42.4;52.565,42.26;52.37,42.26;52.37,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.73,41.52;55.73,41.78;56.05,41.78;56.05,41.72;58.49,41.72;58.49,41.78;58.81,41.78;58.81,41.52;58.49,41.52;58.49,41.58;56.05,41.58;56.05,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.47,41.52;64.47,41.78;64.79,41.78;64.79,41.72;65.405,41.72;65.405,41.765;65.695,41.765;65.695,41.535;65.405,41.535;65.405,41.58;64.79,41.58;64.79,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.49,44.58;58.49,44.64;55.635,44.64;55.635,44.595;55.345,44.595;55.345,44.825;55.635,44.825;55.635,44.78;58.49,44.78;58.49,44.84;58.81,44.84;58.81,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.79,44.58;60.79,44.64;60.595,44.64;60.595,44.78;60.79,44.78;60.79,44.84;61.11,44.84;61.11,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,44.58;65.39,44.64;65.195,44.64;65.195,44.78;65.39,44.78;65.39,44.84;65.71,44.84;65.71,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (62.17,42.2;62.17,42.26;62.015,42.26;62.015,42.215;61.725,42.215;61.725,42.445;62.015,42.445;62.015,42.4;62.17,42.4;62.17,42.46;62.49,42.46;62.49,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.84,43.96;49.84,44.255;49.765,44.255;49.765,44.485;50.055,44.485;50.055,44.255;49.98,44.255;49.98,44.1;53.975,44.1;53.975,44.595;53.9,44.595;53.9,44.825;54.19,44.825;54.19,44.595;54.115,44.595;54.115,44.1;59.04,44.1;59.04,44.595;58.965,44.595;58.965,44.825;59.04,44.825;59.04,45.12;61.94,45.12;61.94,44.825;62.015,44.825;62.015,44.78;64.01,44.78;64.01,44.84;64.33,44.84;64.33,44.58;64.01,44.58;64.01,44.64;62.015,44.64;62.015,44.595;61.725,44.595;61.725,44.825;61.8,44.825;61.8,44.98;59.18,44.98;59.18,44.825;59.255,44.825;59.255,44.595;59.18,44.595;59.18,43.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.23,44.58;67.23,44.64;67.075,44.64;67.075,44.595;66.785,44.595;66.785,44.825;66.86,44.825;66.86,44.98;65.25,44.98;65.25,44.92;64.93,44.92;64.93,44.98;62.49,44.98;62.49,44.92;62.17,44.92;62.17,45.18;62.49,45.18;62.49,45.12;64.93,45.12;64.93,45.18;65.25,45.18;65.25,45.12;67,45.12;67,44.825;67.075,44.825;67.075,44.78;67.23,44.78;67.23,44.84;67.55,44.84;67.55,44.78;69.99,44.78;69.99,44.84;70.31,44.84;70.31,44.58;69.99,44.58;69.99,44.64;67.55,44.64;67.55,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.87,36.76;59.87,36.775;59.655,36.775;59.655,37.005;59.87,37.005;59.87,37.02;60.19,37.02;60.19,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.41,37.44;59.41,37.5;59.255,37.5;59.255,37.455;58.965,37.455;58.965,37.685;59.255,37.685;59.255,37.64;59.41,37.64;59.41,37.7;59.73,37.7;59.73,37.64;60.79,37.64;60.79,37.7;61.11,37.7;61.11,37.44;60.79,37.44;60.79,37.5;59.73,37.5;59.73,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (62.63,45.26;62.63,45.32;61.095,45.32;61.095,45.275;60.805,45.275;60.805,45.505;61.095,45.505;61.095,45.46;62.63,45.46;62.63,45.52;62.95,45.52;62.95,45.46;65.39,45.46;65.39,45.52;65.71,45.52;65.71,45.26;65.39,45.26;65.39,45.32;62.95,45.32;62.95,45.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,45.6;52.51,45.66;52.315,45.66;52.315,45.8;52.51,45.8;52.51,45.86;52.83,45.86;52.83,45.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.89,45.6;53.89,45.86;54.21,45.86;54.21,45.8;58.505,45.8;58.505,45.845;58.795,45.845;58.795,45.8;62.17,45.8;62.17,45.86;62.49,45.86;62.49,45.6;62.17,45.6;62.17,45.66;58.795,45.66;58.795,45.615;58.505,45.615;58.505,45.66;54.21,45.66;54.21,45.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,42.2;69.07,42.26;68.915,42.26;68.915,42.215;68.625,42.215;68.625,42.445;68.915,42.445;68.915,42.4;69.07,42.4;69.07,42.46;69.39,42.46;69.39,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,46.62;52.51,46.68;50.975,46.68;50.975,46.635;50.685,46.635;50.685,46.865;50.975,46.865;50.975,46.82;52.51,46.82;52.51,46.88;52.83,46.88;52.83,46.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.15,46.62;68.15,46.88;68.47,46.88;68.47,46.82;68.625,46.82;68.625,46.865;68.915,46.865;68.915,46.635;68.625,46.635;68.625,46.68;68.47,46.68;68.47,46.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.45,42.2;70.45,42.26;70.255,42.26;70.255,42.4;70.45,42.4;70.45,42.46;70.77,42.46;70.77,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.55,46.96;63.55,47.02;63.395,47.02;63.395,46.975;63.105,46.975;63.105,47.205;63.395,47.205;63.395,47.16;63.55,47.16;63.55,47.22;63.87,47.22;63.87,46.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (61.34,41.92;61.34,42.26;59.715,42.26;59.715,42.215;59.425,42.215;59.425,42.26;56.035,42.26;56.035,42.215;55.745,42.215;55.745,42.26;53.06,42.26;53.06,42.6;50.07,42.6;50.07,42.54;49.75,42.54;49.75,42.8;50.07,42.8;50.07,42.74;53.2,42.74;53.2,42.4;55.745,42.4;55.745,42.445;56.035,42.445;56.035,42.4;59.425,42.4;59.425,42.445;59.715,42.445;59.715,42.4;61.48,42.4;61.48,42.06;62.72,42.06;62.72,42.4;65.85,42.4;65.85,42.46;66.17,42.46;66.17,42.2;65.85,42.2;65.85,42.26;62.86,42.26;62.86,41.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.79,38.46;60.79,38.52;60.595,38.52;60.595,38.66;60.79,38.66;60.79,38.72;61.11,38.72;61.11,38.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.05,41.52;52.05,41.78;52.14,41.78;52.14,41.92;51.45,41.92;51.45,41.86;51.13,41.86;51.13,42.12;51.45,42.12;51.45,42.06;60.79,42.06;60.79,42.12;61.11,42.12;61.11,41.86;60.79,41.86;60.79,41.92;52.28,41.92;52.28,41.78;52.37,41.78;52.37,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.41,47.3;59.41,47.56;59.73,47.56;59.73,47.5;61.265,47.5;61.265,47.545;61.555,47.545;61.555,47.315;61.265,47.315;61.265,47.36;59.73,47.36;59.73,47.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,36.76;52.51,37.02;52.83,37.02;52.83,36.96;53.025,36.96;53.025,36.82;52.83,36.82;52.83,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,47.3;65.39,47.36;61.8,47.36;61.8,47.7;60.175,47.7;60.175,47.655;59.885,47.655;59.885,47.885;60.175,47.885;60.175,47.84;61.94,47.84;61.94,47.5;65.39,47.5;65.39,47.56;65.71,47.56;65.71,47.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,47.64;52.51,47.7;52.355,47.7;52.355,47.655;52.065,47.655;52.065,47.885;52.355,47.885;52.355,47.84;52.51,47.84;52.51,47.9;52.83,47.9;52.83,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.89,47.64;53.89,47.7;53.695,47.7;53.695,47.84;53.89,47.84;53.89,47.9;54.21,47.9;54.21,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.81,47.64;54.81,47.7;54.615,47.7;54.615,47.84;54.81,47.84;54.81,47.9;55.13,47.9;55.13,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.49,47.64;58.49,47.7;58.295,47.7;58.295,47.84;58.49,47.84;58.49,47.9;58.81,47.9;58.81,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (62.63,47.64;62.63,47.7;62.475,47.7;62.475,47.655;62.185,47.655;62.185,47.885;62.475,47.885;62.475,47.84;62.63,47.84;62.63,47.9;62.95,47.9;62.95,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,47.64;63.09,47.9;63.41,47.9;63.41,47.84;64.945,47.84;64.945,47.885;65.235,47.885;65.235,47.655;64.945,47.655;64.945,47.7;63.41,47.7;63.41,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.85,47.64;65.85,47.7;65.655,47.7;65.655,47.84;65.85,47.84;65.85,47.9;66.17,47.9;66.17,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.23,47.64;67.23,47.9;67.55,47.9;67.55,47.84;68.165,47.84;68.165,47.885;68.455,47.885;68.455,47.655;68.165,47.655;68.165,47.7;67.55,47.7;67.55,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (61.25,42.54;61.25,42.6;54.655,42.6;54.655,42.555;54.365,42.555;54.365,42.785;54.655,42.785;54.655,42.74;61.25,42.74;61.25,42.8;61.57,42.8;61.57,42.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.67,47.98;50.67,48.24;50.99,48.24;50.99,48.225;51.02,48.225;51.02,48.18;51.185,48.18;51.185,48.04;51.02,48.04;51.02,47.995;50.99,47.995;50.99,47.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,38.46;52.51,38.52;52.14,38.52;52.14,38.815;52.065,38.815;52.065,39.045;52.355,39.045;52.355,38.815;52.28,38.815;52.28,38.66;52.51,38.66;52.51,38.72;52.83,38.72;52.83,38.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.99,47.98;69.99,48.04;62.03,48.04;62.03,48.38;56.035,48.38;56.035,48.335;55.745,48.335;55.745,48.38;55.59,48.38;55.59,48.32;55.27,48.32;55.27,48.58;55.59,48.58;55.59,48.52;55.745,48.52;55.745,48.565;56.035,48.565;56.035,48.52;62.17,48.52;62.17,48.18;69.99,48.18;69.99,48.24;70.31,48.24;70.31,47.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.23,41.52;67.23,41.78;67.32,41.78;67.32,42.165;67.24,42.165;67.24,42.395;67.53,42.395;67.53,42.165;67.46,42.165;67.46,41.78;67.55,41.78;67.55,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (61.25,37.1;61.25,37.36;61.57,37.36;61.57,37.3;65.405,37.3;65.405,37.345;65.695,37.345;65.695,37.115;65.405,37.115;65.405,37.16;61.57,37.16;61.57,37.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.43,38.46;53.43,38.52;53.275,38.52;53.275,38.475;52.985,38.475;52.985,38.705;53.275,38.705;53.275,38.66;53.43,38.66;53.43,38.72;53.75,38.72;53.75,38.66;59.96,38.66;59.96,39;61.25,39;61.25,39.06;61.57,39.06;61.57,38.8;61.25,38.8;61.25,38.86;60.1,38.86;60.1,38.52;53.75,38.52;53.75,38.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.33,49.34;60.33,49.4;60.135,49.4;60.135,49.54;60.33,49.54;60.33,49.6;60.65,49.6;60.65,49.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.13,42.54;74.13,42.6;72.135,42.6;72.135,42.555;71.845,42.555;71.845,42.785;72.135,42.785;72.135,42.74;74.13,42.74;74.13,42.8;74.45,42.8;74.45,42.74;76.905,42.74;76.905,42.785;77.195,42.785;77.195,42.555;76.905,42.555;76.905,42.6;74.45,42.6;74.45,42.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.75,44.58;72.75,44.84;73.07,44.84;73.07,44.78;73.265,44.78;73.265,44.64;73.07,44.64;73.07,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (89.77,37.44;89.77,37.5;89.575,37.5;89.575,37.64;89.77,37.64;89.77,37.7;90.09,37.7;90.09,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.81,44.58;77.81,44.595;77.625,44.595;77.625,44.825;77.81,44.825;77.81,44.84;78.13,44.84;78.13,44.78;80.125,44.78;80.125,44.825;80.415,44.825;80.415,44.595;80.125,44.595;80.125,44.64;78.13,44.64;78.13,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,49.68;83.33,49.94;83.65,49.94;83.65,49.88;87.01,49.88;87.01,49.94;87.33,49.94;87.33,49.68;87.01,49.68;87.01,49.74;83.65,49.74;83.65,49.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (89.31,39.14;89.31,39.2;89.115,39.2;89.115,39.34;89.31,39.34;89.31,39.4;89.63,39.4;89.63,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.29,46.96;72.29,47.02;72.095,47.02;72.095,47.16;72.29,47.16;72.29,47.22;72.61,47.22;72.61,46.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.89,46.96;76.89,47.22;77.21,47.22;77.21,47.16;77.81,47.16;77.81,47.22;78.13,47.22;78.13,46.96;77.81,46.96;77.81,47.02;77.21,47.02;77.21,46.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.13,41.18;74.13,41.44;74.45,41.44;74.45,41.38;76.89,41.38;76.89,41.44;77.21,41.44;77.21,41.38;81.045,41.38;81.045,41.425;81.335,41.425;81.335,41.195;81.045,41.195;81.045,41.24;77.21,41.24;77.21,41.18;76.89,41.18;76.89,41.24;74.45,41.24;74.45,41.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (89.31,41.18;89.31,41.24;89.115,41.24;89.115,41.38;89.31,41.38;89.31,41.44;89.63,41.44;89.63,41.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,46.96;90.23,47.02;90.035,47.02;90.035,47.16;90.23,47.16;90.23,47.22;90.55,47.22;90.55,46.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (81.045,49.355;81.045,49.585;81.12,49.585;81.12,49.74;73.53,49.74;73.53,49.68;73.21,49.68;73.21,49.94;73.53,49.94;73.53,49.88;76.52,49.88;76.52,50.035;76.445,50.035;76.445,50.265;76.735,50.265;76.735,50.035;76.66,50.035;76.66,49.88;81.26,49.88;81.26,49.585;81.335,49.585;81.335,49.355) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (82.87,38.8;82.87,39.06;83.19,39.06;83.19,39;83.385,39;83.385,38.86;83.19,38.86;83.19,38.8) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.39,44.58;88.39,44.64;88.195,44.64;88.195,44.78;88.39,44.78;88.39,44.84;88.71,44.84;88.71,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,39.82;90.23,39.88;82.715,39.88;82.715,39.835;82.425,39.835;82.425,40.065;82.715,40.065;82.715,40.02;90.23,40.02;90.23,40.08;90.55,40.08;90.55,40.02;90.705,40.02;90.705,40.065;90.995,40.065;90.995,39.835;90.705,39.835;90.705,39.88;90.55,39.88;90.55,39.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (81.505,46.975;81.505,47.205;81.795,47.205;81.795,47.16;88.48,47.16;88.48,47.5;90.705,47.5;90.705,47.545;90.995,47.545;90.995,47.315;90.705,47.315;90.705,47.36;88.62,47.36;88.62,47.02;81.795,47.02;81.795,46.975) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.05,43.9;75.05,44.16;75.37,44.16;75.37,44.1;76.98,44.1;76.98,44.255;76.905,44.255;76.905,44.485;77.195,44.485;77.195,44.255;77.12,44.255;77.12,44.1;77.35,44.1;77.35,44.16;77.67,44.16;77.67,43.9;77.35,43.9;77.35,43.96;75.37,43.96;75.37,43.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,36.76;83.33,37.02;83.65,37.02;83.65,36.96;83.805,36.96;83.805,37.005;84.095,37.005;84.095,36.775;83.805,36.775;83.805,36.82;83.65,36.82;83.65,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.97,36.76;75.97,36.82;75.355,36.82;75.355,36.775;75.065,36.775;75.065,37.005;75.355,37.005;75.355,36.96;75.97,36.96;75.97,37.02;76.29,37.02;76.29,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.35,42.54;77.35,42.8;77.67,42.8;77.67,42.74;77.865,42.74;77.865,42.6;77.67,42.6;77.67,42.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (73.67,50.02;73.67,50.08;73.475,50.08;73.475,50.22;73.67,50.22;73.67,50.28;73.99,50.28;73.99,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.13,50.02;74.13,50.28;74.45,50.28;74.45,50.22;74.645,50.22;74.645,50.08;74.45,50.08;74.45,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.51,50.02;75.51,50.08;75.315,50.08;75.315,50.22;75.51,50.22;75.51,50.28;75.83,50.28;75.83,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.27,50.02;78.27,50.08;78.075,50.08;78.075,50.22;78.27,50.22;78.27,50.28;78.59,50.28;78.59,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.79,50.02;83.79,50.08;83.595,50.08;83.595,50.22;83.79,50.22;83.79,50.28;84.11,50.28;84.11,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.265,39.155;84.265,39.2;80.2,39.2;80.2,39.495;80.125,39.495;80.125,39.54;79.97,39.54;79.97,39.48;79.65,39.48;79.65,39.74;79.97,39.74;79.97,39.68;80.125,39.68;80.125,39.725;80.415,39.725;80.415,39.495;80.34,39.495;80.34,39.34;84.265,39.34;84.265,39.385;84.555,39.385;84.555,39.155) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.69,50.02;90.69,50.08;90.495,50.08;90.495,50.22;90.69,50.22;90.69,50.28;91.01,50.28;91.01,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,47.3;83.33,47.56;83.65,47.56;83.65,47.5;87.945,47.5;87.945,47.545;88.235,47.545;88.235,47.315;87.945,47.315;87.945,47.36;83.65,47.36;83.65,47.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.75,41.52;72.75,41.78;73.07,41.78;73.07,41.72;73.685,41.72;73.685,41.765;73.975,41.765;73.975,41.535;73.685,41.535;73.685,41.58;73.07,41.58;73.07,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.09,41.52;86.09,41.58;85.895,41.58;85.895,41.72;86.09,41.72;86.09,41.78;86.41,41.78;86.41,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.71,44.24;84.71,44.3;80.66,44.3;80.66,44.98;77.9,44.98;77.9,45.32;73.975,45.32;73.975,45.275;73.685,45.275;73.685,45.505;73.975,45.505;73.975,45.46;78.04,45.46;78.04,45.12;80.8,45.12;80.8,44.44;84.71,44.44;84.71,44.5;85.03,44.5;85.03,44.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,42.54;90.23,42.6;90.075,42.6;90.075,42.555;89.785,42.555;89.785,42.785;90.075,42.785;90.075,42.74;90.23,42.74;90.23,42.8;90.55,42.8;90.55,42.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.765,50.035;72.765,50.265;72.84,50.265;72.84,50.56;75.05,50.56;75.05,50.62;75.37,50.62;75.37,50.36;75.05,50.36;75.05,50.42;72.98,50.42;72.98,50.265;73.055,50.265;73.055,50.035) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,41.52;83.33,41.58;79.035,41.58;79.035,41.535;78.745,41.535;78.745,41.765;79.035,41.765;79.035,41.72;83.33,41.72;83.33,41.78;83.42,41.78;83.42,42.06;83.78,42.06;83.78,42.105;84.07,42.105;84.07,41.875;83.78,41.875;83.78,41.92;83.56,41.92;83.56,41.78;83.65,41.78;83.65,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.89,50.36;76.89,50.42;76.695,50.42;76.695,50.56;76.89,50.56;76.89,50.62;77.21,50.62;77.21,50.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.09,37.1;86.09,37.16;82.255,37.16;82.255,37.115;81.965,37.115;81.965,37.345;82.255,37.345;82.255,37.3;86.09,37.3;86.09,37.36;86.41,37.36;86.41,37.3;87.025,37.3;87.025,37.345;87.315,37.345;87.315,37.115;87.025,37.115;87.025,37.16;86.41,37.16;86.41,37.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (92.07,41.52;92.07,41.58;91.875,41.58;91.875,41.72;92.07,41.72;92.07,41.78;92.16,41.78;92.16,42.26;82.18,42.26;82.18,41.92;79.74,41.92;79.74,42.26;78.375,42.26;78.375,42.215;78.085,42.215;78.085,42.445;78.375,42.445;78.375,42.4;79.88,42.4;79.88,42.06;82.04,42.06;82.04,42.4;92.3,42.4;92.3,41.78;92.39,41.78;92.39,41.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.125,50.035;80.125,50.08;78.82,50.08;78.82,50.76;74.91,50.76;74.91,50.7;74.59,50.7;74.59,50.96;74.91,50.96;74.91,50.9;78.96,50.9;78.96,50.22;80.125,50.22;80.125,50.265;80.415,50.265;80.415,50.035) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.37,45.26;71.37,45.52;71.69,45.52;71.69,45.46;71.845,45.46;71.845,45.505;72.135,45.505;72.135,45.275;71.845,45.275;71.845,45.32;71.69,45.32;71.69,45.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.525,44.595;75.525,44.825;75.6,44.825;75.6,44.98;72.38,44.98;72.38,45.26;72.29,45.26;72.29,45.52;72.61,45.52;72.61,45.26;72.52,45.26;72.52,45.12;75.74,45.12;75.74,44.825;75.815,44.825;75.815,44.595) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.57,45.26;80.57,45.32;78.575,45.32;78.575,45.275;78.285,45.275;78.285,45.505;78.575,45.505;78.575,45.46;80.57,45.46;80.57,45.52;80.89,45.52;80.89,45.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,45.26;90.23,45.52;90.55,45.52;90.55,45.46;90.705,45.46;90.705,45.505;90.995,45.505;90.995,45.275;90.705,45.275;90.705,45.32;90.55,45.32;90.55,45.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.39,50.02;88.39,50.08;87.955,50.08;87.955,50.22;88.39,50.22;88.39,50.28;88.48,50.28;88.48,50.56;90.32,50.56;90.32,51.04;90.23,51.04;90.23,51.1;90.035,51.1;90.035,51.24;90.23,51.24;90.23,51.3;90.55,51.3;90.55,51.04;90.46,51.04;90.46,50.42;88.62,50.42;88.62,50.28;88.71,50.28;88.71,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.43,37.44;76.43,37.7;76.75,37.7;76.75,37.64;77.825,37.64;77.825,37.685;78.115,37.685;78.115,37.455;77.825,37.455;77.825,37.5;76.75,37.5;76.75,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (89.77,41.86;89.77,41.92;86.855,41.92;86.855,41.875;86.565,41.875;86.565,42.105;86.855,42.105;86.855,42.06;89.77,42.06;89.77,42.12;90.09,42.12;90.09,41.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.705,36.775;90.705,36.82;89.4,36.82;89.4,37.1;89.31,37.1;89.31,37.16;88.695,37.16;88.695,37.115;88.405,37.115;88.405,37.345;88.695,37.345;88.695,37.3;89.31,37.3;89.31,37.36;89.63,37.36;89.63,37.1;89.54,37.1;89.54,36.96;90.705,36.96;90.705,37.005;90.995,37.005;90.995,36.775) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.75,47.64;72.75,47.7;72.555,47.7;72.555,47.84;72.75,47.84;72.75,47.9;73.07,47.9;73.07,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.97,47.64;75.97,47.7;75.775,47.7;75.775,47.84;75.97,47.84;75.97,47.9;76.29,47.9;76.29,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.89,47.64;76.89,47.7;76.695,47.7;76.695,47.84;76.89,47.84;76.89,47.9;77.21,47.9;77.21,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.27,47.64;78.27,47.7;78.075,47.7;78.075,47.84;78.27,47.84;78.27,47.9;78.59,47.9;78.59,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.85,47.64;88.85,47.7;86.855,47.7;86.855,47.655;86.565,47.655;86.565,47.885;86.855,47.885;86.855,47.84;88.85,47.84;88.85,47.9;89.17,47.9;89.17,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.27,38.46;78.27,38.52;78.075,38.52;78.075,38.66;78.27,38.66;78.27,38.72;78.59,38.72;78.59,38.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.51,42.2;75.51,42.26;74.895,42.26;74.895,42.215;74.605,42.215;74.605,42.445;74.895,42.445;74.895,42.4;75.51,42.4;75.51,42.46;75.83,42.46;75.83,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.43,47.98;76.43,48.04;76.235,48.04;76.235,48.18;76.43,48.18;76.43,48.24;76.75,48.24;76.75,47.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,47.98;83.33,48.04;82.255,48.04;82.255,47.995;81.965,47.995;81.965,48.225;82.255,48.225;82.255,48.18;83.33,48.18;83.33,48.24;83.65,48.24;83.65,47.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.79,47.98;83.79,48.24;84.11,48.24;84.11,48.18;84.265,48.18;84.265,48.225;84.555,48.225;84.555,47.995;84.265,47.995;84.265,48.04;84.11,48.04;84.11,47.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.09,47.98;86.09,48.04;85.895,48.04;85.895,48.18;86.09,48.18;86.09,48.24;86.41,48.24;86.41,47.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.13,39.14;74.13,39.2;73.935,39.2;73.935,39.34;74.13,39.34;74.13,39.4;74.45,39.4;74.45,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.11,42.2;80.11,42.46;80.2,42.46;80.2,42.94;76.29,42.94;76.29,42.88;75.97,42.88;75.97,43.14;76.29,43.14;76.29,43.08;80.34,43.08;80.34,42.46;80.43,42.46;80.43,42.4;81.505,42.4;81.505,42.445;81.795,42.445;81.795,42.215;81.505,42.215;81.505,42.26;80.43,42.26;80.43,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (82.87,37.44;82.87,37.5;81.795,37.5;81.795,37.455;81.505,37.455;81.505,37.685;81.795,37.685;81.795,37.64;82.87,37.64;82.87,37.7;83.19,37.7;83.19,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.43,42.2;76.43,42.26;76.275,42.26;76.275,42.215;75.985,42.215;75.985,42.445;76.275,42.445;76.275,42.4;76.43,42.4;76.43,42.46;76.75,42.46;76.75,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.185,47.655;85.185,47.7;78.82,47.7;78.82,48.04;76.98,48.04;76.98,48.38;73.99,48.38;73.99,48.32;73.67,48.32;73.67,48.58;73.99,48.58;73.99,48.52;77.12,48.52;77.12,48.18;78.96,48.18;78.96,47.84;85.185,47.84;85.185,47.885;85.475,47.885;85.475,47.655) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.285,47.655;32.285,47.885;32.36,47.885;32.36,48.04;29.83,48.04;29.83,47.98;29.51,47.98;29.51,48.04;16.03,48.04;16.03,47.98;15.71,47.98;15.71,48.24;16.03,48.24;16.03,48.18;29.51,48.18;29.51,48.24;29.83,48.24;29.83,48.18;32.5,48.18;32.5,47.885;32.575,47.885;32.575,47.655) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (28.13,44.24;28.13,44.3;27.935,44.3;27.935,44.44;28.13,44.44;28.13,44.5;28.45,44.5;28.45,44.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.57,49.34;11.57,49.4;11.415,49.4;11.415,49.355;11.125,49.355;11.125,49.585;11.415,49.585;11.415,49.54;11.57,49.54;11.57,49.6;11.89,49.6;11.89,49.54;16.63,49.54;16.63,49.6;16.95,49.6;16.95,49.54;28.145,49.54;28.145,49.585;28.22,49.585;28.22,49.88;31.58,49.88;31.58,49.54;34.125,49.54;34.125,49.585;34.415,49.585;34.415,49.355;34.125,49.355;34.125,49.4;31.44,49.4;31.44,49.74;28.36,49.74;28.36,49.585;28.435,49.585;28.435,49.355;28.145,49.355;28.145,49.4;16.95,49.4;16.95,49.34;16.63,49.34;16.63,49.4;11.89,49.4;11.89,49.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.75,58.18;26.75,58.44;27.07,58.44;27.07,58.38;40.565,58.38;40.565,58.425;40.855,58.425;40.855,58.195;40.565,58.195;40.565,58.24;27.07,58.24;27.07,58.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.85,41.86;19.85,42.12;20.17,42.12;20.17,42.06;27.67,42.06;27.67,42.12;27.99,42.12;27.99,42.06;29.14,42.06;29.14,42.215;29.065,42.215;29.065,42.445;29.355,42.445;29.355,42.215;29.28,42.215;29.28,41.92;27.99,41.92;27.99,41.86;27.67,41.86;27.67,41.92;20.17,41.92;20.17,41.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,44.92;12.95,45.18;13.27,45.18;13.27,45.12;19.865,45.12;19.865,45.165;20.155,45.165;20.155,45.12;28.605,45.12;28.605,45.165;28.895,45.165;28.895,44.935;28.605,44.935;28.605,44.98;20.155,44.98;20.155,44.935;19.865,44.935;19.865,44.98;13.27,44.98;13.27,44.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,58.86;29.51,58.92;26.595,58.92;26.595,58.875;26.305,58.875;26.305,59.105;26.595,59.105;26.595,59.06;29.51,59.06;29.51,59.12;29.83,59.12;29.83,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.63,58.52;16.63,58.78;16.95,58.78;16.95,58.72;17.565,58.72;17.565,58.765;17.64,58.765;17.64,59.4;28.145,59.4;28.145,59.445;28.435,59.445;28.435,59.4;30.89,59.4;30.89,59.46;31.21,59.46;31.21,59.2;30.89,59.2;30.89,59.26;28.435,59.26;28.435,59.215;28.145,59.215;28.145,59.26;17.78,59.26;17.78,58.765;17.855,58.765;17.855,58.535;17.565,58.535;17.565,58.58;16.95,58.58;16.95,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.39,60.56;42.39,60.62;20.86,60.62;20.86,60.915;20.785,60.915;20.785,61.145;21.075,61.145;21.075,60.915;21,60.915;21,60.76;42.39,60.76;42.39,60.82;42.71,60.82;42.71,60.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (28.59,45.6;28.59,45.66;17.855,45.66;17.855,45.615;17.565,45.615;17.565,45.845;17.855,45.845;17.855,45.8;28.59,45.8;28.59,45.86;28.91,45.86;28.91,45.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.67,37.44;27.67,37.5;27.475,37.5;27.475,37.64;27.67,37.64;27.67,37.7;27.99,37.7;27.99,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (34.57,52.06;34.57,52.12;22.24,52.12;22.24,52.46;17.41,52.46;17.41,52.4;17.09,52.4;17.09,52.66;17.41,52.66;17.41,52.6;22.38,52.6;22.38,52.26;34.57,52.26;34.57,52.32;34.89,52.32;34.89,52.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (41.01,52.4;41.01,52.46;40.855,52.46;40.855,52.415;40.565,52.415;40.565,52.645;40.855,52.645;40.855,52.6;41.01,52.6;41.01,52.66;41.33,52.66;41.33,52.4) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.15,52.4;45.15,52.66;45.47,52.66;45.47,52.6;47.91,52.6;47.91,52.66;48.23,52.66;48.23,52.4;47.91,52.4;47.91,52.46;45.47,52.46;45.47,52.4) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.67,61.24;27.67,61.3;24.295,61.3;24.295,61.255;24.005,61.255;24.005,61.485;24.295,61.485;24.295,61.44;27.67,61.44;27.67,61.5;27.99,61.5;27.99,61.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.83,52.4;48.83,52.46;48.635,52.46;48.635,52.6;48.83,52.6;48.83,52.66;49.15,52.66;49.15,52.4) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (31.825,39.495;31.825,39.725;31.9,39.725;31.9,39.88;25.23,39.88;25.23,39.82;24.91,39.82;24.91,40.08;25.23,40.08;25.23,40.02;32.04,40.02;32.04,39.725;32.115,39.725;32.115,39.495) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.73,63.28;32.73,63.34;24.08,63.34;24.08,63.68;16.03,63.68;16.03,63.62;15.71,63.62;15.71,63.88;16.03,63.88;16.03,63.82;18.1,63.82;18.1,63.975;18.025,63.975;18.025,64.205;18.315,64.205;18.315,63.975;18.24,63.975;18.24,63.82;24.08,63.82;24.08,63.975;24.005,63.975;24.005,64.205;24.295,64.205;24.295,63.975;24.22,63.975;24.22,63.48;32.73,63.48;32.73,63.54;33.05,63.54;33.05,63.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (28.13,65.66;28.13,65.72;27.935,65.72;27.935,65.86;28.13,65.86;28.13,65.92;28.45,65.92;28.45,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.05,53.42;29.05,53.48;27.975,53.48;27.975,53.435;27.685,53.435;27.685,53.665;27.975,53.665;27.975,53.62;29.05,53.62;29.05,53.68;29.37,53.68;29.37,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.03,54.78;12.03,55.04;12.35,55.04;12.35,54.98;35.03,54.98;35.03,55.04;35.35,55.04;35.35,54.78;35.03,54.78;35.03,54.84;12.35,54.84;12.35,54.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.97,55.12;29.97,55.18;28.895,55.18;28.895,55.135;28.605,55.135;28.605,55.18;26.84,55.18;26.84,55.475;26.765,55.475;26.765,55.705;27.055,55.705;27.055,55.475;26.98,55.475;26.98,55.32;28.605,55.32;28.605,55.365;28.895,55.365;28.895,55.32;29.97,55.32;29.97,55.38;30.29,55.38;30.29,55.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.67,67.02;27.67,67.28;27.99,67.28;27.99,67.22;39.185,67.22;39.185,67.265;39.475,67.265;39.475,67.035;39.185,67.035;39.185,67.08;27.99,67.08;27.99,67.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.285,66.355;32.285,66.585;32.36,66.585;32.36,66.74;19.48,66.74;19.48,67.375;19.405,67.375;19.405,67.42;6.83,67.42;6.83,67.36;6.51,67.36;6.51,67.62;6.83,67.62;6.83,67.56;19.405,67.56;19.405,67.605;19.695,67.605;19.695,67.375;19.62,67.375;19.62,66.88;32.5,66.88;32.5,66.585;32.575,66.585;32.575,66.355) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.785,55.475;36.785,55.705;37.075,55.705;37.075,55.66;37.3,55.66;37.3,55.86;33.97,55.86;33.97,55.8;33.65,55.8;33.65,56.06;33.97,56.06;33.97,56;37.44,56;37.44,55.52;37.075,55.52;37.075,55.475) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.03,59.2;35.03,59.26;34.875,59.26;34.875,59.215;34.585,59.215;34.585,59.445;34.875,59.445;34.875,59.4;35.03,59.4;35.03,59.46;35.35,59.46;35.35,59.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.99,59.2;46.99,59.26;45.915,59.26;45.915,59.215;45.625,59.215;45.625,59.445;45.915,59.445;45.915,59.4;46.99,59.4;46.99,59.46;47.31,59.46;47.31,59.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.37,59.2;48.37,59.26;47.755,59.26;47.755,59.215;47.465,59.215;47.465,59.445;47.755,59.445;47.755,59.4;48.37,59.4;48.37,59.46;48.69,59.46;48.69,59.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.05,60.22;29.05,60.28;28.855,60.28;28.855,60.42;29.05,60.42;29.05,60.48;29.37,60.48;29.37,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.95,60.22;35.95,60.48;36.27,60.48;36.27,60.42;38.71,60.42;38.71,60.48;39.03,60.48;39.03,60.22;38.71,60.22;38.71,60.28;36.27,60.28;36.27,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.91,60.22;47.91,60.28;47.715,60.28;47.715,60.42;47.91,60.42;47.91,60.48;48.23,60.48;48.23,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.37,60.22;48.37,60.48;48.69,60.48;48.69,60.42;48.885,60.42;48.885,60.28;48.69,60.28;48.69,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (44.69,60.56;44.69,60.62;43.155,60.62;43.155,60.575;42.865,60.575;42.865,60.805;43.155,60.805;43.155,60.76;44.69,60.76;44.69,60.82;45.01,60.82;45.01,60.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.07,60.56;46.07,60.62;45.875,60.62;45.875,60.76;46.07,60.76;46.07,60.82;46.39,60.82;46.39,60.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,55.46;47.45,55.52;47.44,55.52;47.44,55.86;42.48,55.86;42.48,56.2;38.02,56.2;38.02,56.045;38.095,56.045;38.095,55.815;37.805,55.815;37.805,56.045;37.88,56.045;37.88,56.2;36.73,56.2;36.73,56.14;36.41,56.14;36.41,56.2;30.75,56.2;30.75,56.14;30.43,56.14;30.43,56.4;30.75,56.4;30.75,56.34;36.41,56.34;36.41,56.4;36.73,56.4;36.73,56.34;42.62,56.34;42.62,56;47.58,56;47.58,55.72;47.77,55.72;47.77,55.66;47.96,55.66;47.96,55.52;47.77,55.52;47.77,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.31,56.14;43.31,56.2;43.115,56.2;43.115,56.34;43.31,56.34;43.31,56.4;43.63,56.4;43.63,56.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.97,60.9;29.97,60.96;29.775,60.96;29.775,61.1;29.97,61.1;29.97,61.16;30.29,61.16;30.29,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (31.35,60.9;31.35,60.96;31.155,60.96;31.155,61.1;31.35,61.1;31.35,61.16;31.67,61.16;31.67,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.17,55.46;39.17,55.72;39.49,55.72;39.49,55.66;40.105,55.66;40.105,55.705;40.395,55.705;40.395,55.475;40.105,55.475;40.105,55.52;39.49,55.52;39.49,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (41.01,57.84;41.01,57.9;36.715,57.9;36.715,57.855;36.425,57.855;36.425,58.085;36.715,58.085;36.715,58.04;41.01,58.04;41.01,58.1;41.33,58.1;41.33,57.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.77,55.46;43.77,55.52;42.405,55.52;42.405,55.475;42.115,55.475;42.115,55.705;42.405,55.705;42.405,55.66;43.77,55.66;43.77,55.72;44.09,55.72;44.09,55.66;46.375,55.66;46.375,55.705;46.665,55.705;46.665,55.475;46.375,55.475;46.375,55.52;44.09,55.52;44.09,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.31,58.18;43.31,58.44;43.63,58.44;43.63,58.38;48.385,58.38;48.385,58.425;48.675,58.425;48.675,58.195;48.385,58.195;48.385,58.24;43.63,58.24;43.63,58.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,57.84;42.85,57.9;41.56,57.9;41.56,58.535;41.485,58.535;41.485,58.765;41.775,58.765;41.775,58.535;41.7,58.535;41.7,58.04;42.85,58.04;42.85,58.1;43.17,58.1;43.17,57.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.87,61.24;36.87,61.5;37.19,61.5;37.19,61.44;40.565,61.44;40.565,61.485;40.855,61.485;40.855,61.255;40.565,61.255;40.565,61.3;37.19,61.3;37.19,61.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,61.24;42.85,61.5;43.17,61.5;43.17,61.44;43.325,61.44;43.325,61.485;43.615,61.485;43.615,61.255;43.325,61.255;43.325,61.3;43.17,61.3;43.17,61.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.61,61.24;45.61,61.5;45.93,61.5;45.93,61.44;48.845,61.44;48.845,61.485;49.135,61.485;49.135,61.255;48.845,61.255;48.845,61.3;45.93,61.3;45.93,61.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.43,58.52;30.43,58.58;29.355,58.58;29.355,58.535;29.065,58.535;29.065,58.765;29.355,58.765;29.355,58.72;30.43,58.72;30.43,58.78;30.75,58.78;30.75,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.79,61.58;37.79,61.64;37.595,61.64;37.595,61.78;37.79,61.78;37.79,61.84;38.11,61.84;38.11,61.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.03,58.52;35.03,58.78;35.35,58.78;35.35,58.72;35.505,58.72;35.505,58.765;35.795,58.765;35.795,58.535;35.505,58.535;35.505,58.58;35.35,58.58;35.35,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (38.71,61.92;38.71,62.18;39.03,62.18;39.03,62.12;45.61,62.12;45.61,62.18;45.93,62.18;45.93,61.92;45.61,61.92;45.61,61.98;39.03,61.98;39.03,61.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.53,61.92;46.53,61.98;46.375,61.98;46.375,61.935;46.085,61.935;46.085,62.165;46.375,62.165;46.375,62.12;46.53,62.12;46.53,62.18;46.85,62.18;46.85,61.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,53.08;29.51,53.14;28.435,53.14;28.435,53.095;28.145,53.095;28.145,53.325;28.435,53.325;28.435,53.28;29.51,53.28;29.51,53.34;29.83,53.34;29.83,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.79,63.28;37.79,63.34;35.795,63.34;35.795,63.295;35.505,63.295;35.505,63.525;35.795,63.525;35.795,63.48;37.79,63.48;37.79,63.54;38.11,63.54;38.11,63.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (44.69,63.28;44.69,63.34;44.495,63.34;44.495,63.48;44.69,63.48;44.69,63.54;45.01,63.54;45.01,63.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.43,53.08;30.43,53.14;30.235,53.14;30.235,53.28;30.43,53.28;30.43,53.34;30.75,53.34;30.75,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (31.35,63.62;31.35,63.88;31.67,63.88;31.67,63.82;33.205,63.82;33.205,63.865;33.495,63.865;33.495,63.635;33.205,63.635;33.205,63.68;31.67,63.68;31.67,63.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.41,53.08;36.41,53.14;36.215,53.14;36.215,53.28;36.41,53.28;36.41,53.34;36.73,53.34;36.73,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.33,53.08;37.33,53.14;37.135,53.14;37.135,53.28;37.33,53.28;37.33,53.34;37.65,53.34;37.65,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.09,58.52;40.09,58.58;37.175,58.58;37.175,58.535;36.885,58.535;36.885,58.765;37.175,58.765;37.175,58.72;40.09,58.72;40.09,58.78;40.41,58.78;40.41,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,63.96;29.51,64.02;28.895,64.02;28.895,63.975;28.605,63.975;28.605,64.205;28.895,64.205;28.895,64.16;29.51,64.16;29.51,64.22;29.83,64.22;29.83,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (34.11,63.96;34.11,64.02;30.735,64.02;30.735,63.975;30.445,63.975;30.445,64.205;30.735,64.205;30.735,64.16;34.11,64.16;34.11,64.22;34.43,64.22;34.43,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.87,63.96;36.87,64.22;37.19,64.22;37.19,64.16;37.345,64.16;37.345,64.205;37.635,64.205;37.635,63.975;37.345,63.975;37.345,64.02;37.19,64.02;37.19,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.53,63.96;46.53,64.02;46.335,64.02;46.335,64.16;46.53,64.16;46.53,64.22;46.85,64.22;46.85,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.63,53.08;39.63,53.14;38.095,53.14;38.095,53.095;37.805,53.095;37.805,53.325;38.095,53.325;38.095,53.28;39.63,53.28;39.63,53.34;39.95,53.34;39.95,53.28;40.105,53.28;40.105,53.325;40.395,53.325;40.395,53.095;40.105,53.095;40.105,53.14;39.95,53.14;39.95,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (41.47,53.08;41.47,53.34;41.79,53.34;41.79,53.325;41.855,53.325;41.855,53.095;41.79,53.095;41.79,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.39,53.08;42.39,53.34;42.71,53.34;42.71,53.28;44.705,53.28;44.705,53.325;44.995,53.325;44.995,53.095;44.705,53.095;44.705,53.14;42.71,53.14;42.71,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.43,64.64;30.43,64.9;30.75,64.9;30.75,64.84;34.57,64.84;34.57,64.9;34.89,64.9;34.89,64.64;34.57,64.64;34.57,64.7;30.75,64.7;30.75,64.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,55.46;29.51,55.52;29.315,55.52;29.315,55.66;29.51,55.66;29.51,55.72;29.83,55.72;29.83,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.43,65.66;30.43,65.72;30.235,65.72;30.235,65.86;30.43,65.86;30.43,65.92;30.75,65.92;30.75,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.89,65.66;30.89,65.92;31.21,65.92;31.21,65.86;32.745,65.86;32.745,65.905;33.035,65.905;33.035,65.675;32.745,65.675;32.745,65.72;31.21,65.72;31.21,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,65.66;42.85,65.72;42.655,65.72;42.655,65.86;42.85,65.86;42.85,65.92;43.17,65.92;43.17,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.43,55.46;30.43,55.72;30.75,55.72;30.75,55.66;33.205,55.66;33.205,55.705;33.495,55.705;33.495,55.66;34.11,55.66;34.11,55.72;34.43,55.72;34.43,55.46;34.11,55.46;34.11,55.52;33.495,55.52;33.495,55.475;33.205,55.475;33.205,55.52;30.75,55.52;30.75,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.65,53.42;33.65,53.48;33.215,53.48;33.215,53.62;33.65,53.62;33.65,53.68;33.97,53.68;33.97,53.62;35.49,53.62;35.49,53.68;35.81,53.68;35.81,53.42;35.49,53.42;35.49,53.48;33.97,53.48;33.97,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.885,65.675;36.885,65.72;33.28,65.72;33.28,66.06;30.29,66.06;30.29,66;29.97,66;29.97,66.26;30.29,66.26;30.29,66.2;33.42,66.2;33.42,65.86;36.885,65.86;36.885,65.905;37.175,65.905;37.175,65.675) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.41,66;36.41,66.06;34.875,66.06;34.875,66.015;34.585,66.015;34.585,66.245;34.875,66.245;34.875,66.2;36.41,66.2;36.41,66.26;36.73,66.26;36.73,66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.79,66;37.79,66.06;37.635,66.06;37.635,66.015;37.345,66.015;37.345,66.245;37.635,66.245;37.635,66.2;37.79,66.2;37.79,66.26;38.11,66.26;38.11,66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.91,66;47.91,66.06;43.615,66.06;43.615,66.015;43.325,66.015;43.325,66.245;43.615,66.245;43.615,66.2;47.91,66.2;47.91,66.26;48.23,66.26;48.23,66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,53.76;42.85,53.82;42.695,53.82;42.695,53.775;42.405,53.775;42.405,54.005;42.695,54.005;42.695,53.96;42.85,53.96;42.85,54.02;43.17,54.02;43.17,53.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.03,66.34;35.03,66.4;33.955,66.4;33.955,66.355;33.665,66.355;33.665,66.585;33.955,66.585;33.955,66.54;35.03,66.54;35.03,66.6;35.35,66.6;35.35,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.53,66.34;46.53,66.4;45.915,66.4;45.915,66.355;45.625,66.355;45.625,66.585;45.915,66.585;45.915,66.54;46.53,66.54;46.53,66.6;46.85,66.6;46.85,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.55,55.46;40.55,55.72;40.64,55.72;40.64,55.86;38.555,55.86;38.555,55.815;38.265,55.815;38.265,56.045;38.555,56.045;38.555,56;40.78,56;40.78,55.72;40.87,55.72;40.87,55.66;41.025,55.66;41.025,55.705;41.315,55.705;41.315,55.475;41.025,55.475;41.025,55.52;40.87,55.52;40.87,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.95,55.12;35.95,55.18;35.795,55.18;35.795,55.135;35.505,55.135;35.505,55.365;35.795,55.365;35.795,55.32;35.95,55.32;35.95,55.38;36.27,55.38;36.27,55.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.15,55.12;45.15,55.38;45.47,55.38;45.47,55.32;45.665,55.32;45.665,55.18;45.47,55.18;45.47,55.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.105,66.355;40.105,66.585;40.18,66.585;40.18,66.74;33.05,66.74;33.05,66.68;32.73,66.68;32.73,66.94;33.05,66.94;33.05,66.88;40.32,66.88;40.32,66.585;40.395,66.585;40.395,66.355) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (31.35,58.86;31.35,58.92;30.275,58.92;30.275,58.875;29.985,58.875;29.985,59.105;30.275,59.105;30.275,59.06;31.35,59.06;31.35,59.12;31.67,59.12;31.67,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (41.01,58.86;41.01,58.92;39.015,58.92;39.015,58.875;38.725,58.875;38.725,59.105;39.015,59.105;39.015,59.06;41.01,59.06;41.01,59.12;41.33,59.12;41.33,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,58.52;47.45,58.58;42.695,58.58;42.695,58.535;42.405,58.535;42.405,58.58;42.02,58.58;42.02,59.26;38.48,59.26;38.48,58.92;33.035,58.92;33.035,58.875;32.745,58.875;32.745,59.105;33.035,59.105;33.035,59.06;38.34,59.06;38.34,59.4;42.16,59.4;42.16,58.72;42.405,58.72;42.405,58.765;42.695,58.765;42.695,58.72;47.45,58.72;47.45,58.78;47.77,58.78;47.77,58.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.49,67.36;35.49,67.62;35.81,67.62;35.81,67.56;42.39,67.56;42.39,67.62;42.71,67.62;42.71,67.36;42.39,67.36;42.39,67.42;35.81,67.42;35.81,67.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (7.43,55.46;7.43,55.52;7.235,55.52;7.235,55.66;7.43,55.66;7.43,55.72;7.75,55.72;7.75,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (14.79,63.96;14.79,64.02;13.255,64.02;13.255,63.975;12.965,63.975;12.965,64.205;13.255,64.205;13.255,64.16;14.79,64.16;14.79,64.22;15.11,64.22;15.11,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.61,63.96;22.61,64.02;22.415,64.02;22.415,64.16;22.61,64.16;22.61,64.22;22.93,64.22;22.93,63.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (14.79,55.46;14.79,55.52;14.595,55.52;14.595,55.66;14.79,55.66;14.79,55.72;15.11,55.72;15.11,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (8.81,61.24;8.81,61.3;8.615,61.3;8.615,61.44;8.81,61.44;8.81,61.5;9.13,61.5;9.13,61.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.41,61.24;13.41,61.3;11.875,61.3;11.875,61.255;11.585,61.255;11.585,61.485;11.875,61.485;11.875,61.44;13.41,61.44;13.41,61.5;13.73,61.5;13.73,61.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (17.09,56.48;17.09,56.54;16.895,56.54;16.895,56.68;17.09,56.68;17.09,56.74;17.41,56.74;17.41,56.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.63,60.22;16.63,60.48;16.95,60.48;16.95,60.42;19.865,60.42;19.865,60.465;20.155,60.465;20.155,60.235;19.865,60.235;19.865,60.28;16.95,60.28;16.95,60.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.11,57.84;11.11,57.9;10.915,57.9;10.915,58.04;11.11,58.04;11.11,58.1;11.43,58.1;11.43,57.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.11,56.14;11.11,56.4;11.43,56.4;11.43,56.34;13.885,56.34;13.885,56.385;14.175,56.385;14.175,56.155;13.885,56.155;13.885,56.2;11.43,56.2;11.43,56.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.11,64.3;11.11,64.56;11.43,64.56;11.43,64.5;11.585,64.5;11.585,64.545;11.875,64.545;11.875,64.315;11.585,64.315;11.585,64.36;11.43,64.36;11.43,64.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.15,64.3;22.15,64.56;22.47,64.56;22.47,64.5;24.45,64.5;24.45,64.56;24.77,64.56;24.77,64.5;24.925,64.5;24.925,64.545;25.215,64.545;25.215,64.315;24.925,64.315;24.925,64.36;24.77,64.36;24.77,64.3;24.45,64.3;24.45,64.36;22.47,64.36;22.47,64.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (7.43,58.18;7.43,58.44;7.75,58.44;7.75,58.38;8.825,58.38;8.825,58.425;9.115,58.425;9.115,58.195;8.825,58.195;8.825,58.24;7.75,58.24;7.75,58.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (7.43,53.42;7.43,53.68;7.75,53.68;7.75,53.62;9.285,53.62;9.285,53.665;9.575,53.665;9.575,53.435;9.285,53.435;9.285,53.48;7.75,53.48;7.75,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,53.42;13.87,53.48;13.255,53.48;13.255,53.435;12.965,53.435;12.965,53.48;11.875,53.48;11.875,53.435;11.585,53.435;11.585,53.665;11.875,53.665;11.875,53.62;12.965,53.62;12.965,53.665;13.255,53.665;13.255,53.62;13.87,53.62;13.87,53.68;14.19,53.68;14.19,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.39,53.42;19.39,53.68;19.71,53.68;19.71,53.62;24.465,53.62;24.465,53.665;24.755,53.665;24.755,53.435;24.465,53.435;24.465,53.48;19.71,53.48;19.71,53.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.11,65.66;11.11,65.72;10.915,65.72;10.915,65.86;11.11,65.86;11.11,65.92;11.43,65.92;11.43,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.57,65.66;11.57,65.92;11.89,65.92;11.89,65.86;12.085,65.86;12.085,65.72;11.89,65.72;11.89,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.41,65.66;13.41,65.72;13.215,65.72;13.215,65.86;13.41,65.86;13.41,65.92;13.73,65.92;13.73,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.83,65.66;25.83,65.72;25.635,65.72;25.635,65.86;25.83,65.86;25.83,65.92;26.15,65.92;26.15,65.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.11,61.58;11.11,61.64;10.915,61.64;10.915,61.78;11.11,61.78;11.11,61.84;11.43,61.84;11.43,61.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (15.71,61.58;15.71,61.64;15.515,61.64;15.515,61.78;15.71,61.78;15.71,61.84;16.03,61.84;16.03,61.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.45,61.58;24.45,61.64;24.255,61.64;24.255,61.78;24.45,61.78;24.45,61.84;24.77,61.84;24.77,61.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.57,58.86;11.57,58.92;11.375,58.92;11.375,59.06;11.57,59.06;11.57,59.12;11.89,59.12;11.89,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.85,52.74;19.85,52.8;19.655,52.8;19.655,52.94;19.85,52.94;19.85,53;20.17,53;20.17,52.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.11,60.56;11.11,60.82;11.43,60.82;11.43,60.76;14.805,60.76;14.805,60.805;15.095,60.805;15.095,60.575;14.805,60.575;14.805,60.62;11.43,60.62;11.43,60.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (17.105,66.015;17.105,66.245;17.395,66.245;17.395,66.2;21.245,66.2;21.245,66.245;21.535,66.245;21.535,66.015;21.245,66.015;21.245,66.06;17.395,66.06;17.395,66.015) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (21.69,66;21.69,66.26;22.01,66.26;22.01,66.2;27.685,66.2;27.685,66.245;27.975,66.245;27.975,66.015;27.685,66.015;27.685,66.06;22.01,66.06;22.01,66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (17.09,61.92;17.09,61.98;16.895,61.98;16.895,62.12;17.09,62.12;17.09,62.18;17.41,62.18;17.41,61.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,61.92;23.07,61.98;21.995,61.98;21.995,61.935;21.705,61.935;21.705,62.165;21.995,62.165;21.995,62.12;23.07,62.12;23.07,62.18;23.39,62.18;23.39,61.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (17.09,58.86;17.09,58.92;16.895,58.92;16.895,59.06;17.09,59.06;17.09,59.12;17.41,59.12;17.41,58.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.685,58.535;27.685,58.58;25.92,58.58;25.92,58.86;25.83,58.86;25.83,58.92;20.63,58.92;20.63,58.86;20.31,58.86;20.31,59.12;20.63,59.12;20.63,59.06;25.83,59.06;25.83,59.12;26.15,59.12;26.15,58.86;26.06,58.86;26.06,58.72;27.685,58.72;27.685,58.765;27.975,58.765;27.975,58.535) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.57,52.74;11.57,52.8;11.2,52.8;11.2,53.095;11.125,53.095;11.125,53.325;11.415,53.325;11.415,53.095;11.34,53.095;11.34,52.94;11.57,52.94;11.57,53;11.89,53;11.89,52.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (14.79,66.34;14.79,66.4;14.635,66.4;14.635,66.355;14.345,66.355;14.345,66.585;14.635,66.585;14.635,66.54;14.79,66.54;14.79,66.6;15.11,66.6;15.11,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.15,66.34;22.15,66.4;17.855,66.4;17.855,66.355;17.565,66.355;17.565,66.585;17.855,66.585;17.855,66.54;22.15,66.54;22.15,66.6;22.47,66.6;22.47,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.61,66.34;22.61,66.6;22.93,66.6;22.93,66.54;24.005,66.54;24.005,66.585;24.295,66.585;24.295,66.355;24.005,66.355;24.005,66.4;22.93,66.4;22.93,66.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.19,53.08;10.19,53.14;9.995,53.14;9.995,53.28;10.19,53.28;10.19,53.34;10.51,53.34;10.51,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.31,53.08;20.31,53.14;18.775,53.14;18.775,53.095;18.485,53.095;18.485,53.325;18.775,53.325;18.775,53.28;20.31,53.28;20.31,53.34;20.63,53.34;20.63,53.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.39,58.18;19.39,58.24;18.955,58.24;18.955,58.38;19.39,58.38;19.39,58.44;19.71,58.44;19.71,58.38;21.245,58.38;21.245,58.425;21.535,58.425;21.535,58.195;21.245,58.195;21.245,58.24;19.71,58.24;19.71,58.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (21.69,63.28;21.69,63.34;16.475,63.34;16.475,63.295;16.185,63.295;16.185,63.525;16.475,63.525;16.475,63.48;21.69,63.48;21.69,63.54;22.01,63.54;22.01,63.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.83,56.14;25.83,56.2;25.635,56.2;25.635,56.34;25.83,56.34;25.83,56.4;26.15,56.4;26.15,56.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (17.09,60.9;17.09,61.16;17.41,61.16;17.41,61.1;19.405,61.1;19.405,61.145;19.695,61.145;19.695,60.915;19.405,60.915;19.405,60.96;17.41,60.96;17.41,60.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.39,55.46;19.39,55.52;19.195,55.52;19.195,55.66;19.39,55.66;19.39,55.72;19.71,55.72;19.71,55.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.83,57.84;25.83,57.9;23.835,57.9;23.835,57.855;23.545,57.855;23.545,57.9;15.34,57.9;15.34,58.535;15.265,58.535;15.265,58.765;15.555,58.765;15.555,58.535;15.48,58.535;15.48,58.04;23.545,58.04;23.545,58.085;23.835,58.085;23.835,58.04;25.83,58.04;25.83,58.1;26.15,58.1;26.15,57.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (14.79,59.2;14.79,59.26;14.595,59.26;14.595,59.4;14.79,59.4;14.79,59.46;15.11,59.46;15.11,59.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.005,58.535;24.005,58.765;24.295,58.765;24.295,58.72;25.385,58.72;25.385,58.765;25.675,58.765;25.675,58.535;25.385,58.535;25.385,58.58;24.295,58.58;24.295,58.535) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.99,50.02;23.99,50.08;23.795,50.08;23.795,50.22;23.99,50.22;23.99,50.28;24.31,50.28;24.31,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.29,50.02;26.29,50.08;26.095,50.08;26.095,50.22;26.29,50.22;26.29,50.28;26.61,50.28;26.61,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.85,36.76;19.85,36.82;19.235,36.82;19.235,36.775;18.945,36.775;18.945,37.005;19.235,37.005;19.235,36.96;19.85,36.96;19.85,37.02;20.17,37.02;20.17,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,36.76;23.07,36.82;22.455,36.82;22.455,36.775;22.165,36.775;22.165,37.005;22.455,37.005;22.455,36.96;23.07,36.96;23.07,37.02;23.39,37.02;23.39,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,48.32;12.95,48.58;13.27,48.58;13.27,48.52;17.09,48.52;17.09,48.58;17.41,48.58;17.41,48.32;17.09,48.32;17.09,48.38;13.27,48.38;13.27,48.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (8.81,49.34;8.81,49.4;8.615,49.4;8.615,49.54;8.81,49.54;8.81,49.6;8.9,49.6;8.9,49.88;10.665,49.88;10.665,49.925;10.955,49.925;10.955,49.695;10.665,49.695;10.665,49.74;9.04,49.74;9.04,49.6;9.13,49.6;9.13,49.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.53,36.76;23.53,37.02;23.85,37.02;23.85,36.96;24.005,36.96;24.005,37.005;24.295,37.005;24.295,36.775;24.005,36.775;24.005,36.82;23.85,36.82;23.85,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.37,36.76;25.37,36.82;25.175,36.82;25.175,36.96;25.37,36.96;25.37,37.02;25.69,37.02;25.69,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,38.46;23.07,38.52;21.535,38.52;21.535,38.475;21.245,38.475;21.245,38.705;21.535,38.705;21.535,38.66;23.07,38.66;23.07,38.72;23.39,38.72;23.39,38.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.11,47.64;11.11,47.7;10.915,47.7;10.915,47.84;11.11,47.84;11.11,47.9;11.43,47.9;11.43,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,37.44;12.95,37.5;11.875,37.5;11.875,37.455;11.585,37.455;11.585,37.685;11.875,37.685;11.875,37.64;12.95,37.64;12.95,37.7;13.27,37.7;13.27,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (21.23,49.68;21.23,49.74;19.695,49.74;19.695,49.695;19.405,49.695;19.405,49.925;19.695,49.925;19.695,49.88;21.23,49.88;21.23,49.94;21.55,49.94;21.55,49.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (15.25,38.8;15.25,38.86;15.055,38.86;15.055,39;15.25,39;15.25,39.06;15.57,39.06;15.57,38.8) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.19,36.76;10.19,36.82;9.995,36.82;9.995,36.96;10.19,36.96;10.19,37.02;10.51,37.02;10.51,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (15.71,36.76;15.71,36.82;15.515,36.82;15.515,36.96;15.71,36.96;15.71,37.02;16.03,37.02;16.03,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.765,39.155;26.765,39.385;26.84,39.385;26.84,39.54;23.16,39.54;23.16,39.82;23.07,39.82;23.07,39.88;22.875,39.88;22.875,40.02;23.07,40.02;23.07,40.08;23.39,40.08;23.39,39.82;23.3,39.82;23.3,39.68;26.98,39.68;26.98,39.385;27.055,39.385;27.055,39.155) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.45,39.14;24.45,39.2;22.915,39.2;22.915,39.155;22.625,39.155;22.625,39.385;22.915,39.385;22.915,39.34;24.45,39.34;24.45,39.4;24.77,39.4;24.77,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.37,37.44;25.37,37.5;21.995,37.5;21.995,37.455;21.705,37.455;21.705,37.685;21.995,37.685;21.995,37.64;25.37,37.64;25.37,37.7;25.69,37.7;25.69,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.77,42.54;20.77,42.6;20.575,42.6;20.575,42.74;20.77,42.74;20.77,42.8;21.09,42.8;21.09,42.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.39,46.96;19.39,47.22;19.71,47.22;19.71,47.16;20.325,47.16;20.325,47.205;20.615,47.205;20.615,46.975;20.325,46.975;20.325,47.02;19.71,47.02;19.71,46.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,42.2;12.95,42.26;12.755,42.26;12.755,42.4;12.95,42.4;12.95,42.46;13.27,42.46;13.27,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.39,50.7;19.39,50.76;17.395,50.76;17.395,50.715;17.105,50.715;17.105,50.945;17.395,50.945;17.395,50.9;19.39,50.9;19.39,50.96;19.71,50.96;19.71,50.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,42.2;23.07,42.26;22.875,42.26;22.875,42.4;23.07,42.4;23.07,42.46;23.39,42.46;23.39,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.75,42.2;26.75,42.26;26.555,42.26;26.555,42.4;26.75,42.4;26.75,42.46;27.07,42.46;27.07,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,47.64;13.87,47.9;14.19,47.9;14.19,47.84;14.385,47.84;14.385,47.7;14.19,47.7;14.19,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.29,47.3;26.29,47.36;21.32,47.36;21.32,47.64;21.23,47.64;21.23,47.7;20.795,47.7;20.795,47.84;21.23,47.84;21.23,47.9;21.55,47.9;21.55,47.64;21.46,47.64;21.46,47.5;26.29,47.5;26.29,47.56;26.61,47.56;26.61,47.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.37,47.64;25.37,47.7;25.175,47.7;25.175,47.84;25.37,47.84;25.37,47.9;25.69,47.9;25.69,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.325,42.215;20.325,42.445;20.615,42.445;20.615,42.4;22.24,42.4;22.24,42.555;22.165,42.555;22.165,42.785;22.455,42.785;22.455,42.74;25.37,42.74;25.37,42.8;25.69,42.8;25.69,42.54;25.37,42.54;25.37,42.6;22.455,42.6;22.455,42.555;22.38,42.555;22.38,42.26;20.615,42.26;20.615,42.215) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.29,39.14;26.29,39.2;25.675,39.2;25.675,39.155;25.385,39.155;25.385,39.385;25.675,39.385;25.675,39.34;26.29,39.34;26.29,39.4;26.61,39.4;26.61,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (7.43,39.14;7.43,39.2;7.235,39.2;7.235,39.34;7.43,39.34;7.43,39.4;7.75,39.4;7.75,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.03,50.02;12.03,50.08;11.835,50.08;11.835,50.22;12.03,50.22;12.03,50.28;12.35,50.28;12.35,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (18.01,36.76;18.01,36.82;17.855,36.82;17.855,36.775;17.565,36.775;17.565,37.005;17.855,37.005;17.855,36.96;18.01,36.96;18.01,37.02;18.33,37.02;18.33,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.85,51.04;19.85,51.3;20.17,51.3;20.17,51.24;25.385,51.24;25.385,51.285;25.675,51.285;25.675,51.055;25.385,51.055;25.385,51.1;20.17,51.1;20.17,51.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.29,45.26;26.29,45.32;25.675,45.32;25.675,45.275;25.385,45.275;25.385,45.505;25.675,45.505;25.675,45.46;26.29,45.46;26.29,45.52;26.61,45.52;26.61,45.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (9.27,36.76;9.27,36.82;8.195,36.82;8.195,36.775;7.905,36.775;7.905,37.005;8.195,37.005;8.195,36.96;9.27,36.96;9.27,37.02;9.59,37.02;9.59,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.19,44.58;10.19,44.64;9.995,44.64;9.995,44.78;10.19,44.78;10.19,44.84;10.51,44.84;10.51,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,50.02;13.87,50.08;13.255,50.08;13.255,50.035;12.965,50.035;12.965,50.265;13.255,50.265;13.255,50.22;13.87,50.22;13.87,50.28;14.19,50.28;14.19,50.22;14.805,50.22;14.805,50.265;15.095,50.265;15.095,50.035;14.805,50.035;14.805,50.08;14.19,50.08;14.19,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.31,50.02;20.31,50.08;20.115,50.08;20.115,50.22;20.31,50.22;20.31,50.28;20.63,50.28;20.63,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (15.71,52.06;15.71,52.12;15.515,52.12;15.515,52.26;15.71,52.26;15.71,52.32;16.03,52.32;16.03,52.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.21,40.16;27.21,40.22;27.015,40.22;27.015,40.36;27.21,40.36;27.21,40.42;27.53,40.42;27.53,40.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (17.55,52.06;17.55,52.32;17.87,52.32;17.87,52.26;18.025,52.26;18.025,52.305;18.315,52.305;18.315,52.075;18.025,52.075;18.025,52.12;17.87,52.12;17.87,52.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.19,46.62;10.19,46.68;9.995,46.68;9.995,46.82;10.19,46.82;10.19,46.88;10.51,46.88;10.51,46.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.45,46.62;24.45,46.68;24.255,46.68;24.255,46.82;24.45,46.82;24.45,46.88;24.77,46.88;24.77,46.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (9.27,41.18;9.27,41.24;9.075,41.24;9.075,41.38;9.27,41.38;9.27,41.44;9.59,41.44;9.59,41.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.77,44.24;20.77,44.5;21.09,44.5;21.09,44.44;22.165,44.44;22.165,44.485;22.24,44.485;22.24,44.78;24.465,44.78;24.465,44.825;24.755,44.825;24.755,44.595;24.465,44.595;24.465,44.64;22.38,44.64;22.38,44.485;22.455,44.485;22.455,44.255;22.165,44.255;22.165,44.3;21.09,44.3;21.09,44.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (17.55,50.36;17.55,50.42;17.355,50.42;17.355,50.56;17.55,50.56;17.55,50.62;17.87,50.62;17.87,50.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (7.43,44.24;7.43,44.3;7.235,44.3;7.235,44.44;7.43,44.44;7.43,44.5;7.75,44.5;7.75,44.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.21,44.24;27.21,44.3;22.915,44.3;22.915,44.255;22.625,44.255;22.625,44.485;22.915,44.485;22.915,44.44;27.21,44.44;27.21,44.5;27.53,44.5;27.53,44.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.03,50.02;35.03,50.08;34.835,50.08;34.835,50.22;35.03,50.22;35.03,50.28;35.35,50.28;35.35,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.65,49.68;33.65,49.74;33.455,49.74;33.455,49.88;33.65,49.88;33.65,49.94;33.97,49.94;33.97,49.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.425,42.215;36.425,42.445;36.715,42.445;36.715,42.4;37.42,42.4;37.42,42.74;38.25,42.74;38.25,42.8;38.57,42.8;38.57,42.74;43.31,42.74;43.31,42.8;43.63,42.8;43.63,42.54;43.31,42.54;43.31,42.6;38.57,42.6;38.57,42.54;38.25,42.54;38.25,42.6;37.56,42.6;37.56,42.26;36.715,42.26;36.715,42.215) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.545,42.215;46.545,42.445;46.62,42.445;46.62,42.74;48.37,42.74;48.37,42.8;48.69,42.8;48.69,42.54;48.37,42.54;48.37,42.6;46.76,42.6;46.76,42.445;46.835,42.445;46.835,42.215) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (41.01,50.02;41.01,50.28;41.33,50.28;41.33,50.22;41.525,50.22;41.525,50.08;41.33,50.08;41.33,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.63,47.3;39.63,47.36;39.435,47.36;39.435,47.5;39.63,47.5;39.63,47.56;39.95,47.56;39.95,47.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (38.25,44.58;38.25,44.64;37.635,44.64;37.635,44.595;37.345,44.595;37.345,44.825;37.635,44.825;37.635,44.78;38.25,44.78;38.25,44.84;38.57,44.84;38.57,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (38.71,44.58;38.71,44.84;39.03,44.84;39.03,44.78;39.225,44.78;39.225,44.64;39.03,44.64;39.03,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.41,50.36;36.41,50.42;32.575,50.42;32.575,50.375;32.285,50.375;32.285,50.605;32.575,50.605;32.575,50.56;36.41,50.56;36.41,50.62;36.73,50.62;36.73,50.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.17,50.36;39.17,50.62;39.49,50.62;39.49,50.56;39.645,50.56;39.645,50.605;39.935,50.605;39.935,50.375;39.645,50.375;39.645,50.42;39.49,50.42;39.49,50.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.475,50.035;40.475,50.265;40.64,50.265;40.64,50.56;41.47,50.56;41.47,50.62;41.79,50.62;41.79,50.36;41.47,50.36;41.47,50.42;40.78,50.42;40.78,50.08;40.765,50.08;40.765,50.035) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.985,47.315;29.985,47.545;30.275,47.545;30.275,47.5;35.58,47.5;35.58,47.655;35.505,47.655;35.505,47.885;35.795,47.885;35.795,47.655;35.72,47.655;35.72,47.36;30.275,47.36;30.275,47.315) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.31,50.36;43.31,50.42;42.235,50.42;42.235,50.375;41.945,50.375;41.945,50.605;42.235,50.605;42.235,50.56;43.31,50.56;43.31,50.62;43.63,50.62;43.63,50.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.085,50.035;46.085,50.265;46.16,50.265;46.16,50.56;48.83,50.56;48.83,50.62;49.15,50.62;49.15,50.36;48.83,50.36;48.83,50.42;46.3,50.42;46.3,50.265;46.375,50.265;46.375,50.035) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.17,37.44;39.17,37.5;38.975,37.5;38.975,37.64;39.17,37.64;39.17,37.7;39.49,37.7;39.49,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.91,45.26;47.91,45.32;47.715,45.32;47.715,45.46;47.91,45.46;47.91,45.52;48.23,45.52;48.23,45.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.77,50.02;43.77,50.08;42.695,50.08;42.695,50.035;42.405,50.035;42.405,50.265;42.695,50.265;42.695,50.22;43.77,50.22;43.77,50.28;44.09,50.28;44.09,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.55,41.86;40.55,41.92;40.355,41.92;40.355,42.06;40.55,42.06;40.55,42.12;40.87,42.12;40.87,41.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,49.68;42.85,49.74;34.2,49.74;34.2,50.08;32.115,50.08;32.115,50.035;31.825,50.035;31.825,50.265;32.115,50.265;32.115,50.22;34.34,50.22;34.34,49.88;42.85,49.88;42.85,49.94;43.17,49.94;43.17,49.88;45.165,49.88;45.165,49.925;45.455,49.925;45.455,49.695;45.165,49.695;45.165,49.74;43.17,49.74;43.17,49.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.55,37.44;40.55,37.5;39.935,37.5;39.935,37.455;39.645,37.455;39.645,37.685;39.935,37.685;39.935,37.64;40.55,37.64;40.55,37.7;40.87,37.7;40.87,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,43.9;42.85,43.96;42.655,43.96;42.655,44.1;42.85,44.1;42.85,44.16;43.17,44.16;43.17,43.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,38.8;47.45,39.06;47.54,39.06;47.54,39.2;46.835,39.2;46.835,39.155;46.545,39.155;46.545,39.385;46.835,39.385;46.835,39.34;47.68,39.34;47.68,39.06;47.77,39.06;47.77,38.8) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.07,41.18;46.07,41.24;45.875,41.24;45.875,41.38;46.07,41.38;46.07,41.44;46.39,41.44;46.39,41.18) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.63,49.34;39.63,49.6;39.95,49.6;39.95,49.54;47.45,49.54;47.45,49.6;47.77,49.6;47.77,49.34;47.45,49.34;47.45,49.4;39.95,49.4;39.95,49.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.19,39.14;33.19,39.2;32.995,39.2;32.995,39.34;33.19,39.34;33.19,39.4;33.51,39.4;33.51,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.63,36.76;39.63,36.82;39.015,36.82;39.015,36.775;38.725,36.775;38.725,37.005;39.015,37.005;39.015,36.96;39.63,36.96;39.63,37.02;39.95,37.02;39.95,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.83,44.24;48.83,44.3;42.48,44.3;42.48,44.595;42.405,44.595;42.405,44.825;42.695,44.825;42.695,44.595;42.62,44.595;42.62,44.44;48.83,44.44;48.83,44.5;49.15,44.5;49.15,44.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.105,44.595;40.105,44.825;40.18,44.825;40.18,45.12;43.31,45.12;43.31,45.18;43.63,45.18;43.63,44.92;43.31,44.92;43.31,44.98;40.32,44.98;40.32,44.825;40.395,44.825;40.395,44.595) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,39.14;42.85,39.4;43.17,39.4;43.17,39.34;45.165,39.34;45.165,39.385;45.455,39.385;45.455,39.155;45.165,39.155;45.165,39.2;43.17,39.2;43.17,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.95,46.96;35.95,47.02;35.755,47.02;35.755,47.16;35.95,47.16;35.95,47.22;36.27,47.22;36.27,46.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.31,43.9;43.31,44.16;43.63,44.16;43.63,44.1;47.91,44.1;47.91,44.16;48.23,44.16;48.23,43.9;47.91,43.9;47.91,43.96;43.63,43.96;43.63,43.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.425,47.655;36.425,47.885;36.5,47.885;36.5,48.18;43.31,48.18;43.31,48.24;43.63,48.24;43.63,47.98;43.31,47.98;43.31,48.04;36.64,48.04;36.64,47.885;36.715,47.885;36.715,47.655) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (28.59,47.64;28.59,47.7;28.435,47.7;28.435,47.655;28.145,47.655;28.145,47.885;28.435,47.885;28.435,47.84;28.59,47.84;28.59,47.9;28.91,47.9;28.91,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.43,47.64;30.43,47.7;30.235,47.7;30.235,47.84;30.43,47.84;30.43,47.9;30.75,47.9;30.75,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (34.57,46.62;34.57,46.68;33.495,46.68;33.495,46.635;33.205,46.635;33.205,46.865;33.495,46.865;33.495,46.82;34.57,46.82;34.57,46.88;34.89,46.88;34.89,46.82;36.5,46.82;36.5,47.36;36.04,47.36;36.04,47.64;35.95,47.64;35.95,47.9;36.27,47.9;36.27,47.64;36.18,47.64;36.18,47.5;36.96,47.5;36.96,47.655;36.885,47.655;36.885,47.885;37.175,47.885;37.175,47.655;37.1,47.655;37.1,47.36;36.64,47.36;36.64,46.68;34.89,46.68;34.89,46.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (28.13,42.54;28.13,42.8;28.45,42.8;28.45,42.74;28.605,42.74;28.605,42.785;28.895,42.785;28.895,42.555;28.605,42.555;28.605,42.6;28.45,42.6;28.45,42.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (38.71,41.86;38.71,41.92;37.635,41.92;37.635,41.875;37.345,41.875;37.345,41.92;36.04,41.92;36.04,42.6;34.43,42.6;34.43,42.54;34.11,42.54;34.11,42.8;34.43,42.8;34.43,42.74;36.18,42.74;36.18,42.06;37.345,42.06;37.345,42.105;37.635,42.105;37.635,42.06;38.71,42.06;38.71,42.12;39.03,42.12;39.03,41.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,41.86;47.45,41.92;41.56,41.92;41.56,42.215;41.485,42.215;41.485,42.26;40.41,42.26;40.41,42.2;40.09,42.2;40.09,42.26;38.11,42.26;38.11,42.2;37.79,42.2;37.79,42.46;38.11,42.46;38.11,42.4;40.09,42.4;40.09,42.46;40.41,42.46;40.41,42.4;41.485,42.4;41.485,42.445;41.775,42.445;41.775,42.215;41.7,42.215;41.7,42.06;47.45,42.06;47.45,42.12;47.77,42.12;47.77,41.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (44.23,42.2;44.23,42.26;42.695,42.26;42.695,42.215;42.405,42.215;42.405,42.445;42.695,42.445;42.695,42.4;44.23,42.4;44.23,42.46;44.55,42.46;44.55,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.97,44.58;29.97,44.64;29.775,44.64;29.775,44.78;29.97,44.78;29.97,44.84;30.29,44.84;30.29,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (41.47,47.64;41.47,47.7;41.275,47.7;41.275,47.84;41.47,47.84;41.47,47.9;41.79,47.9;41.79,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.39,47.64;42.39,47.7;42.195,47.7;42.195,47.84;42.39,47.84;42.39,47.9;42.71,47.9;42.71,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.64,47.36;40.64,47.7;40.395,47.7;40.395,47.655;40.105,47.655;40.105,47.885;40.395,47.885;40.395,47.84;40.78,47.84;40.78,47.5;42.94,47.5;42.94,47.84;44.69,47.84;44.69,47.9;45.01,47.9;45.01,47.64;44.69,47.64;44.69,47.7;43.08,47.7;43.08,47.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (34.11,44.58;34.11,44.64;33.915,44.64;33.915,44.78;34.11,44.78;34.11,44.84;34.43,44.84;34.43,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.91,47.64;47.91,47.7;47.755,47.7;47.755,47.655;47.465,47.655;47.465,47.885;47.755,47.885;47.755,47.84;47.91,47.84;47.91,47.9;48.23,47.9;48.23,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.37,47.64;48.37,47.9;48.69,47.9;48.69,47.84;48.845,47.84;48.845,47.885;49.135,47.885;49.135,47.655;48.845,47.655;48.845,47.7;48.69,47.7;48.69,47.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.95,44.58;35.95,44.84;36.27,44.84;36.27,44.78;36.465,44.78;36.465,44.64;36.27,44.64;36.27,44.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.09,36.76;40.09,37.02;40.41,37.02;40.41,37.005;40.495,37.005;40.495,36.775;40.41,36.775;40.41,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.61,47.98;45.61,48.04;45.415,48.04;45.415,48.18;45.61,48.18;45.61,48.24;45.93,48.24;45.93,47.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.17,43.9;39.17,43.96;38.975,43.96;38.975,44.1;39.17,44.1;39.17,44.16;39.49,44.16;39.49,43.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.83,42.2;48.83,42.26;48.635,42.26;48.635,42.4;48.83,42.4;48.83,42.46;49.15,42.46;49.15,42.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.905,44.935;30.905,45.165;30.98,45.165;30.98,45.46;34.57,45.46;34.57,45.52;34.89,45.52;34.89,45.26;34.57,45.26;34.57,45.32;31.12,45.32;31.12,45.165;31.195,45.165;31.195,44.935) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.985,42.215;29.985,42.445;30.06,42.445;30.06,42.94;29.995,42.94;29.995,43.08;30.43,43.08;30.43,43.14;30.75,43.14;30.75,43.08;33.19,43.08;33.19,43.14;33.51,43.14;33.51,42.88;33.19,42.88;33.19,42.94;30.75,42.94;30.75,42.88;30.43,42.88;30.43,42.94;30.2,42.94;30.2,42.445;30.275,42.445;30.275,42.215) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,41.86;29.51,42.12;29.83,42.12;29.83,42.06;32.36,42.06;32.36,42.215;32.285,42.215;32.285,42.445;32.36,42.445;32.36,42.74;33.74,42.74;33.74,43.08;38.725,43.08;38.725,43.125;39.015,43.125;39.015,43.08;39.63,43.08;39.63,43.14;39.95,43.14;39.95,42.88;39.63,42.88;39.63,42.94;39.015,42.94;39.015,42.895;38.725,42.895;38.725,42.94;33.88,42.94;33.88,42.6;32.5,42.6;32.5,42.445;32.575,42.445;32.575,42.215;32.5,42.215;32.5,41.92;29.83,41.92;29.83,41.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,37.44;29.51,37.7;29.83,37.7;29.83,37.64;29.985,37.64;29.985,37.685;30.275,37.685;30.275,37.455;29.985,37.455;29.985,37.5;29.83,37.5;29.83,37.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,40.16;29.51,40.22;29.315,40.22;29.315,40.36;29.51,40.36;29.51,40.42;29.83,40.42;29.83,40.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.63,45.26;39.63,45.52;39.95,45.52;39.95,45.46;42.85,45.46;42.85,45.52;43.17,45.52;43.17,45.26;42.85,45.26;42.85,45.32;39.95,45.32;39.95,45.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (44.69,36.76;44.69,36.82;44.495,36.82;44.495,36.96;44.69,36.96;44.69,37.02;45.01,37.02;45.01,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.31,38.46;43.31,38.52;43.115,38.52;43.115,38.66;43.31,38.66;43.31,38.72;43.63,38.72;43.63,38.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.03,52.06;35.03,52.32;35.35,52.32;35.35,52.26;35.505,52.26;35.505,52.305;35.795,52.305;35.795,52.075;35.505,52.075;35.505,52.12;35.35,52.12;35.35,52.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (41.93,52.06;41.93,52.32;42.25,52.32;42.25,52.26;44.23,52.26;44.23,52.32;44.55,52.32;44.55,52.26;47.45,52.26;47.45,52.32;47.77,52.32;47.77,52.06;47.45,52.06;47.45,52.12;44.55,52.12;44.55,52.06;44.23,52.06;44.23,52.12;42.25,52.12;42.25,52.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.61,38.46;45.61,38.52;45.415,38.52;45.415,38.66;45.61,38.66;45.61,38.72;45.93,38.72;45.93,38.46) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.17,39.14;39.17,39.4;39.26,39.4;39.26,39.54;36.715,39.54;36.715,39.495;36.425,39.495;36.425,39.725;36.715,39.725;36.715,39.68;39.4,39.68;39.4,39.4;39.49,39.4;39.49,39.34;39.925,39.34;39.925,39.2;39.49,39.2;39.49,39.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,36.76;29.51,37.02;29.83,37.02;29.83,36.96;33.665,36.96;33.665,37.005;33.955,37.005;33.955,36.775;33.665,36.775;33.665,36.82;29.83,36.82;29.83,36.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.445,50.035;30.445,50.265;30.52,50.265;30.52,50.42;29.83,50.42;29.83,50.36;29.51,50.36;29.51,50.62;29.83,50.62;29.83,50.56;30.66,50.56;30.66,50.265;30.735,50.265;30.735,50.035) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.97,50.02;29.97,50.08;29.355,50.08;29.355,50.035;29.065,50.035;29.065,50.265;29.355,50.265;29.355,50.22;29.97,50.22;29.97,50.28;30.29,50.28;30.29,50.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.79,43.9;37.79,43.96;33.495,43.96;33.495,43.915;33.205,43.915;33.205,44.145;33.495,44.145;33.495,44.1;37.79,44.1;37.79,44.16;38.11,44.16;38.11,43.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.91,22.14;24.91,22.4;25.23,22.4;25.23,22.34;28.145,22.34;28.145,22.385;28.435,22.385;28.435,22.155;28.145,22.155;28.145,22.2;25.23,22.2;25.23,22.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,22.14;42.85,22.2;34.2,22.2;34.2,22.54;23.375,22.54;23.375,22.495;23.085,22.495;23.085,22.725;23.375,22.725;23.375,22.68;28.22,22.68;28.22,22.835;28.145,22.835;28.145,23.065;28.435,23.065;28.435,22.835;28.36,22.835;28.36,22.68;34.34,22.68;34.34,22.34;42.02,22.34;42.02,22.835;41.945,22.835;41.945,23.065;42.235,23.065;42.235,22.835;42.16,22.835;42.16,22.34;42.85,22.34;42.85,22.4;43.17,22.4;43.17,22.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.67,23.16;27.67,23.22;26.595,23.22;26.595,23.175;26.305,23.175;26.305,23.405;26.595,23.405;26.595,23.36;27.67,23.36;27.67,23.42;27.99,23.42;27.99,23.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.31,24.86;20.31,25.12;20.63,25.12;20.63,25.06;29.985,25.06;29.985,25.105;30.275,25.105;30.275,24.875;29.985,24.875;29.985,24.92;20.63,24.92;20.63,24.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.37,25.54;25.37,25.8;25.69,25.8;25.69,25.74;28.605,25.74;28.605,25.785;28.895,25.785;28.895,25.555;28.605,25.555;28.605,25.6;25.69,25.6;25.69,25.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.21,27.92;27.21,28.18;27.53,28.18;27.53,28.12;30.06,28.12;30.06,28.275;29.985,28.275;29.985,28.505;30.275,28.505;30.275,28.275;30.2,28.275;30.2,27.98;27.53,27.98;27.53,27.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.67,28.26;27.67,28.32;27.475,28.32;27.475,28.46;27.67,28.46;27.67,28.52;27.99,28.52;27.99,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (21.69,27.92;21.69,27.98;21.535,27.98;21.535,27.935;21.245,27.935;21.245,28.165;21.535,28.165;21.535,28.12;21.69,28.12;21.69,28.18;21.78,28.18;21.78,28.8;28.145,28.8;28.145,28.845;28.435,28.845;28.435,28.615;28.145,28.615;28.145,28.66;21.92,28.66;21.92,28.18;22.01,28.18;22.01,27.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.91,8.88;24.91,9.14;25.23,9.14;25.23,9.08;30.52,9.08;30.52,9.42;34.585,9.42;34.585,9.465;34.875,9.465;34.875,9.235;34.585,9.235;34.585,9.28;30.66,9.28;30.66,8.94;25.23,8.94;25.23,8.88) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.37,30.3;25.37,30.56;25.69,30.56;25.69,30.5;29.525,30.5;29.525,30.545;29.815,30.545;29.815,30.315;29.525,30.315;29.525,30.36;25.69,30.36;25.69,30.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.285,30.995;32.285,31.04;27.76,31.04;27.76,31.335;27.685,31.335;27.685,31.565;27.975,31.565;27.975,31.335;27.9,31.335;27.9,31.18;32.285,31.18;32.285,31.225;32.575,31.225;32.575,30.995) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.065,31.335;29.065,31.565;29.14,31.565;29.14,31.72;27.53,31.72;27.53,31.66;27.21,31.66;27.21,31.92;27.53,31.92;27.53,31.86;29.28,31.86;29.28,31.565;29.355,31.565;29.355,31.335) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.37,33.7;25.37,33.96;25.69,33.96;25.69,33.9;26.305,33.9;26.305,33.945;26.595,33.945;26.595,33.9;29.065,33.9;29.065,33.945;29.355,33.945;29.355,33.715;29.065,33.715;29.065,33.76;26.595,33.76;26.595,33.715;26.305,33.715;26.305,33.76;25.69,33.76;25.69,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.75,9.22;26.75,9.48;27.07,9.48;27.07,9.42;30.06,9.42;30.06,9.575;29.985,9.575;29.985,9.805;30.06,9.805;30.06,10.1;36.87,10.1;36.87,10.16;37.19,10.16;37.19,9.9;36.87,9.9;36.87,9.96;30.2,9.96;30.2,9.805;30.275,9.805;30.275,9.575;30.2,9.575;30.2,9.28;27.07,9.28;27.07,9.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,11.26;42.85,11.32;27.3,11.32;27.3,11.955;27.225,11.955;27.225,12.185;27.515,12.185;27.515,11.955;27.44,11.955;27.44,11.46;42.85,11.46;42.85,11.52;43.17,11.52;43.17,11.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.625,12.295;22.625,12.525;22.915,12.525;22.915,12.48;26.84,12.48;26.84,12.96;26.75,12.96;26.75,13.22;27.07,13.22;27.07,13.16;39.645,13.16;39.645,13.205;39.935,13.205;39.935,12.975;39.645,12.975;39.645,13.02;27.07,13.02;27.07,12.96;26.98,12.96;26.98,12.34;22.915,12.34;22.915,12.295) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.03,14.32;12.03,14.58;12.35,14.58;12.35,14.52;16.63,14.52;16.63,14.58;16.95,14.58;16.95,14.52;28.59,14.52;28.59,14.58;28.91,14.58;28.91,14.32;28.59,14.32;28.59,14.38;16.95,14.38;16.95,14.32;16.63,14.32;16.63,14.38;12.35,14.38;12.35,14.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (28.13,14.66;28.13,14.72;26.38,14.72;26.38,15.015;26.305,15.015;26.305,15.245;26.595,15.245;26.595,15.015;26.52,15.015;26.52,14.86;28.13,14.86;28.13,14.92;28.45,14.92;28.45,14.86;32.745,14.86;32.745,14.905;33.035,14.905;33.035,14.675;32.745,14.675;32.745,14.72;28.45,14.72;28.45,14.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.67,17.38;27.67,17.44;24.755,17.44;24.755,17.395;24.465,17.395;24.465,17.625;24.755,17.625;24.755,17.58;27.67,17.58;27.67,17.64;27.99,17.64;27.99,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,6.5;29.51,6.56;27.975,6.56;27.975,6.515;27.685,6.515;27.685,6.745;27.975,6.745;27.975,6.7;29.51,6.7;29.51,6.76;29.83,6.76;29.83,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.83,17.72;25.83,17.98;26.15,17.98;26.15,17.92;27.21,17.92;27.21,17.98;27.53,17.98;27.53,17.92;28.605,17.92;28.605,17.965;28.895,17.965;28.895,17.735;28.605,17.735;28.605,17.78;27.53,17.78;27.53,17.72;27.21,17.72;27.21,17.78;26.15,17.78;26.15,17.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,18.06;23.07,18.32;23.39,18.32;23.39,18.26;33.665,18.26;33.665,18.305;33.955,18.305;33.955,18.075;33.665,18.075;33.665,18.12;23.39,18.12;23.39,18.06) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.27,19.42;32.27,19.68;32.36,19.68;32.36,19.82;25.69,19.82;25.69,19.76;25.37,19.76;25.37,19.82;24.935,19.82;24.935,19.96;25,19.96;25,20.115;24.925,20.115;24.925,20.345;25.215,20.345;25.215,20.115;25.14,20.115;25.14,19.96;25.37,19.96;25.37,20.02;25.69,20.02;25.69,19.96;32.5,19.96;32.5,19.68;32.59,19.68;32.59,19.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.67,20.1;27.67,20.16;27.475,20.16;27.475,20.3;27.67,20.3;27.67,20.36;27.99,20.36;27.99,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,4.8;13.87,5.06;14.19,5.06;14.19,5;32.27,5;32.27,5.06;32.59,5.06;32.59,4.8;32.27,4.8;32.27,4.86;14.19,4.86;14.19,4.8) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (9.27,20.44;9.27,20.5;9.075,20.5;9.075,20.64;9.27,20.64;9.27,20.7;9.59,20.7;9.59,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.11,20.44;11.11,20.5;10.495,20.5;10.495,20.455;10.205,20.455;10.205,20.685;10.495,20.685;10.495,20.64;11.11,20.64;11.11,20.7;11.43,20.7;11.43,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.03,20.44;12.03,20.5;11.835,20.5;11.835,20.64;12.03,20.64;12.03,20.7;12.35,20.7;12.35,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (28.13,20.44;28.13,20.5;27.935,20.5;27.935,20.64;28.13,20.64;28.13,20.7;28.45,20.7;28.45,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.05,20.44;29.05,20.5;28.855,20.5;28.855,20.64;29.05,20.64;29.05,20.7;29.37,20.7;29.37,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,20.44;42.85,20.5;42.655,20.5;42.655,20.64;42.85,20.64;42.85,20.7;43.17,20.7;43.17,20.64;45.625,20.64;45.625,20.685;45.915,20.685;45.915,20.455;45.625,20.455;45.625,20.5;43.17,20.5;43.17,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.41,30.3;36.41,30.56;36.73,30.56;36.73,30.5;37.345,30.5;37.345,30.545;37.635,30.545;37.635,30.315;37.345,30.315;37.345,30.36;36.73,30.36;36.73,30.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.39,30.98;42.39,31.04;42.195,31.04;42.195,31.18;42.39,31.18;42.39,31.24;42.71,31.24;42.71,30.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (44.69,30.98;44.69,31.24;45.01,31.24;45.01,31.18;45.625,31.18;45.625,31.225;45.915,31.225;45.915,30.995;45.625,30.995;45.625,31.04;45.01,31.04;45.01,30.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (41.485,22.495;41.485,22.54;38.455,22.54;38.455,22.68;41.485,22.68;41.485,22.725;41.775,22.725;41.775,22.495) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.105,30.655;40.105,30.885;40.18,30.885;40.18,31.38;38.555,31.38;38.555,31.335;38.265,31.335;38.265,31.565;38.555,31.565;38.555,31.52;40.32,31.52;40.32,30.885;40.395,30.885;40.395,30.655) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.03,31.32;35.03,31.38;34.415,31.38;34.415,31.335;34.125,31.335;34.125,31.565;34.415,31.565;34.415,31.52;35.03,31.52;35.03,31.58;35.35,31.58;35.35,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (34.57,25.88;34.57,25.94;33.955,25.94;33.955,25.895;33.665,25.895;33.665,26.125;33.955,26.125;33.955,26.08;34.57,26.08;34.57,26.14;34.89,26.14;34.89,25.88) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.205,31.335;33.205,31.565;33.28,31.565;33.28,31.86;34.57,31.86;34.57,31.92;34.89,31.92;34.89,31.86;37.33,31.86;37.33,31.92;37.65,31.92;37.65,31.66;37.33,31.66;37.33,31.72;34.89,31.72;34.89,31.66;34.57,31.66;34.57,31.72;33.42,31.72;33.42,31.565;33.495,31.565;33.495,31.335) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,32;42.85,32.06;42.695,32.06;42.695,32.015;42.405,32.015;42.405,32.245;42.695,32.245;42.695,32.2;42.85,32.2;42.85,32.26;43.17,32.26;43.17,32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.61,32;45.61,32.06;45.415,32.06;45.415,32.2;45.61,32.2;45.61,32.26;45.93,32.26;45.93,32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,33.36;42.85,33.42;41.775,33.42;41.775,33.375;41.485,33.375;41.485,33.605;41.775,33.605;41.775,33.56;42.85,33.56;42.85,33.62;43.17,33.62;43.17,33.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.61,33.36;45.61,33.42;45.455,33.42;45.455,33.375;45.165,33.375;45.165,33.605;45.455,33.605;45.455,33.56;45.61,33.56;45.61,33.62;45.93,33.62;45.93,33.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.03,33.36;35.03,33.42;33.74,33.42;33.74,33.715;33.88,33.715;33.88,33.56;35.03,33.56;35.03,33.62;35.35,33.62;35.35,33.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.37,33.7;48.37,33.76;48.69,33.76;48.69,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.41,26.22;36.41,26.28;36.215,26.28;36.215,26.42;36.41,26.42;36.41,26.48;36.73,26.48;36.73,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (34.57,33.7;34.57,33.76;34.415,33.76;34.415,33.715;34.125,33.715;34.125,33.945;34.415,33.945;34.415,33.9;34.57,33.9;34.57,33.96;34.89,33.96;34.89,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.55,33.7;40.55,33.76;39.935,33.76;39.935,33.9;40.55,33.9;40.55,33.96;40.87,33.96;40.87,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.33,34.04;37.33,34.1;37.135,34.1;37.135,34.24;37.33,34.24;37.33,34.3;37.65,34.3;37.65,34.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.73,35.74;32.73,35.8;32.535,35.8;32.535,35.94;32.73,35.94;32.73,36;33.05,36;33.05,35.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.37,35.74;48.37,35.8;42.695,35.8;42.695,35.755;42.405,35.755;42.405,35.985;42.695,35.985;42.695,35.94;48.37,35.94;48.37,36;48.69,36;48.69,35.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.61,26.22;45.61,26.28;42.595,26.28;42.595,26.42;45.61,26.42;45.61,26.48;45.93,26.48;45.93,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.37,26.22;48.37,26.28;47.295,26.28;47.295,26.235;47.005,26.235;47.005,26.465;47.295,26.465;47.295,26.42;48.37,26.42;48.37,26.48;48.69,26.48;48.69,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.77,26.56;43.77,26.62;43.575,26.62;43.575,26.76;43.77,26.76;43.77,26.82;44.09,26.82;44.09,26.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.65,27.58;33.65,27.64;33.035,27.64;33.035,27.595;32.745,27.595;32.745,27.825;33.035,27.825;33.035,27.78;33.65,27.78;33.65,27.84;33.97,27.84;33.97,27.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (35.03,27.58;35.03,27.84;35.35,27.84;35.35,27.78;38.265,27.78;38.265,27.825;38.555,27.825;38.555,27.78;39.63,27.78;39.63,27.84;39.95,27.84;39.95,27.58;39.63,27.58;39.63,27.64;38.555,27.64;38.555,27.595;38.265,27.595;38.265,27.64;35.35,27.64;35.35,27.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.99,27.92;46.99,27.98;39.015,27.98;39.015,27.935;38.725,27.935;38.725,28.165;39.015,28.165;39.015,28.12;46.99,28.12;46.99,28.18;47.31,28.18;47.31,27.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.27,23.16;32.27,23.22;32.075,23.22;32.075,23.36;32.27,23.36;32.27,23.42;32.59,23.42;32.59,23.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (45.61,23.16;45.61,23.42;45.93,23.42;45.93,23.36;46.085,23.36;46.085,23.405;46.375,23.405;46.375,23.175;46.085,23.175;46.085,23.22;45.93,23.22;45.93,23.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (31.81,28.26;31.81,28.32;31.615,28.32;31.615,28.46;31.81,28.46;31.81,28.52;32.13,28.52;32.13,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.33,28.26;37.33,28.32;37.135,28.32;37.135,28.46;37.33,28.46;37.33,28.52;37.65,28.52;37.65,28.46;40.09,28.46;40.09,28.52;40.41,28.52;40.41,28.26;40.09,28.26;40.09,28.32;37.65,28.32;37.65,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.91,28.26;47.91,28.52;48.23,28.52;48.23,28.46;48.385,28.46;48.385,28.505;48.675,28.505;48.675,28.275;48.385,28.275;48.385,28.32;48.23,28.32;48.23,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.63,23.84;39.63,23.9;39.435,23.9;39.435,24.04;39.63,24.04;39.63,24.1;39.95,24.1;39.95,23.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.55,28.6;40.55,28.66;40.355,28.66;40.355,28.8;40.55,28.8;40.55,28.86;40.87,28.86;40.87,28.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (41.485,28.275;41.485,28.505;41.56,28.505;41.56,28.8;47.45,28.8;47.45,28.86;47.77,28.86;47.77,28.6;47.45,28.6;47.45,28.66;41.7,28.66;41.7,28.505;41.775,28.505;41.775,28.275) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.89,22.82;30.89,22.88;30.695,22.88;30.695,23.02;30.89,23.02;30.89,23.08;31.21,23.08;31.21,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (34.57,28.94;34.57,29.2;34.89,29.2;34.89,29.14;35.965,29.14;35.965,29.185;36.255,29.185;36.255,28.955;35.965,28.955;35.965,29;34.89,29;34.89,28.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.39,29.28;42.39,29.54;42.71,29.54;42.71,29.48;43.77,29.48;43.77,29.54;44.09,29.54;44.09,29.48;47.91,29.48;47.91,29.54;48.23,29.54;48.23,29.28;47.91,29.28;47.91,29.34;44.09,29.34;44.09,29.28;43.77,29.28;43.77,29.34;42.71,29.34;42.71,29.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.27,24.86;32.27,25.12;32.59,25.12;32.59,25.06;32.745,25.06;32.745,25.105;33.035,25.105;33.035,24.875;32.745,24.875;32.745,24.92;32.59,24.92;32.59,24.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.33,20.78;37.33,20.84;37.65,20.84;37.65,20.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.33,20.98;37.33,21.04;37.65,21.04;37.65,20.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (8.81,23.16;8.81,23.22;8.655,23.22;8.655,23.175;8.365,23.175;8.365,23.405;8.655,23.405;8.655,23.36;8.81,23.36;8.81,23.42;9.13,23.42;9.13,23.36;20.31,23.36;20.31,23.42;20.63,23.42;20.63,23.16;20.31,23.16;20.31,23.22;9.13,23.22;9.13,23.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.17,25.88;16.17,25.94;16.015,25.94;16.015,25.895;15.725,25.895;15.725,26.125;15.8,26.125;15.8,26.28;14.19,26.28;14.19,26.22;13.87,26.22;13.87,26.48;14.19,26.48;14.19,26.42;15.94,26.42;15.94,26.125;16.015,26.125;16.015,26.08;16.17,26.08;16.17,26.14;16.49,26.14;16.49,25.88) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (14.33,30.98;14.33,31.04;13.695,31.04;13.695,30.995;13.405,30.995;13.405,31.225;13.695,31.225;13.695,31.18;14.33,31.18;14.33,31.24;14.65,31.24;14.65,30.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (21.23,22.14;21.23,22.2;20.155,22.2;20.155,22.155;19.865,22.155;19.865,22.385;20.155,22.385;20.155,22.34;21.23,22.34;21.23,22.4;21.55,22.4;21.55,22.34;23.53,22.34;23.53,22.4;23.85,22.4;23.85,22.14;23.53,22.14;23.53,22.2;21.55,22.2;21.55,22.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.65,22.82;10.65,22.88;9.575,22.88;9.575,22.835;9.285,22.835;9.285,23.065;9.575,23.065;9.575,23.02;10.65,23.02;10.65,23.08;10.97,23.08;10.97,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (18.01,30.64;18.01,30.7;12.58,30.7;12.58,31.335;12.505,31.335;12.505,31.565;12.795,31.565;12.795,31.335;12.72,31.335;12.72,30.84;18.01,30.84;18.01,30.9;18.33,30.9;18.33,30.84;24.465,30.84;24.465,30.885;24.755,30.885;24.755,30.655;24.465,30.655;24.465,30.7;18.33,30.7;18.33,30.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.39,30.98;19.39,31.24;19.71,31.24;19.71,31.18;22.7,31.18;22.7,31.52;25.675,31.52;25.675,31.565;25.965,31.565;25.965,31.335;25.675,31.335;25.675,31.38;22.84,31.38;22.84,31.04;19.71,31.04;19.71,30.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,22.82;12.95,22.88;12.755,22.88;12.755,23.02;12.95,23.02;12.95,23.08;13.27,23.08;13.27,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (27.21,26.22;27.21,26.28;20.4,26.28;20.4,26.575;20.325,26.575;20.325,26.805;20.615,26.805;20.615,26.575;20.54,26.575;20.54,26.42;27.21,26.42;27.21,26.48;27.53,26.48;27.53,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (7.89,30.3;7.89,30.36;7.695,30.36;7.695,30.5;7.89,30.5;7.89,30.56;7.98,30.56;7.98,31.52;9.73,31.52;9.73,31.58;10.05,31.58;10.05,31.32;9.73,31.32;9.73,31.38;8.12,31.38;8.12,30.56;8.21,30.56;8.21,30.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,31.32;12.95,31.58;13.27,31.58;13.27,31.52;13.465,31.52;13.465,31.38;13.27,31.38;13.27,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,31.32;13.87,31.58;14.19,31.58;14.19,31.52;14.385,31.52;14.385,31.38;14.19,31.38;14.19,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (17.55,31.32;17.55,31.38;17.355,31.38;17.355,31.52;17.55,31.52;17.55,31.58;17.87,31.58;17.87,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (18.47,31.32;18.47,31.58;18.79,31.58;18.79,31.52;18.985,31.52;18.985,31.38;18.79,31.38;18.79,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.31,31.32;20.31,31.58;20.63,31.58;20.63,31.52;21.245,31.52;21.245,31.565;21.535,31.565;21.535,31.335;21.245,31.335;21.245,31.38;20.63,31.38;20.63,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.75,31.32;26.75,31.58;27.07,31.58;27.07,31.52;27.265,31.52;27.265,31.38;27.07,31.38;27.07,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (18.47,26.56;18.47,26.62;17.855,26.62;17.855,26.575;17.565,26.575;17.565,26.805;17.855,26.805;17.855,26.76;18.47,26.76;18.47,26.82;18.79,26.82;18.79,26.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.645,31.335;16.645,31.565;16.72,31.565;16.72,31.72;12.35,31.72;12.35,31.66;12.03,31.66;12.03,31.92;12.35,31.92;12.35,31.86;16.86,31.86;16.86,31.565;16.935,31.565;16.935,31.335) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.85,31.66;19.85,31.72;19.655,31.72;19.655,31.86;19.85,31.86;19.85,31.92;20.17,31.92;20.17,31.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.165,31.335;22.165,31.565;22.24,31.565;22.24,31.86;23.07,31.86;23.07,31.92;23.39,31.92;23.39,31.66;23.07,31.66;23.07,31.72;22.38,31.72;22.38,31.565;22.455,31.565;22.455,31.335) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.305,31.335;26.305,31.565;26.38,31.565;26.38,31.72;24.77,31.72;24.77,31.66;24.45,31.66;24.45,31.92;24.77,31.92;24.77,31.86;26.52,31.86;26.52,31.565;26.595,31.565;26.595,31.335) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,26.56;23.07,26.62;22.915,26.62;22.915,26.575;22.625,26.575;22.625,26.805;22.915,26.805;22.915,26.76;23.07,26.76;23.07,26.82;23.39,26.82;23.39,26.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.29,26.56;26.29,26.82;26.61,26.82;26.61,26.76;26.765,26.76;26.765,26.805;27.055,26.805;27.055,26.575;26.765,26.575;26.765,26.62;26.61,26.62;26.61,26.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (18.025,31.335;18.025,31.565;18.1,31.565;18.1,31.72;17.64,31.72;17.64,32.06;13.73,32.06;13.73,32;13.41,32;13.41,32.26;13.73,32.26;13.73,32.2;21.705,32.2;21.705,32.245;21.995,32.245;21.995,32.015;21.705,32.015;21.705,32.06;17.78,32.06;17.78,31.86;18.24,31.86;18.24,31.565;18.315,31.565;18.315,31.335) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.49,23.84;12.49,23.9;12.295,23.9;12.295,24.04;12.49,24.04;12.49,24.1;12.81,24.1;12.81,23.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,27.58;13.87,27.64;8.195,27.64;8.195,27.595;7.905,27.595;7.905,27.825;8.195,27.825;8.195,27.78;13.87,27.78;13.87,27.84;14.19,27.84;14.19,27.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.03,33.02;12.03,33.08;11.835,33.08;11.835,33.22;12.03,33.22;12.03,33.28;12.35,33.28;12.35,33.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,33.02;13.87,33.08;13.715,33.08;13.715,33.035;13.425,33.035;13.425,33.265;13.715,33.265;13.715,33.22;13.87,33.22;13.87,33.28;14.19,33.28;14.19,33.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (7.89,33.02;7.89,33.08;7.695,33.08;7.695,33.22;7.89,33.22;7.89,33.28;7.98,33.28;7.98,33.56;16.4,33.56;16.4,33.22;19.39,33.22;19.39,33.28;19.71,33.28;19.71,33.22;20.325,33.22;20.325,33.265;20.615,33.265;20.615,33.035;20.325,33.035;20.325,33.08;19.71,33.08;19.71,33.02;19.39,33.02;19.39,33.08;16.26,33.08;16.26,33.42;8.12,33.42;8.12,33.28;8.21,33.28;8.21,33.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.37,27.58;25.37,27.84;25.69,27.84;25.69,27.78;25.885,27.78;25.885,27.64;25.69,27.64;25.69,27.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.165,22.835;22.165,23.065;22.24,23.065;22.24,23.56;14.88,23.56;14.88,23.84;14.79,23.84;14.79,23.9;14.595,23.9;14.595,24.04;14.79,24.04;14.79,24.1;15.11,24.1;15.11,23.84;15.02,23.84;15.02,23.7;22.38,23.7;22.38,23.065;22.455,23.065;22.455,22.835) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.53,23.84;23.53,24.1;23.85,24.1;23.85,24.04;25.37,24.04;25.37,24.1;25.69,24.1;25.69,23.84;25.37,23.84;25.37,23.9;23.85,23.9;23.85,23.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.53,27.58;23.53,27.84;23.62,27.84;23.62,27.98;22.455,27.98;22.455,27.935;22.165,27.935;22.165,28.165;22.455,28.165;22.455,28.12;23.76,28.12;23.76,27.84;23.85,27.84;23.85,27.78;24.925,27.78;24.925,27.825;25.215,27.825;25.215,27.595;24.925,27.595;24.925,27.64;23.85,27.64;23.85,27.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.45,33.36;24.45,33.42;22.455,33.42;22.455,33.375;22.165,33.375;22.165,33.42;16.72,33.42;16.72,33.715;16.645,33.715;16.645,33.945;16.935,33.945;16.935,33.715;16.86,33.715;16.86,33.56;22.165,33.56;22.165,33.605;22.455,33.605;22.455,33.56;24.45,33.56;24.45,33.62;24.77,33.62;24.77,33.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (9.73,33.7;9.73,33.76;9.535,33.76;9.535,33.9;9.73,33.9;9.73,33.96;10.05,33.96;10.05,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.03,33.7;12.03,33.76;11.415,33.76;11.415,33.715;11.125,33.715;11.125,33.945;11.415,33.945;11.415,33.9;12.03,33.9;12.03,33.96;12.35,33.96;12.35,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.39,33.7;19.39,33.76;17.855,33.76;17.855,33.715;17.565,33.715;17.565,33.945;17.855,33.945;17.855,33.9;19.39,33.9;19.39,33.96;19.71,33.96;19.71,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.53,33.7;23.53,33.96;23.85,33.96;23.85,33.9;24.005,33.9;24.005,33.945;24.295,33.945;24.295,33.715;24.005,33.715;24.005,33.76;23.85,33.76;23.85,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.99,27.92;23.99,28.18;24.31,28.18;24.31,28.12;24.465,28.12;24.465,28.165;24.755,28.165;24.755,27.935;24.465,27.935;24.465,27.98;24.31,27.98;24.31,27.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.29,27.92;26.29,27.98;26.095,27.98;26.095,28.12;26.29,28.12;26.29,28.18;26.61,28.18;26.61,27.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (21.23,22.82;21.23,22.88;21.035,22.88;21.035,23.02;21.23,23.02;21.23,23.08;21.55,23.08;21.55,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (8.35,34.04;8.35,34.3;8.67,34.3;8.67,34.24;12.505,34.24;12.505,34.285;12.795,34.285;12.795,34.055;12.505,34.055;12.505,34.1;8.67,34.1;8.67,34.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,27.58;23.07,27.64;14.42,27.64;14.42,27.98;13.96,27.98;13.96,28.275;13.885,28.275;13.885,28.505;14.175,28.505;14.175,28.275;14.1,28.275;14.1,28.12;14.56,28.12;14.56,27.78;23.07,27.78;23.07,27.84;23.39,27.84;23.39,27.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.19,34.38;10.19,34.64;10.51,34.64;10.51,34.58;10.665,34.58;10.665,34.625;10.955,34.625;10.955,34.58;13.41,34.58;13.41,34.64;13.73,34.64;13.73,34.38;13.41,34.38;13.41,34.44;10.955,34.44;10.955,34.395;10.665,34.395;10.665,34.44;10.51,34.44;10.51,34.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,34.72;13.87,34.98;14.19,34.98;14.19,34.92;15.725,34.92;15.725,34.965;16.015,34.965;16.015,34.735;15.725,34.735;15.725,34.78;14.19,34.78;14.19,34.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.03,24.86;12.03,25.12;12.35,25.12;12.35,25.06;12.505,25.06;12.505,25.105;12.795,25.105;12.795,24.875;12.505,24.875;12.505,24.92;12.35,24.92;12.35,24.86) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.28,27.98;10.28,28.275;10.205,28.275;10.205,28.505;10.495,28.505;10.495,28.275;10.42,28.275;10.42,28.12;12.58,28.12;12.58,28.26;12.49,28.26;12.49,28.32;12.295,28.32;12.295,28.46;12.49,28.46;12.49,28.52;12.81,28.52;12.81,28.26;12.72,28.26;12.72,27.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.41,28.26;13.41,28.32;13.215,28.32;13.215,28.46;13.41,28.46;13.41,28.52;13.73,28.52;13.73,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.45,22.82;24.45,22.88;24.255,22.88;24.255,23.02;24.45,23.02;24.45,23.08;24.77,23.08;24.77,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.37,22.82;25.37,22.88;25.175,22.88;25.175,23.02;25.37,23.02;25.37,23.08;25.69,23.08;25.69,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.77,25.2;20.77,25.46;21.09,25.46;21.09,25.4;23.53,25.4;23.53,25.46;23.85,25.46;23.85,25.2;23.53,25.2;23.53,25.26;21.09,25.26;21.09,25.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.99,25.2;23.99,25.46;24.31,25.46;24.31,25.4;25,25.4;25,25.555;24.925,25.555;24.925,25.785;25.215,25.785;25.215,25.555;25.14,25.555;25.14,25.26;24.31,25.26;24.31,25.2) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.61,22.48;22.61,22.54;17.64,22.54;17.64,22.835;17.565,22.835;17.565,23.065;17.855,23.065;17.855,22.835;17.78,22.835;17.78,22.68;22.61,22.68;22.61,22.74;22.93,22.74;22.93,22.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,28.6;12.95,28.86;13.27,28.86;13.27,28.8;14.805,28.8;14.805,28.845;15.095,28.845;15.095,28.615;14.805,28.615;14.805,28.66;13.27,28.66;13.27,28.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.505,25.895;12.505,26.125;12.795,26.125;12.795,26.08;14.345,26.08;14.345,26.125;14.635,26.125;14.635,25.895;14.345,25.895;14.345,25.94;12.795,25.94;12.795,25.895) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.77,25.88;20.77,25.94;20.575,25.94;20.575,26.08;20.77,26.08;20.77,26.14;21.09,26.14;21.09,25.88) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (21.69,25.88;21.69,26.14;22.01,26.14;22.01,26.08;22.165,26.08;22.165,26.125;22.455,26.125;22.455,25.895;22.165,25.895;22.165,25.94;22.01,25.94;22.01,25.88) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.125,28.275;11.125,28.505;11.2,28.505;11.2,29.14;13.87,29.14;13.87,29.2;14.19,29.2;14.19,29.14;19.39,29.14;19.39,29.2;19.71,29.2;19.71,28.94;19.39,28.94;19.39,29;14.19,29;14.19,28.94;13.87,28.94;13.87,29;11.34,29;11.34,28.505;11.415,28.505;11.415,28.275) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.53,28.94;23.53,29;23.335,29;23.335,29.14;23.53,29.14;23.53,29.2;23.85,29.2;23.85,28.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,25.88;23.07,26.14;23.39,26.14;23.39,26.08;24.005,26.08;24.005,26.125;24.295,26.125;24.295,25.895;24.005,25.895;24.005,25.94;23.39,25.94;23.39,25.88) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.03,29.28;12.03,29.34;10.955,29.34;10.955,29.295;10.665,29.295;10.665,29.525;10.955,29.525;10.955,29.48;12.03,29.48;12.03,29.54;12.35,29.54;12.35,29.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.49,29.28;12.49,29.54;12.81,29.54;12.81,29.48;15.725,29.48;15.725,29.525;16.015,29.525;16.015,29.48;17.55,29.48;17.55,29.54;17.87,29.54;17.87,29.28;17.55,29.28;17.55,29.34;16.015,29.34;16.015,29.295;15.725,29.295;15.725,29.34;12.81,29.34;12.81,29.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (14.345,28.275;14.345,28.505;14.635,28.505;14.635,28.46;20.4,28.46;20.4,29.28;20.31,29.28;20.31,29.34;20.115,29.34;20.115,29.48;20.31,29.48;20.31,29.54;20.63,29.54;20.63,29.28;20.54,29.28;20.54,28.32;14.635,28.32;14.635,28.275) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.99,29.28;23.99,29.34;21.535,29.34;21.535,29.295;21.245,29.295;21.245,29.525;21.535,29.525;21.535,29.48;23.99,29.48;23.99,29.54;24.31,29.54;24.31,29.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.94,25.6;19.94,25.895;19.865,25.895;19.865,26.125;20.155,26.125;20.155,25.895;20.08,25.895;20.08,25.74;24.54,25.74;24.54,25.88;24.45,25.88;24.45,26.14;24.77,26.14;24.77,26.08;27.685,26.08;27.685,26.125;27.975,26.125;27.975,25.895;27.685,25.895;27.685,25.94;24.77,25.94;24.77,25.88;24.68,25.88;24.68,25.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,30.3;12.95,30.36;10.495,30.36;10.495,30.315;10.205,30.315;10.205,30.545;10.495,30.545;10.495,30.5;12.95,30.5;12.95,30.56;13.27,30.56;13.27,30.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (8.35,20.78;8.35,20.84;8.155,20.84;8.155,20.98;8.35,20.98;8.35,21.04;8.67,21.04;8.67,20.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.91,20.78;24.91,20.84;19.595,20.84;19.595,20.98;24.91,20.98;24.91,21.04;25.23,21.04;25.23,20.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.91,30.3;24.91,30.36;15.095,30.36;15.095,30.315;14.805,30.315;14.805,30.545;15.095,30.545;15.095,30.5;24.91,30.5;24.91,30.56;25.23,30.56;25.23,30.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.665,20.795;10.665,21.025;10.955,21.025;10.955,20.98;12.58,20.98;12.58,21.12;12.49,21.12;12.49,21.38;12.81,21.38;12.81,21.12;12.72,21.12;12.72,20.84;10.955,20.84;10.955,20.795) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.45,21.12;24.45,21.18;21.075,21.18;21.075,21.135;20.785,21.135;20.785,21.365;21.075,21.365;21.075,21.32;24.45,21.32;24.45,21.38;24.77,21.38;24.77,21.32;25.385,21.32;25.385,21.365;25.675,21.365;25.675,21.135;25.385,21.135;25.385,21.18;24.77,21.18;24.77,21.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (9.27,22.14;9.27,22.4;9.59,22.4;9.59,22.34;10.205,22.34;10.205,22.385;10.495,22.385;10.495,22.155;10.205,22.155;10.205,22.2;9.59,22.2;9.59,22.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.63,22.14;16.63,22.2;16.435,22.2;16.435,22.34;16.63,22.34;16.63,22.4;16.95,22.4;16.95,22.14) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,12.62;23.07,12.68;22.455,12.68;22.455,12.635;22.165,12.635;22.165,12.865;22.455,12.865;22.455,12.82;23.07,12.82;23.07,12.88;23.39,12.88;23.39,12.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.49,12.96;12.49,13.02;12.295,13.02;12.295,13.16;12.49,13.16;12.49,13.22;12.81,13.22;12.81,12.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,9.22;13.87,9.28;11.66,9.28;11.66,9.575;11.585,9.575;11.585,9.805;11.875,9.805;11.875,9.575;11.8,9.575;11.8,9.42;13.87,9.42;13.87,9.48;14.19,9.48;14.19,9.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,5.82;23.07,5.88;22.455,5.88;22.455,5.835;22.165,5.835;22.165,6.065;22.455,6.065;22.455,6.02;23.07,6.02;23.07,6.08;23.39,6.08;23.39,5.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.77,14.66;20.77,14.72;19.02,14.72;19.02,15.015;18.945,15.015;18.945,15.245;19.235,15.245;19.235,15.015;19.16,15.015;19.16,14.86;20.77,14.86;20.77,14.92;21.09,14.92;21.09,14.86;25.46,14.86;25.46,15.015;25.385,15.015;25.385,15.245;25.675,15.245;25.675,15.015;25.6,15.015;25.6,14.72;21.09,14.72;21.09,14.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.77,9.22;20.77,9.28;14.635,9.28;14.635,9.235;14.345,9.235;14.345,9.465;14.635,9.465;14.635,9.42;20.77,9.42;20.77,9.48;21.09,9.48;21.09,9.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (8.81,15;8.81,15.06;8.615,15.06;8.615,15.2;8.81,15.2;8.81,15.26;9.13,15.26;9.13,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.65,15;10.65,15.26;10.97,15.26;10.97,15.2;11.125,15.2;11.125,15.245;11.415,15.245;11.415,15.2;14.805,15.2;14.805,15.245;15.095,15.245;15.095,15.015;14.805,15.015;14.805,15.06;11.415,15.06;11.415,15.015;11.125,15.015;11.125,15.06;10.97,15.06;10.97,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.91,15;24.91,15.06;20.155,15.06;20.155,15.015;19.865,15.015;19.865,15.245;20.155,15.245;20.155,15.2;24.91,15.2;24.91,15.26;25.23,15.26;25.23,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.75,15;26.75,15.26;27.07,15.26;27.07,15.2;27.265,15.2;27.265,15.06;27.07,15.06;27.07,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.63,6.5;16.63,6.56;16.475,6.56;16.475,6.515;16.185,6.515;16.185,6.745;16.475,6.745;16.475,6.7;16.63,6.7;16.63,6.76;16.95,6.76;16.95,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.205,15.355;10.205,15.585;10.495,15.585;10.495,15.54;11.2,15.54;11.2,15.68;11.11,15.68;11.11,15.94;11.43,15.94;11.43,15.68;11.34,15.68;11.34,15.4;10.495,15.4;10.495,15.355) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.41,15.68;13.41,15.74;13.215,15.74;13.215,15.88;13.41,15.88;13.41,15.94;13.73,15.94;13.73,15.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.31,15.68;20.31,15.94;20.63,15.94;20.63,15.88;21.705,15.88;21.705,15.925;21.995,15.925;21.995,15.695;21.705,15.695;21.705,15.74;20.63,15.74;20.63,15.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.99,15.68;23.99,15.74;23.795,15.74;23.795,15.88;23.99,15.88;23.99,15.94;24.31,15.94;24.31,15.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.83,6.5;25.83,6.56;25.635,6.56;25.635,6.7;25.83,6.7;25.83,6.76;26.15,6.76;26.15,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (8.81,16.7;8.81,16.76;8.615,16.76;8.615,16.9;8.81,16.9;8.81,16.96;9.13,16.96;9.13,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.49,16.7;12.49,16.96;12.81,16.96;12.81,16.9;13.005,16.9;13.005,16.76;12.81,16.76;12.81,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (14.33,16.7;14.33,16.96;14.65,16.96;14.65,16.9;16.185,16.9;16.185,16.945;16.475,16.945;16.475,16.715;16.185,16.715;16.185,16.76;14.65,16.76;14.65,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,17.04;12.95,17.1;12.755,17.1;12.755,17.24;12.95,17.24;12.95,17.3;13.27,17.3;13.27,17.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,17.04;13.87,17.3;14.19,17.3;14.19,17.24;26.305,17.24;26.305,17.285;26.595,17.285;26.595,17.055;26.305,17.055;26.305,17.1;14.19,17.1;14.19,17.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (8.81,17.38;8.81,17.44;8.655,17.44;8.655,17.395;8.365,17.395;8.365,17.625;8.655,17.625;8.655,17.58;8.81,17.58;8.81,17.64;9.13,17.64;9.13,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.17,17.38;16.17,17.64;16.49,17.64;16.49,17.58;16.645,17.58;16.645,17.625;16.935,17.625;16.935,17.395;16.645,17.395;16.645,17.44;16.49,17.44;16.49,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.07,17.38;23.07,17.44;22.875,17.44;22.875,17.58;23.07,17.58;23.07,17.64;23.39,17.64;23.39,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.63,9.56;16.63,9.62;16.435,9.62;16.435,9.76;16.63,9.76;16.63,9.82;16.95,9.82;16.95,9.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.75,6.5;26.75,6.56;26.555,6.56;26.555,6.7;26.75,6.7;26.75,6.76;27.07,6.76;27.07,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.61,6.16;22.61,6.22;22.415,6.22;22.415,6.36;22.61,6.36;22.61,6.42;22.93,6.42;22.93,6.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (9.27,17.72;9.27,17.98;9.59,17.98;9.59,17.92;10.665,17.92;10.665,17.965;10.955,17.965;10.955,17.735;10.665,17.735;10.665,17.78;9.59,17.78;9.59,17.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (11.11,17.72;11.11,17.98;11.43,17.98;11.43,17.92;12.045,17.92;12.045,17.965;12.335,17.965;12.335,17.735;12.045,17.735;12.045,17.78;11.43,17.78;11.43,17.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (19.39,17.72;19.39,17.78;19.195,17.78;19.195,17.92;19.39,17.92;19.39,17.98;19.71,17.98;19.71,17.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.19,9.9;10.19,9.96;8.655,9.96;8.655,9.915;8.365,9.915;8.365,10.145;8.655,10.145;8.655,10.1;10.19,10.1;10.19,10.16;10.51,10.16;10.51,9.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (12.95,9.9;12.95,9.96;12.335,9.96;12.335,9.915;12.045,9.915;12.045,10.145;12.335,10.145;12.335,10.1;12.95,10.1;12.95,10.16;13.27,10.16;13.27,9.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (15.71,18.4;15.71,18.46;14.175,18.46;14.175,18.415;13.885,18.415;13.885,18.645;14.175,18.645;14.175,18.6;15.71,18.6;15.71,18.66;16.03,18.66;16.03,18.4) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (22.61,19.76;22.61,20.02;22.93,20.02;22.93,19.96;23.085,19.96;23.085,20.005;23.375,20.005;23.375,19.775;23.085,19.775;23.085,19.82;22.93,19.82;22.93,19.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.425,9.575;13.425,9.805;13.5,9.805;13.5,10.1;16.17,10.1;16.17,10.16;16.49,10.16;16.49,9.9;16.17,9.9;16.17,9.96;13.64,9.96;13.64,9.805;13.715,9.805;13.715,9.575) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.63,20.1;16.63,20.16;13.715,20.16;13.715,20.115;13.425,20.115;13.425,20.345;13.715,20.345;13.715,20.3;16.63,20.3;16.63,20.36;16.95,20.36;16.95,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.83,20.1;25.83,20.16;25.635,20.16;25.635,20.3;25.83,20.3;25.83,20.36;26.15,20.36;26.15,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (18.01,9.9;18.01,9.96;17.815,9.96;17.815,10.1;18.01,10.1;18.01,10.16;18.33,10.16;18.33,9.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (25.83,9.9;25.83,9.96;24.195,9.96;24.195,10.1;25.83,10.1;25.83,10.16;26.15,10.16;26.15,9.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.99,8.54;23.99,8.6;9.82,8.6;9.82,9.28;9.36,9.28;9.36,9.575;9.285,9.575;9.285,9.805;9.575,9.805;9.575,9.575;9.5,9.575;9.5,9.42;9.96,9.42;9.96,8.74;23.99,8.74;23.99,8.8;24.31,8.8;24.31,8.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (9.745,9.575;9.745,9.805;10.035,9.805;10.035,9.76;11.2,9.76;11.2,10.44;15.71,10.44;15.71,10.5;16.03,10.5;16.03,10.44;23.07,10.44;23.07,10.5;23.39,10.5;23.39,10.44;25.385,10.44;25.385,10.485;25.675,10.485;25.675,10.44;26.29,10.44;26.29,10.5;26.61,10.5;26.61,10.24;26.29,10.24;26.29,10.3;25.675,10.3;25.675,10.255;25.385,10.255;25.385,10.3;23.39,10.3;23.39,10.24;23.07,10.24;23.07,10.3;16.03,10.3;16.03,10.24;15.71,10.24;15.71,10.3;11.34,10.3;11.34,9.62;10.035,9.62;10.035,9.575) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (18.01,11.26;18.01,11.32;8.655,11.32;8.655,11.275;8.365,11.275;8.365,11.505;8.655,11.505;8.655,11.46;18.01,11.46;18.01,11.52;18.33,11.52;18.33,11.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (14.33,11.6;14.33,11.66;9.36,11.66;9.36,11.955;9.285,11.955;9.285,12.185;9.575,12.185;9.575,11.955;9.5,11.955;9.5,11.8;14.33,11.8;14.33,11.86;14.65,11.86;14.65,11.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (23.99,11.26;23.99,11.32;19.48,11.32;19.48,12;17.855,12;17.855,11.955;17.565,11.955;17.565,12.185;17.855,12.185;17.855,12.14;19.62,12.14;19.62,11.46;23.99,11.46;23.99,11.52;24.31,11.52;24.31,11.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (24.91,11.6;24.91,11.66;19.94,11.66;19.94,11.955;19.865,11.955;19.865,12.185;20.155,12.185;20.155,11.955;20.08,11.955;20.08,11.8;24.91,11.8;24.91,11.86;25.23,11.86;25.23,11.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (7.43,6.84;7.43,6.9;7.235,6.9;7.235,7.04;7.43,7.04;7.43,7.1;7.75,7.1;7.75,6.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (14.33,7.52;14.33,7.78;14.65,7.78;14.65,7.72;19.865,7.72;19.865,7.765;20.155,7.765;20.155,7.535;19.865,7.535;19.865,7.58;14.65,7.58;14.65,7.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (10.19,11.94;10.19,12.2;10.51,12.2;10.51,12.14;11.585,12.14;11.585,12.185;11.875,12.185;11.875,11.955;11.585,11.955;11.585,12;10.51,12;10.51,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.87,11.94;13.87,12.2;14.19,12.2;14.19,12.14;14.385,12.14;14.385,12;14.19,12;14.19,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (15.71,11.94;15.71,12.2;16.03,12.2;16.03,12.14;16.185,12.14;16.185,12.185;16.475,12.185;16.475,11.955;16.185,11.955;16.185,12;16.03,12;16.03,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (20.77,11.94;20.77,12;20.575,12;20.575,12.14;20.77,12.14;20.77,12.2;21.09,12.2;21.09,12.14;25.385,12.14;25.385,12.185;25.675,12.185;25.675,11.955;25.385,11.955;25.385,12;21.09,12;21.09,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (26.29,11.94;26.29,12;26.095,12;26.095,12.14;26.29,12.14;26.29,12.2;26.61,12.2;26.61,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (13.425,11.955;13.425,12.185;13.5,12.185;13.5,12.48;14.33,12.48;14.33,12.54;14.65,12.54;14.65,12.28;14.33,12.28;14.33,12.34;13.64,12.34;13.64,12.185;13.715,12.185;13.715,11.955) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (16.17,12.62;16.17,12.68;15.975,12.68;15.975,12.82;16.17,12.82;16.17,12.88;16.49,12.88;16.49,12.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (28.59,12.28;28.59,12.34;28.395,12.34;28.395,12.48;28.59,12.48;28.59,12.54;28.91,12.54;28.91,12.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.19,10.24;33.19,10.3;33.035,10.3;33.035,10.255;32.745,10.255;32.745,10.485;33.035,10.485;33.035,10.44;33.19,10.44;33.19,10.5;33.51,10.5;33.51,10.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,10.24;42.85,10.3;42.235,10.3;42.235,10.255;41.945,10.255;41.945,10.485;42.235,10.485;42.235,10.44;42.85,10.44;42.85,10.5;43.17,10.5;43.17,10.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.79,9.56;37.79,9.62;38.11,9.62;38.11,9.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.07,11.26;46.07,11.32;45.875,11.32;45.875,11.46;46.07,11.46;46.07,11.52;46.39,11.52;46.39,11.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.33,6.16;37.33,6.22;37.135,6.22;37.135,6.36;37.33,6.36;37.33,6.42;37.65,6.42;37.65,6.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.19,17.38;33.19,17.44;32.995,17.44;32.995,17.58;33.19,17.58;33.19,17.64;33.51,17.64;33.51,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.985,17.055;29.985,17.285;30.275,17.285;30.275,17.24;35.58,17.24;35.58,17.38;35.49,17.38;35.49,17.44;35.295,17.44;35.295,17.58;35.49,17.58;35.49,17.64;35.81,17.64;35.81,17.38;35.72,17.38;35.72,17.1;30.275,17.1;30.275,17.055) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (39.17,17.38;39.17,17.44;38.975,17.44;38.975,17.58;39.17,17.58;39.17,17.64;39.49,17.64;39.49,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.39,17.38;42.39,17.44;42.195,17.44;42.195,17.58;42.39,17.58;42.39,17.64;42.71,17.64;42.71,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.31,17.38;43.31,17.44;43.115,17.44;43.115,17.58;43.31,17.58;43.31,17.64;43.63,17.64;43.63,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.99,17.38;46.99,17.44;46.835,17.44;46.835,17.395;46.545,17.395;46.545,17.625;46.835,17.625;46.835,17.58;46.99,17.58;46.99,17.64;47.31,17.64;47.31,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.27,11.6;32.27,11.615;32.59,11.615;32.59,11.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.97,11.6;29.97,11.66;29.775,11.66;29.775,11.8;29.97,11.8;29.97,11.86;30.29,11.86;30.29,11.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.27,11.845;32.27,11.86;32.59,11.86;32.59,11.845) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.79,6.16;37.79,6.42;38.11,6.42;38.11,6.36;38.305,6.36;38.305,6.22;38.11,6.22;38.11,6.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.07,9.9;46.07,9.96;46.39,9.96;46.39,9.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.97,7.52;29.97,7.58;29.815,7.58;29.815,7.535;29.525,7.535;29.525,7.765;29.815,7.765;29.815,7.72;29.97,7.72;29.97,7.78;30.29,7.78;30.29,7.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.33,17.72;37.33,17.78;37.135,17.78;37.135,17.92;37.33,17.92;37.33,17.98;37.65,17.98;37.65,17.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (38.25,17.38;38.25,17.44;37.815,17.44;37.815,17.58;38.25,17.58;38.25,17.64;38.34,17.64;38.34,17.92;42.85,17.92;42.85,17.98;43.17,17.98;43.17,17.72;42.85,17.72;42.85,17.78;38.48,17.78;38.48,17.64;38.57,17.64;38.57,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.27,5.82;32.27,5.88;32.075,5.88;32.075,6.02;32.27,6.02;32.27,6.08;32.59,6.08;32.59,5.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.85,6.5;42.85,6.56;42.235,6.56;42.235,6.515;41.945,6.515;41.945,6.745;42.235,6.745;42.235,6.7;42.85,6.7;42.85,6.76;43.17,6.76;43.17,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (38.71,9.22;38.71,9.28;38.515,9.28;38.515,9.42;38.71,9.42;38.71,9.48;39.03,9.48;39.03,9.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (31.81,18.4;31.81,18.46;31.655,18.46;31.655,18.415;31.365,18.415;31.365,18.645;31.655,18.645;31.655,18.6;31.81,18.6;31.81,18.66;32.13,18.66;32.13,18.4) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.07,6.5;46.07,6.56;45.875,6.56;45.875,6.7;46.07,6.7;46.07,6.76;46.39,6.76;46.39,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.65,19.42;33.65,19.68;33.97,19.68;33.97,19.62;41.025,19.62;41.025,19.665;41.315,19.665;41.315,19.435;41.025,19.435;41.025,19.48;33.97,19.48;33.97,19.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.07,19.42;46.07,19.48;44.075,19.48;44.075,19.435;43.785,19.435;43.785,19.665;44.075,19.665;44.075,19.62;46.07,19.62;46.07,19.68;46.39,19.68;46.39,19.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (38.25,15;38.25,15.06;38.055,15.06;38.055,15.2;38.25,15.2;38.25,15.26;38.57,15.26;38.57,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (42.39,19.76;42.39,20.02;42.71,20.02;42.71,19.96;46.53,19.96;46.53,20.02;46.85,20.02;46.85,19.76;46.53,19.76;46.53,19.82;42.71,19.82;42.71,19.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (30.445,6.515;30.445,6.745;30.735,6.745;30.735,6.7;34.955,6.7;34.955,6.745;35.245,6.745;35.245,6.515;34.955,6.515;34.955,6.56;30.735,6.56;30.735,6.515) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.77,15.34;43.77,15.4;44.09,15.4;44.09,15.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,15;29.51,15.06;29.355,15.06;29.355,15.015;29.065,15.015;29.065,15.245;29.355,15.245;29.355,15.2;29.51,15.2;29.51,15.26;29.83,15.26;29.83,15.19;30.06,15.19;30.06,15.54;33.88,15.54;33.88,15.26;33.97,15.26;33.97,15.2;34.165,15.2;34.165,15.06;33.97,15.06;33.97,15;33.65,15;33.65,15.26;33.74,15.26;33.74,15.4;30.2,15.4;30.2,15.05;29.83,15.05;29.83,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.77,15.54;43.77,15.6;44.09,15.6;44.09,15.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (28.59,20.1;28.59,20.36;28.91,20.36;28.91,20.3;30.89,20.3;30.89,20.36;31.21,20.36;31.21,20.3;32.285,20.3;32.285,20.345;32.575,20.345;32.575,20.115;32.285,20.115;32.285,20.16;31.21,20.16;31.21,20.1;30.89,20.1;30.89,20.16;28.91,20.16;28.91,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.65,20.1;33.65,20.16;33.455,20.16;33.455,20.3;33.65,20.3;33.65,20.36;33.97,20.36;33.97,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (48.37,20.1;48.37,20.16;48.175,20.16;48.175,20.3;48.37,20.3;48.37,20.36;48.69,20.36;48.69,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,15.34;47.45,15.4;47.255,15.4;47.255,15.54;47.45,15.54;47.45,15.6;47.77,15.6;47.77,15.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.99,6.84;46.99,6.9;46.795,6.9;46.795,7.04;46.99,7.04;46.99,7.1;47.31,7.1;47.31,6.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,9.56;29.51,9.62;29.355,9.62;29.355,9.575;29.065,9.575;29.065,9.805;29.355,9.805;29.355,9.76;29.51,9.76;29.51,9.82;29.83,9.82;29.83,9.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (33.65,9.56;33.65,9.62;32.575,9.62;32.575,9.575;32.285,9.575;32.285,9.805;32.575,9.805;32.575,9.76;33.65,9.76;33.65,9.82;33.97,9.82;33.97,9.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.07,10.1;46.07,10.16;46.39,10.16;46.39,10.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.79,9.76;37.79,9.82;38.11,9.82;38.11,9.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.05,15.68;29.05,15.74;28.855,15.74;28.855,15.88;29.05,15.88;29.05,15.94;29.37,15.94;29.37,15.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (36.87,15.68;36.87,15.94;37.19,15.94;37.19,15.88;37.345,15.88;37.345,15.925;37.635,15.925;37.635,15.88;38.71,15.88;38.71,15.94;39.03,15.94;39.03,15.68;38.71,15.68;38.71,15.74;37.635,15.74;37.635,15.695;37.345,15.695;37.345,15.74;37.19,15.74;37.19,15.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (40.09,15.68;40.09,15.74;39.895,15.74;39.895,15.88;40.09,15.88;40.09,15.94;40.41,15.94;40.41,15.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.31,11.94;43.31,12.2;43.63,12.2;43.63,12.14;45.625,12.14;45.625,12.185;45.915,12.185;45.915,11.955;45.625,11.955;45.625,12;43.63,12;43.63,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (46.07,11.94;46.07,12.2;46.39,12.2;46.39,12.14;46.545,12.14;46.545,12.185;46.835,12.185;46.835,11.955;46.545,11.955;46.545,12;46.39,12;46.39,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (37.79,11.94;37.79,12;37.355,12;37.355,12.14;37.42,12.14;37.42,12.295;37.345,12.295;37.345,12.525;37.635,12.525;37.635,12.295;37.56,12.295;37.56,12.14;37.79,12.14;37.79,12.2;38.11,12.2;38.11,12.14;40.565,12.14;40.565,12.185;40.855,12.185;40.855,11.955;40.565,11.955;40.565,12;38.11,12;38.11,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (38.71,6.84;38.71,6.9;37.635,6.9;37.635,6.855;37.345,6.855;37.345,7.085;37.635,7.085;37.635,7.04;38.71,7.04;38.71,7.1;39.03,7.1;39.03,6.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (32.27,10.24;32.27,10.3;30.275,10.3;30.275,10.255;29.985,10.255;29.985,10.485;30.275,10.485;30.275,10.44;32.27,10.44;32.27,10.5;32.59,10.5;32.59,10.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (29.51,16.7;29.51,16.76;29.355,16.76;29.355,16.715;29.065,16.715;29.065,16.945;29.355,16.945;29.355,16.9;29.51,16.9;29.51,16.96;29.83,16.96;29.83,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (43.77,16.7;43.77,16.76;43.155,16.76;43.155,16.715;42.865,16.715;42.865,16.945;43.155,16.945;43.155,16.9;43.77,16.9;43.77,16.96;44.09,16.96;44.09,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (47.45,16.7;47.45,16.76;47.255,16.76;47.255,16.9;47.45,16.9;47.45,16.96;47.77,16.96;47.77,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.53,22.82;69.53,23.08;69.85,23.08;69.85,23.02;70.925,23.02;70.925,23.065;71.215,23.065;71.215,22.835;70.925,22.835;70.925,22.88;69.85,22.88;69.85,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.32,22.54;67.32,23.22;65.235,23.22;65.235,23.175;64.945,23.175;64.945,23.22;60.19,23.22;60.19,23.16;59.87,23.16;59.87,23.42;60.19,23.42;60.19,23.36;64.945,23.36;64.945,23.405;65.235,23.405;65.235,23.36;67.46,23.36;67.46,22.68;73.76,22.68;73.76,23.16;73.67,23.16;73.67,23.22;73.475,23.22;73.475,23.36;73.67,23.36;73.67,23.42;73.99,23.42;73.99,23.16;73.9,23.16;73.9,22.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.29,23.5;72.29,23.56;68.915,23.56;68.915,23.515;68.625,23.515;68.625,23.745;68.915,23.745;68.915,23.7;72.29,23.7;72.29,23.76;72.61,23.76;72.61,23.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.705,22.835;67.705,23.065;67.78,23.065;67.78,23.36;68.24,23.36;68.24,24.04;69.07,24.04;69.07,24.1;69.39,24.1;69.39,24.04;71.845,24.04;71.845,24.085;72.135,24.085;72.135,23.855;71.845,23.855;71.845,23.9;69.39,23.9;69.39,23.84;69.07,23.84;69.07,23.9;68.38,23.9;68.38,23.22;67.92,23.22;67.92,23.065;67.995,23.065;67.995,22.835) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.53,11.94;69.53,12.2;69.85,12.2;69.85,12.14;70.925,12.14;70.925,12.185;71.215,12.185;71.215,11.955;70.925,11.955;70.925,12;69.85,12;69.85,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (73.67,25.54;73.67,25.6;68.7,25.6;68.7,25.895;68.625,25.895;68.625,26.125;68.915,26.125;68.915,25.895;68.84,25.895;68.84,25.74;73.67,25.74;73.67,25.8;73.99,25.8;73.99,25.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.91,17.38;70.91,17.44;70.715,17.44;70.715,17.58;70.91,17.58;70.91,17.64;71.23,17.64;71.23,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.13,8.54;74.13,8.6;69.375,8.6;69.375,8.555;69.085,8.555;69.085,8.785;69.375,8.785;69.375,8.74;74.13,8.74;74.13,8.8;74.45,8.8;74.45,8.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.61,27.92;68.61,28.18;68.93,28.18;68.93,28.12;71.92,28.12;71.92,28.275;71.845,28.275;71.845,28.505;72.135,28.505;72.135,28.275;72.06,28.275;72.06,27.98;68.93,27.98;68.93,27.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.91,12.96;70.91,13.22;71.23,13.22;71.23,13.16;71.845,13.16;71.845,13.205;72.135,13.205;72.135,12.975;71.845,12.975;71.845,13.02;71.23,13.02;71.23,12.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.91,28.26;70.91,28.32;70.715,28.32;70.715,28.46;70.91,28.46;70.91,28.52;71.23,28.52;71.23,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (62.17,19.42;62.17,19.68;62.49,19.68;62.49,19.62;70.91,19.62;70.91,19.68;71.23,19.68;71.23,19.42;70.91,19.42;70.91,19.48;62.49,19.48;62.49,19.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (82.87,29.28;82.87,29.34;67.075,29.34;67.075,29.295;66.785,29.295;66.785,29.525;67.075,29.525;67.075,29.48;82.87,29.48;82.87,29.54;83.19,29.54;83.19,29.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.61,20.1;91.61,20.16;87.56,20.16;87.56,20.5;85.475,20.5;85.475,20.455;85.185,20.455;85.185,20.685;85.475,20.685;85.475,20.64;87.7,20.64;87.7,20.3;91.61,20.3;91.61,20.36;91.93,20.36;91.93,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.685,9.575;50.685,9.805;50.76,9.805;50.76,10.1;58.03,10.1;58.03,10.16;58.12,10.16;58.12,10.44;72.75,10.44;72.75,10.5;73.07,10.5;73.07,10.44;78.04,10.44;78.04,9.805;78.115,9.805;78.115,9.575;77.825,9.575;77.825,9.805;77.9,9.805;77.9,10.3;73.07,10.3;73.07,10.24;72.75,10.24;72.75,10.3;67.46,10.3;67.46,9.805;67.535,9.805;67.535,9.575;67.245,9.575;67.245,9.805;67.32,9.805;67.32,10.3;58.26,10.3;58.26,10.16;58.35,10.16;58.35,9.9;58.03,9.9;58.03,9.96;50.9,9.96;50.9,9.805;50.975,9.805;50.975,9.575) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.45,30.98;70.45,31.24;70.77,31.24;70.77,31.18;71.46,31.18;71.46,31.335;71.385,31.335;71.385,31.565;71.675,31.565;71.675,31.52;74.36,31.52;74.36,31.225;74.435,31.225;74.435,30.995;74.145,30.995;74.145,31.225;74.22,31.225;74.22,31.38;71.675,31.38;71.675,31.335;71.6,31.335;71.6,31.04;70.77,31.04;70.77,30.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.05,20.44;52.05,20.5;50.515,20.5;50.515,20.455;50.225,20.455;50.225,20.685;50.515,20.685;50.515,20.64;52.05,20.64;52.05,20.7;52.37,20.7;52.37,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.97,20.44;52.97,20.5;52.775,20.5;52.775,20.64;52.97,20.64;52.97,20.7;53.29,20.7;53.29,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.35,20.1;54.35,20.36;54.67,20.36;54.67,20.3;60.42,20.3;60.42,20.455;60.345,20.455;60.345,20.685;60.635,20.685;60.635,20.64;62.17,20.64;62.17,20.7;62.49,20.7;62.49,20.64;62.685,20.64;62.685,20.5;62.49,20.5;62.49,20.44;62.17,20.44;62.17,20.49;62.03,20.49;62.03,20.5;60.635,20.5;60.635,20.455;60.56,20.455;60.56,20.16;54.67,20.16;54.67,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.07,20.44;69.07,20.5;67.075,20.5;67.075,20.455;66.785,20.455;66.785,20.685;67.075,20.685;67.075,20.64;69.07,20.64;69.07,20.7;69.39,20.7;69.39,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.45,20.44;70.45,20.5;70.295,20.5;70.295,20.455;70.005,20.455;70.005,20.685;70.295,20.685;70.295,20.64;70.45,20.64;70.45,20.7;70.77,20.7;70.77,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.39,20.44;88.39,20.5;88.195,20.5;88.195,20.64;88.39,20.64;88.39,20.7;88.71,20.7;88.71,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.245,20.455;90.245,20.5;88.94,20.5;88.94,20.84;85.95,20.84;85.95,20.78;85.63,20.78;85.63,21.04;85.95,21.04;85.95,20.98;89.08,20.98;89.08,20.64;90.245,20.64;90.245,20.685;90.535,20.685;90.535,20.455) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.75,30.64;49.75,30.9;50.07,30.9;50.07,30.84;65.94,30.84;65.94,31.335;65.865,31.335;65.865,31.565;66.155,31.565;66.155,31.52;70.925,31.52;70.925,31.565;71,31.565;71,31.86;74.13,31.86;74.13,31.92;74.45,31.92;74.45,31.66;74.13,31.66;74.13,31.72;71.14,31.72;71.14,31.565;71.215,31.565;71.215,31.335;70.925,31.335;70.925,31.38;66.155,31.38;66.155,31.335;66.08,31.335;66.08,30.7;50.07,30.7;50.07,30.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.15,20.44;91.15,20.5;90.78,20.5;90.78,20.84;90.32,20.84;90.32,21.18;86.41,21.18;86.41,21.12;86.09,21.12;86.09,21.38;86.41,21.38;86.41,21.32;90.46,21.32;90.46,20.98;90.92,20.98;90.92,20.64;91.15,20.64;91.15,20.7;91.47,20.7;91.47,20.64;91.905,20.64;91.905,20.5;91.47,20.5;91.47,20.44) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.61,33.7;68.61,33.96;68.93,33.96;68.93,33.9;71.385,33.9;71.385,33.945;71.675,33.945;71.675,33.715;71.385,33.715;71.385,33.76;68.93,33.76;68.93,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.57,23.84;80.57,24.1;80.89,24.1;80.89,24.04;82.425,24.04;82.425,24.085;82.715,24.085;82.715,23.855;82.425,23.855;82.425,23.9;80.89,23.9;80.89,23.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.39,25.54;88.39,25.8;88.71,25.8;88.71,25.74;89.785,25.74;89.785,25.785;90.075,25.785;90.075,25.555;89.785,25.555;89.785,25.6;88.71,25.6;88.71,25.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (73.67,28.26;73.67,28.52;73.99,28.52;73.99,28.46;74.605,28.46;74.605,28.505;74.895,28.505;74.895,28.275;74.605,28.275;74.605,28.32;73.99,28.32;73.99,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,28.26;90.23,28.32;89.615,28.32;89.615,28.275;89.325,28.275;89.325,28.505;89.615,28.505;89.615,28.46;90.23,28.46;90.23,28.52;90.55,28.52;90.55,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,22.82;90.23,22.88;90.035,22.88;90.035,23.02;90.23,23.02;90.23,23.08;90.55,23.08;90.55,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.27,28.6;78.27,28.66;76.275,28.66;76.275,28.615;75.985,28.615;75.985,28.845;76.275,28.845;76.275,28.8;78.27,28.8;78.27,28.86;78.59,28.86;78.59,28.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (79.19,28.6;79.19,28.86;79.51,28.86;79.51,28.8;85.185,28.8;85.185,28.845;85.475,28.845;85.475,28.615;85.185,28.615;85.185,28.66;79.51,28.66;79.51,28.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.47,28.94;87.47,29;87.275,29;87.275,29.14;87.47,29.14;87.47,29.2;87.79,29.2;87.79,28.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.85,28.94;88.85,29.2;89.17,29.2;89.17,29.14;91.625,29.14;91.625,29.185;91.915,29.185;91.915,28.955;91.625,28.955;91.625,29;89.17,29;89.17,28.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.05,36.08;75.05,36.14;74.855,36.14;74.855,36.28;75.05,36.28;75.05,36.34;75.37,36.34;75.37,36.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.69,29.28;90.69,29.34;90.535,29.34;90.535,29.295;90.245,29.295;90.245,29.525;90.535,29.525;90.535,29.48;90.69,29.48;90.69,29.54;91.01,29.54;91.01,29.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.43,30.3;76.43,30.36;76.235,30.36;76.235,30.5;76.43,30.5;76.43,30.56;76.75,30.56;76.75,30.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.47,30.64;87.47,30.9;87.79,30.9;87.79,30.84;90.705,30.84;90.705,30.885;90.995,30.885;90.995,30.655;90.705,30.655;90.705,30.7;87.79,30.7;87.79,30.64) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.29,26.22;72.29,26.235;72.61,26.235;72.61,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (73.76,30.7;73.76,30.995;73.685,30.995;73.685,31.225;73.975,31.225;73.975,30.995;73.9,30.995;73.9,30.84;76.52,30.84;76.52,30.98;76.43,30.98;76.43,31.24;76.75,31.24;76.75,30.98;76.66,30.98;76.66,30.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.25,23.16;84.25,23.42;84.57,23.42;84.57,23.36;88.405,23.36;88.405,23.405;88.695,23.405;88.695,23.175;88.405,23.175;88.405,23.22;84.57,23.22;84.57,23.16) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.29,26.465;72.29,26.48;72.61,26.48;72.61,26.465) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (81.965,31.335;81.965,31.565;82.255,31.565;82.255,31.52;84.265,31.52;84.265,31.565;84.555,31.565;84.555,31.335;84.265,31.335;84.265,31.38;82.255,31.38;82.255,31.335) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.93,26.22;87.93,26.28;87.775,26.28;87.775,26.235;87.485,26.235;87.485,26.465;87.775,26.465;87.775,26.42;87.93,26.42;87.93,26.48;88.25,26.48;88.25,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.63,22.82;85.63,22.88;85.435,22.88;85.435,23.02;85.63,23.02;85.63,23.08;85.95,23.08;85.95,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.13,26.56;74.13,26.82;74.45,26.82;74.45,26.76;77.365,26.76;77.365,26.805;77.655,26.805;77.655,26.575;77.365,26.575;77.365,26.62;74.45,26.62;74.45,26.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.73,26.56;78.73,26.82;79.05,26.82;79.05,26.76;79.205,26.76;79.205,26.805;79.495,26.805;79.495,26.575;79.205,26.575;79.205,26.62;79.05,26.62;79.05,26.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.09,26.22;86.09,26.28;83.88,26.28;83.88,26.575;83.805,26.575;83.805,26.62;83.19,26.62;83.19,26.56;82.87,26.56;82.87,26.62;82.255,26.62;82.255,26.575;81.965,26.575;81.965,26.805;82.255,26.805;82.255,26.76;82.87,26.76;82.87,26.82;83.19,26.82;83.19,26.76;83.805,26.76;83.805,26.805;84.095,26.805;84.095,26.575;84.02,26.575;84.02,26.42;86.09,26.42;86.09,26.48;86.41,26.48;86.41,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.55,26.56;86.55,26.62;86.355,26.62;86.355,26.76;86.55,26.76;86.55,26.82;86.87,26.82;86.87,26.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (75.05,31.32;75.05,31.38;74.855,31.38;74.855,31.52;75.05,31.52;75.05,31.58;75.37,31.58;75.37,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (79.65,31.32;79.65,31.38;75.815,31.38;75.815,31.335;75.525,31.335;75.525,31.565;75.815,31.565;75.815,31.52;79.65,31.52;79.65,31.58;79.97,31.58;79.97,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,31.32;90.23,31.38;90.035,31.38;90.035,31.52;90.23,31.52;90.23,31.58;90.55,31.58;90.55,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,20.78;83.33,20.84;80.315,20.84;80.315,20.98;83.33,20.98;83.33,21.04;83.65,21.04;83.65,20.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.11,27.58;80.11,27.84;80.43,27.84;80.43,27.78;83.345,27.78;83.345,27.825;83.635,27.825;83.635,27.595;83.345,27.595;83.345,27.64;80.43,27.64;80.43,27.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (89.77,20.78;89.77,20.84;89.575,20.84;89.575,20.98;89.77,20.98;89.77,21.04;90.09,21.04;90.09,20.78) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.305,27.595;72.305,27.825;72.595,27.825;72.595,27.78;76.52,27.78;76.52,28.12;78.265,28.12;78.265,27.98;76.66,27.98;76.66,27.64;72.595,27.64;72.595,27.595) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (79.19,31.66;79.19,31.92;79.51,31.92;79.51,31.86;80.125,31.86;80.125,31.905;80.415,31.905;80.415,31.675;80.125,31.675;80.125,31.72;79.51,31.72;79.51,31.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.63,31.66;85.63,31.72;81.335,31.72;81.335,31.675;81.045,31.675;81.045,31.905;81.335,31.905;81.335,31.86;85.63,31.86;85.63,31.92;85.95,31.92;85.95,31.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (82.87,32;82.87,32.06;79.035,32.06;79.035,32.015;78.745,32.015;78.745,32.245;79.035,32.245;79.035,32.2;82.87,32.2;82.87,32.26;83.19,32.26;83.19,32.2;85.17,32.2;85.17,32.26;85.49,32.26;85.49,32;85.17,32;85.17,32.06;83.19,32.06;83.19,32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.35,21.12;77.35,21.18;71.215,21.18;71.215,21.135;70.925,21.135;70.925,21.365;71.215,21.365;71.215,21.32;77.35,21.32;77.35,21.38;77.67,21.38;77.67,21.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (79.65,33.02;79.65,33.08;79.455,33.08;79.455,33.22;79.65,33.22;79.65,33.28;79.97,33.28;79.97,33.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.17,21.12;85.17,21.18;84.555,21.18;84.555,21.135;84.265,21.135;84.265,21.365;84.555,21.365;84.555,21.32;85.17,21.32;85.17,21.38;85.49,21.38;85.49,21.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.93,27.92;87.93,28.18;88.25,28.18;88.25,28.12;88.445,28.12;88.445,27.98;88.25,27.98;88.25,27.92) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,33.36;90.23,33.42;86.855,33.42;86.855,33.375;86.565,33.375;86.565,33.605;86.855,33.605;86.855,33.56;90.23,33.56;90.23,33.62;90.55,33.62;90.55,33.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.69,33.36;90.69,33.62;91.01,33.62;91.01,33.56;91.205,33.56;91.205,33.42;91.01,33.42;91.01,33.36) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.35,22.48;77.35,22.495;77.67,22.495;77.67,22.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.43,22.48;76.43,22.54;75.355,22.54;75.355,22.495;75.065,22.495;75.065,22.725;75.355,22.725;75.355,22.68;76.43,22.68;76.43,22.74;76.75,22.74;76.75,22.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.35,22.725;77.35,22.74;77.67,22.74;77.67,22.725) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.09,22.82;86.09,23.08;86.41,23.08;86.41,23.02;86.605,23.02;86.605,22.88;86.41,22.88;86.41,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (76.43,33.7;76.43,33.76;73.515,33.76;73.515,33.715;73.225,33.715;73.225,33.945;73.515,33.945;73.515,33.9;76.43,33.9;76.43,33.96;76.75,33.96;76.75,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (77.35,33.7;77.35,33.96;77.67,33.96;77.67,33.9;77.825,33.9;77.825,33.945;78.115,33.945;78.115,33.715;77.825,33.715;77.825,33.76;77.67,33.76;77.67,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (82.04,33.42;82.04,33.715;81.965,33.715;81.965,33.76;80.89,33.76;80.89,33.7;80.57,33.7;80.57,33.96;80.89,33.96;80.89,33.9;81.965,33.9;81.965,33.945;82.255,33.945;82.255,33.715;82.18,33.715;82.18,33.56;86.18,33.56;86.18,33.9;87.945,33.9;87.945,33.945;88.235,33.945;88.235,33.715;87.945,33.715;87.945,33.76;86.32,33.76;86.32,33.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.71,22.48;84.71,22.54;84.515,22.54;84.515,22.68;84.71,22.68;84.71,22.74;85.03,22.74;85.03,22.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.745,33.715;78.745,33.945;78.82,33.945;78.82,34.1;76.29,34.1;76.29,34.04;75.97,34.04;75.97,34.1;75.775,34.1;75.775,34.24;75.97,34.24;75.97,34.3;76.29,34.3;76.29,34.24;78.96,34.24;78.96,33.945;79.035,33.945;79.035,33.715) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.645,33.715;85.645,33.945;85.72,33.945;85.72,34.24;86.55,34.24;86.55,34.3;86.87,34.3;86.87,34.24;90.245,34.24;90.245,34.285;90.535,34.285;90.535,34.055;90.245,34.055;90.245,34.1;86.87,34.1;86.87,34.04;86.55,34.04;86.55,34.1;85.86,34.1;85.86,33.945;85.935,33.945;85.935,33.715) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.17,22.48;85.17,22.74;85.49,22.74;85.49,22.68;88.02,22.68;88.02,22.835;87.945,22.835;87.945,23.065;88.235,23.065;88.235,22.835;88.16,22.835;88.16,22.54;85.49,22.54;85.49,22.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,31.66;55.27,31.72;54.655,31.72;54.655,31.675;54.365,31.675;54.365,31.905;54.655,31.905;54.655,31.86;55.27,31.86;55.27,31.92;55.59,31.92;55.59,31.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.67,26.22;50.67,26.28;50.99,26.28;50.99,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.245,30.995;67.245,31.225;67.535,31.225;67.535,31.18;70.005,31.18;70.005,31.225;70.295,31.225;70.295,30.995;70.005,30.995;70.005,31.04;67.535,31.04;67.535,30.995) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (49.75,28.26;49.75,28.32;49.555,28.32;49.555,28.46;49.75,28.46;49.75,28.52;50.07,28.52;50.07,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.01,30.98;64.01,31.04;61.095,31.04;61.095,30.995;60.805,30.995;60.805,31.225;61.095,31.225;61.095,31.18;64.01,31.18;64.01,31.24;64.33,31.24;64.33,30.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.87,21.12;59.87,21.18;59.675,21.18;59.675,21.32;59.87,21.32;59.87,21.38;60.19,21.38;60.19,21.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.79,32;60.79,32.06;60.595,32.06;60.595,32.2;60.79,32.2;60.79,32.26;61.11,32.26;61.11,32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (66.31,30.98;66.31,31.24;66.63,31.24;66.63,31.18;66.825,31.18;66.825,31.04;66.63,31.04;66.63,30.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,21.12;63.09,21.18;62.895,21.18;62.895,21.32;63.09,21.32;63.09,21.38;63.41,21.38;63.41,21.12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (57.57,28.26;57.57,28.32;57.375,28.32;57.375,28.46;57.57,28.46;57.57,28.52;57.89,28.52;57.89,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (60.79,33.02;60.79,33.28;61.11,33.28;61.11,33.22;62.645,33.22;62.645,33.265;62.935,33.265;62.935,33.035;62.645,33.035;62.645,33.08;61.11,33.08;61.11,33.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.55,33.02;63.55,33.28;63.87,33.28;63.87,33.22;64.485,33.22;64.485,33.265;64.775,33.265;64.775,33.035;64.485,33.035;64.485,33.08;63.87,33.08;63.87,33.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (65.39,33.02;65.39,33.08;65.195,33.08;65.195,33.22;65.39,33.22;65.39,33.28;65.71,33.28;65.71,33.02) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.53,28.26;69.53,28.32;66.155,28.32;66.155,28.275;65.865,28.275;65.865,28.505;66.155,28.505;66.155,28.46;69.53,28.46;69.53,28.52;69.85,28.52;69.85,28.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.05,26.56;52.05,26.82;52.37,26.82;52.37,26.76;54.365,26.76;54.365,26.805;54.655,26.805;54.655,26.575;54.365,26.575;54.365,26.62;52.37,26.62;52.37,26.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.01,26.56;64.01,26.82;64.33,26.82;64.33,26.76;66.785,26.76;66.785,26.805;67.075,26.805;67.075,26.575;66.785,26.575;66.785,26.62;64.33,26.62;64.33,26.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,26.22;63.09,26.28;63.41,26.28;63.41,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.67,23.5;50.67,23.56;50.475,23.56;50.475,23.7;50.67,23.7;50.67,23.76;50.99,23.76;50.99,23.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (54.825,33.035;54.825,33.265;55.115,33.265;55.115,33.22;57.66,33.22;57.66,33.715;57.585,33.715;57.585,33.945;57.875,33.945;57.875,33.715;57.8,33.715;57.8,33.08;55.115,33.08;55.115,33.035) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.67,26.42;50.67,26.48;50.99,26.48;50.99,26.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.87,22.48;59.87,22.54;60.19,22.54;60.19,22.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.87,22.68;59.87,22.74;60.19,22.74;60.19,22.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.55,22.48;63.55,22.54;63.355,22.54;63.355,22.68;63.55,22.68;63.55,22.74;63.87,22.74;63.87,22.48) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (56.65,26.22;56.65,26.48;56.97,26.48;56.97,26.42;59.425,26.42;59.425,26.465;59.715,26.465;59.715,26.235;59.425,26.235;59.425,26.28;56.97,26.28;56.97,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (56.65,27.58;56.65,27.64;56.455,27.64;56.455,27.78;56.65,27.78;56.65,27.84;56.97,27.84;56.97,27.58) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.3,33.42;50.3,33.715;50.225,33.715;50.225,33.945;50.515,33.945;50.515,33.715;50.44,33.715;50.44,33.56;54.9,33.56;54.9,33.715;54.825,33.715;54.825,33.945;55.115,33.945;55.115,33.9;55.27,33.9;55.27,33.96;55.59,33.96;55.59,33.7;55.27,33.7;55.27,33.76;55.115,33.76;55.115,33.715;55.04,33.715;55.04,33.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.41,33.7;59.41,33.76;59.215,33.76;59.215,33.9;59.41,33.9;59.41,33.96;59.73,33.96;59.73,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.69,33.7;67.69,33.96;68.01,33.96;68.01,33.9;68.205,33.9;68.205,33.76;68.01,33.76;68.01,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,26.42;63.09,26.48;63.41,26.48;63.41,26.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,31.32;52.51,31.38;52.315,31.38;52.315,31.52;52.51,31.52;52.51,31.58;52.83,31.58;52.83,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.43,31.32;53.43,31.38;53.235,31.38;53.235,31.52;53.43,31.52;53.43,31.58;53.75,31.58;53.75,31.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.99,26.22;69.99,26.28;69.795,26.28;69.795,26.42;69.99,26.42;69.99,26.48;70.31,26.48;70.31,26.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (68.61,22.82;68.61,22.88;68.415,22.88;68.415,23.02;68.61,23.02;68.61,23.08;68.93,23.08;68.93,22.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.43,34.04;53.43,34.1;53.275,34.1;53.275,34.055;52.985,34.055;52.985,34.285;53.275,34.285;53.275,34.24;53.43,34.24;53.43,34.3;53.75,34.3;53.75,34.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (61.25,33.7;61.25,33.96;61.57,33.96;61.57,33.9;65.095,33.9;65.095,33.945;65.385,33.945;65.385,33.9;67.32,33.9;67.32,34.24;70.45,34.24;70.45,34.3;70.77,34.3;70.77,34.04;70.45,34.04;70.45,34.1;67.46,34.1;67.46,33.76;65.385,33.76;65.385,33.715;65.095,33.715;65.095,33.76;61.57,33.76;61.57,33.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (57.57,30.3;57.57,30.56;57.89,30.56;57.89,30.5;58.505,30.5;58.505,30.545;58.795,30.545;58.795,30.315;58.505,30.315;58.505,30.36;57.89,30.36;57.89,30.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (69.99,30.3;69.99,30.36;68.455,30.36;68.455,30.315;68.165,30.315;68.165,30.545;68.455,30.545;68.455,30.5;69.99,30.5;69.99,30.56;70.31,30.56;70.31,30.3) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (66.31,34.38;66.31,34.64;66.63,34.64;66.63,34.58;67.245,34.58;67.245,34.625;67.535,34.625;67.535,34.395;67.245,34.395;67.245,34.44;66.63,34.44;66.63,34.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.69,23.84;67.69,23.9;56.495,23.9;56.495,23.855;56.205,23.855;56.205,24.085;56.495,24.085;56.495,24.04;67.69,24.04;67.69,24.1;68.01,24.1;68.01,23.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.43,35.74;53.43,35.8;53.235,35.8;53.235,35.94;53.43,35.94;53.43,36;53.75,36;53.75,35.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (70.45,35.74;70.45,35.8;70.255,35.8;70.255,35.94;70.45,35.94;70.45,36;70.77,36;70.77,35.74) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (67.69,36.08;67.69,36.14;67.495,36.14;67.495,36.28;67.69,36.28;67.69,36.34;68.01,36.34;68.01,36.08) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.87,7.52;59.87,7.78;60.19,7.78;60.19,7.72;60.805,7.72;60.805,7.765;61.095,7.765;61.095,7.535;60.805,7.535;60.805,7.58;60.19,7.58;60.19,7.52) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.73,9.22;55.73,9.28;55.535,9.28;55.535,9.42;55.73,9.42;55.73,9.48;56.05,9.48;56.05,9.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,8.54;52.51,8.8;52.83,8.8;52.83,8.74;58.505,8.74;58.505,8.785;58.795,8.785;58.795,8.555;58.505,8.555;58.505,8.6;52.83,8.6;52.83,8.54) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,15;52.51,15.26;52.83,15.26;52.83,15.2;53.905,15.2;53.905,15.245;54.195,15.245;54.195,15.015;53.905,15.015;53.905,15.06;52.83,15.06;52.83,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.525,11.955;52.525,12.185;52.6,12.185;52.6,12.48;52.97,12.48;52.97,12.54;53.29,12.54;53.29,12.48;53.905,12.48;53.905,12.525;54.195,12.525;54.195,12.295;53.905,12.295;53.905,12.34;53.29,12.34;53.29,12.28;52.97,12.28;52.97,12.34;52.74,12.34;52.74,12.185;52.815,12.185;52.815,11.955) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.03,12.28;58.03,12.54;58.35,12.54;58.35,12.48;59.885,12.48;59.885,12.525;60.175,12.525;60.175,12.295;59.885,12.295;59.885,12.34;58.35,12.34;58.35,12.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,11.26;55.27,11.52;55.59,11.52;55.59,11.46;56.74,11.46;56.74,11.615;56.665,11.615;56.665,11.845;56.955,11.845;56.955,11.615;56.88,11.615;56.88,11.32;55.59,11.32;55.59,11.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.03,15;58.03,15.06;57.835,15.06;57.835,15.2;58.03,15.2;58.03,15.26;58.35,15.26;58.35,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,9.9;63.09,9.96;63.225,9.96;63.225,9.915;63.41,9.915;63.41,9.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (50.685,17.055;50.685,17.285;50.975,17.285;50.975,17.24;54.44,17.24;54.44,17.395;54.365,17.395;54.365,17.625;54.655,17.625;54.655,17.395;54.58,17.395;54.58,17.1;50.975,17.1;50.975,17.055) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.05,19.42;52.05,19.48;51.855,19.48;51.855,19.62;52.05,19.62;52.05,19.68;52.37,19.68;52.37,19.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.97,16.7;52.97,16.96;53.29,16.96;53.29,16.9;54.9,16.9;54.9,17.395;54.825,17.395;54.825,17.625;55.115,17.625;55.115,17.395;55.04,17.395;55.04,16.76;53.29,16.76;53.29,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,14.32;63.09,14.38;62.475,14.38;62.475,14.335;62.185,14.335;62.185,14.565;62.475,14.565;62.475,14.52;63.09,14.52;63.09,14.58;63.41,14.58;63.41,14.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.93,17.38;64.93,17.64;65.25,17.64;65.25,17.6;65.005,17.6;65.005,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.95,15;58.95,15.06;58.755,15.06;58.755,15.2;58.95,15.2;58.95,15.26;59.27,15.26;59.27,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.73,12.62;55.73,12.88;56.05,12.88;56.05,12.82;59.5,12.82;59.5,13.16;68.625,13.16;68.625,13.205;68.915,13.205;68.915,12.975;68.625,12.975;68.625,13.02;59.64,13.02;59.64,12.68;56.05,12.68;56.05,12.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (66.77,14.32;66.77,14.38;66.575,14.38;66.575,14.52;66.77,14.52;66.77,14.58;67.09,14.58;67.09,14.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (51.13,14.66;51.13,14.92;51.45,14.92;51.45,14.86;52.985,14.86;52.985,14.905;53.275,14.905;53.275,14.675;52.985,14.675;52.985,14.72;51.45,14.72;51.45,14.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,11.94;55.27,12;55.115,12;55.115,11.955;54.825,11.955;54.825,12.185;55.115,12.185;55.115,12.14;55.27,12.14;55.27,12.2;55.59,12.2;55.59,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (66.77,11.94;66.77,12.2;67.09,12.2;67.09,12.16;66.845,12.16;66.845,11.94) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (51.13,11.26;51.13,11.32;50.935,11.32;50.935,11.46;51.13,11.46;51.13,11.52;51.45,11.52;51.45,11.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,9.56;55.27,9.62;55.075,9.62;55.075,9.76;55.27,9.76;55.27,9.82;55.59,9.82;55.59,9.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.905,8.895;53.905,9.125;54.195,9.125;54.195,9.08;59.96,9.08;59.96,9.42;65.865,9.42;65.865,9.465;66.155,9.465;66.155,9.235;65.865,9.235;65.865,9.28;60.1,9.28;60.1,8.94;54.195,8.94;54.195,8.895) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (53.89,20.1;53.89,20.16;53.695,20.16;53.695,20.3;53.89,20.3;53.89,20.36;54.21,20.36;54.21,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.73,11.6;55.73,11.66;50.515,11.66;50.515,11.615;50.225,11.615;50.225,11.845;50.515,11.845;50.515,11.8;55.73,11.8;55.73,11.86;56.05,11.86;56.05,11.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.87,11.6;59.87,11.86;60.19,11.86;60.19,11.8;61.265,11.8;61.265,11.845;61.555,11.845;61.555,11.615;61.265,11.615;61.265,11.66;60.19,11.66;60.19,11.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (64.93,20.1;64.93,20.16;64.735,20.16;64.735,20.3;64.93,20.3;64.93,20.36;65.25,20.36;65.25,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (57.57,17.72;57.57,17.98;57.89,17.98;57.89,17.92;58.045,17.92;58.045,17.965;58.335,17.965;58.335,17.735;58.045,17.735;58.045,17.78;57.89,17.78;57.89,17.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (63.09,10.1;63.09,10.16;63.41,10.16;63.41,10.145;63.225,10.145;63.225,10.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (56.665,17.055;56.665,17.285;56.955,17.285;56.955,17.24;59.425,17.24;59.425,17.285;59.715,17.285;59.715,17.055;59.425,17.055;59.425,17.1;56.955,17.1;56.955,17.055) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (58.95,12.96;58.95,13.02;56.495,13.02;56.495,12.975;56.205,12.975;56.205,13.205;56.495,13.205;56.495,13.16;58.95,13.16;58.95,13.22;59.27,13.22;59.27,12.96) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (52.51,6.5;52.51,6.56;52.315,6.56;52.315,6.7;52.51,6.7;52.51,6.76;52.83,6.76;52.83,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.73,6.84;55.73,6.9;55.535,6.9;55.535,7.04;55.73,7.04;55.73,7.1;56.05,7.1;56.05,6.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.41,6.5;59.41,6.76;59.73,6.76;59.73,6.7;59.885,6.7;59.885,6.745;60.175,6.745;60.175,6.515;59.885,6.515;59.885,6.56;59.73,6.56;59.73,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (59.41,13.98;59.41,14.24;59.73,14.24;59.73,14.18;59.885,14.18;59.885,14.225;60.175,14.225;60.175,13.995;59.885,13.995;59.885,14.04;59.73,14.04;59.73,13.98) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (55.27,14.32;55.27,14.38;55.075,14.38;55.075,14.52;55.27,14.52;55.27,14.58;55.59,14.58;55.59,14.32) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.385,15.355;71.385,15.585;71.675,15.585;71.675,15.54;76.425,15.54;76.425,15.4;71.675,15.4;71.675,15.355) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (82.87,5.82;82.87,5.88;81.795,5.88;81.795,5.835;81.505,5.835;81.505,6.065;81.795,6.065;81.795,6.02;82.87,6.02;82.87,6.08;83.19,6.08;83.19,5.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.15,18.4;91.15,18.66;91.47,18.66;91.47,18.6;91.625,18.6;91.625,18.645;91.915,18.645;91.915,18.415;91.625,18.415;91.625,18.46;91.47,18.46;91.47,18.4) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.37,10.1;71.37,10.16;71.69,10.16;71.69,10.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.71,17.04;84.71,17.1;83.075,17.1;83.075,17.24;84.71,17.24;84.71,17.3;85.03,17.3;85.03,17.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.85,16.7;88.85,16.96;89.17,16.96;89.17,16.9;89.325,16.9;89.325,16.945;89.615,16.945;89.615,16.715;89.325,16.715;89.325,16.76;89.17,16.76;89.17,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.93,6.5;87.93,6.56;87.735,6.56;87.735,6.7;87.93,6.7;87.93,6.76;88.25,6.76;88.25,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.805,6.515;83.805,6.56;82.73,6.56;82.73,6.9;80.89,6.9;80.89,6.84;80.57,6.84;80.57,7.1;80.89,7.1;80.89,7.04;82.87,7.04;82.87,6.7;83.805,6.7;83.805,6.745;84.095,6.745;84.095,6.515) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.15,6.5;91.15,6.56;90.995,6.56;90.995,6.515;90.705,6.515;90.705,6.745;90.995,6.745;90.995,6.7;91.15,6.7;91.15,6.76;91.47,6.76;91.47,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (79.65,8.88;79.65,8.94;79.455,8.94;79.455,9.08;79.65,9.08;79.65,9.14;79.97,9.14;79.97,8.88) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.93,12.62;87.93,12.68;87.735,12.68;87.735,12.82;87.93,12.82;87.93,12.88;88.25,12.88;88.25,12.62) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.37,9.9;71.37,9.96;71.69,9.96;71.69,9.9) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (79.65,6.5;79.65,6.76;79.97,6.76;79.97,6.72;79.725,6.72;79.725,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.13,6.84;74.13,6.9;73.935,6.9;73.935,7.04;74.13,7.04;74.13,7.1;74.45,7.1;74.45,6.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.15,10.24;91.15,10.3;90.995,10.3;90.995,10.255;90.705,10.255;90.705,10.485;90.995,10.485;90.995,10.44;91.15,10.44;91.15,10.5;91.47,10.5;91.47,10.24) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.59,9.22;74.59,9.48;74.91,9.48;74.91,9.42;76.445,9.42;76.445,9.465;76.735,9.465;76.735,9.235;76.445,9.235;76.445,9.28;74.91,9.28;74.91,9.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.85,11.26;88.85,11.32;84.555,11.32;84.555,11.275;84.265,11.275;84.265,11.505;84.555,11.505;84.555,11.46;88.85,11.46;88.85,11.52;89.17,11.52;89.17,11.46;89.4,11.46;89.4,11.615;89.325,11.615;89.325,11.845;89.615,11.845;89.615,11.615;89.54,11.615;89.54,11.32;89.17,11.32;89.17,11.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.01,15.34;87.01,15.6;87.33,15.6;87.33,15.54;87.485,15.54;87.485,15.585;87.775,15.585;87.775,15.355;87.485,15.355;87.485,15.4;87.33,15.4;87.33,15.34) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.33,5.82;83.33,6.08;83.65,6.08;83.65,6.02;84.265,6.02;84.265,6.065;84.555,6.065;84.555,5.835;84.265,5.835;84.265,5.88;83.65,5.88;83.65,5.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.57,9.56;80.57,9.62;80.375,9.62;80.375,9.76;80.57,9.76;80.57,9.82;80.89,9.82;80.89,9.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.79,19.42;83.79,19.48;81.795,19.48;81.795,19.435;81.505,19.435;81.505,19.665;81.795,19.665;81.795,19.62;83.79,19.62;83.79,19.68;84.11,19.68;84.11,19.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.47,19.42;87.47,19.68;87.79,19.68;87.79,19.62;88.405,19.62;88.405,19.665;88.695,19.665;88.695,19.435;88.405,19.435;88.405,19.48;87.79,19.48;87.79,19.42) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (82.87,9.22;82.87,9.48;83.19,9.48;83.19,9.42;86.64,9.42;86.64,9.575;86.565,9.575;86.565,9.805;86.855,9.805;86.855,9.575;86.78,9.575;86.78,9.28;83.19,9.28;83.19,9.22) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (83.79,9.56;83.79,9.82;84.11,9.82;84.11,9.76;85.645,9.76;85.645,9.805;85.935,9.805;85.935,9.575;85.645,9.575;85.645,9.62;84.11,9.62;84.11,9.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.27,17.04;78.27,17.1;71.92,17.1;71.92,17.38;71.83,17.38;71.83,17.44;71.635,17.44;71.635,17.58;71.83,17.58;71.83,17.64;72.15,17.64;72.15,17.38;72.06,17.38;72.06,17.24;78.27,17.24;78.27,17.3;78.59,17.3;78.59,17.04) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.75,17.38;72.75,17.64;73.07,17.64;73.07,17.58;75.525,17.58;75.525,17.625;75.815,17.625;75.815,17.395;75.525,17.395;75.525,17.44;73.07,17.44;73.07,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.25,17.72;84.25,17.78;77.195,17.78;77.195,17.735;76.905,17.735;76.905,17.965;77.195,17.965;77.195,17.92;84.25,17.92;84.25,17.98;84.57,17.98;84.57,17.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.61,17.38;91.61,17.44;90.075,17.44;90.075,17.395;89.785,17.395;89.785,17.625;90.075,17.625;90.075,17.58;91.61,17.58;91.61,17.64;91.93,17.64;91.93,17.38) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (80.57,19.76;80.57,20.02;80.89,20.02;80.89,19.96;85.63,19.96;85.63,20.02;85.95,20.02;85.95,19.96;86.64,19.96;86.64,20.115;86.565,20.115;86.565,20.345;86.855,20.345;86.855,20.115;86.78,20.115;86.78,19.82;85.95,19.82;85.95,19.76;85.63,19.76;85.63,19.82;80.89,19.82;80.89,19.76) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (82.775,12;82.775,12.14;89.86,12.14;89.86,12.28;89.77,12.28;89.77,12.54;90.09,12.54;90.09,12.28;90,12.28;90,12) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (71.37,16.7;71.37,16.76;71.175,16.76;71.175,16.9;71.37,16.9;71.37,16.96;71.69,16.96;71.69,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.75,14.66;72.75,14.72;72.555,14.72;72.555,14.86;72.75,14.86;72.75,14.92;73.07,14.92;73.07,14.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.47,14.66;87.47,14.72;74.435,14.72;74.435,14.675;74.145,14.675;74.145,14.905;74.435,14.905;74.435,14.86;87.47,14.86;87.47,14.92;87.79,14.92;87.79,14.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (88.85,14.66;88.85,14.72;88.655,14.72;88.655,14.86;88.85,14.86;88.85,14.92;89.17,14.92;89.17,14.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.15,14.66;91.15,14.72;90.955,14.72;90.955,14.86;91.15,14.86;91.15,14.92;91.47,14.92;91.47,14.66) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (78.27,9.56;78.27,9.82;78.59,9.82;78.59,9.76;79.665,9.76;79.665,9.805;79.74,9.805;79.74,10.1;84.71,10.1;84.71,10.16;85.03,10.16;85.03,9.9;84.71,9.9;84.71,9.96;79.88,9.96;79.88,9.805;79.955,9.805;79.955,9.575;79.665,9.575;79.665,9.62;78.59,9.62;78.59,9.56) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.61,5.82;91.61,5.88;91.415,5.88;91.415,6.02;91.61,6.02;91.61,6.08;91.93,6.08;91.93,5.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.09,15;86.09,15.06;85.895,15.06;85.895,15.2;86.09,15.2;86.09,15.26;86.41,15.26;86.41,15) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,17.72;90.23,17.78;89.615,17.78;89.615,17.735;89.325,17.735;89.325,17.965;89.4,17.965;89.4,18.46;84.555,18.46;84.555,18.415;84.265,18.415;84.265,18.645;84.555,18.645;84.555,18.6;89.54,18.6;89.54,17.965;89.615,17.965;89.615,17.92;90.23,17.92;90.23,17.98;90.55,17.98;90.55,17.72) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (74.59,11.26;74.59,11.32;74.435,11.32;74.435,11.275;74.145,11.275;74.145,11.505;74.435,11.505;74.435,11.46;74.59,11.46;74.59,11.52;74.91,11.52;74.91,11.26) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.75,12.28;72.75,12.54;73.07,12.54;73.07,12.48;75.525,12.48;75.525,12.525;75.815,12.525;75.815,12.295;75.525,12.295;75.525,12.34;73.07,12.34;73.07,12.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (89.77,11.6;89.77,11.86;90.09,11.86;90.09,11.8;90.245,11.8;90.245,11.845;90.535,11.845;90.535,11.615;90.245,11.615;90.245,11.66;90.09,11.66;90.09,11.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (84.71,6.5;84.71,6.56;84.515,6.56;84.515,6.7;84.71,6.7;84.71,6.76;85.03,6.76;85.03,6.5) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.17,12.28;85.17,12.34;77.195,12.34;77.195,12.295;76.905,12.295;76.905,12.525;77.195,12.525;77.195,12.48;85.17,12.48;85.17,12.54;85.49,12.54;85.49,12.28) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (91.61,11.6;91.61,11.66;90.995,11.66;90.995,11.615;90.705,11.615;90.705,11.845;90.995,11.845;90.995,11.8;91.61,11.8;91.61,11.86;91.93,11.86;91.93,11.6) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (87.47,5.82;87.47,6.08;87.79,6.08;87.79,6.02;88.865,6.02;88.865,6.065;89.155,6.065;89.155,5.835;88.865,5.835;88.865,5.88;87.79,5.88;87.79,5.82) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (86.09,16.7;86.09,16.96;86.41,16.96;86.41,16.9;86.935,16.9;86.935,16.945;87.225,16.945;87.225,16.715;86.935,16.715;86.935,16.76;86.41,16.76;86.41,16.7) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (89.31,15.68;89.31,15.74;81.795,15.74;81.795,15.695;81.505,15.695;81.505,15.925;81.795,15.925;81.795,15.88;89.31,15.88;89.31,15.94;89.63,15.94;89.63,15.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (90.23,15.68;90.23,15.74;90.075,15.74;90.075,15.695;89.785,15.695;89.785,15.925;90.075,15.925;90.075,15.88;90.23,15.88;90.23,15.94;90.55,15.94;90.55,15.68) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.75,20.1;72.75,20.16;72.555,20.16;72.555,20.3;72.75,20.3;72.75,20.36;73.07,20.36;73.07,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (82.87,20.1;82.87,20.16;74.435,20.16;74.435,20.115;74.145,20.115;74.145,20.345;74.435,20.345;74.435,20.3;82.87,20.3;82.87,20.36;83.19,20.36;83.19,20.1) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (72.75,6.84;72.75,6.9;72.555,6.9;72.555,7.04;72.75,7.04;72.75,7.1;73.07,7.1;73.07,6.84) - - - - - '68/20' - digital_pll - false - 1 - - - polygon: (85.17,13.98;85.17,14.04;84.975,14.04;84.975,14.18;85.17,14.18;85.17,14.24;85.49,14.24;85.49,13.98) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,60.275;49.375,60.425;49.525,60.425;49.525,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,58.575;49.375,58.725;49.525,58.725;49.525,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,53.135;49.375,53.285;49.525,53.285;49.525,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,52.115;49.375,52.265;49.525,52.265;49.525,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,50.075;49.375,50.225;49.525,50.225;49.525,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,45.655;49.375,45.805;49.525,45.805;49.525,45.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,41.915;49.375,42.065;49.525,42.065;49.525,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,39.195;49.375,39.345;49.525,39.345;49.525,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,36.475;12.115,36.625;12.265,36.625;12.265,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,36.475;13.955,36.625;14.105,36.625;14.105,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.175,36.475;17.175,36.625;17.325,36.625;17.325,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.835,36.475;26.835,36.625;26.985,36.625;26.985,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,36.475;47.995,36.625;48.145,36.625;48.145,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,36.475;49.375,36.625;49.525,36.625;49.525,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.435,36.475;54.435,36.625;54.585,36.625;54.585,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,36.475;63.635,36.625;63.785,36.625;63.785,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.315,36.475;67.315,36.625;67.465,36.625;67.465,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,36.475;77.435,36.625;77.585,36.625;77.585,36.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,35.795;49.375,35.945;49.525,35.945;49.525,35.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,34.775;49.375,34.925;49.525,34.925;49.525,34.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,20.495;49.375,20.645;49.525,20.645;49.525,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.375,17.435;49.375,17.585;49.525,17.585;49.525,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.815,52.455;55.815,52.605;55.965,52.605;55.965,52.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,52.455;63.175,52.605;63.325,52.605;63.325,52.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.555,52.455;64.555,52.605;64.705,52.605;64.705,52.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.095,52.455;87.095,52.605;87.245,52.605;87.245,52.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,65.205;81.765,65.355;81.915,65.355;81.915,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,65.205;82.085,65.355;82.235,65.355;82.235,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,65.205;82.405,65.355;82.555,65.355;82.555,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.875,64.695;83.875,64.845;84.025,64.845;84.025,64.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.295,64.355;73.295,64.505;73.445,64.505;73.445,64.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,64.355;77.435,64.505;77.585,64.505;77.585,64.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.815,64.015;78.815,64.165;78.965,64.165;78.965,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.255,64.015;85.255,64.165;85.405,64.165;85.405,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.935,64.015;88.935,64.165;89.085,64.165;89.085,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.195,63.335;80.195,63.485;80.345,63.485;80.345,63.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.975,62.995;76.975,63.145;77.125,63.145;77.125,62.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.235,62.995;91.235,63.145;91.385,63.145;91.385,62.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.455,61.975;71.455,62.125;71.605,62.125;71.605,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.255,61.975;85.255,62.125;85.405,62.125;85.405,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,61.635;77.435,61.785;77.585,61.785;77.585,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.935,61.635;88.935,61.785;89.085,61.785;89.085,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.055,61.295;76.055,61.445;76.205,61.445;76.205,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.235,61.295;91.235,61.445;91.385,61.445;91.385,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.995,60.955;70.995,61.105;71.145,61.105;71.145,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.975,60.955;76.975,61.105;77.125,61.105;77.125,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.195,60.955;80.195,61.105;80.345,61.105;80.345,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.795,60.955;84.795,61.105;84.945,61.105;84.945,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,60.615;76.515,60.765;76.665,60.765;76.665,60.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,60.275;74.215,60.425;74.365,60.425;74.365,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.195,60.275;80.195,60.425;80.345,60.425;80.345,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.655,60.275;80.655,60.425;80.805,60.425;80.805,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.875,60.275;83.875,60.425;84.025,60.425;84.025,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,60.275;86.175,60.425;86.325,60.425;86.325,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,59.765;81.125,59.915;81.275,59.915;81.275,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,59.765;81.445,59.915;81.595,59.915;81.595,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,59.765;81.765,59.915;81.915,59.915;81.915,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,59.765;82.085,59.915;82.235,59.915;82.235,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,59.765;82.405,59.915;82.555,59.915;82.555,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.975,59.255;76.975,59.405;77.125,59.405;77.125,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.455,58.915;71.455,59.065;71.605,59.065;71.605,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.675,58.915;74.675,59.065;74.825,59.065;74.825,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (75.135,58.915;75.135,59.065;75.285,59.065;75.285,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.635,68.435;86.635,68.585;86.785,68.585;86.785,68.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.915,58.575;71.915,58.725;72.065,58.725;72.065,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.815,58.575;78.815,58.725;78.965,58.725;78.965,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.655,58.575;80.655,58.725;80.805,58.725;80.805,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.475,58.575;88.475,58.725;88.625,58.725;88.625,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,58.235;77.435,58.385;77.585,58.385;77.585,58.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.895,58.235;77.895,58.385;78.045,58.385;78.045,58.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.675,57.895;74.675,58.045;74.825,58.045;74.825,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.875,57.895;83.875,58.045;84.025,58.045;84.025,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.335,57.895;84.335,58.045;84.485,58.045;84.485,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.775,57.895;90.775,58.045;90.925,58.045;90.925,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.295,57.555;73.295,57.705;73.445,57.705;73.445,57.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.715,57.555;85.715,57.705;85.865,57.705;85.865,57.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,56.535;76.515,56.685;76.665,56.685;76.665,56.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.055,56.195;76.055,56.345;76.205,56.345;76.205,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.895,56.195;77.895,56.345;78.045,56.345;78.045,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.555,56.195;87.555,56.345;87.705,56.345;87.705,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.295,55.855;73.295,56.005;73.445,56.005;73.445,55.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.715,55.855;85.715,56.005;85.865,56.005;85.865,55.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,55.515;74.215,55.665;74.365,55.665;74.365,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (79.275,55.515;79.275,55.665;79.425,55.665;79.425,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,55.515;83.415,55.665;83.565,55.665;83.565,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,55.515;90.315,55.665;90.465,55.665;90.465,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.895,55.175;77.895,55.325;78.045,55.325;78.045,55.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.335,55.175;84.335,55.325;84.485,55.325;84.485,55.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.455,54.835;71.455,54.985;71.605,54.985;71.605,54.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,54.325;81.125,54.475;81.275,54.475;81.275,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,54.325;81.445,54.475;81.595,54.475;81.595,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,54.325;81.765,54.475;81.915,54.475;81.915,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,54.325;82.085,54.475;82.235,54.475;82.235,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,54.325;82.405,54.475;82.555,54.475;82.555,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.675,53.815;74.675,53.965;74.825,53.965;74.825,53.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.055,53.815;76.055,53.965;76.205,53.965;76.205,53.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,53.815;77.435,53.965;77.585,53.965;77.585,53.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,53.815;83.415,53.965;83.565,53.965;83.565,53.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.375,53.475;72.375,53.625;72.525,53.625;72.525,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (79.275,53.475;79.275,53.625;79.425,53.625;79.425,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.555,53.475;87.555,53.625;87.705,53.625;87.705,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.775,53.475;90.775,53.625;90.925,53.625;90.925,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,53.135;76.515,53.285;76.665,53.285;76.665,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,53.135;77.435,53.285;77.585,53.285;77.585,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.455,52.795;71.455,52.945;71.605,52.945;71.605,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,52.795;72.835,52.945;72.985,52.945;72.985,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.875,52.795;83.875,52.945;84.025,52.945;84.025,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.475,52.795;88.475,52.945;88.625,52.945;88.625,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.815,65.715;78.815,65.865;78.965,65.865;78.965,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.935,65.715;88.935,65.865;89.085,65.865;89.085,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,65.205;81.125,65.355;81.275,65.355;81.275,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,65.205;81.445,65.355;81.595,65.355;81.595,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,66.735;86.175,66.885;86.325,66.885;86.325,66.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.995,66.395;70.995,66.545;71.145,66.545;71.145,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.975,66.395;76.975,66.545;77.125,66.545;77.125,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.875,66.395;83.875,66.545;84.025,66.545;84.025,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.295,66.055;73.295,66.205;73.445,66.205;73.445,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.195,66.055;80.195,66.205;80.345,66.205;80.345,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.415,61.975;60.415,62.125;60.565,62.125;60.565,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,61.975;64.095,62.125;64.245,62.125;64.245,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,61.975;65.475,62.125;65.625,62.125;65.625,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,61.975;68.695,62.125;68.845,62.125;68.845,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,61.635;63.635,61.785;63.785,61.785;63.785,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.555,61.635;64.555,61.785;64.705,61.785;64.705,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.015,61.295;65.015,61.445;65.165,61.445;65.165,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,64.015;65.475,64.165;65.625,64.165;65.625,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.615,64.015;69.615,64.165;69.765,64.165;69.765,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.255,60.955;62.255,61.105;62.405,61.105;62.405,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.715,60.955;62.715,61.105;62.865,61.105;62.865,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.555,60.955;64.555,61.105;64.705,61.105;64.705,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,60.955;65.475,61.105;65.625,61.105;65.625,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.235,60.955;68.235,61.105;68.385,61.105;68.385,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.075,64.015;70.075,64.165;70.225,64.165;70.225,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.415,63.675;60.415,63.825;60.565,63.825;60.565,63.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,68.435;63.635,68.585;63.785,68.585;63.785,68.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,63.335;63.635,63.485;63.785,63.485;63.785,63.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.335,62.995;61.335,63.145;61.485,63.145;61.485,62.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.075,62.995;70.075,63.145;70.225,63.145;70.225,62.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,64.355;69.155,64.505;69.305,64.505;69.305,64.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,67.925;61.125,68.075;61.275,68.075;61.275,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,65.715;68.695,65.865;68.845,65.865;68.845,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,62.485;61.125,62.635;61.275,62.635;61.275,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,62.485;61.445,62.635;61.595,62.635;61.595,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,62.485;61.765,62.635;61.915,62.635;61.915,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,62.485;62.085,62.635;62.235,62.635;62.235,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,67.925;61.445,68.075;61.595,68.075;61.595,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,67.925;61.765,68.075;61.915,68.075;61.915,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,67.925;62.085,68.075;62.235,68.075;62.235,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,67.925;62.405,68.075;62.555,68.075;62.555,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,67.075;69.155,67.225;69.305,67.225;69.305,67.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,66.395;63.175,66.545;63.325,66.545;63.325,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,66.395;65.475,66.545;65.625,66.545;65.625,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,62.485;62.405,62.635;62.555,62.635;62.555,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.335,66.055;61.335,66.205;61.485,66.205;61.485,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,66.055;63.635,66.205;63.785,66.205;63.785,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.815,60.955;55.815,61.105;55.965,61.105;55.965,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (56.275,60.955;56.275,61.105;56.425,61.105;56.425,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (56.735,61.975;56.735,62.125;56.885,62.125;56.885,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.975,65.715;53.975,65.865;54.125,65.865;54.125,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.975,64.015;53.975,64.165;54.125,64.165;54.125,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.115,64.015;58.115,64.165;58.265,64.165;58.265,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,61.295;52.595,61.445;52.745,61.445;52.745,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.755,64.015;50.755,64.165;50.905,64.165;50.905,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.055,64.695;53.055,64.845;53.205,64.845;53.205,64.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.115,64.695;58.115,64.845;58.265,64.845;58.265,64.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,65.715;55.355,65.865;55.505,65.865;55.505,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.675,60.615;51.675,60.765;51.825,60.765;51.825,60.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.895,60.615;54.895,60.765;55.045,60.765;55.045,60.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.755,66.395;50.755,66.545;50.905,66.545;50.905,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.115,66.395;58.115,66.545;58.265,66.545;58.265,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.035,61.635;59.035,61.785;59.185,61.785;59.185,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.495,61.635;59.495,61.785;59.645,61.785;59.645,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,60.955;52.135,61.105;52.285,61.105;52.285,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (56.735,66.055;56.735,66.205;56.885,66.205;56.885,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,64.355;55.355,64.505;55.505,64.505;55.505,64.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.055,60.955;53.055,61.105;53.205,61.105;53.205,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.055,65.715;53.055,65.865;53.205,65.865;53.205,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.215,53.475;51.215,53.625;51.365,53.625;51.365,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,53.475;55.355,53.625;55.505,53.625;55.505,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.435,57.555;54.435,57.705;54.585,57.705;54.585,57.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.895,57.555;54.895,57.705;55.045,57.705;55.045,57.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,55.515;52.135,55.665;52.285,55.665;52.285,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.975,55.515;53.975,55.665;54.125,55.665;54.125,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.895,55.515;54.895,55.665;55.045,55.665;55.045,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.835,53.135;49.835,53.285;49.985,53.285;49.985,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,53.135;52.595,53.285;52.745,53.285;52.745,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.195,53.135;57.195,53.285;57.345,53.285;57.345,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.495,53.135;59.495,53.285;59.645,53.285;59.645,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.575,55.515;58.575,55.665;58.725,55.665;58.725,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,58.575;59.955,58.725;60.105,58.725;60.105,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.215,52.795;51.215,52.945;51.365,52.945;51.365,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.115,52.795;58.115,52.945;58.265,52.945;58.265,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.575,52.795;58.575,52.945;58.725,52.945;58.725,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.115,57.895;58.115,58.045;58.265,58.045;58.265,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.895,56.535;54.895,56.685;55.045,56.685;55.045,56.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.215,58.575;51.215,58.725;51.365,58.725;51.365,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,59.255;52.595,59.405;52.745,59.405;52.745,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.055,59.255;53.055,59.405;53.205,59.405;53.205,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,59.255;55.355,59.405;55.505,59.405;55.505,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.515,55.175;53.515,55.325;53.665,55.325;53.665,55.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.295,56.195;50.295,56.345;50.445,56.345;50.445,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,56.195;52.595,56.345;52.745,56.345;52.745,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.215,54.835;51.215,54.985;51.365,54.985;51.365,54.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.655,54.835;57.655,54.985;57.805,54.985;57.805,54.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.815,56.195;55.815,56.345;55.965,56.345;55.965,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.835,58.235;49.835,58.385;49.985,58.385;49.985,58.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,58.235;52.595,58.385;52.745,58.385;52.745,58.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.655,58.575;57.655,58.725;57.805,58.725;57.805,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.835,55.855;49.835,56.005;49.985,56.005;49.985,55.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.835,58.915;49.835,59.065;49.985,59.065;49.985,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.755,58.915;50.755,59.065;50.905,59.065;50.905,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.435,55.855;54.435,56.005;54.585,56.005;54.585,55.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.035,60.275;59.035,60.425;59.185,60.425;59.185,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,60.275;59.955,60.425;60.105,60.425;60.105,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (56.275,58.915;56.275,59.065;56.425,59.065;56.425,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.575,58.915;58.575,59.065;58.725,59.065;58.725,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.655,55.855;57.655,56.005;57.805,56.005;57.805,55.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,57.555;63.175,57.705;63.325,57.705;63.325,57.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,57.555;64.095,57.705;64.245,57.705;64.245,57.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.855,57.555;66.855,57.705;67.005,57.705;67.005,57.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,56.195;63.175,56.345;63.325,56.345;63.325,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,55.175;69.155,55.325;69.305,55.325;69.305,55.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.875,53.135;60.875,53.285;61.025,53.285;61.025,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,53.135;63.175,53.285;63.325,53.285;63.325,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,53.135;65.475,53.285;65.625,53.285;65.625,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.395,53.135;66.395,53.285;66.545,53.285;66.545,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.775,57.555;67.775,57.705;67.925,57.705;67.925,57.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.795,58.915;61.795,59.065;61.945,59.065;61.945,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,58.575;70.535,58.725;70.685,58.725;70.685,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,57.045;61.125,57.195;61.275,57.195;61.275,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.795,54.835;61.795,54.985;61.945,54.985;61.945,54.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.235,54.835;68.235,54.985;68.385,54.985;68.385,54.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,57.045;61.445,57.195;61.595,57.195;61.595,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,57.045;61.765,57.195;61.915,57.195;61.915,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.555,55.855;64.555,56.005;64.705,56.005;64.705,55.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.395,55.855;66.395,56.005;66.545,56.005;66.545,55.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,57.045;62.085,57.195;62.235,57.195;62.235,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.875,59.255;60.875,59.405;61.025,59.405;61.025,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,59.255;69.155,59.405;69.305,59.405;69.305,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,57.045;62.405,57.195;62.555,57.195;62.555,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.335,53.815;61.335,53.965;61.485,53.965;61.485,53.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.415,58.235;60.415,58.385;60.565,58.385;60.565,58.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.015,56.535;65.015,56.685;65.165,56.685;65.165,56.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,60.275;64.095,60.425;64.245,60.425;64.245,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,59.255;70.535,59.405;70.685,59.405;70.685,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.235,56.535;68.235,56.685;68.385,56.685;68.385,56.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,56.535;69.155,56.685;69.305,56.685;69.305,56.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.255,55.515;62.255,55.665;62.405,55.665;62.405,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.795,53.475;61.795,53.625;61.945,53.625;61.945,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.715,53.475;62.715,53.625;62.865,53.625;62.865,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.935,53.475;65.935,53.625;66.085,53.625;66.085,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.855,53.475;66.855,53.625;67.005,53.625;67.005,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.235,53.475;68.235,53.625;68.385,53.625;68.385,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,53.475;69.155,53.625;69.305,53.625;69.305,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.935,55.515;65.935,55.665;66.085,55.665;66.085,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.315,55.515;67.315,55.665;67.465,55.665;67.465,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,55.515;68.695,55.665;68.845,55.665;68.845,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.615,58.915;69.615,59.065;69.765,59.065;69.765,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,50.075;64.095,50.225;64.245,50.225;64.245,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.395,50.075;66.395,50.225;66.545,50.225;66.545,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,50.075;68.695,50.225;68.845,50.225;68.845,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.415,49.395;60.415,49.545;60.565,49.545;60.565,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,49.395;63.175,49.545;63.325,49.545;63.325,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,49.395;65.475,49.545;65.625,49.545;65.625,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.935,49.395;65.935,49.545;66.085,49.545;66.085,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.315,48.375;67.315,48.525;67.465,48.525;67.465,48.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.075,48.035;70.075,48.185;70.225,48.185;70.225,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.715,47.695;62.715,47.845;62.865,47.845;62.865,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,47.695;63.175,47.845;63.325,47.845;63.325,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.935,47.695;65.935,47.845;66.085,47.845;66.085,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.315,47.695;67.315,47.845;67.465,47.845;67.465,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,47.695;68.695,47.845;68.845,47.845;68.845,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,47.355;65.475,47.505;65.625,47.505;65.625,47.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,47.015;63.635,47.165;63.785,47.165;63.785,47.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,47.015;64.095,47.165;64.245,47.165;64.245,47.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.775,46.675;67.775,46.825;67.925,46.825;67.925,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.235,46.675;68.235,46.825;68.385,46.825;68.385,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,46.675;69.155,46.825;69.305,46.825;69.305,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,46.165;61.125,46.315;61.275,46.315;61.275,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,46.165;61.445,46.315;61.595,46.315;61.595,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,46.165;61.765,46.315;61.915,46.315;61.915,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,46.165;62.085,46.315;62.235,46.315;62.235,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,46.165;62.405,46.315;62.555,46.315;62.555,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.255,45.655;62.255,45.805;62.405,45.805;62.405,45.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.775,45.655;67.775,45.805;67.925,45.805;67.925,45.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.715,45.315;62.715,45.465;62.865,45.465;62.865,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,45.315;65.475,45.465;65.625,45.465;65.625,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.255,44.975;62.255,45.125;62.405,45.125;62.405,44.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.015,44.975;65.015,45.125;65.165,45.125;65.165,44.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.875,44.635;60.875,44.785;61.025,44.785;61.025,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,44.635;64.095,44.785;64.245,44.785;64.245,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,44.635;65.475,44.785;65.625,44.785;65.625,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.315,44.635;67.315,44.785;67.465,44.785;67.465,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.075,44.635;70.075,44.785;70.225,44.785;70.225,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,44.635;70.535,44.785;70.685,44.785;70.685,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,52.115;64.095,52.265;64.245,52.265;64.245,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.615,52.115;69.615,52.265;69.765,52.265;69.765,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,51.605;61.125,51.755;61.275,51.755;61.275,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,51.605;61.445,51.755;61.595,51.755;61.595,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,51.605;61.765,51.755;61.915,51.755;61.915,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,51.605;62.085,51.755;62.235,51.755;62.235,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,51.605;62.405,51.755;62.555,51.755;62.555,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.775,51.095;67.775,51.245;67.925,51.245;67.925,51.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,50.755;64.095,50.905;64.245,50.905;64.245,50.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.395,50.755;66.395,50.905;66.545,50.905;66.545,50.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.515,45.315;53.515,45.465;53.665,45.465;53.665,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.895,45.315;54.895,45.465;55.045,45.465;55.045,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,48.375;55.355,48.525;55.505,48.525;55.505,48.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.495,47.355;59.495,47.505;59.645,47.505;59.645,47.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.515,49.395;53.515,49.545;53.665,49.545;53.665,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.295,48.035;50.295,48.185;50.445,48.185;50.445,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.055,44.635;53.055,44.785;53.205,44.785;53.205,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.575,44.635;58.575,44.785;58.725,44.785;58.725,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.755,48.035;50.755,48.185;50.905,48.185;50.905,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.835,46.675;49.835,46.825;49.985,46.825;49.985,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,46.675;52.595,46.825;52.745,46.825;52.745,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.035,48.035;59.035,48.185;59.185,48.185;59.185,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.655,49.395;57.655,49.545;57.805,49.545;57.805,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,47.695;52.595,47.845;52.745,47.845;52.745,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.975,47.695;53.975,47.845;54.125,47.845;54.125,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.895,47.695;54.895,47.845;55.045,47.845;55.045,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.575,47.695;58.575,47.845;58.725,47.845;58.725,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.435,50.075;54.435,50.225;54.585,50.225;54.585,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.755,49.735;50.755,49.885;50.905,49.885;50.905,49.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.435,52.115;54.435,52.265;54.585,52.265;54.585,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,45.655;52.595,45.805;52.745,45.805;52.745,45.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,51.095;59.955,51.245;60.105,51.245;60.105,51.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.975,45.655;53.975,45.805;54.125,45.805;54.125,45.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.195,50.755;57.195,50.905;57.345,50.905;57.345,50.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,49.735;55.355,49.885;55.505,49.885;55.505,49.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.575,49.735;58.575,49.885;58.725,49.885;58.725,49.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.295,50.415;50.295,50.565;50.445,50.565;50.445,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,50.415;52.135,50.565;52.285,50.565;52.285,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,52.115;52.135,52.265;52.285,52.265;52.285,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.975,50.075;53.975,50.225;54.125,50.225;54.125,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,38.515;52.595,38.665;52.745,38.665;52.745,38.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.515,38.515;53.515,38.665;53.665,38.665;53.665,38.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.495,37.495;59.495,37.645;59.645,37.645;59.645,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.835,36.815;49.835,36.965;49.985,36.965;49.985,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,36.815;52.135,36.965;52.285,36.965;52.285,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,36.815;52.595,36.965;52.745,36.965;52.745,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,36.815;55.355,36.965;55.505,36.965;55.505,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,36.815;59.955,36.965;60.105,36.965;60.105,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.755,42.935;50.755,43.085;50.905,43.085;50.905,42.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.835,42.595;49.835,42.745;49.985,42.745;49.985,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.675,42.255;51.675,42.405;51.825,42.405;51.825,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,42.255;52.135,42.405;52.285,42.405;52.285,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,44.295;52.595,44.445;52.745,44.445;52.745,44.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.215,41.915;51.215,42.065;51.365,42.065;51.365,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.755,41.575;50.755,41.725;50.905,41.725;50.905,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,41.575;52.135,41.725;52.285,41.725;52.285,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.815,41.575;55.815,41.725;55.965,41.725;55.965,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.575,41.575;58.575,41.725;58.725,41.725;58.725,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.655,41.235;57.655,41.385;57.805,41.385;57.805,41.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,41.235;59.955,41.385;60.105,41.385;60.105,41.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.195,39.535;57.195,39.685;57.345,39.685;57.345,39.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.495,44.295;59.495,44.445;59.645,44.445;59.645,44.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,42.255;70.535,42.405;70.685,42.405;70.685,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,39.195;63.635,39.345;63.785,39.345;63.785,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.015,39.195;65.015,39.345;65.165,39.345;65.165,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.335,38.855;61.335,39.005;61.485,39.005;61.485,38.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.235,44.295;68.235,44.445;68.385,44.445;68.385,44.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,43.955;63.635,44.105;63.785,44.105;63.785,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.875,38.515;60.875,38.665;61.025,38.665;61.025,38.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.875,41.915;60.875,42.065;61.025,42.065;61.025,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.875,37.495;60.875,37.645;61.025,37.645;61.025,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.335,37.155;61.335,37.305;61.485,37.305;61.485,37.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.935,37.155;65.935,37.305;66.085,37.305;66.085,37.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.335,42.595;61.335,42.745;61.485,42.745;61.485,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.615,42.595;69.615,42.745;69.765,42.745;69.765,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,43.955;69.155,44.105;69.305,44.105;69.305,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,43.955;70.535,44.105;70.685,44.105;70.685,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.555,41.575;64.555,41.725;64.705,41.725;64.705,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,36.815;69.155,36.965;69.305,36.965;69.305,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,36.815;70.535,36.965;70.685,36.965;70.685,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.315,41.575;67.315,41.725;67.465,41.725;67.465,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.775,41.575;67.775,41.725;67.925,41.725;67.925,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.255,42.255;62.255,42.405;62.405,42.405;62.405,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.935,42.255;65.935,42.405;66.085,42.405;66.085,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.235,41.235;68.235,41.385;68.385,41.385;68.385,41.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,40.725;61.125,40.875;61.275,40.875;61.275,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,40.725;61.445,40.875;61.595,40.875;61.595,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,40.725;61.765,40.875;61.915,40.875;61.915,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,40.725;62.085,40.875;62.235,40.875;62.235,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,40.725;62.405,40.875;62.555,40.875;62.555,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.555,40.215;64.555,40.365;64.705,40.365;64.705,40.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,39.875;65.475,40.025;65.625,40.025;65.625,39.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.395,39.875;66.395,40.025;66.545,40.025;66.545,39.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,42.255;69.155,42.405;69.305,42.405;69.305,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,42.595;90.315,42.745;90.465,42.745;90.465,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,48.885;81.765,49.035;81.915,49.035;81.915,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,48.885;82.085,49.035;82.235,49.035;82.235,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,48.885;82.405,49.035;82.555,49.035;82.555,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (75.595,50.075;75.595,50.225;75.745,50.225;75.745,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.355,50.075;78.355,50.225;78.505,50.225;78.505,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.195,46.675;80.195,46.825;80.345,46.825;80.345,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (75.595,42.255;75.595,42.405;75.745,42.405;75.745,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,42.255;76.515,42.405;76.665,42.405;76.665,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.195,42.255;80.195,42.405;80.345,42.405;80.345,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.755,48.375;73.755,48.525;73.905,48.525;73.905,48.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.875,50.075;83.875,50.225;84.025,50.225;84.025,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.475,50.075;88.475,50.225;88.625,50.225;88.625,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (89.855,41.915;89.855,42.065;90.005,42.065;90.005,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.775,50.075;90.775,50.225;90.925,50.225;90.925,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.975,50.415;76.975,50.565;77.125,50.565;77.125,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,48.035;76.515,48.185;76.665,48.185;76.665,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,48.035;83.415,48.185;83.565,48.185;83.565,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.875,48.035;83.875,48.185;84.025,48.185;84.025,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,48.035;86.175,48.185;86.325,48.185;86.325,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,51.095;90.315,51.245;90.465,51.245;90.465,51.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,41.575;72.835,41.725;72.985,41.725;72.985,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,41.575;83.415,41.725;83.565,41.725;83.565,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,41.575;86.175,41.725;86.325,41.725;86.325,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (92.155,41.575;92.155,41.725;92.305,41.725;92.305,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.675,50.755;74.675,50.905;74.825,50.905;74.825,50.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.295,49.735;73.295,49.885;73.445,49.885;73.445,49.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,49.735;83.415,49.885;83.565,49.885;83.565,49.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,41.235;74.215,41.385;74.365,41.385;74.365,41.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.975,41.235;76.975,41.385;77.125,41.385;77.125,41.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (89.395,41.235;89.395,41.385;89.545,41.385;89.545,41.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.095,49.735;87.095,49.885;87.245,49.885;87.245,49.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.455,45.315;71.455,45.465;71.605,45.465;71.605,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.375,45.315;72.375,45.465;72.525,45.465;72.525,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.655,45.315;80.655,45.465;80.805,45.465;80.805,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,45.315;90.315,45.465;90.465,45.465;90.465,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (75.135,52.115;75.135,52.265;75.285,52.265;75.285,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.915,40.215;71.915,40.365;72.065,40.365;72.065,40.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.995,51.095;70.995,51.245;71.145,51.245;71.145,51.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.995,50.415;70.995,50.565;71.145,50.565;71.145,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.995,39.875;70.995,40.025;71.145,40.025;71.145,39.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,39.875;90.315,40.025;90.465,40.025;90.465,39.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (75.135,50.415;75.135,50.565;75.285,50.565;75.285,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (79.735,39.535;79.735,39.685;79.885,39.685;79.885,39.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.455,47.695;71.455,47.845;71.605,47.845;71.605,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,47.695;72.835,47.845;72.985,47.845;72.985,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.055,47.695;76.055,47.845;76.205,47.845;76.205,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,39.195;74.215,39.345;74.365,39.345;74.365,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (89.395,39.195;89.395,39.345;89.545,39.345;89.545,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.975,47.695;76.975,47.845;77.125,47.845;77.125,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.955,38.855;82.955,39.005;83.105,39.005;83.105,38.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.355,47.695;78.355,47.845;78.505,47.845;78.505,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.935,47.695;88.935,47.845;89.085,47.845;89.085,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,44.635;72.835,44.785;72.985,44.785;72.985,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.355,38.515;78.355,38.665;78.505,38.665;78.505,38.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.095,38.515;87.095,38.665;87.245,38.665;87.245,38.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,38.005;81.125,38.155;81.275,38.155;81.275,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,38.005;81.445,38.155;81.595,38.155;81.595,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,38.005;81.765,38.155;81.915,38.155;81.915,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,38.005;82.085,38.155;82.235,38.155;82.235,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,38.005;82.405,38.155;82.555,38.155;82.555,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.895,44.635;77.895,44.785;78.045,44.785;78.045,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,44.635;83.415,44.785;83.565,44.785;83.565,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,37.495;76.515,37.645;76.665,37.645;76.665,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.955,37.495;82.955,37.645;83.105,37.645;83.105,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (89.855,37.495;89.855,37.645;90.005,37.645;90.005,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.475,44.635;88.475,44.785;88.625,44.785;88.625,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.755,50.075;73.755,50.225;73.905,50.225;73.905,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,37.155;86.175,37.305;86.325,37.305;86.325,37.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (89.395,37.155;89.395,37.305;89.545,37.305;89.545,37.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,50.075;74.215,50.225;74.365,50.225;74.365,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,47.355;83.415,47.505;83.565,47.505;83.565,47.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.795,44.295;84.795,44.445;84.945,44.445;84.945,44.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,48.885;81.125,49.035;81.275,49.035;81.275,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,48.885;81.445,49.035;81.595,49.035;81.595,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.375,47.015;72.375,47.165;72.525,47.165;72.525,47.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (75.135,43.955;75.135,44.105;75.285,44.105;75.285,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.055,36.815;76.055,36.965;76.205,36.965;76.205,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.115,36.815;81.115,36.965;81.265,36.965;81.265,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,36.815;83.415,36.965;83.565,36.965;83.565,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,43.955;77.435,44.105;77.585,44.105;77.585,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,43.445;81.125,43.595;81.275,43.595;81.275,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,43.445;81.445,43.595;81.595,43.595;81.595,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,43.445;81.765,43.595;81.915,43.595;81.915,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,43.445;82.085,43.595;82.235,43.595;82.235,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,43.445;82.405,43.595;82.555,43.595;82.555,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.755,47.015;73.755,47.165;73.905,47.165;73.905,47.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (75.595,42.935;75.595,43.085;75.745,43.085;75.745,42.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.055,42.935;76.055,43.085;76.205,43.085;76.205,42.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.975,47.015;76.975,47.165;77.125,47.165;77.125,47.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.895,47.015;77.895,47.165;78.045,47.165;78.045,47.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,47.015;90.315,47.165;90.465,47.165;90.465,47.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,42.595;74.215,42.745;74.365,42.745;74.365,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,42.595;77.435,42.745;77.585,42.745;77.585,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.175,52.455;17.175,52.605;17.325,52.605;17.325,52.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.095,52.455;41.095,52.605;41.245,52.605;41.245,52.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.235,52.455;45.235,52.605;45.385,52.605;45.385,52.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,52.455;47.995,52.605;48.145,52.605;48.145,52.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.915,52.455;48.915,52.605;49.065,52.605;49.065,52.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,64.355;47.995,64.505;48.145,64.505;48.145,64.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.075,60.955;47.075,61.105;47.225,61.105;47.225,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,66.055;47.995,66.205;48.145,66.205;48.145,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.915,66.055;48.915,66.205;49.065,66.205;49.065,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.615,64.015;46.615,64.165;46.765,64.165;46.765,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.775,66.735;44.775,66.885;44.925,66.885;44.925,66.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.475,60.615;42.475,60.765;42.625,60.765;42.625,60.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.775,60.615;44.775,60.765;44.925,60.765;44.925,60.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.155,60.615;46.155,60.765;46.305,60.765;46.305,60.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.775,63.335;44.775,63.485;44.925,63.485;44.925,63.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,65.715;42.935,65.865;43.085,65.865;43.085,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.615,66.395;46.615,66.545;46.765,66.545;46.765,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,65.205;41.125,65.355;41.275,65.355;41.275,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,65.205;41.445,65.355;41.595,65.355;41.595,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,65.205;41.765,65.355;41.915,65.355;41.915,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,61.295;42.935,61.445;43.085,61.445;43.085,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.695,61.295;45.695,61.445;45.845,61.445;45.845,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.795,61.975;38.795,62.125;38.945,62.125;38.945,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.695,61.975;45.695,62.125;45.845,62.125;45.845,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.615,61.975;46.615,62.125;46.765,62.125;46.765,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,61.975;47.535,62.125;47.685,62.125;47.685,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,65.205;42.085,65.355;42.235,65.355;42.235,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,65.205;42.405,65.355;42.555,65.355;42.555,65.205) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.475,67.415;42.475,67.565;42.625,67.565;42.625,67.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.875,66.055;37.875,66.205;38.025,66.205;38.025,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.115,66.395;35.115,66.545;35.265,66.545;35.265,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.815,66.735;32.815,66.885;32.965,66.885;32.965,66.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (31.435,60.955;31.435,61.105;31.585,61.105;31.585,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.055,60.955;30.055,61.105;30.205,61.105;30.205,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,64.015;29.595,64.165;29.745,64.165;29.745,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,61.295;29.595,61.445;29.745,61.445;29.745,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.955,61.295;36.955,61.445;37.105,61.445;37.105,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.195,64.015;34.195,64.165;34.345,64.165;34.345,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.215,65.715;28.215,65.865;28.365,65.865;28.365,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.215,64.355;28.215,64.505;28.365,64.505;28.365,64.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (31.435,63.675;31.435,63.825;31.585,63.825;31.585,63.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.655,63.675;34.655,63.825;34.805,63.825;34.805,63.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.515,65.715;30.515,65.865;30.665,65.865;30.665,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.815,63.335;32.815,63.485;32.965,63.485;32.965,63.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.875,63.335;37.875,63.485;38.025,63.485;38.025,63.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.955,64.015;36.955,64.165;37.105,64.165;37.105,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.575,67.415;35.575,67.565;35.725,67.565;35.725,67.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.975,65.715;30.975,65.865;31.125,65.865;31.125,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.875,61.635;37.875,61.785;38.025,61.785;38.025,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.515,64.695;30.515,64.845;30.665,64.845;30.665,64.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.655,64.695;34.655,64.845;34.805,64.845;34.805,64.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.055,66.055;30.055,66.205;30.205,66.205;30.205,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.495,66.055;36.495,66.205;36.645,66.205;36.645,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,58.915;29.595,59.065;29.745,59.065;29.745,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (31.435,58.915;31.435,59.065;31.585,59.065;31.585,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,55.515;29.595,55.665;29.745,55.665;29.745,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.115,54.835;35.115,54.985;35.265,54.985;35.265,54.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.415,54.835;37.415,54.985;37.565,54.985;37.565,54.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.515,58.575;30.515,58.725;30.665,58.725;30.665,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.515,55.515;30.515,55.665;30.665,55.665;30.665,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.195,55.515;34.195,55.665;34.345,55.665;34.345,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.735,55.855;33.735,56.005;33.885,56.005;33.885,55.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.515,56.195;30.515,56.345;30.665,56.345;30.665,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.135,60.275;29.135,60.425;29.285,60.425;29.285,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.115,58.575;35.115,58.725;35.265,58.725;35.265,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.035,60.275;36.035,60.425;36.185,60.425;36.185,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.055,55.175;30.055,55.325;30.205,55.325;30.205,55.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.135,53.475;29.135,53.625;29.285,53.625;29.285,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.735,53.475;33.735,53.625;33.885,53.625;33.885,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.575,53.475;35.575,53.625;35.725,53.625;35.725,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.975,59.255;30.975,59.405;31.125,59.405;31.125,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.115,59.255;35.115,59.405;35.265,59.405;35.265,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.035,55.175;36.035,55.325;36.185,55.325;36.185,55.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.495,56.195;36.495,56.345;36.645,56.345;36.645,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,53.135;29.595,53.285;29.745,53.285;29.745,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.515,53.135;30.515,53.285;30.665,53.285;30.665,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.495,53.135;36.495,53.285;36.645,53.285;36.645,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.415,53.135;37.415,53.285;37.565,53.285;37.565,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,59.765;41.445,59.915;41.595,59.915;41.595,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,59.765;41.765,59.915;41.915,59.915;41.915,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.095,58.915;41.095,59.065;41.245,59.065;41.245,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,58.915;42.935,59.065;43.085,59.065;43.085,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,59.765;42.085,59.915;42.235,59.915;42.235,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,59.765;42.405,59.915;42.555,59.915;42.555,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,56.195;43.395,56.345;43.545,56.345;43.545,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,53.815;42.935,53.965;43.085,53.965;43.085,53.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.235,55.175;45.235,55.325;45.385,55.325;45.385,55.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.255,55.515;39.255,55.665;39.405,55.665;39.405,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.635,55.515;40.635,55.665;40.785,55.665;40.785,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.855,55.515;43.855,55.665;44.005,55.665;44.005,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.795,60.275;38.795,60.425;38.945,60.425;38.945,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,60.275;47.995,60.425;48.145,60.425;48.145,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.175,58.575;40.175,58.725;40.325,58.725;40.325,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,58.575;47.535,58.725;47.685,58.725;47.685,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,60.275;48.455,60.425;48.605,60.425;48.605,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,55.515;47.535,55.665;47.685,55.665;47.685,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.075,59.255;47.075,59.405;47.225,59.405;47.225,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,59.255;48.455,59.405;48.605,59.405;48.605,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,59.765;41.125,59.915;41.275,59.915;41.275,59.765) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,54.325;41.125,54.475;41.275,54.475;41.275,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,54.325;41.445,54.475;41.595,54.475;41.595,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,58.235;43.395,58.385;43.545,58.385;43.545,58.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,54.325;41.765,54.475;41.915,54.475;41.915,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.095,57.895;41.095,58.045;41.245,58.045;41.245,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,57.895;42.935,58.045;43.085,58.045;43.085,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,57.895;47.535,58.045;47.685,58.045;47.685,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,54.325;42.085,54.475;42.235,54.475;42.235,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,54.325;42.405,54.475;42.555,54.475;42.555,54.325) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.715,53.135;39.715,53.285;39.865,53.285;39.865,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.555,53.135;41.555,53.285;41.705,53.285;41.705,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.475,53.135;42.475,53.285;42.625,53.285;42.625,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.395,53.135;20.395,53.285;20.545,53.285;20.545,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.175,60.955;17.175,61.105;17.325,61.105;17.325,60.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,67.925;21.125,68.075;21.275,68.075;21.275,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.775,63.335;21.775,63.485;21.925,63.485;21.925,63.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.875,64.015;14.875,64.165;15.025,64.165;15.025,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.695,64.015;22.695,64.165;22.845,64.165;22.845,64.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,57.045;22.085,57.195;22.235,57.195;22.235,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,57.045;22.405,57.195;22.555,57.195;22.555,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.175,58.915;17.175,59.065;17.325,59.065;17.325,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.395,58.915;20.395,59.065;20.545,59.065;20.545,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.915,58.915;25.915,59.065;26.065,59.065;26.065,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,57.045;21.125,57.195;21.275,57.195;21.275,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.875,66.395;14.875,66.545;15.025,66.545;15.025,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,65.715;11.195,65.865;11.345,65.865;11.345,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.655,65.715;11.655,65.865;11.805,65.865;11.805,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,62.485;22.085,62.635;22.235,62.635;22.235,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,62.485;22.405,62.635;22.555,62.635;22.555,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,67.925;21.765,68.075;21.915,68.075;21.915,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,67.925;22.085,68.075;22.235,68.075;22.235,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.655,52.795;11.655,52.945;11.805,52.945;11.805,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.935,52.795;19.935,52.945;20.085,52.945;20.085,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.075,52.795;24.075,52.945;24.225,52.945;24.225,52.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.715,60.275;16.715,60.425;16.865,60.425;16.865,60.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.235,64.355;22.235,64.505;22.385,64.505;22.385,64.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,56.195;11.195,56.345;11.345,56.345;11.345,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.175,61.975;17.175,62.125;17.325,62.125;17.325,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,61.975;23.155,62.125;23.305,62.125;23.305,61.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.715,58.575;16.715,58.725;16.865,58.725;16.865,58.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.915,56.195;25.915,56.345;26.065,56.345;26.065,56.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.535,64.355;24.535,64.505;24.685,64.505;24.685,64.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,67.925;21.445,68.075;21.595,68.075;21.595,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.495,65.715;13.495,65.865;13.645,65.865;13.645,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,60.615;11.195,60.765;11.345,60.765;11.345,60.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (8.895,62.995;8.895,63.145;9.045,63.145;9.045,62.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.875,59.255;14.875,59.405;15.025,59.405;15.025,59.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (7.515,53.475;7.515,53.625;7.665,53.625;7.665,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,53.475;13.955,53.625;14.105,53.625;14.105,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,53.475;19.475,53.625;19.625,53.625;19.625,53.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,57.045;21.445,57.195;21.595,57.195;21.595,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (7.515,55.515;7.515,55.665;7.665,55.665;7.665,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.915,65.715;25.915,65.865;26.065,65.865;26.065,65.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.875,55.515;14.875,55.665;15.025,55.665;15.025,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (15.795,63.675;15.795,63.825;15.945,63.825;15.945,63.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,67.925;22.405,68.075;22.555,68.075;22.555,67.925) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (6.595,67.415;6.595,67.565;6.745,67.565;6.745,67.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,55.515;19.475,55.665;19.625,55.665;19.625,55.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (7.515,58.235;7.515,58.385;7.665,58.385;7.665,58.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,58.235;19.475,58.385;19.625,58.385;19.625,58.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.835,58.235;26.835,58.385;26.985,58.385;26.985,58.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,54.835;12.115,54.985;12.265,54.985;12.265,54.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.235,66.395;22.235,66.545;22.385,66.545;22.385,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.755,67.075;27.755,67.225;27.905,67.225;27.905,67.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,61.635;11.195,61.785;11.345,61.785;11.345,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (15.795,61.635;15.795,61.785;15.945,61.785;15.945,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.535,61.635;24.535,61.785;24.685,61.785;24.685,61.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,57.895;11.195,58.045;11.345,58.045;11.345,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.915,57.895;25.915,58.045;26.065,58.045;26.065,57.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.695,66.395;22.695,66.545;22.845,66.545;22.845,66.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,57.045;21.765,57.195;21.915,57.195;21.915,57.045) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.175,56.535;17.175,56.685;17.325,56.685;17.325,56.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,62.485;21.125,62.635;21.275,62.635;21.275,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,62.485;21.445,62.635;21.595,62.635;21.595,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,62.485;21.765,62.635;21.915,62.635;21.915,62.485) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.775,66.055;21.775,66.205;21.925,66.205;21.925,66.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.655,58.915;11.655,59.065;11.805,59.065;11.805,58.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (10.275,53.135;10.275,53.285;10.425,53.285;10.425,53.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,64.355;11.195,64.505;11.345,64.505;11.345,64.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (8.895,61.295;8.895,61.445;9.045,61.445;9.045,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.495,61.295;13.495,61.445;13.645,61.445;13.645,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.755,61.295;27.755,61.445;27.905,61.445;27.905,61.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (10.275,46.675;10.275,46.825;10.425,46.825;10.425,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.535,46.675;24.535,46.825;24.685,46.825;24.685,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,42.255;13.035,42.405;13.185,42.405;13.185,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,40.725;21.125,40.875;21.275,40.875;21.275,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,40.725;21.445,40.875;21.595,40.875;21.595,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,40.725;21.765,40.875;21.915,40.875;21.915,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,40.725;22.085,40.875;22.235,40.875;22.235,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,40.725;22.405,40.875;22.555,40.875;22.555,40.725) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (15.795,52.115;15.795,52.265;15.945,52.265;15.945,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.635,52.115;17.635,52.265;17.785,52.265;17.785,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.295,40.215;27.295,40.365;27.445,40.365;27.445,40.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,39.875;23.155,40.025;23.305,40.025;23.305,39.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.995,39.875;24.995,40.025;25.145,40.025;25.145,39.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,42.255;23.155,42.405;23.305,42.405;23.305,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.835,42.255;26.835,42.405;26.985,42.405;26.985,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.375,45.315;26.375,45.465;26.525,45.465;26.525,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (7.515,44.295;7.515,44.445;7.665,44.445;7.665,44.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.855,44.295;20.855,44.445;21.005,44.445;21.005,44.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.295,44.295;27.295,44.445;27.445,44.445;27.445,44.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (7.515,39.195;7.515,39.345;7.665,39.345;7.665,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.535,39.195;24.535,39.345;24.685,39.345;24.685,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.375,39.195;26.375,39.345;26.525,39.345;26.525,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,51.605;21.125,51.755;21.275,51.755;21.275,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,51.605;21.445,51.755;21.595,51.755;21.595,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,51.605;21.765,51.755;21.915,51.755;21.915,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,51.605;22.085,51.755;22.235,51.755;22.235,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,51.605;22.405,51.755;22.555,51.755;22.555,51.605) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (15.335,38.855;15.335,39.005;15.485,39.005;15.485,38.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.175,38.855;17.175,39.005;17.325,39.005;17.325,38.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,48.375;13.035,48.525;13.185,48.525;13.185,48.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.175,48.375;17.175,48.525;17.325,48.525;17.325,48.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,38.515;23.155,38.665;23.305,38.665;23.305,38.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.375,47.355;26.375,47.505;26.525,47.505;26.525,47.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (18.555,48.375;18.555,48.525;18.705,48.525;18.705,48.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.715,49.395;16.715,49.545;16.865,49.545;16.865,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.935,51.095;19.935,51.245;20.085,51.245;20.085,51.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.935,41.915;19.935,42.065;20.085,42.065;20.085,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.755,41.915;27.755,42.065;27.905,42.065;27.905,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.315,49.735;21.315,49.885;21.465,49.885;21.465,49.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,46.165;21.125,46.315;21.275,46.315;21.275,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,50.755;19.475,50.905;19.625,50.905;19.625,50.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,46.165;21.445,46.315;21.595,46.315;21.595,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,37.495;13.035,37.645;13.185,37.645;13.185,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,37.495;25.455,37.645;25.605,37.645;25.605,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.755,37.495;27.755,37.645;27.905,37.645;27.905,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,44.975;13.035,45.125;13.185,45.125;13.185,44.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,46.165;21.765,46.315;21.915,46.315;21.915,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,46.165;22.085,46.315;22.235,46.315;22.235,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.635,50.415;17.635,50.565;17.785,50.565;17.785,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.015,50.415;19.015,50.565;19.165,50.565;19.165,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.995,37.155;24.995,37.305;25.145,37.305;25.145,37.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (9.355,36.815;9.355,36.965;9.505,36.965;9.505,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (10.275,36.815;10.275,36.965;10.425,36.965;10.425,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (15.795,36.815;15.795,36.965;15.945,36.965;15.945,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (18.095,36.815;18.095,36.965;18.245,36.965;18.245,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.935,36.815;19.935,36.965;20.085,36.965;20.085,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,36.815;23.155,36.965;23.305,36.965;23.305,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.615,36.815;23.615,36.965;23.765,36.965;23.765,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,36.815;25.455,36.965;25.605,36.965;25.605,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (10.275,44.635;10.275,44.785;10.425,44.785;10.425,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,46.165;22.405,46.315;22.555,46.315;22.555,46.165) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,47.015;19.475,47.165;19.625,47.165;19.625,47.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (8.895,49.395;8.895,49.545;9.045,49.545;9.045,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (15.795,48.035;15.795,48.185;15.945,48.185;15.945,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,50.075;12.115,50.225;12.265,50.225;12.265,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,50.075;13.955,50.225;14.105,50.225;14.105,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.395,50.075;20.395,50.225;20.545,50.225;20.545,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.075,50.075;24.075,50.225;24.225,50.225;24.225,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.375,50.075;26.375,50.225;26.525,50.225;26.525,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,47.695;11.195,47.845;11.345,47.845;11.345,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.855,42.595;20.855,42.745;21.005,42.745;21.005,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,42.595;25.455,42.745;25.605,42.745;25.605,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,47.695;13.955,47.845;14.105,47.845;14.105,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (9.355,41.235;9.355,41.385;9.505,41.385;9.505,41.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.315,47.695;21.315,47.845;21.465,47.845;21.465,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,47.695;25.455,47.845;25.605,47.845;25.605,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.655,49.395;11.655,49.545;11.805,49.545;11.805,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,46.675;42.935,46.825;43.085,46.825;43.085,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,48.885;42.405,49.035;42.555,49.035;42.555,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.715,49.395;39.715,49.545;39.865,49.545;39.865,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.015,52.115;42.015,52.265;42.165,52.265;42.165,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.315,52.115;44.315,52.265;44.465,52.265;44.465,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,52.115;47.535,52.265;47.685,52.265;47.685,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,48.035;43.395,48.185;43.545,48.185;43.545,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,44.975;43.395,45.125;43.545,45.125;43.545,44.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.795,44.635;38.795,44.785;38.945,44.785;38.945,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.075,44.635;47.075,44.785;47.225,44.785;47.225,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,44.635;47.535,44.785;47.685,44.785;47.685,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.715,47.355;39.715,47.505;39.865,47.505;39.865,47.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,47.355;43.395,47.505;43.545,47.505;43.545,47.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.555,47.695;41.555,47.845;41.705,47.845;41.705,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.475,47.695;42.475,47.845;42.625,47.845;42.625,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.775,47.695;44.775,47.845;44.925,47.845;44.925,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,47.695;47.995,47.845;48.145,47.845;48.145,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,47.695;48.455,47.845;48.605,47.845;48.605,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.695,48.035;45.695,48.185;45.845,48.185;45.845,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,49.395;47.535,49.545;47.685,49.545;47.685,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,49.395;48.455,49.545;48.605,49.545;48.605,49.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.255,50.415;39.255,50.565;39.405,50.565;39.405,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.555,50.415;41.555,50.565;41.705,50.565;41.705,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,49.735;42.935,49.885;43.085,49.885;43.085,49.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,48.885;41.125,49.035;41.275,49.035;41.275,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,48.885;41.445,49.035;41.595,49.035;41.595,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,50.415;43.395,50.565;43.545,50.565;43.545,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.915,50.415;48.915,50.565;49.065,50.565;49.065,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.715,45.315;39.715,45.465;39.865,45.465;39.865,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,45.315;42.935,45.465;43.085,45.465;43.085,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,45.315;47.995,45.465;48.145,45.465;48.145,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.915,45.315;48.915,45.465;49.065,45.465;49.065,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.095,50.075;41.095,50.225;41.245,50.225;41.245,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.855,50.075;43.855,50.225;44.005,50.225;44.005,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,48.885;41.765,49.035;41.915,49.035;41.915,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,48.885;42.085,49.035;42.235,49.035;42.235,48.885) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.495,50.415;36.495,50.565;36.645,50.565;36.645,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.115,52.115;35.115,52.265;35.265,52.265;35.265,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.735,49.735;33.735,49.885;33.885,49.885;33.885,49.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.675,47.695;28.675,47.845;28.825,47.845;28.825,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.515,47.695;30.515,47.845;30.665,47.845;30.665,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.035,47.695;36.035,47.845;36.185,47.845;36.185,47.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.055,44.635;30.055,44.785;30.205,44.785;30.205,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.195,44.635;34.195,44.785;34.345,44.785;34.345,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.655,45.315;34.655,45.465;34.805,45.465;34.805,45.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.035,47.015;36.035,47.165;36.185,47.165;36.185,47.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.035,44.635;36.035,44.785;36.185,44.785;36.185,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.675,45.655;28.675,45.805;28.825,45.805;28.825,45.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.495,45.655;36.495,45.805;36.645,45.805;36.645,45.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.055,50.075;30.055,50.225;30.205,50.225;30.205,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.115,50.075;35.115,50.225;35.265,50.225;35.265,50.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.335,44.635;38.335,44.785;38.485,44.785;38.485,44.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,48.035;29.595,48.185;29.745,48.185;29.745,48.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.655,52.115;34.655,52.265;34.805,52.265;34.805,52.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,50.415;29.595,50.565;29.745,50.565;29.745,50.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.655,46.675;34.655,46.825;34.805,46.825;34.805,46.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,36.815;29.595,36.965;29.745,36.965;29.745,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.215,42.595;28.215,42.745;28.365,42.745;28.365,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.195,42.595;34.195,42.745;34.345,42.745;34.345,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,41.915;29.595,42.065;29.745,42.065;29.745,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.415,37.155;37.415,37.305;37.565,37.305;37.565,37.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.335,42.595;38.335,42.745;38.485,42.745;38.485,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.875,43.955;37.875,44.105;38.025,44.105;38.025,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.275,39.195;33.275,39.345;33.425,39.345;33.425,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.275,42.935;33.275,43.085;33.425,43.085;33.425,42.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,40.215;29.595,40.365;29.745,40.365;29.745,40.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.875,42.255;37.875,42.405;38.025,42.405;38.025,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,37.495;29.595,37.645;29.745,37.645;29.745,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.215,44.295;28.215,44.445;28.365,44.445;28.365,44.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.275,41.575;33.275,41.725;33.425,41.725;33.425,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.035,41.575;36.035,41.725;36.185,41.725;36.185,41.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.515,42.935;30.515,43.085;30.665,43.085;30.665,42.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,39.195;42.935,39.345;43.085,39.345;43.085,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,37.155;43.395,37.305;43.545,37.305;43.545,37.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.175,42.255;40.175,42.405;40.325,42.405;40.325,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.315,42.255;44.315,42.405;44.465,42.405;44.465,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.775,42.255;44.775,42.405;44.925,42.405;44.925,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.915,42.255;48.915,42.405;49.065,42.405;49.065,42.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,41.915;47.535,42.065;47.685,42.065;47.685,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,38.005;41.125,38.155;41.275,38.155;41.275,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,38.005;41.445,38.155;41.595,38.155;41.595,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,38.005;41.765,38.155;41.915,38.155;41.915,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.915,44.295;48.915,44.445;49.065,44.445;49.065,44.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,38.005;42.085,38.155;42.235,38.155;42.235,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,38.005;42.405,38.155;42.555,38.155;42.555,38.005) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,42.595;48.455,42.745;48.605,42.745;48.605,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.715,42.935;39.715,43.085;39.865,43.085;39.865,42.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.715,36.815;39.715,36.965;39.865,36.965;39.865,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.175,36.815;40.175,36.965;40.325,36.965;40.325,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.775,36.815;44.775,36.965;44.925,36.965;44.925,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.695,36.815;45.695,36.965;45.845,36.965;45.845,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,36.815;48.455,36.965;48.605,36.965;48.605,36.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.715,39.535;39.715,39.685;39.865,39.685;39.865,39.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.075,42.935;47.075,43.085;47.225,43.085;47.225,42.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.255,39.875;39.255,40.025;39.405,40.025;39.405,39.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.775,40.215;44.775,40.365;44.925,40.365;44.925,40.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,43.445;42.405,43.595;42.555,43.595;42.555,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,38.855;47.535,39.005;47.685,39.005;47.685,38.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.255,43.955;39.255,44.105;39.405,44.105;39.405,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,43.955;42.935,44.105;43.085,44.105;43.085,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,43.955;43.395,44.105;43.545,44.105;43.545,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,43.955;47.995,44.105;48.145,44.105;48.145,43.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,42.595;43.395,42.745;43.545,42.745;43.545,42.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.255,37.495;39.255,37.645;39.405,37.645;39.405,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.635,37.495;40.635,37.645;40.785,37.645;40.785,37.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,43.445;42.085,43.595;42.235,43.595;42.235,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.255,39.195;39.255,39.345;39.405,39.345;39.405,39.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,38.515;43.395,38.665;43.545,38.665;43.545,38.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.695,38.515;45.695,38.665;45.845,38.665;45.845,38.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.155,41.235;46.155,41.385;46.305,41.385;46.305,41.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,41.235;47.995,41.385;48.145,41.385;48.145,41.235) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.795,41.915;38.795,42.065;38.945,42.065;38.945,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.635,41.915;40.635,42.065;40.785,42.065;40.785,41.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,43.445;41.125,43.595;41.275,43.595;41.275,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,43.445;41.445,43.595;41.595,43.595;41.595,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,43.445;41.765,43.595;41.915,43.595;41.915,43.445) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (9.355,20.495;9.355,20.645;9.505,20.645;9.505,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,20.495;11.195,20.645;11.345,20.645;11.345,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,20.495;12.115,20.645;12.265,20.645;12.265,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.215,20.495;28.215,20.645;28.365,20.645;28.365,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.135,20.495;29.135,20.645;29.285,20.645;29.285,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,20.495;42.935,20.645;43.085,20.645;43.085,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.115,33.415;35.115,33.565;35.265,33.565;35.265,33.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,33.415;42.935,33.565;43.085,33.565;43.085,33.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.695,33.415;45.695,33.565;45.845,33.565;45.845,33.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,32.565;41.125,32.715;41.275,32.715;41.275,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,32.565;41.445,32.715;41.595,32.715;41.595,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,32.565;41.765,32.715;41.915,32.715;41.915,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,32.565;42.085,32.715;42.235,32.715;42.235,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,32.565;42.405,32.715;42.555,32.715;42.555,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,32.055;42.935,32.205;43.085,32.205;43.085,32.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.695,32.055;45.695,32.205;45.845,32.205;45.845,32.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.655,31.715;34.655,31.865;34.805,31.865;34.805,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.415,31.715;37.415,31.865;37.565,31.865;37.565,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.115,31.375;35.115,31.525;35.265,31.525;35.265,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.475,31.035;42.475,31.185;42.625,31.185;42.625,31.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (44.775,31.035;44.775,31.185;44.925,31.185;44.925,31.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.495,30.355;36.495,30.505;36.645,30.505;36.645,30.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,30.355;48.455,30.505;48.605,30.505;48.605,30.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.475,29.335;42.475,29.485;42.625,29.485;42.625,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.855,29.335;43.855,29.485;44.005,29.485;44.005,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,29.335;47.995,29.485;48.145,29.485;48.145,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.655,28.995;34.655,29.145;34.805,29.145;34.805,28.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.635,28.655;40.635,28.805;40.785,28.805;40.785,28.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,28.655;47.535,28.805;47.685,28.805;47.685,28.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (31.895,28.315;31.895,28.465;32.045,28.465;32.045,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.415,28.315;37.415,28.465;37.565,28.465;37.565,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.175,28.315;40.175,28.465;40.325,28.465;40.325,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.995,28.315;47.995,28.465;48.145,28.465;48.145,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.075,27.975;47.075,28.125;47.225,28.125;47.225,27.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.735,27.635;33.735,27.785;33.885,27.785;33.885,27.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.115,27.635;35.115,27.785;35.265,27.785;35.265,27.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.715,27.635;39.715,27.785;39.865,27.785;39.865,27.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,27.125;41.125,27.275;41.275,27.275;41.275,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,27.125;41.445,27.275;41.595,27.275;41.595,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,27.125;41.765,27.275;41.915,27.275;41.915,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,27.125;42.085,27.275;42.235,27.275;42.235,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,27.125;42.405,27.275;42.555,27.275;42.555,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.855,26.615;43.855,26.765;44.005,26.765;44.005,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.495,26.275;36.495,26.425;36.645,26.425;36.645,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.695,26.275;45.695,26.425;45.845,26.425;45.845,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,26.275;48.455,26.425;48.605,26.425;48.605,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.655,25.935;34.655,26.085;34.805,26.085;34.805,25.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.975,25.595;30.975,25.745;31.125,25.745;31.125,25.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.915,25.595;48.915,25.745;49.065,25.745;49.065,25.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.355,24.915;32.355,25.065;32.505,25.065;32.505,24.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.815,23.895;32.815,24.045;32.965,24.045;32.965,23.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.715,23.895;39.715,24.045;39.865,24.045;39.865,23.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.355,23.215;32.355,23.365;32.505,23.365;32.505,23.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.255,23.215;39.255,23.365;39.405,23.365;39.405,23.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (45.695,23.215;45.695,23.365;45.845,23.365;45.845,23.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.055,22.875;30.055,23.025;30.205,23.025;30.205,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.975,22.875;30.975,23.025;31.125,23.025;31.125,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.615,22.875;46.615,23.025;46.765,23.025;46.765,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,22.195;42.935,22.345;43.085,22.345;43.085,22.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,21.685;41.125,21.835;41.275,21.835;41.275,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,21.685;41.445,21.835;41.595,21.835;41.595,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,21.685;41.765,21.835;41.915,21.835;41.915,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,21.685;42.085,21.835;42.235,21.835;42.235,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,21.685;42.405,21.835;42.555,21.835;42.555,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.415,20.835;37.415,20.985;37.565,20.985;37.565,20.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.615,20.835;46.615,20.985;46.765,20.985;46.765,20.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,34.775;47.535,34.925;47.685,34.925;47.685,34.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,35.795;48.455,35.945;48.605,35.945;48.605,35.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.415,34.095;37.415,34.245;37.565,34.245;37.565,34.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (34.655,33.755;34.655,33.905;34.805,33.905;34.805,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.635,33.755;40.635,33.905;40.785,33.905;40.785,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,33.755;48.455,33.905;48.605,33.905;48.605,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.815,35.795;32.815,35.945;32.965,35.945;32.965,35.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,28.995;19.475,29.145;19.625,29.145;19.625,28.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.615,28.995;23.615,29.145;23.765,29.145;23.765,28.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,33.075;19.475,33.225;19.625,33.225;19.625,33.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,34.435;23.155,34.585;23.305,34.585;23.305,34.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,35.285;22.085,35.435;22.235,35.435;22.235,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,33.755;19.475,33.905;19.625,33.905;19.625,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.935,31.715;19.935,31.865;20.085,31.865;20.085,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,31.715;23.155,31.865;23.305,31.865;23.305,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.535,31.715;24.535,31.865;24.685,31.865;24.685,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.295,31.715;27.295,31.865;27.445,31.865;27.445,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.615,33.755;23.615,33.905;23.765,33.905;23.765,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,33.755;25.455,33.905;25.605,33.905;25.605,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.635,31.375;17.635,31.525;17.785,31.525;17.785,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (18.555,31.375;18.555,31.525;18.705,31.525;18.705,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.395,31.375;20.395,31.525;20.545,31.525;20.545,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.835,31.375;26.835,31.525;26.985,31.525;26.985,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,35.285;22.405,35.435;22.555,35.435;22.555,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,31.035;19.475,31.185;19.625,31.185;19.625,31.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,35.285;21.445,35.435;21.595,35.435;21.595,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (18.095,30.695;18.095,30.845;18.245,30.845;18.245,30.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.995,30.355;24.995,30.505;25.145,30.505;25.145,30.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,30.355;25.455,30.505;25.605,30.505;25.605,30.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.535,33.415;24.535,33.565;24.685,33.565;24.685,33.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,35.285;21.765,35.435;21.915,35.435;21.915,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,29.845;21.125,29.995;21.275,29.995;21.275,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,29.845;21.445,29.995;21.595,29.995;21.595,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,29.845;21.765,29.995;21.915,29.995;21.915,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,29.845;22.085,29.995;22.235,29.995;22.235,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,29.845;22.405,29.995;22.555,29.995;22.555,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (17.635,29.335;17.635,29.485;17.785,29.485;17.785,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.395,29.335;20.395,29.485;20.545,29.485;20.545,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.075,29.335;24.075,29.485;24.225,29.485;24.225,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,35.285;21.125,35.435;21.275,35.435;21.275,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.415,31.035;14.415,31.185;14.565,31.185;14.565,31.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.495,34.435;13.495,34.585;13.645,34.585;13.645,34.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,34.775;13.955,34.925;14.105,34.925;14.105,34.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,31.715;12.115,31.865;12.265,31.865;12.265,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,33.075;12.115,33.225;12.265,33.225;12.265,33.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (7.975,30.355;7.975,30.505;8.125,30.505;8.125,30.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,30.355;13.035,30.505;13.185,30.505;13.185,30.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,28.655;13.035,28.805;13.185,28.805;13.185,28.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,33.075;13.955,33.225;14.105,33.225;14.105,33.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (7.975,33.075;7.975,33.225;8.125,33.225;8.125,33.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,28.995;13.955,29.145;14.105,29.145;14.105,28.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (8.435,34.095;8.435,34.245;8.585,34.245;8.585,34.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (9.815,31.375;9.815,31.525;9.965,31.525;9.965,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,31.375;13.035,31.525;13.185,31.525;13.185,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,31.375;13.955,31.525;14.105,31.525;14.105,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.255,34.095;16.255,34.245;16.405,34.245;16.405,34.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,29.335;12.115,29.485;12.265,29.485;12.265,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.575,29.335;12.575,29.485;12.725,29.485;12.725,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (10.275,34.435;10.275,34.585;10.425,34.585;10.425,34.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (9.815,33.755;9.815,33.905;9.965,33.905;9.965,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.495,32.055;13.495,32.205;13.645,32.205;13.645,32.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,33.755;12.115,33.905;12.265,33.905;12.265,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.495,28.315;13.495,28.465;13.645,28.465;13.645,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.575,28.315;12.575,28.465;12.725,28.465;12.725,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,24.915;12.115,25.065;12.265,25.065;12.265,24.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.575,21.175;12.575,21.325;12.725,21.325;12.725,21.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (8.435,20.835;8.435,20.985;8.585,20.985;8.585,20.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (10.735,22.875;10.735,23.025;10.885,23.025;10.885,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,22.875;13.035,23.025;13.185,23.025;13.185,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,27.635;13.955,27.785;14.105,27.785;14.105,27.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.575,23.895;12.575,24.045;12.725,24.045;12.725,23.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.875,23.895;14.875,24.045;15.025,24.045;15.025,23.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (9.355,22.195;9.355,22.345;9.505,22.345;9.505,22.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.715,22.195;16.715,22.345;16.865,22.345;16.865,22.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.255,25.935;16.255,26.085;16.405,26.085;16.405,25.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,26.275;13.955,26.425;14.105,26.425;14.105,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (8.895,23.215;8.895,23.365;9.045,23.365;9.045,23.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.395,23.215;20.395,23.365;20.545,23.365;20.545,23.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.755,23.215;27.755,23.365;27.905,23.365;27.905,23.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.775,27.975;21.775,28.125;21.925,28.125;21.925,27.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,27.635;23.155,27.785;23.305,27.785;23.305,27.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.855,25.935;20.855,26.085;21.005,26.085;21.005,25.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.775,25.935;21.775,26.085;21.925,26.085;21.925,25.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,25.935;23.155,26.085;23.305,26.085;23.305,25.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.315,22.875;21.315,23.025;21.465,23.025;21.465,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.535,22.875;24.535,23.025;24.685,23.025;24.685,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,22.875;25.455,23.025;25.605,23.025;25.605,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.535,25.935;24.535,26.085;24.685,26.085;24.685,25.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.615,27.635;23.615,27.785;23.765,27.785;23.765,27.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,25.595;25.455,25.745;25.605,25.745;25.605,25.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.695,22.535;22.695,22.685;22.845,22.685;22.845,22.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,27.635;25.455,27.785;25.605,27.785;25.605,27.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (18.555,26.615;18.555,26.765;18.705,26.765;18.705,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.315,22.195;21.315,22.345;21.465,22.345;21.465,22.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.615,22.195;23.615,22.345;23.765,22.345;23.765,22.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.995,22.195;24.995,22.345;25.145,22.345;25.145,22.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.855,25.255;20.855,25.405;21.005,25.405;21.005,25.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.615,25.255;23.615,25.405;23.765,25.405;23.765,25.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.075,25.255;24.075,25.405;24.225,25.405;24.225,25.255) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,26.615;23.155,26.765;23.305,26.765;23.305,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.395,24.915;20.395,25.065;20.545,25.065;20.545,24.915) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.375,26.615;26.375,26.765;26.525,26.765;26.525,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,24.405;21.125,24.555;21.275,24.555;21.275,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.535,21.175;24.535,21.325;24.685,21.325;24.685,21.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,24.405;21.445,24.555;21.595,24.555;21.595,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.995,20.835;24.995,20.985;25.145,20.985;25.145,20.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,24.405;21.765,24.555;21.915,24.555;21.915,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,24.405;22.085,24.555;22.235,24.555;22.235,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,24.405;22.405,24.555;22.555,24.555;22.555,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.075,27.975;24.075,28.125;24.225,28.125;24.225,27.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.375,27.975;26.375,28.125;26.525,28.125;26.525,27.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.615,23.895;23.615,24.045;23.765,24.045;23.765,23.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,23.895;25.455,24.045;25.605,24.045;25.605,23.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.295,26.275;27.295,26.425;27.445,26.425;27.445,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.295,27.975;27.295,28.125;27.445,28.125;27.445,27.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.755,28.315;27.755,28.465;27.905,28.465;27.905,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.915,20.155;25.915,20.305;26.065,20.305;26.065,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.755,20.155;27.755,20.305;27.905,20.305;27.905,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.695,19.815;22.695,19.965;22.845,19.965;22.845,19.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.455,19.815;25.455,19.965;25.605,19.965;25.605,19.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,18.965;21.125,19.115;21.275,19.115;21.275,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,18.965;21.445,19.115;21.595,19.115;21.595,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,18.965;21.765,19.115;21.915,19.115;21.915,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,18.965;22.085,19.115;22.235,19.115;22.235,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,18.965;22.405,19.115;22.555,19.115;22.555,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (15.795,18.455;15.795,18.605;15.945,18.605;15.945,18.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,18.115;23.155,18.265;23.305,18.265;23.305,18.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (9.355,17.775;9.355,17.925;9.505,17.925;9.505,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,17.775;11.195,17.925;11.345,17.925;11.345,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (19.475,17.775;19.475,17.925;19.625,17.925;19.625,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.915,17.775;25.915,17.925;26.065,17.925;26.065,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.295,17.775;27.295,17.925;27.445,17.925;27.445,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (8.895,17.435;8.895,17.585;9.045,17.585;9.045,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.255,17.435;16.255,17.585;16.405,17.585;16.405,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,17.435;23.155,17.585;23.305,17.585;23.305,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.755,17.435;27.755,17.585;27.905,17.585;27.905,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.715,20.155;16.715,20.305;16.865,20.305;16.865,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,17.095;13.035,17.245;13.185,17.245;13.185,17.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,17.095;13.955,17.245;14.105,17.245;14.105,17.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (8.895,16.755;8.895,16.905;9.045,16.905;9.045,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.575,16.755;12.575,16.905;12.725,16.905;12.725,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.415,16.755;14.415,16.905;14.565,16.905;14.565,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (11.195,15.735;11.195,15.885;11.345,15.885;11.345,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.495,15.735;13.495,15.885;13.645,15.885;13.645,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.395,15.735;20.395,15.885;20.545,15.885;20.545,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.075,15.735;24.075,15.885;24.225,15.885;24.225,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (8.895,15.055;8.895,15.205;9.045,15.205;9.045,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (10.735,15.055;10.735,15.205;10.885,15.205;10.885,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.995,15.055;24.995,15.205;25.145,15.205;25.145,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.835,15.055;26.835,15.205;26.985,15.205;26.985,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.855,14.715;20.855,14.865;21.005,14.865;21.005,14.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.115,14.375;12.115,14.525;12.265,14.525;12.265,14.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.715,14.375;16.715,14.525;16.865,14.525;16.865,14.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,13.525;21.125,13.675;21.275,13.675;21.275,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,13.525;21.445,13.675;21.595,13.675;21.595,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,13.525;21.765,13.675;21.915,13.675;21.915,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,13.525;22.085,13.675;22.235,13.675;22.235,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,13.525;22.405,13.675;22.555,13.675;22.555,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (12.575,13.015;12.575,13.165;12.725,13.165;12.725,13.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.835,13.015;26.835,13.165;26.985,13.165;26.985,13.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.255,12.675;16.255,12.825;16.405,12.825;16.405,12.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,12.675;23.155,12.825;23.305,12.825;23.305,12.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.415,12.335;14.415,12.485;14.565,12.485;14.565,12.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (10.275,11.995;10.275,12.145;10.425,12.145;10.425,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,11.995;13.955,12.145;14.105,12.145;14.105,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (15.795,11.995;15.795,12.145;15.945,12.145;15.945,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.855,11.995;20.855,12.145;21.005,12.145;21.005,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.375,11.995;26.375,12.145;26.525,12.145;26.525,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.415,11.655;14.415,11.805;14.565,11.805;14.565,11.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.995,11.655;24.995,11.805;25.145,11.805;25.145,11.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (18.095,11.315;18.095,11.465;18.245,11.465;18.245,11.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.075,11.315;24.075,11.465;24.225,11.465;24.225,11.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (15.795,10.295;15.795,10.445;15.945,10.445;15.945,10.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,10.295;23.155,10.445;23.305,10.445;23.305,10.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.375,10.295;26.375,10.445;26.525,10.445;26.525,10.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (10.275,9.955;10.275,10.105;10.425,10.105;10.425,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.035,9.955;13.035,10.105;13.185,10.105;13.185,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.255,9.955;16.255,10.105;16.405,10.105;16.405,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (18.095,9.955;18.095,10.105;18.245,10.105;18.245,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.915,9.955;25.915,10.105;26.065,10.105;26.065,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.715,9.615;16.715,9.765;16.865,9.765;16.865,9.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,9.275;13.955,9.425;14.105,9.425;14.105,9.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (20.855,9.275;20.855,9.425;21.005,9.425;21.005,9.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.835,9.275;26.835,9.425;26.985,9.425;26.985,9.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.995,8.935;24.995,9.085;25.145,9.085;25.145,8.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.075,8.595;24.075,8.745;24.225,8.745;24.225,8.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.125,8.085;21.125,8.235;21.275,8.235;21.275,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.445,8.085;21.445,8.235;21.595,8.235;21.595,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (21.765,8.085;21.765,8.235;21.915,8.235;21.915,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.085,8.085;22.085,8.235;22.235,8.235;22.235,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.405,8.085;22.405,8.235;22.555,8.235;22.555,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (14.415,7.575;14.415,7.725;14.565,7.725;14.565,7.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (7.515,6.895;7.515,7.045;7.665,7.045;7.665,6.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (24.075,6.895;24.075,7.045;24.225,7.045;24.225,6.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (16.715,6.555;16.715,6.705;16.865,6.705;16.865,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (25.915,6.555;25.915,6.705;26.065,6.705;26.065,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (26.835,6.555;26.835,6.705;26.985,6.705;26.985,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.695,6.215;22.695,6.365;22.845,6.365;22.845,6.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (23.155,5.875;23.155,6.025;23.305,6.025;23.305,5.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (13.955,4.855;13.955,5.005;14.105,5.005;14.105,4.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (22.695,4.515;22.695,4.665;22.845,4.665;22.845,4.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (27.295,4.515;27.295,4.665;27.445,4.665;27.445,4.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,17.435;43.395,17.585;43.545,17.585;43.545,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.075,17.435;47.075,17.585;47.225,17.585;47.225,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (31.895,18.455;31.895,18.605;32.045,18.605;32.045,18.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.355,18.455;32.355,18.605;32.505,18.605;32.505,18.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.615,19.815;46.615,19.965;46.765,19.965;46.765,19.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.175,17.095;40.175,17.245;40.325,17.245;40.325,17.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.075,18.115;47.075,18.265;47.225,18.265;47.225,18.115) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.675,12.335;28.675,12.485;28.825,12.485;28.825,12.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.355,19.475;32.355,19.625;32.505,19.625;32.505,19.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.735,19.475;33.735,19.625;33.885,19.625;33.885,19.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,16.755;29.595,16.905;29.745,16.905;29.745,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.855,16.755;43.855,16.905;44.005,16.905;44.005,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,16.755;47.535,16.905;47.685,16.905;47.685,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.875,11.995;37.875,12.145;38.025,12.145;38.025,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.395,11.995;43.395,12.145;43.545,12.145;43.545,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.155,11.995;46.155,12.145;46.305,12.145;46.305,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,16.245;41.125,16.395;41.275,16.395;41.275,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,16.245;41.445,16.395;41.595,16.395;41.595,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.055,11.655;30.055,11.805;30.205,11.805;30.205,11.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.355,11.655;32.355,11.805;32.505,11.805;32.505,11.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.795,11.655;38.795,11.805;38.945,11.805;38.945,11.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,16.245;41.765,16.395;41.915,16.395;41.915,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,16.245;42.085,16.395;42.235,16.395;42.235,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,11.315;42.935,11.465;43.085,11.465;43.085,11.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.155,11.315;46.155,11.465;46.305,11.465;46.305,11.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,10.805;41.125,10.955;41.275,10.955;41.275,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,10.805;41.445,10.955;41.595,10.955;41.595,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,10.805;41.765,10.955;41.915,10.955;41.915,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,10.805;42.085,10.955;42.235,10.955;42.235,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,10.805;42.405,10.955;42.555,10.955;42.555,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,16.245;42.405,16.395;42.555,16.395;42.555,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.155,19.475;46.155,19.625;46.305,19.625;46.305,19.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.735,20.155;33.735,20.305;33.885,20.305;33.885,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.355,10.295;32.355,10.445;32.505,10.445;32.505,10.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.275,10.295;33.275,10.445;33.425,10.445;33.425,10.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,10.295;42.935,10.445;43.085,10.445;43.085,10.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.795,20.155;38.795,20.305;38.945,20.305;38.945,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.415,17.775;37.415,17.925;37.565,17.925;37.565,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.135,15.735;29.135,15.885;29.285,15.885;29.285,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.955,15.735;36.955,15.885;37.105,15.885;37.105,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.795,15.735;38.795,15.885;38.945,15.885;38.945,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (36.955,9.955;36.955,10.105;37.105,10.105;37.105,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.155,9.955;46.155,10.105;46.305,10.105;46.305,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (40.175,15.735;40.175,15.885;40.325,15.885;40.325,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,9.615;29.595,9.765;29.745,9.765;29.745,9.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.735,9.615;33.735,9.765;33.885,9.765;33.885,9.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.875,9.615;37.875,9.765;38.025,9.765;38.025,9.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.155,15.735;46.155,15.885;46.305,15.885;46.305,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.855,15.395;43.855,15.545;44.005,15.545;44.005,15.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.535,15.395;47.535,15.545;47.685,15.545;47.685,15.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.795,9.275;38.795,9.425;38.945,9.425;38.945,9.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.075,9.275;47.075,9.425;47.225,9.425;47.225,9.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,17.775;42.935,17.925;43.085,17.925;43.085,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,20.155;48.455,20.305;48.605,20.305;48.605,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.155,8.595;46.155,8.745;46.305,8.745;46.305,8.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.675,20.155;28.675,20.305;28.825,20.305;28.825,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.975,20.155;30.975,20.305;31.125,20.305;31.125,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,15.055;29.595,15.205;29.745,15.205;29.745,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.735,15.055;33.735,15.205;33.885,15.205;33.885,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.335,15.055;38.335,15.205;38.485,15.205;38.485,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.915,15.055;48.915,15.205;49.065,15.205;49.065,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (30.055,7.575;30.055,7.725;30.205,7.725;30.205,7.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.475,19.815;42.475,19.965;42.625,19.965;42.625,19.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.215,14.715;28.215,14.865;28.365,14.865;28.365,14.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.795,6.895;38.795,7.045;38.945,7.045;38.945,6.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (47.075,6.895;47.075,7.045;47.225,7.045;47.225,6.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.575,14.715;35.575,14.865;35.725,14.865;35.725,14.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (33.275,17.435;33.275,17.585;33.425,17.585;33.425,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (35.575,17.435;35.575,17.585;35.725,17.585;35.725,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (29.595,6.555;29.595,6.705;29.745,6.705;29.745,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.935,6.555;42.935,6.705;43.085,6.705;43.085,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (46.155,6.555;46.155,6.705;46.305,6.705;46.305,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (48.455,6.555;48.455,6.705;48.605,6.705;48.605,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (28.675,14.375;28.675,14.525;28.825,14.525;28.825,14.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.415,6.215;37.415,6.365;37.565,6.365;37.565,6.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.875,6.215;37.875,6.365;38.025,6.365;38.025,6.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (43.855,14.035;43.855,14.185;44.005,14.185;44.005,14.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.355,5.875;32.355,6.025;32.505,6.025;32.505,5.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.125,5.365;41.125,5.515;41.275,5.515;41.275,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.445,5.365;41.445,5.515;41.595,5.515;41.595,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (41.765,5.365;41.765,5.515;41.915,5.515;41.915,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.085,5.365;42.085,5.515;42.235,5.515;42.235,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.405,5.365;42.405,5.515;42.555,5.515;42.555,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (38.335,17.435;38.335,17.585;38.485,17.585;38.485,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (32.355,4.855;32.355,5.005;32.505,5.005;32.505,4.855) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (39.255,17.435;39.255,17.585;39.405,17.585;39.405,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (42.475,17.435;42.475,17.585;42.625,17.585;42.625,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (37.875,4.515;37.875,4.665;38.025,4.665;38.025,4.515) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,20.495;52.135,20.645;52.285,20.645;52.285,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.055,20.495;53.055,20.645;53.205,20.645;53.205,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.255,20.495;62.255,20.645;62.405,20.645;62.405,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,20.495;69.155,20.645;69.305,20.645;69.305,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,20.495;70.535,20.645;70.685,20.645;70.685,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.475,20.495;88.475,20.645;88.625,20.645;88.625,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.235,20.495;91.235,20.645;91.385,20.645;91.385,20.495) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,26.275;86.175,26.425;86.325,26.425;86.325,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.015,26.275;88.015,26.425;88.165,26.425;88.165,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (79.735,33.075;79.735,33.225;79.885,33.225;79.885,33.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.055,34.095;76.055,34.245;76.205,34.245;76.205,34.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,30.355;76.515,30.505;76.665,30.505;76.665,30.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.635,34.095;86.635,34.245;86.785,34.245;86.785,34.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.755,25.595;73.755,25.745;73.905,25.745;73.905,25.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.475,25.595;88.475,25.745;88.625,25.745;88.625,25.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,32.565;81.125,32.715;81.275,32.715;81.275,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,32.565;81.445,32.715;81.595,32.715;81.595,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,32.565;81.765,32.715;81.915,32.715;81.915,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,32.565;82.085,32.715;82.235,32.715;82.235,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,32.565;82.405,32.715;82.555,32.715;82.555,32.565) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.955,29.335;82.955,29.485;83.105,29.485;83.105,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.655,23.895;80.655,24.045;80.805,24.045;80.805,23.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.375,23.555;72.375,23.705;72.525,23.705;72.525,23.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.775,29.335;90.775,29.485;90.925,29.485;90.925,29.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.955,32.055;82.955,32.205;83.105,32.205;83.105,32.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.255,32.055;85.255,32.205;85.405,32.205;85.405,32.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (75.135,36.135;75.135,36.285;75.285,36.285;75.285,36.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.555,28.995;87.555,29.145;87.705,29.145;87.705,28.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.755,23.215;73.755,23.365;73.905,23.365;73.905,23.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.335,23.215;84.335,23.365;84.485,23.365;84.485,23.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.935,28.995;88.935,29.145;89.085,29.145;89.085,28.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.355,28.655;78.355,28.805;78.505,28.805;78.505,28.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (79.275,28.655;79.275,28.805;79.425,28.805;79.425,28.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.715,22.875;85.715,23.025;85.865,23.025;85.865,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,22.875;86.175,23.025;86.325,23.025;86.325,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,22.875;90.315,23.025;90.465,23.025;90.465,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,22.535;76.515,22.685;76.665,22.685;76.665,22.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,22.535;77.435,22.685;77.585,22.685;77.585,22.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.795,22.535;84.795,22.685;84.945,22.685;84.945,22.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.255,22.535;85.255,22.685;85.405,22.685;85.405,22.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,31.715;74.215,31.865;74.365,31.865;74.365,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (79.275,31.715;79.275,31.865;79.425,31.865;79.425,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.715,31.715;85.715,31.865;85.865,31.865;85.865,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.195,22.195;80.195,22.345;80.345,22.345;80.345,22.195) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.995,28.315;70.995,28.465;71.145,28.465;71.145,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (73.755,28.315;73.755,28.465;73.905,28.465;73.905,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,28.315;90.315,28.465;90.465,28.465;90.465,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,21.685;81.125,21.835;81.275,21.835;81.275,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,21.685;81.445,21.835;81.595,21.835;81.595,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,21.685;81.765,21.835;81.915,21.835;81.915,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,21.685;82.085,21.835;82.235,21.835;82.235,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,21.685;82.405,21.835;82.555,21.835;82.555,21.685) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,33.755;76.515,33.905;76.665,33.905;76.665,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,21.175;77.435,21.325;77.585,21.325;77.585,21.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.255,21.175;85.255,21.325;85.405,21.325;85.405,21.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,21.175;86.175,21.325;86.325,21.325;86.325,21.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (77.435,33.755;77.435,33.905;77.585,33.905;77.585,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.655,33.755;80.655,33.905;80.805,33.905;80.805,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,20.835;83.415,20.985;83.565,20.985;83.565,20.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.715,20.835;85.715,20.985;85.865,20.985;85.865,20.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (89.855,20.835;89.855,20.985;90.005,20.985;90.005,20.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.015,27.975;88.015,28.125;88.165,28.125;88.165,27.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.555,34.435;87.555,34.585;87.705,34.585;87.705,34.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (75.135,31.375;75.135,31.525;75.285,31.525;75.285,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (76.515,31.035;76.515,31.185;76.665,31.185;76.665,31.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,26.615;74.215,26.765;74.365,26.765;74.365,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.815,26.615;78.815,26.765;78.965,26.765;78.965,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.955,26.615;82.955,26.765;83.105,26.765;83.105,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.635,26.615;86.635,26.765;86.785,26.765;86.785,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.555,30.695;87.555,30.845;87.705,30.845;87.705,30.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.375,26.275;72.375,26.425;72.525,26.425;72.525,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (79.735,31.375;79.735,31.525;79.885,31.525;79.885,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.195,27.635;80.195,27.785;80.345,27.785;80.345,27.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,31.375;90.315,31.525;90.465,31.525;90.465,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,33.415;90.315,33.565;90.465,33.565;90.465,33.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.775,33.415;90.775,33.565;90.925,33.565;90.925,33.415) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,27.125;81.125,27.275;81.275,27.275;81.275,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,27.125;81.445,27.275;81.595,27.275;81.595,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,27.125;81.765,27.275;81.915,27.275;81.915,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,27.125;82.085,27.275;82.235,27.275;82.235,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,27.125;82.405,27.275;82.555,27.275;82.555,27.125) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.775,33.755;67.775,33.905;67.925,33.905;67.925,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.435,22.535;54.435,22.685;54.585,22.685;54.585,22.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,22.535;59.955,22.685;60.105,22.685;60.105,22.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,22.535;63.635,22.685;63.785,22.685;63.785,22.535) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,29.845;61.445,29.995;61.595,29.995;61.595,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,29.845;61.765,29.995;61.915,29.995;61.915,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,29.845;62.085,29.995;62.235,29.995;62.235,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,29.845;62.405,29.995;62.555,29.995;62.555,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,31.715;55.355,31.865;55.505,31.865;55.505,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.335,31.715;61.335,31.865;61.485,31.865;61.485,31.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.075,26.275;70.075,26.425;70.225,26.425;70.225,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,26.615;64.095,26.765;64.245,26.765;64.245,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,31.035;70.535,31.185;70.685,31.185;70.685,31.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.835,28.315;49.835,28.465;49.985,28.465;49.985,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,24.405;61.125,24.555;61.275,24.555;61.275,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.655,28.315;57.655,28.465;57.805,28.465;57.805,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.615,28.315;69.615,28.465;69.765,28.465;69.765,28.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,24.405;61.445,24.555;61.595,24.555;61.595,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,24.405;61.765,24.555;61.915,24.555;61.915,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,24.405;62.085,24.555;62.235,24.555;62.235,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,24.405;62.405,24.555;62.555,24.555;62.555,24.405) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.515,34.095;53.515,34.245;53.665,34.245;53.665,34.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,35.795;68.695,35.945;68.845,35.945;68.845,35.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,35.795;70.535,35.945;70.685,35.945;70.685,35.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,36.135;52.595,36.285;52.745,36.285;52.745,36.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,33.755;68.695,33.905;68.845,33.905;68.845,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.875,32.055;60.875,32.205;61.025,32.205;61.025,32.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,21.175;59.955,21.325;60.105,21.325;60.105,21.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,21.175;63.175,21.325;63.325,21.325;63.325,21.175) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.635,33.075;63.635,33.225;63.785,33.225;63.785,33.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.775,23.895;67.775,24.045;67.925,24.045;67.925,23.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,23.895;69.155,24.045;69.305,24.045;69.305,23.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,33.075;65.475,33.225;65.625,33.225;65.625,33.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.755,23.555;50.755,23.705;50.905,23.705;50.905,23.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.515,35.795;53.515,35.945;53.665,35.945;53.665,35.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,27.975;68.695,28.125;68.845,28.125;68.845,27.975) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,20.835;68.695,20.985;68.845,20.985;68.845,20.835) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (49.835,30.695;49.835,30.845;49.985,30.845;49.985,30.695) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,26.615;52.135,26.765;52.285,26.765;52.285,26.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.655,30.355;57.655,30.505;57.805,30.505;57.805,30.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.075,30.355;70.075,30.505;70.225,30.505;70.225,30.355) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.395,34.435;66.395,34.585;66.545,34.585;66.545,34.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (67.775,36.135;67.775,36.285;67.925,36.285;67.925,36.135) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.475,35.795;65.475,35.945;65.625,35.945;65.625,35.795) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,31.375;52.595,31.525;52.745,31.525;52.745,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.515,31.375;53.515,31.525;53.665,31.525;53.665,31.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.535,34.095;70.535,34.245;70.685,34.245;70.685,34.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (60.875,33.075;60.875,33.225;61.025,33.225;61.025,33.075) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,23.215;59.955,23.365;60.105,23.365;60.105,23.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,35.285;61.765,35.435;61.915,35.435;61.915,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,25.595;59.955,25.745;60.105,25.745;60.105,25.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (50.755,26.275;50.755,26.425;50.905,26.425;50.905,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.435,33.755;54.435,33.905;54.585,33.905;54.585,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,33.755;55.355,33.905;55.505,33.905;55.505,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.495,33.755;59.495,33.905;59.645,33.905;59.645,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (56.735,27.635;56.735,27.785;56.885,27.785;56.885,27.635) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.055,28.655;53.055,28.805;53.205,28.805;53.205,28.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (56.735,26.275;56.735,26.425;56.885,26.425;56.885,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,35.285;62.085,35.435;62.235,35.435;62.235,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.335,33.755;61.335,33.905;61.485,33.905;61.485,33.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,35.285;61.125,35.435;61.275,35.435;61.275,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,35.285;61.445,35.435;61.595,35.435;61.595,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (68.695,22.875;68.695,23.025;68.845,23.025;68.845,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.615,22.875;69.615,23.025;69.765,23.025;69.765,22.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,35.285;62.405,35.435;62.555,35.435;62.555,35.285) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,26.275;63.175,26.425;63.325,26.425;63.325,26.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,29.845;61.125,29.995;61.275,29.995;61.275,29.845) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (64.095,31.035;64.095,31.185;64.245,31.185;64.245,31.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.395,31.035;66.395,31.185;66.545,31.185;66.545,31.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.515,17.435;53.515,17.585;53.665,17.585;53.665,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.055,12.335;53.055,12.485;53.205,12.485;53.205,12.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.115,12.335;58.115,12.485;58.265,12.485;58.265,12.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.015,17.435;65.015,17.585;65.165,17.585;65.165,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.975,18.455;53.975,18.605;54.125,18.605;54.125,18.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.115,9.955;58.115,10.105;58.265,10.105;58.265,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,9.955;63.175,10.105;63.325,10.105;63.325,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.215,14.715;51.215,14.865;51.365,14.865;51.365,14.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.815,14.715;55.815,14.865;55.965,14.865;55.965,14.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,18.965;61.765,19.115;61.915,19.115;61.915,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.655,15.395;57.655,15.545;57.805,15.545;57.805,15.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.515,9.615;53.515,9.765;53.665,9.765;53.665,9.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,9.615;55.355,9.765;55.505,9.765;55.505,9.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,18.965;62.085,19.115;62.235,19.115;62.235,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,14.375;55.355,14.525;55.505,14.525;55.505,14.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,11.995;55.355,12.145;55.505,12.145;55.505,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.855,11.995;66.855,12.145;67.005,12.145;67.005,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.615,11.995;69.615,12.145;69.765,12.145;69.765,11.995) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.815,9.275;55.815,9.425;55.965,9.425;55.965,9.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (63.175,14.375;63.175,14.525;63.325,14.525;63.325,14.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (66.855,14.375;66.855,14.525;67.005,14.525;67.005,14.375) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (57.655,17.775;57.655,17.925;57.805,17.925;57.805,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,8.595;52.595,8.745;52.745,8.745;52.745,8.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.495,14.035;59.495,14.185;59.645,14.185;59.645,14.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,18.965;62.405,19.115;62.555,19.115;62.555,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.815,11.655;55.815,11.805;55.965,11.805;55.965,11.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,11.655;59.955,11.805;60.105,11.805;60.105,11.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.255,19.475;62.255,19.625;62.405,19.625;62.405,19.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,8.085;61.125,8.235;61.275,8.235;61.275,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,8.085;61.445,8.235;61.595,8.235;61.595,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,8.085;61.765,8.235;61.915,8.235;61.915,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,8.085;62.085,8.235;62.235,8.235;62.235,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,8.085;62.405,8.235;62.555,8.235;62.555,8.085) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,18.965;61.125,19.115;61.275,19.115;61.275,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,18.965;61.445,19.115;61.595,19.115;61.595,18.965) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.955,7.575;59.955,7.725;60.105,7.725;60.105,7.575) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,17.435;52.135,17.585;52.285,17.585;52.285,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.215,11.315;51.215,11.465;51.365,11.465;51.365,11.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,11.315;55.355,11.465;55.505,11.465;55.505,11.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.125,13.525;61.125,13.675;61.275,13.675;61.275,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (51.215,6.895;51.215,7.045;51.365,7.045;51.365,6.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.815,6.895;55.815,7.045;55.965,7.045;55.965,6.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.445,13.525;61.445,13.675;61.595,13.675;61.595,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (61.765,13.525;61.765,13.675;61.915,13.675;61.915,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.085,13.525;62.085,13.675;62.235,13.675;62.235,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (62.405,13.525;62.405,13.675;62.555,13.675;62.555,13.525) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.055,16.755;53.055,16.905;53.205,16.905;53.205,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (53.975,20.155;53.975,20.305;54.125,20.305;54.125,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (54.435,20.155;54.435,20.305;54.585,20.305;54.585,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,6.555;52.595,6.705;52.745,6.705;52.745,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.495,6.555;59.495,6.705;59.645,6.705;59.645,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (65.015,20.155;65.015,20.305;65.165,20.305;65.165,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.355,6.215;55.355,6.365;55.505,6.365;55.505,6.215) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,15.055;52.595,15.205;52.745,15.205;52.745,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.595,13.015;52.595,13.165;52.745,13.165;52.745,13.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.035,13.015;59.035,13.165;59.185,13.165;59.185,13.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (58.115,15.055;58.115,15.205;58.265,15.205;58.265,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (59.035,15.055;59.035,15.205;59.185,15.205;59.185,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (55.815,12.675;55.815,12.825;55.965,12.825;55.965,12.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (69.155,15.055;69.155,15.205;69.305,15.205;69.305,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (52.135,19.475;52.135,19.625;52.285,19.625;52.285,19.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.355,9.615;78.355,9.765;78.505,9.765;78.505,9.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.655,9.615;80.655,9.765;80.805,9.765;80.805,9.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.875,9.615;83.875,9.765;84.025,9.765;84.025,9.615) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,16.245;82.405,16.395;82.555,16.395;82.555,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.875,19.475;83.875,19.625;84.025,19.625;84.025,19.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.235,18.455;91.235,18.605;91.385,18.605;91.385,18.455) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (89.855,11.655;89.855,11.805;90.005,11.805;90.005,11.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.695,11.655;91.695,11.805;91.845,11.805;91.845,11.655) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.555,19.475;87.555,19.625;87.705,19.625;87.705,19.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.675,9.275;74.675,9.425;74.825,9.425;74.825,9.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.955,9.275;82.955,9.425;83.105,9.425;83.105,9.275) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.995,17.435;70.995,17.585;71.145,17.585;71.145,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (79.735,8.935;79.735,9.085;79.885,9.085;79.885,8.935) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,15.055;86.175,15.205;86.325,15.205;86.325,15.055) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.915,17.435;71.915,17.585;72.065,17.585;72.065,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,17.435;72.835,17.585;72.985,17.585;72.985,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,8.595;74.215,8.745;74.365,8.745;74.365,8.595) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.995,13.015;70.995,13.165;71.145,13.165;71.145,13.015) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.675,11.315;74.675,11.465;74.825,11.465;74.825,11.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.935,11.315;88.935,11.465;89.085,11.465;89.085,11.315) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.695,17.435;91.695,17.585;91.845,17.585;91.845,17.435) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.455,16.755;71.455,16.905;71.605,16.905;71.605,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (86.175,16.755;86.175,16.905;86.325,16.905;86.325,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.015,12.675;88.015,12.825;88.165,12.825;88.165,12.675) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,14.715;72.835,14.865;72.985,14.865;72.985,14.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,10.805;81.125,10.955;81.275,10.955;81.275,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,10.805;81.445,10.955;81.595,10.955;81.595,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,10.805;81.765,10.955;81.915,10.955;81.915,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,10.805;82.085,10.955;82.235,10.955;82.235,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,10.805;82.405,10.955;82.555,10.955;82.555,10.805) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.555,14.715;87.555,14.865;87.705,14.865;87.705,14.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.935,14.715;88.935,14.865;89.085,14.865;89.085,14.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.235,14.715;91.235,14.865;91.385,14.865;91.385,14.715) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,12.335;72.835,12.485;72.985,12.485;72.985,12.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.255,12.335;85.255,12.485;85.405,12.485;85.405,12.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (89.855,12.335;89.855,12.485;90.005,12.485;90.005,12.335) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,6.895;72.835,7.045;72.985,7.045;72.985,6.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (74.215,6.895;74.215,7.045;74.365,7.045;74.365,6.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.655,6.895;80.655,7.045;80.805,7.045;80.805,6.895) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,10.295;72.835,10.445;72.985,10.445;72.985,10.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.235,10.295;91.235,10.445;91.385,10.445;91.385,10.295) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.655,15.735;80.655,15.885;80.805,15.885;80.805,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (89.395,15.735;89.395,15.885;89.545,15.885;89.545,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,15.735;90.315,15.885;90.465,15.885;90.465,15.735) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.935,16.755;88.935,16.905;89.085,16.905;89.085,16.755) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (70.995,19.475;70.995,19.625;71.145,19.625;71.145,19.475) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.335,17.775;84.335,17.925;84.485,17.925;84.485,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.095,15.395;87.095,15.545;87.245,15.545;87.245,15.395) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (79.735,6.555;79.735,6.705;79.885,6.705;79.885,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.795,6.555;84.795,6.705;84.945,6.705;84.945,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (88.015,6.555;88.015,6.705;88.165,6.705;88.165,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.235,6.555;91.235,6.705;91.385,6.705;91.385,6.555) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (90.315,17.775;90.315,17.925;90.465,17.925;90.465,17.775) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.915,20.155;71.915,20.305;72.065,20.305;72.065,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (72.835,20.155;72.835,20.305;72.985,20.305;72.985,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.255,14.035;85.255,14.185;85.405,14.185;85.405,14.035) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.955,20.155;82.955,20.305;83.105,20.305;83.105,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.695,20.155;91.695,20.305;91.845,20.305;91.845,20.155) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.955,5.875;82.955,6.025;83.105,6.025;83.105,5.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (83.415,5.875;83.415,6.025;83.565,6.025;83.565,5.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (87.555,5.875;87.555,6.025;87.705,6.025;87.705,5.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.695,5.875;91.695,6.025;91.845,6.025;91.845,5.875) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (71.455,9.955;71.455,10.105;71.605,10.105;71.605,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.795,9.955;84.795,10.105;84.945,10.105;84.945,9.955) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (78.355,17.095;78.355,17.245;78.505,17.245;78.505,17.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (84.795,17.095;84.795,17.245;84.945,17.245;84.945,17.095) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (80.655,19.815;80.655,19.965;80.805,19.965;80.805,19.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,5.365;81.125,5.515;81.275,5.515;81.275,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,5.365;81.445,5.515;81.595,5.515;81.595,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,5.365;81.765,5.515;81.915,5.515;81.915,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,5.365;82.085,5.515;82.235,5.515;82.235,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.405,5.365;82.405,5.515;82.555,5.515;82.555,5.365) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (85.715,19.815;85.715,19.965;85.865,19.965;85.865,19.815) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.125,16.245;81.125,16.395;81.275,16.395;81.275,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.445,16.245;81.445,16.395;81.595,16.395;81.595,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (81.765,16.245;81.765,16.395;81.915,16.395;81.915,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (82.085,16.245;82.085,16.395;82.235,16.395;82.235,16.245) - - - - - '68/44' - digital_pll - false - 1 - - - polygon: (91.695,4.515;91.695,4.665;91.845,4.665;91.845,4.515) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (27.69,71;27.69,75;27.97,75;27.97,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (35.05,71;35.05,75;35.33,75;35.33,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (42.41,71;42.41,75;42.69,75;42.69,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (49.77,71;49.77,75;50.05,75;50.05,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (57.13,71;57.13,75;57.41,75;57.41,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (64.49,71;64.49,75;64.77,75;64.77,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (71.85,71;71.85,75;72.13,75;72.13,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (79.21,71;79.21,75;79.49,75;79.49,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (86.57,71;86.57,75;86.85,75;86.85,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (93.93,71;93.93,75;94.21,75;94.21,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (5.61,71;5.61,75;5.89,75;5.89,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (12.97,71;12.97,75;13.25,75;13.25,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (20.33,71;20.33,75;20.61,75;20.61,71) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (74.61,0;74.61,4;74.89,4;74.89,0) - - - - - '69/16' - digital_pll - false - 1 - - - polygon: (24.93,0;24.93,4;25.21,4;25.21,0) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[10]',r90 27.83,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[11]',r90 35.19,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[12]',r90 42.55,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[13]',r90 49.91,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[14]',r90 57.27,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[15]',r90 64.63,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[16]',r90 71.99,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[17]',r90 79.35,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[18]',r90 86.71,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[19]',r90 94.07,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[7]',r90 5.75,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[8]',r90 13.11,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('ext_trim[9]',r90 20.47,73) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('osc',r90 74.75,2) - - - - - '69/16' - digital_pll - false - 1 - - - label: ('resetb',r90 25.07,2) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.77,71;49.77,75;50.05,75;50.05,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.36,37.05;9.36,41.15;9.5,41.15;9.5,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,37.41;12.98,37.73;13.24,37.73;13.24,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.34,10.725;15.34,38.77;15.48,38.77;15.48,10.725) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.18,36.71;17.18,38.77;17.32,38.77;17.32,36.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,37.05;23.16,38.43;23.3,38.43;23.3,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25,37.39;25,39.79;25.14,39.79;25.14,37.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,37.41;25.4,37.73;25.66,37.73;25.66,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.7,37.41;27.7,37.73;27.96,37.73;27.96,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,37.41;29.54,37.73;29.8,37.73;29.8,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.2,37.41;39.2,37.73;39.46,37.73;39.46,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.72,37.05;39.72,39.45;39.86,39.45;39.86,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.18,37.05;40.18,42.17;40.32,42.17;40.32,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.84,59.15;49.84,71;49.98,71;49.98,59.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.78,58.83;49.78,59.15;50.04,59.15;50.04,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.78,58.15;49.78,58.47;50.04,58.47;50.04,58.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.84,56.09;49.84,58.15;49.98,58.15;49.98,56.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.78,55.77;49.78,56.09;50.04,56.09;50.04,55.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48,53.82;48,53.96;49.98,53.96;49.98,53.82) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.84,53.37;49.84,53.82;49.98,53.82;49.98,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.78,53.05;49.78,53.37;50.04,53.37;50.04,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.78,46.59;49.78,46.91;50.04,46.91;50.04,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.58,37.41;40.58,37.73;40.84,37.73;40.84,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,37.39;43.4,38.43;43.54,38.43;43.54,37.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.78,37.05;44.78,40.13;44.92,40.13;44.92,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.84,42.83;49.84,46.59;49.98,46.59;49.98,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.78,42.51;49.78,42.83;50.04,42.83;50.04,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.7,37.05;45.7,38.43;45.84,38.43;45.84,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,35.01;47.54,38.77;47.68,38.77;47.68,35.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.38,36.71;49.38,39.11;49.52,39.11;49.52,36.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.14,37.05;52.14,41.49;52.28,41.49;52.28,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,37.245;52.6,38.43;52.74,38.43;52.74,37.245) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.78,36.73;49.78,37.05;50.04,37.05;50.04,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.84,30.93;49.84,36.73;49.98,36.73;49.98,30.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.78,30.61;49.78,30.93;50.04,30.93;50.04,30.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.84,28.55;49.84,30.61;49.98,30.61;49.98,28.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.78,28.23;49.78,28.55;50.04,28.55;50.04,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.52,36.45;53.52,38.43;53.66,38.43;53.66,36.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,37.41;59.44,37.73;59.7,37.73;59.7,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.96,37.05;59.96,41.15;60.1,41.15;60.1,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.82,37.41;60.82,37.73;61.08,37.73;61.08,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.34,37.39;61.34,38.77;61.48,38.77;61.48,37.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.64,36.71;63.64,39.11;63.78,39.11;63.78,36.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.1,31.27;64.1,44.55;64.24,44.55;64.24,31.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.94,37.39;65.94,41.75;66.08,41.75;66.08,37.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.4,34.67;66.4,39.79;66.54,39.79;66.54,34.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.32,36.71;67.32,39.03;67.46,39.03;67.46,36.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.78,36.37;67.78,41.49;67.92,41.49;67.92,36.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,37.05;69.16,42.17;69.3,42.17;69.3,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.08,31.01;70.08,42.6;70.22,42.6;70.22,31.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,37.41;76.46,37.73;76.72,37.73;76.72,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.9,6.645;77.9,44.55;78.04,44.55;78.04,6.645) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.36,28.89;78.36,38.43;78.5,38.43;78.5,28.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.82,26.85;78.82,58.49;78.96,58.49;78.96,26.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.28,31.95;79.28,47.755;79.42,47.755;79.42,31.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.2,27.87;80.2,42.17;80.34,42.17;80.34,27.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.66,33.99;80.66,45.23;80.8,45.23;80.8,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.9,37.41;82.9,37.73;83.16,37.73;83.16,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.42,37.05;83.42,41.49;83.56,41.49;83.56,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.26,32.29;85.26,42.43;85.4,42.43;85.4,32.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.18,37.39;86.18,41.49;86.32,41.49;86.32,37.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.1,15.63;87.1,38.43;87.24,38.43;87.24,15.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.94,31.125;88.94,47.61;89.08,47.61;89.08,31.125) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.4,37.39;89.4,39.11;89.54,39.11;89.54,37.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.8,37.41;89.8,37.73;90.06,37.73;90.06,37.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.32,33.65;90.32,39.79;90.46,39.79;90.46,33.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (92.16,18.885;92.16,41.49;92.3,41.49;92.3,18.885) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.22,55.07;51.22,58.49;51.36,58.49;51.36,55.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.14,55.75;52.14,56.595;52.28,56.595;52.28,55.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,56.11;52.54,56.43;52.8,56.43;52.8,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.98,56.17;53.98,58.635;54.12,58.635;54.12,56.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.83,55.915;54.83,56.285;55.11,56.285;55.11,55.915) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,53.71;55.36,59.17;55.5,59.17;55.5,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.76,56.11;55.76,56.43;56.02,56.43;56.02,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.2,53.37;57.2,71;57.34,71;57.34,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.66,56.09;57.66,58.49;57.8,58.49;57.8,56.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.12,53.03;58.12,57.81;58.26,57.81;58.26,53.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.58,55.75;58.58,58.83;58.72,58.83;58.72,55.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.04,48.27;59.04,60.19;59.18,60.19;59.18,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.5,53.37;59.5,61.55;59.64,61.55;59.64,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,56.11;63.12,56.43;63.38,56.43;63.38,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.64,47.25;63.64,58.24;63.78,58.24;63.78,47.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.56,56.09;64.56,57.955;64.7,57.955;64.7,56.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.02,52.77;65.02,56.45;65.16,56.45;65.16,52.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.48,53.37;65.48,60.87;65.62,60.87;65.62,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.86,53.71;66.86,57.47;67,57.47;67,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.85,71;71.85,75;72.13,75;72.13,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.78,51.33;67.78,57.47;67.92,57.47;67.92,51.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,56.17;69.16,56.45;69.3,56.45;69.3,56.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.08,53.565;70.08,62.91;70.22,62.91;70.22,53.565) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.54,44.87;70.54,58.49;70.68,58.49;70.68,44.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71,51.33;71,60.87;71.14,60.87;71.14,51.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.86,58.49;71.86,58.81;72.12,58.81;72.12,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.92,40.45;71.92,58.49;72.06,58.49;72.06,40.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.3,56.09;73.3,57.47;73.44,57.47;73.44,56.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.22,55.75;74.22,60.19;74.36,60.19;74.36,55.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.14,52.35;75.14,58.83;75.28,58.83;75.28,52.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76,56.11;76,56.43;76.26,56.43;76.26,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.98,50.65;76.98,59.17;77.12,59.17;77.12,50.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.44,54.05;77.44,58.15;77.58,58.15;77.58,54.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.84,56.11;77.84,56.43;78.1,56.43;78.1,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.86,40.13;71.86,40.45;72.12,40.45;72.12,40.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.67,55.915;79.67,56.285;79.95,56.285;79.95,55.915) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.2,55.605;80.2,60.19;80.34,60.19;80.34,55.605) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.34,55.41;84.34,57.81;84.48,57.81;84.48,55.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.8,44.53;84.8,60.87;84.94,60.87;84.94,44.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.72,56.09;85.72,57.47;85.86,57.47;85.86,56.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.18,48.27;86.18,60.19;86.32,60.19;86.32,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.5,56.11;87.5,56.43;87.76,56.43;87.76,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.48,53.03;88.48,58.49;88.62,58.49;88.62,53.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.78,53.71;90.78,57.81;90.92,57.81;90.92,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.24,56.11;50.24,56.43;50.5,56.43;50.5,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.76,53.565;50.76,58.83;50.9,58.83;50.9,53.565) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.14,65.97;80.14,66.29;80.4,66.29;80.4,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.2,63.57;80.2,65.97;80.34,65.97;80.34,63.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,65.095;81.07,65.465;82.61,65.465;82.61,65.095) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.88,64.93;83.88,66.31;84.02,66.31;84.02,64.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.82,64.61;83.82,64.93;84.08,64.93;84.08,64.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.2,63.93;85.2,64.25;85.46,64.25;85.46,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.14,63.25;80.14,63.57;80.4,63.57;80.4,63.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.82,62.03;78.82,62.17;79.42,62.17;79.42,62.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,61.55;77.38,61.87;77.64,61.87;77.64,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.92,60.87;76.92,61.19;77.18,61.19;77.18,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,60.53;76.46,60.85;76.72,60.85;76.72,60.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,60.19;74.16,60.51;74.42,60.51;74.42,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.21,71;79.21,75;79.49,75;79.49,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.99,67.475;75.99,67.845;76.27,67.845;76.27,67.475) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.24,57.47;73.24,57.79;73.5,57.79;73.5,57.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.24,65.97;73.24,66.29;73.5,66.29;73.5,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.3,64.59;73.3,65.97;73.44,65.97;73.44,64.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.62,58.83;74.62,59.15;74.88,59.15;74.88,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.08,58.83;75.08,59.15;75.34,59.15;75.34,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.68,58.13;74.68,58.83;74.82,58.83;74.82,58.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.62,57.81;74.62,58.13;74.88,58.13;74.88,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.24,64.27;73.24,64.59;73.5,64.59;73.5,64.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.52,56.77;76.52,60.53;76.66,60.53;76.66,56.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.92,59.17;76.92,59.49;77.18,59.49;77.18,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,56.45;76.46,56.77;76.72,56.77;76.72,56.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.06,61.53;76.06,67.475;76.2,67.475;76.2,61.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.92,66.31;76.92,66.63;77.18,66.63;77.18,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.82,58.81;78.82,62.03;78.96,62.03;78.96,58.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.2,61.19;80.2,63.25;80.34,63.25;80.34,61.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.26,62.21;85.26,63.93;85.4,63.93;85.4,62.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.2,61.89;85.2,62.21;85.46,62.21;85.46,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.14,60.87;80.14,61.19;80.4,61.19;80.4,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.74,60.87;84.74,61.19;85,61.19;85,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.14,60.19;80.14,60.51;80.4,60.51;80.4,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.6,60.19;80.6,60.51;80.86,60.51;80.86,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.82,60.19;83.82,60.51;84.08,60.51;84.08,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.76,58.49;78.76,58.81;79.02,58.81;79.02,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,58.15;77.38,58.47;77.64,58.47;77.64,58.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.84,58.15;77.84,58.47;78.1,58.47;78.1,58.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.98,63.23;76.98,66.31;77.12,66.31;77.12,63.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.9,56.43;77.9,58.15;78.04,58.15;78.04,56.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.76,65.63;78.76,65.95;79.02,65.95;79.02,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,64.27;77.38,64.59;77.64,64.59;77.64,64.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.92,62.91;76.92,63.23;77.18,63.23;77.18,62.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76,61.21;76,61.53;76.26,61.53;76.26,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.66,58.81;80.66,60.19;80.8,60.19;80.8,58.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,59.655;81.07,60.025;82.61,60.025;82.61,59.655) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.6,58.49;80.6,58.81;80.86,58.81;80.86,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.88,58.13;83.88,60.19;84.02,60.19;84.02,58.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.82,57.81;83.82,58.13;84.08,58.13;84.08,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.28,57.81;84.28,58.13;84.54,58.13;84.54,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.98,61.19;76.98,62.91;77.12,62.91;77.12,61.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.44,61.87;77.44,64.27;77.58,64.27;77.58,61.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.18,60.51;86.18,66.65;86.32,66.65;86.32,60.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.88,65.63;88.88,65.95;89.14,65.95;89.14,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.94,64.25;88.94,65.63;89.08,65.63;89.08,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.88,63.93;88.88,64.25;89.14,64.25;89.14,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.94,61.87;88.94,63.93;89.08,63.93;89.08,61.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.18,62.91;91.18,63.23;91.44,63.23;91.44,62.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.88,61.55;88.88,61.87;89.14,61.87;89.14,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.24,61.53;91.24,62.91;91.38,62.91;91.38,61.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (94,61.725;94,71;94.14,71;94.14,61.725) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.18,61.21;91.18,61.53;91.44,61.53;91.44,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (93.93,61.355;93.93,61.725;94.21,61.725;94.21,61.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,60.19;86.12,60.51;86.38,60.51;86.38,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.66,57.47;85.66,57.79;85.92,57.79;85.92,57.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.82,64.25;78.82,65.63;78.96,65.63;78.96,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.76,63.93;78.76,64.25;79.02,64.25;79.02,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.42,58.49;88.42,58.81;88.68,58.81;88.68,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.28,62.17;79.28,71;79.42,71;79.42,62.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.64,68.67;86.64,71;86.78,71;86.78,68.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.72,57.81;90.72,58.13;90.98,58.13;90.98,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.58,68.35;86.58,68.67;86.84,68.67;86.84,68.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,66.65;86.12,66.97;86.38,66.97;86.38,66.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.57,71;86.57,75;86.85,75;86.85,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (93.93,71;93.93,75;94.21,75;94.21,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.82,66.31;83.82,66.63;84.08,66.63;84.08,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.76,64.25;50.76,66.31;50.9,66.31;50.9,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (56.74,62.21;56.74,65.97;56.88,65.97;56.88,62.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71,61.19;71,66.31;71.14,66.31;71.14,61.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.06,64.93;53.06,65.63;53.2,65.63;53.2,64.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.92,58.81;71.92,71;72.06,71;72.06,58.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.12,64.93;58.12,66.31;58.26,66.31;58.26,64.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.34,63.23;61.34,65.97;61.48,65.97;61.48,63.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.98,64.25;53.98,65.63;54.12,65.63;54.12,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,64.59;55.36,65.63;55.5,65.63;55.5,64.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.81,59.315;60.81,59.685;61.09,59.685;61.09,59.315) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.82,59.17;60.82,59.315;61.08,59.315;61.08,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.18,58.38;63.18,66.31;63.32,66.31;63.32,58.38) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.64,63.57;63.64,65.97;63.78,65.97;63.78,63.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.56,61.87;64.56,71;64.7,71;64.7,61.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.48,64.25;65.48,66.31;65.62,66.31;65.62,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.7,62.21;68.7,65.63;68.84,65.63;68.84,62.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,64.59;69.16,66.99;69.3,66.99;69.3,64.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.64,66.29;63.64,68.35;63.78,68.35;63.78,66.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,65.97;63.58,66.29;63.84,66.29;63.84,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,67.815;61.07,68.185;62.61,68.185;62.61,67.815) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,66.31;63.12,66.63;63.38,66.63;63.38,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,66.99;69.1,67.31;69.36,67.31;69.36,66.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,66.31;65.42,66.63;65.68,66.63;65.68,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.49,71;64.49,75;64.77,75;64.77,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,65.63;68.64,65.95;68.9,65.95;68.9,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.28,65.97;61.28,66.29;61.54,66.29;61.54,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,68.35;63.58,68.67;63.84,68.67;63.84,68.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.94,66.31;70.94,66.63;71.2,66.63;71.2,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53,65.63;53,65.95;53.26,65.95;53.26,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.92,65.63;53.92,65.95;54.18,65.95;54.18,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,65.63;55.3,65.95;55.56,65.95;55.56,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.06,66.31;58.06,66.63;58.32,66.63;58.32,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (56.68,65.97;56.68,66.29;56.94,66.29;56.94,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.7,66.31;50.7,66.63;50.96,66.63;50.96,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.13,71;57.13,75;57.41,75;57.41,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.84,56.45;54.84,56.77;55.1,56.77;55.1,56.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.9,56.285;54.9,56.45;55.04,56.45;55.04,56.285) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.3,56.43;50.3,61.355;50.44,61.355;50.44,56.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.68,60.85;51.68,63.395;51.82,63.395;51.82,60.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.53,57.955;52.53,58.325;52.81,58.325;52.81,57.955) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (56.68,61.89;56.68,62.21;56.94,62.21;56.94,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.06,64.61;58.06,64.93;58.32,64.93;58.32,64.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.12,64.25;58.12,64.61;58.26,64.61;58.26,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.06,63.93;58.06,64.25;58.32,64.25;58.32,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.12,63.765;58.12,63.93;58.26,63.93;58.26,63.765) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.05,63.395;58.05,63.765;58.33,63.765;58.33,63.395) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.36,63.59;60.36,63.91;60.62,63.91;60.62,63.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.42,62.21;60.42,63.59;60.56,63.59;60.56,62.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.07,61.355;52.07,61.725;52.35,61.725;52.35,61.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.36,61.89;60.36,62.21;60.62,62.21;60.62,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.98,61.55;58.98,61.87;59.24,61.87;59.24,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,61.55;59.44,61.87;59.7,61.87;59.7,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.04,61.045;59.04,61.55;59.18,61.55;59.18,61.045) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.97,60.675;58.97,61.045;59.25,61.045;59.25,60.675) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.98,60.19;58.98,60.51;59.24,60.51;59.24,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.52,58.83;58.52,59.15;58.78,59.15;58.78,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.6,58.49;57.6,58.81;57.86,58.81;57.86,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,58.49;51.16,58.81;51.42,58.81;51.42,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.06,57.81;58.06,58.13;58.32,58.13;58.32,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53,64.61;53,64.93;53.26,64.93;53.26,64.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.14,61.19;52.14,61.355;52.28,61.355;52.28,61.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53,60.87;53,61.19;53.26,61.19;53.26,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.76,60.87;55.76,61.19;56.02,61.19;56.02,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.84,57.645;54.84,57.79;55.1,57.79;55.1,57.645) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,64.27;55.3,64.59;55.56,64.59;55.56,64.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,58.325;52.54,58.47;52.8,58.47;52.8,58.325) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.37,57.955;54.37,58.325;54.65,58.325;54.65,57.955) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.44,57.79;54.44,57.955;54.58,57.955;54.58,57.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.38,57.47;54.38,57.79;54.64,57.79;54.64,57.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,60.19;59.9,60.51;60.16,60.51;60.16,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.96,58.81;59.96,60.19;60.1,60.19;60.1,58.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.7,63.93;50.7,64.25;50.96,64.25;50.96,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,61.21;52.54,61.53;52.8,61.53;52.8,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,58.49;59.9,58.81;60.16,58.81;60.16,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.36,58.15;60.36,58.47;60.62,58.47;60.62,58.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,60.87;52.08,61.19;52.34,61.19;52.34,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.92,63.93;53.92,64.25;54.18,64.25;54.18,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.61,63.395;51.61,63.765;51.89,63.765;51.89,63.395) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.62,60.53;51.62,60.85;51.88,60.85;51.88,60.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (56.22,60.87;56.22,61.19;56.48,61.19;56.48,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.06,59.685;53.06,60.87;53.2,60.87;53.2,59.685) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.84,60.76;54.84,60.85;55.1,60.85;55.1,60.76) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.84,60.62;54.84,60.76;55.5,60.76;55.5,60.62) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,59.49;52.6,61.21;52.74,61.21;52.74,59.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.84,60.53;54.84,60.62;55.1,60.62;55.1,60.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.23,61.355;50.23,61.725;50.51,61.725;50.51,61.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.07,56.595;52.07,56.965;52.35,56.965;52.35,56.595) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.83,57.275;54.83,57.645;55.11,57.645;55.11,57.275) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.82,56.43;55.82,60.87;55.96,60.87;55.96,56.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (56.28,59.15;56.28,60.87;56.42,60.87;56.42,59.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (56.22,58.83;56.22,59.15;56.48,59.15;56.48,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,59.17;52.54,59.49;52.8,59.49;52.8,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.99,59.315;52.99,59.685;53.27,59.685;53.27,59.315) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.91,58.635;53.91,59.005;54.19,59.005;54.19,58.635) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.42,56.965;60.42,58.15;60.56,58.15;60.56,56.965) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,59.49;55.36,60.62;55.5,60.62;55.5,59.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53,59.17;53,59.315;53.26,59.315;53.26,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,59.17;55.3,59.49;55.56,59.49;55.56,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.7,58.83;50.7,59.15;50.96,59.15;50.96,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.06,59.015;53.06,59.17;53.2,59.17;53.2,59.015) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.35,56.595;60.35,56.965;60.63,56.965;60.63,56.595) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,56.43;52.6,57.955;52.74,57.955;52.74,56.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,60.87;62.2,61.19;62.46,61.19;62.46,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.66,60.87;62.66,61.19;62.92,61.19;62.92,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.28,62.91;61.28,63.23;61.54,63.23;61.54,62.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.27,58.635;61.27,59.005;61.55,59.005;61.55,58.635) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.74,58.83;61.74,59.15;62,59.15;62,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,62.375;61.07,62.745;62.61,62.745;62.61,62.375) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.34,58.38;61.34,58.635;61.48,58.635;61.48,58.38) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.26,58.38;62.26,60.87;62.4,60.87;62.4,58.38) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.24,56.77;68.24,60.87;68.38,60.87;68.38,56.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,59.17;69.1,59.49;69.36,59.49;69.36,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.46,59.15;71.46,61.89;71.6,61.89;71.6,59.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.4,58.83;71.4,59.15;71.66,59.15;71.66,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,63.25;63.58,63.57;63.84,63.57;63.84,63.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,61.89;64.04,62.21;64.3,62.21;64.3,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,61.55;63.58,61.87;63.84,61.87;63.84,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.64,58.72;63.64,61.55;63.78,61.55;63.78,58.72) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.1,60.51;64.1,61.89;64.24,61.89;64.24,60.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,56.77;69.16,59.17;69.3,59.17;69.3,56.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.62,59.15;69.62,63.93;69.76,63.93;69.76,59.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.08,63.23;70.08,63.93;70.22,63.93;70.22,63.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.02,62.91;70.02,63.23;70.28,63.23;70.28,62.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.56,58.83;69.56,59.15;69.82,59.15;69.82,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.18,56.45;68.18,56.77;68.44,56.77;68.44,56.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,56.45;69.1,56.77;69.36,56.77;69.36,56.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.18,56.43;63.18,57.47;63.32,57.47;63.32,56.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.96,56.45;64.96,56.77;65.22,56.77;65.22,56.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.1,57.79;64.1,58.58;64.24,58.58;64.24,57.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,63.93;65.42,64.25;65.68,64.25;65.68,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.48,62.21;65.48,63.93;65.62,63.93;65.62,62.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.49,57.955;64.49,58.325;64.77,58.325;64.77,57.955) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.8,57.47;66.8,57.79;67.06,57.79;67.06,57.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.4,61.89;71.4,62.21;71.66,62.21;71.66,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.94,60.87;70.94,61.19;71.2,61.19;71.2,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.47,59.995;70.47,60.365;70.75,60.365;70.75,59.995) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,64.27;69.1,64.59;69.36,64.59;69.36,64.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.56,63.93;69.56,64.25;69.82,64.25;69.82,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.02,63.93;70.02,64.25;70.28,64.25;70.28,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,61.89;65.42,62.21;65.68,62.21;65.68,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,61.89;68.64,62.21;68.9,62.21;68.9,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.5,61.55;64.5,61.87;64.76,61.87;64.76,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.56,61.19;64.56,61.55;64.7,61.55;64.7,61.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.96,61.21;64.96,61.53;65.22,61.53;65.22,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.5,60.87;64.5,61.19;64.76,61.19;64.76,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.02,61.045;65.02,61.21;65.16,61.21;65.16,61.045) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.95,60.675;64.95,61.045;65.23,61.045;65.23,60.675) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,60.87;65.42,61.19;65.68,61.19;65.68,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.18,60.87;68.18,61.19;68.44,61.19;68.44,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,60.19;64.04,60.51;64.3,60.51;64.3,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.64,58.58;63.64,58.72;64.24,58.72;64.24,58.58) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.34,58.24;61.34,58.38;61.94,58.38;61.94,58.24) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.26,58.24;62.26,58.38;63.78,58.38;63.78,58.24) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.54,59.49;70.54,59.995;70.68,59.995;70.68,59.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.8,57.7;61.8,58.24;61.94,58.24;61.94,57.7) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,57.7;63.12,57.79;63.38,57.79;63.38,57.7) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.8,61.47;61.8,61.61;62.86,61.61;62.86,61.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,59.17;70.48,59.49;70.74,59.49;70.74,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,58.49;70.48,58.81;70.74,58.81;70.74,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.72,57.47;67.72,57.79;67.98,57.79;67.98,57.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,57.47;64.04,57.79;64.3,57.79;64.3,57.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.8,57.56;61.8,57.7;63.38,57.7;63.38,57.56) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,57.47;63.12,57.56;63.38,57.56;63.38,57.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.8,59.15;61.8,61.47;61.94,61.47;61.94,59.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.72,61.19;62.72,61.47;62.86,61.47;62.86,61.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,56.935;61.07,57.305;62.61,57.305;62.61,56.935) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.18,46.65;63.18,47.19;63.32,47.19;63.32,46.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.1,46.65;64.1,46.93;64.24,46.93;64.24,46.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.42,45.66;60.42,45.8;61.02,45.8;61.02,45.66) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.88,44.87;60.88,45.66;61.02,45.66;61.02,44.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.82,44.55;60.82,44.87;61.08,44.87;61.08,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.88,42.15;60.88,44.55;61.02,44.55;61.02,42.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.56,44.725;64.56,52.37;64.7,52.37;64.7,44.725) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.48,45.55;65.48,47.27;65.62,47.27;65.62,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.82,41.83;60.82,42.15;61.08,42.15;61.08,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.44,42.685;54.44,48.23;54.58,48.23;54.58,42.685) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.9,45.55;54.9,47.61;55.04,47.61;55.04,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.82,41.81;55.82,51.95;55.96,51.95;55.96,41.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.82,38.43;60.82,38.75;61.08,38.75;61.08,38.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.88,37.73;60.88,38.43;61.02,38.43;61.02,37.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.94,42.49;65.94,47.61;66.08,47.61;66.08,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.4,41.89;66.4,49.99;66.54,49.99;66.54,41.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.86,39.17;66.86,47.87;67,47.87;67,39.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.32,44.87;67.32,47.19;67.46,47.19;67.46,44.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.72,46.59;67.72,46.91;67.98,46.91;67.98,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.18,46.59;68.18,46.91;68.44,46.91;68.44,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,46.59;69.1,46.91;69.36,46.91;69.36,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.22,42.15;51.22,52.71;51.36,52.71;51.36,42.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71,40.11;71,50.33;71.14,50.33;71.14,40.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.46,45.55;71.46,47.61;71.6,47.61;71.6,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,46.59;52.54,46.91;52.8,46.91;52.8,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.98,45.89;53.98,47.61;54.12,47.61;54.12,45.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.81,53.875;60.81,54.245;61.09,54.245;61.09,53.875) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.88,53.37;60.88,53.875;61.02,53.875;61.02,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.82,53.28;60.82,53.37;61.08,53.37;61.08,53.28) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.96,53.14;59.96,53.28;61.08,53.28;61.08,53.14) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.82,53.05;60.82,53.14;61.08,53.14;61.08,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.58,44.87;58.58,47.61;58.72,47.61;58.72,44.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.96,41.47;59.96,47.36;60.1,47.36;60.1,41.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.42,45.8;60.42,49.31;60.56,49.31;60.56,45.8) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.14,42.49;52.14,50.33;52.28,50.33;52.28,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.56,52.69;64.56,52.77;65.16,52.77;65.16,52.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.5,52.63;64.5,52.69;65.16,52.69;65.16,52.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.48,52.77;65.48,53.05;65.62,53.05;65.62,52.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.48,52.63;65.48,52.77;67,52.77;67,52.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.5,52.37;64.5,52.63;64.76,52.63;64.76,52.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,52.03;64.04,52.35;64.3,52.35;64.3,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.1,50.99;64.1,52.03;64.24,52.03;64.24,50.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,50.67;64.04,50.99;64.3,50.99;64.3,50.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.1,50.31;64.1,50.67;64.24,50.67;64.24,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.86,48.01;66.86,52.63;67,52.63;67,48.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.32,48.61;67.32,54.555;67.46,54.555;67.46,48.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,56.03;69.16,56.17;69.76,56.17;69.76,56.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,55.43;68.64,55.75;68.9,55.75;68.9,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.18,54.75;68.18,55.07;68.44,55.07;68.44,54.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.24,53.71;68.24,54.75;68.38,54.75;68.38,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.18,53.39;68.18,53.71;68.44,53.71;68.44,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.72,51.01;67.72,51.33;67.98,51.33;67.98,51.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.26,48.29;67.26,48.61;67.52,48.61;67.52,48.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.86,47.93;66.86,48.01;67.46,48.01;67.46,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.86,47.87;66.86,47.93;67.52,47.93;67.52,47.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,49.99;64.04,50.31;64.3,50.31;64.3,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.26,47.61;67.26,47.87;67.52,47.87;67.52,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.78,47.33;67.78,51.01;67.92,51.01;67.92,47.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.7,50.31;68.7,55.43;68.84,55.43;68.84,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,55.09;69.1,55.41;69.36,55.41;69.36,55.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,53.71;69.16,55.09;69.3,55.09;69.3,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,53.39;69.1,53.71;69.36,53.71;69.36,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.62,52.35;69.62,56.03;69.76,56.03;69.76,52.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.01,53.195;70.01,53.565;70.29,53.565;70.29,53.195) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.56,52.03;69.56,52.35;69.82,52.35;69.82,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,49.99;68.64,50.31;68.9,50.31;68.9,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.7,47.93;68.7,49.99;68.84,49.99;68.84,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.02,47.95;70.02,48.27;70.28,48.27;70.28,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,47.61;68.64,47.93;68.9,47.93;68.9,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.08,47.445;70.08,47.95;70.22,47.95;70.22,47.445) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.32,47.19;67.32,47.33;67.92,47.33;67.92,47.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.4,53.37;66.4,55.235;66.54,55.235;66.54,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.01,47.075;70.01,47.445;70.29,47.445;70.29,47.075) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,46.93;63.58,47.25;63.84,47.25;63.84,46.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,46.93;64.04,47.25;64.3,47.25;64.3,46.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.26,55.43;67.26,55.75;67.52,55.75;67.52,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.32,54.925;67.32,55.43;67.46,55.43;67.46,54.925) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.4,54.75;71.4,55.07;71.66,55.07;71.66,54.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.46,53.03;71.46,54.75;71.6,54.75;71.6,53.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.4,52.71;71.4,53.03;71.66,53.03;71.66,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.94,51.01;70.94,51.33;71.2,51.33;71.2,51.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.94,50.33;70.94,50.65;71.2,50.65;71.2,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.25,54.555;67.25,54.925;67.53,54.925;67.53,54.555) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.4,47.61;71.4,47.93;71.66,47.93;71.66,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.8,53.39;66.8,53.71;67.06,53.71;67.06,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,53.05;65.42,53.37;65.68,53.37;65.68,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.34,53.05;66.34,53.37;66.6,53.37;66.6,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,55.66;62.2,55.75;62.46,55.75;62.46,55.66) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,55.52;62.2,55.66;63.32,55.66;63.32,55.52) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,55.43;62.2,55.52;62.46,55.52;62.46,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.74,54.75;61.74,55.07;62,55.07;62,54.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.34,50.67;66.34,50.99;66.6,50.99;66.6,50.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.4,50.31;66.4,50.67;66.54,50.67;66.54,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.28,53.73;61.28,54.05;61.54,54.05;61.54,53.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.34,53.565;61.34,53.73;61.48,53.73;61.48,53.565) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.8,53.71;61.8,54.75;61.94,54.75;61.94,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.34,49.99;66.34,50.31;66.6,50.31;66.6,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,49.31;65.42,49.63;65.68,49.63;65.68,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.27,53.195;61.27,53.565;61.55,53.565;61.55,53.195) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.74,53.39;61.74,53.71;62,53.71;62,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.66,53.39;62.66,53.71;62.92,53.71;62.92,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.88,49.31;65.88,49.63;66.14,49.63;66.14,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.72,52.885;62.72,53.39;62.86,53.39;62.86,52.885) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.18,53.37;63.18,55.52;63.32,55.52;63.32,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,53.05;63.12,53.37;63.38,53.37;63.38,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.65,52.515;62.65,52.885;62.93,52.885;62.93,52.515) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.18,52.69;63.18,53.05;63.32,53.05;63.32,52.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,52.37;63.12,52.69;63.38,52.69;63.38,52.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,51.495;61.07,51.865;62.61,51.865;62.61,51.495) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.48,47.59;65.48,49.31;65.62,49.31;65.62,47.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,49.31;63.12,49.63;63.38,49.63;63.38,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.94,47.93;65.94,49.31;66.08,49.31;66.08,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.88,47.61;65.88,47.93;66.14,47.93;66.14,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.18,47.93;63.18,49.31;63.32,49.31;63.32,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.66,47.61;62.66,47.93;62.92,47.93;62.92,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,47.61;63.12,47.93;63.38,47.93;63.38,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.72,47.33;62.72,47.61;62.86,47.61;62.86,47.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.72,47.19;62.72,47.33;63.32,47.33;63.32,47.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.5,55.77;64.5,56.09;64.76,56.09;64.76,55.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,47.27;65.42,47.59;65.68,47.59;65.68,47.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.34,55.77;66.34,56.09;66.6,56.09;66.6,55.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.88,55.43;65.88,55.75;66.14,55.75;66.14,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.4,55.605;66.4,55.77;66.54,55.77;66.54,55.605) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.94,53.71;65.94,55.43;66.08,55.43;66.08,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.33,55.235;66.33,55.605;66.61,55.605;66.61,55.235) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.88,53.39;65.88,53.71;66.14,53.71;66.14,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.51,53.195;58.51,53.565;58.79,53.565;58.79,53.195) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.14,52.35;52.14,55.43;52.28,55.43;52.28,52.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.46,55.09;53.46,55.41;53.72,55.41;53.72,55.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,53.05;52.54,53.37;52.8,53.37;52.8,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,52.03;52.08,52.35;52.34,52.35;52.34,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,50.33;52.08,50.65;52.34,50.65;52.34,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.6,55.77;57.6,56.09;57.86,56.09;57.86,55.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.6,54.75;57.6,55.07;57.86,55.07;57.86,54.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.14,53.05;57.14,53.37;57.4,53.37;57.4,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.76,52.37;55.76,52.69;56.02,52.69;56.02,52.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,51.95;55.36,52.09;55.96,52.09;55.96,51.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,49.65;55.3,49.97;55.56,49.97;55.56,49.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,48.61;55.36,49.65;55.5,49.65;55.5,48.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.44,48.23;54.44,48.37;55.04,48.37;55.04,48.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,48.29;55.3,48.61;55.56,48.61;55.56,48.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.58,53.03;58.58,53.195;58.72,53.195;58.72,53.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.06,52.71;58.06,53.03;58.32,53.03;58.32,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.52,52.71;58.52,53.03;58.78,53.03;58.78,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.52,49.65;58.52,49.97;58.78,49.97;58.78,49.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.6,49.31;57.6,49.63;57.86,49.63;57.86,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,47.61;52.54,47.93;52.8,47.93;52.8,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.92,47.61;53.92,47.93;54.18,47.93;54.18,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.13,47.755;57.13,48.125;57.41,48.125;57.41,47.755) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.58,47.93;58.58,49.65;58.72,49.65;58.72,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.38,49.99;54.38,50.31;54.64,50.31;54.64,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.46,49.31;53.46,49.63;53.72,49.63;53.72,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.98,47.93;53.98,49.99;54.12,49.99;54.12,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.9,48.37;54.9,54.555;55.04,54.555;55.04,48.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.22,53.71;51.22,54.75;51.36,54.75;51.36,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,53.62;51.16,53.71;51.42,53.71;51.42,53.62) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,53.565;51.16,53.62;51.82,53.62;51.82,53.565) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.98,56.03;53.98,56.09;54.64,56.09;54.64,56.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.91,53.875;53.91,54.245;54.19,54.245;54.19,53.875) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.38,52.03;54.38,52.35;54.64,52.35;54.64,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.2,48.125;57.2,50.67;57.34,50.67;57.34,48.125) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.66,49.63;57.66,54.75;57.8,54.75;57.8,49.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,53.05;59.44,53.37;59.7,53.37;59.7,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.9,54.925;54.9,55.43;55.04,55.43;55.04,54.925) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.83,54.555;54.83,54.925;55.11,54.925;55.11,54.555) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.52,49.63;53.52,55.09;53.66,55.09;53.66,49.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.14,50.67;57.14,50.99;57.4,50.99;57.4,50.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.84,47.61;54.84,47.93;55.1,47.93;55.1,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.96,51.33;59.96,53.14;60.1,53.14;60.1,51.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.98,54.245;53.98,55.43;54.12,55.43;54.12,54.245) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.52,55.43;58.52,55.75;58.78,55.75;58.78,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.44,50.31;54.44,52.03;54.58,52.03;54.58,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.92,49.99;53.92,50.31;54.18,50.31;54.18,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.69,53.195;50.69,53.565;50.97,53.565;50.97,53.195) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,53.39;55.3,53.71;55.56,53.71;55.56,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,52.09;55.36,53.39;55.5,53.39;55.5,52.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.82,52.69;55.82,56.11;55.96,56.11;55.96,52.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,51.01;59.9,51.33;60.16,51.33;60.16,51.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.43,49.795;59.43,50.165;59.71,50.165;59.71,49.795) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.98,47.95;58.98,48.27;59.24,48.27;59.24,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.52,47.61;58.52,47.93;58.78,47.93;58.78,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,53.48;51.16,53.565;51.89,53.565;51.89,53.48) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.5,47.59;59.5,49.795;59.64,49.795;59.64,47.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.36,49.31;60.36,49.63;60.62,49.63;60.62,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,53.39;51.16,53.48;51.42,53.48;51.42,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,47.5;59.44,47.59;59.7,47.59;59.7,47.5) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,47.36;59.44,47.5;60.1,47.5;60.1,47.36) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,47.27;59.44,47.36;59.7,47.36;59.7,47.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.61,53.195;51.61,53.48;51.89,53.48;51.89,53.195) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,52.71;51.16,53.03;51.42,53.03;51.42,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.23,50.475;50.23,50.845;50.51,50.845;50.51,50.475) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.24,50.33;50.24,50.475;50.5,50.475;50.5,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.3,48.27;50.3,50.33;50.44,50.33;50.44,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.7,49.65;50.7,49.97;50.96,49.97;50.96,49.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.76,48.27;50.76,49.65;50.9,49.65;50.9,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.24,47.95;50.24,48.27;50.5,48.27;50.5,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.7,47.95;50.7,48.27;50.96,48.27;50.96,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,47.93;52.6,53.05;52.74,53.05;52.74,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.38,55.77;54.38,56.03;54.64,56.03;54.64,55.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.98,56.09;53.98,56.17;54.58,56.17;54.58,56.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,55.43;52.08,55.75;52.34,55.75;52.34,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.92,55.43;53.92,55.75;54.18,55.75;54.18,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.84,55.43;54.84,55.75;55.1,55.75;55.1,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,54.75;51.16,55.07;51.42,55.07;51.42,54.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.6,41.15;57.6,41.47;57.86,41.47;57.86,41.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.76,41.81;50.76,42.85;50.9,42.85;50.9,41.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,45.89;52.6,46.59;52.74,46.59;52.74,45.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.52,38.75;53.52,45.23;53.66,45.23;53.66,38.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.52,44.55;58.52,44.87;58.78,44.87;58.78,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,44.21;59.44,44.53;59.7,44.53;59.7,44.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.5,44.045;59.5,44.21;59.64,44.21;59.64,44.045) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.43,43.675;59.43,44.045;59.71,44.045;59.71,43.675) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.51,42.995;58.51,43.365;58.79,43.365;58.79,42.995) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.76,41.49;55.76,41.81;56.02,41.81;56.02,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.13,41.635;57.13,42.005;57.41,42.005;57.41,41.635) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.58,41.81;58.58,42.995;58.72,42.995;58.72,41.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.2,39.77;57.2,41.635;57.34,41.635;57.34,39.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.52,41.49;58.52,41.81;58.78,41.81;58.78,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,45.57;52.54,45.89;52.8,45.89;52.8,45.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.92,45.57;53.92,45.89;54.18,45.89;54.18,45.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.46,45.23;53.46,45.55;53.72,45.55;53.72,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53,44.725;53,44.87;53.26,44.87;53.26,44.725) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,44.21;52.54,44.53;52.8,44.53;52.8,44.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,41.15;59.9,41.47;60.16,41.47;60.16,41.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.66,39.965;57.66,41.15;57.8,41.15;57.8,39.965) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.99,44.355;52.99,44.725;53.27,44.725;53.27,44.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,42.685;52.6,44.21;52.74,44.21;52.74,42.685) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.62,42.17;51.62,42.49;51.88,42.49;51.88,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,42.17;52.08,42.49;52.34,42.49;52.34,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.53,42.315;52.53,42.685;52.81,42.685;52.81,42.315) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,41.83;51.16,42.15;51.42,42.15;51.42,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.14,39.45;57.14,39.77;57.4,39.77;57.4,39.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.7,41.49;50.7,41.81;50.96,41.81;50.96,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,41.49;52.08,41.81;52.34,41.81;52.34,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.84,45.23;54.84,45.55;55.1,45.55;55.1,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.37,42.315;54.37,42.685;54.65,42.685;54.65,42.315) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.61,43.675;51.61,44.045;51.89,44.045;51.89,43.675) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.68,42.49;51.68,43.675;51.82,43.675;51.82,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.59,39.595;57.59,39.965;57.87,39.965;57.87,39.595) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,38.43;52.54,38.75;52.8,38.75;52.8,38.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.46,38.43;53.46,38.75;53.72,38.75;53.72,38.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.7,42.85;50.7,43.17;50.96,43.17;50.96,42.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,42.43;62.2,42.49;62.86,42.49;62.86,42.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,42.17;62.2,42.43;62.46,42.43;62.46,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.26,45.21;62.26,45.57;62.4,45.57;62.4,45.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,40.615;61.07,40.985;62.61,40.985;62.61,40.615) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.64,39.43;63.64,43.87;63.78,43.87;63.78,39.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,39.11;63.58,39.43;63.84,39.43;63.84,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.28,38.77;61.28,39.09;61.54,39.09;61.54,38.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.66,45.23;62.66,45.55;62.92,45.55;62.92,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,44.89;62.2,45.21;62.46,45.21;62.46,44.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.18,46.51;63.18,46.65;64.24,46.65;64.24,46.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,46.055;61.07,46.425;62.61,46.425;62.61,46.055) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.28,42.685;61.28,42.83;61.54,42.83;61.54,42.685) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.27,42.315;61.27,42.685;61.55,42.685;61.55,42.315) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.49,44.355;64.49,44.725;64.77,44.725;64.77,44.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.5,41.49;64.5,41.81;64.76,41.81;64.76,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.56,40.45;64.56,41.49;64.7,41.49;64.7,40.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.5,40.13;64.5,40.45;64.76,40.45;64.76,40.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.94,39.79;70.94,40.11;71.2,40.11;71.2,39.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,39.79;65.42,40.11;65.68,40.11;65.68,39.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.96,39.11;64.96,39.43;65.22,39.43;65.22,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.78,45.89;67.78,46.59;67.92,46.59;67.92,45.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.72,45.57;67.72,45.89;67.98,45.89;67.98,45.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.26,44.55;67.26,44.87;67.52,44.87;67.52,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.24,44.53;68.24,46.59;68.38,46.59;68.38,44.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,44.78;69.16,46.59;69.3,46.59;69.3,44.78) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.55,45.035;69.55,45.405;69.83,45.405;69.83,45.035) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.7,44.64;68.7,44.78;69.3,44.78;69.3,44.64) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.18,44.21;68.18,44.53;68.44,44.53;68.44,44.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.25,41.635;67.25,42.005;67.53,42.005;67.53,41.635) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.26,41.49;67.26,41.635;67.52,41.635;67.52,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.72,41.49;67.72,41.81;67.98,41.81;67.98,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.86,39.03;66.86,39.17;67.46,39.17;67.46,39.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.02,39.43;65.02,44.89;65.16,44.89;65.16,39.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.02,44.55;70.02,44.87;70.28,44.87;70.28,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,44.55;70.48,44.87;70.74,44.87;70.74,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.08,43.08;70.08,44.55;70.22,44.55;70.22,43.08) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.54,44.19;70.54,44.55;70.68,44.55;70.68,44.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,43.87;70.48,44.19;70.74,44.19;70.74,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.08,42.94;70.08,43.08;70.68,43.08;70.68,42.94) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.56,42.74;69.56,42.83;69.82,42.83;69.82,42.74) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.56,42.6;69.56,42.74;70.22,42.74;70.22,42.6) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.56,42.51;69.56,42.6;69.82,42.6;69.82,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,42.17;69.1,42.49;69.36,42.49;69.36,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.18,41.15;68.18,41.47;68.44,41.47;68.44,41.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.34,39.79;66.34,40.11;66.6,40.11;66.6,39.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.24,41.47;68.24,44.21;68.38,44.21;68.38,41.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.54,42.49;70.54,42.94;70.68,42.94;70.68,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,42.17;70.48,42.49;70.74,42.49;70.74,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.7,42.685;68.7,44.64;68.84,44.64;68.84,42.685) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,43.87;69.1,44.19;69.36,44.19;69.36,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.63,42.315;68.63,42.685;68.91,42.685;68.91,42.315) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.4,45.23;71.4,45.55;71.66,45.55;71.66,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,42.49;69.16,43.87;69.3,43.87;69.3,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.62,42.83;69.62,45.035;69.76,45.035;69.76,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,44.55;65.42,44.87;65.68,44.87;65.68,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.48,40.11;65.48,44.55;65.62,44.55;65.62,40.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.72,42.57;62.72,45.23;62.86,45.23;62.86,42.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.88,42.17;65.88,42.49;66.14,42.49;66.14,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,44.55;64.04,44.87;64.3,44.87;64.3,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.94,41.75;65.94,41.89;66.54,41.89;66.54,41.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,45.57;62.2,45.89;62.46,45.89;62.46,45.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,45.23;65.42,45.55;65.68,45.55;65.68,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.96,44.89;64.96,45.21;65.22,45.21;65.22,44.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,43.87;63.58,44.19;63.84,44.19;63.84,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.26,42.49;62.26,42.57;62.86,42.57;62.86,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.98,41.47;76.98,46.93;77.12,46.93;77.12,41.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.44,44.725;77.44,53.05;77.58,53.05;77.58,44.725) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.74,39.77;79.74,55.915;79.88,55.915;79.88,39.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.38,45.55;72.38,46.93;72.52,46.93;72.52,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.14,46.59;80.14,46.91;80.4,46.91;80.4,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.42,44.87;83.42,47.27;83.56,47.27;83.56,44.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.22,42.83;74.22,49.99;74.36,49.99;74.36,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.18,42.57;86.18,47.95;86.32,47.95;86.32,42.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.48,44.87;88.48,49.99;88.62,49.99;88.62,44.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.9,38.77;82.9,39.09;83.16,39.09;83.16,38.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.32,45.55;90.32,46.93;90.46,46.93;90.46,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.06,45.29;76.06,47.61;76.2,47.61;76.2,45.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.42,48.27;83.42,49.65;83.56,49.65;83.56,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.88,48.27;83.88,49.99;84.02,49.99;84.02,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,47.95;83.36,48.27;83.62,48.27;83.62,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.82,47.95;83.82,48.27;84.08,48.27;84.08,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,47.27;83.36,47.59;83.62,47.59;83.62,47.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,55.43;83.36,55.75;83.62,55.75;83.62,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.42,54.05;83.42,55.43;83.56,55.43;83.56,54.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.28,55.09;84.28,55.41;84.54,55.41;84.54,55.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.66,55.77;85.66,56.09;85.92,56.09;85.92,55.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.56,53.71;87.56,56.11;87.7,56.11;87.7,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.5,53.39;87.5,53.71;87.76,53.71;87.76,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,55.43;90.26,55.75;90.52,55.75;90.52,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.42,52.71;88.42,53.03;88.68,53.03;88.68,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.04,52.37;87.04,52.69;87.3,52.69;87.3,52.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.1,49.97;87.1,52.37;87.24,52.37;87.24,49.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.32,51.33;90.32,55.43;90.46,55.43;90.46,51.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.72,53.39;90.72,53.71;90.98,53.71;90.98,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,51.01;90.26,51.33;90.52,51.33;90.52,51.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.78,50.31;90.78,53.39;90.92,53.39;90.92,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.42,49.99;88.42,50.31;88.68,50.31;88.68,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.72,49.99;90.72,50.31;90.98,50.31;90.98,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.04,49.65;87.04,49.97;87.3,49.97;87.3,49.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,47.95;86.12,48.27;86.38,48.27;86.38,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,53.73;83.36,54.05;83.62,54.05;83.62,53.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.82,52.71;83.82,53.03;84.08,53.03;84.08,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.88,47.61;88.88,47.93;89.14,47.93;89.14,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.88,50.31;83.88,52.71;84.02,52.71;84.02,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,46.93;90.26,47.25;90.52,47.25;90.52,46.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.82,49.99;83.82,50.31;84.08,50.31;84.08,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,49.65;83.36,49.97;83.62,49.97;83.62,49.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,49.99;74.16,50.31;74.42,50.31;74.42,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.54,49.99;75.54,50.31;75.8,50.31;75.8,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.24,49.88;73.24,49.97;73.5,49.97;73.5,49.88) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.84,49.74;72.84,49.88;73.5,49.88;73.5,49.74) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.84,47.93;72.84,49.74;72.98,49.74;72.98,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.22,55.43;79.22,55.75;79.48,55.75;79.48,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.28,53.71;79.28,55.43;79.42,55.43;79.42,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.22,53.39;79.22,53.71;79.48,53.71;79.48,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.6,48.37;75.6,49.99;75.74,49.99;75.74,48.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.6,48.23;75.6,48.37;76.2,48.37;76.2,48.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.52,48.27;76.52,53.05;76.66,53.05;76.66,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,52.71;72.78,53.03;73.04,53.03;73.04,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.84,49.88;72.84,52.71;72.98,52.71;72.98,49.88) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.68,50.99;74.68,53.73;74.82,53.73;74.82,50.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.24,55.77;73.24,56.09;73.5,56.09;73.5,55.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,55.43;74.16,55.75;74.42,55.75;74.42,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.62,53.73;74.62,54.05;74.88,54.05;74.88,53.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.32,53.39;72.32,53.71;72.58,53.71;72.58,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.38,47.25;72.38,53.39;72.52,53.39;72.52,47.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.06,54.05;76.06,56.11;76.2,56.11;76.2,54.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76,53.73;76,54.05;76.26,54.05;76.26,53.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.21,47.755;79.21,48.125;79.49,48.125;79.49,47.755) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,53.05;76.46,53.37;76.72,53.37;76.72,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.08,52.03;75.08,52.35;75.34,52.35;75.34,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.62,50.67;74.62,50.99;74.88,50.99;74.88,50.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.24,49.65;73.24,49.74;73.5,49.74;73.5,49.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.7,49.99;73.7,50.31;73.96,50.31;73.96,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.14,50.65;75.14,52.03;75.28,52.03;75.28,50.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.08,50.33;75.08,50.65;75.34,50.65;75.34,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.76,48.61;73.76,49.99;73.9,49.99;73.9,48.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.7,48.29;73.7,48.61;73.96,48.61;73.96,48.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,47.61;72.78,47.93;73.04,47.93;73.04,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.76,47.25;73.76,48.29;73.9,48.29;73.9,47.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.32,46.93;72.32,47.25;72.58,47.25;72.58,46.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.53,50.475;75.53,50.845;75.81,50.845;75.81,50.475) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.7,46.93;73.7,47.25;73.96,47.25;73.96,46.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.92,46.93;76.92,47.25;77.18,47.25;77.18,46.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.13,55.235;80.13,55.605;80.41,55.605;80.41,55.235) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.6,50.31;75.6,50.475;75.74,50.475;75.74,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.2,46.91;80.2,55.235;80.34,55.235;80.34,46.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,54.215;81.07,54.585;82.61,54.585;82.61,54.215) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.9,47.25;77.9,55.09;78.04,55.09;78.04,47.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.3,49.99;78.3,50.31;78.56,50.31;78.56,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.36,47.93;78.36,49.99;78.5,49.99;78.5,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.3,47.61;78.3,47.93;78.56,47.93;78.56,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.36,47.445;78.36,47.61;78.5,47.61;78.5,47.445) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.84,55.09;77.84,55.41;78.1,55.41;78.1,55.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,53.73;77.38,54.05;77.64,54.05;77.64,53.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,53.05;77.38,53.37;77.64,53.37;77.64,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.92,50.33;76.92,50.65;77.18,50.65;77.18,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.06,47.93;76.06,48.23;76.2,48.23;76.2,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,47.95;76.46,48.27;76.72,48.27;76.72,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.84,46.93;77.84,47.25;78.1,47.25;78.1,46.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.29,47.075;78.29,47.445;78.57,47.445;78.57,47.075) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76,47.61;76,47.93;76.26,47.93;76.26,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.92,47.61;76.92,47.93;77.18,47.93;77.18,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,48.775;81.07,49.145;82.61,49.145;82.61,48.775) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.98,47.25;76.98,47.61;77.12,47.61;77.12,47.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.07,42.995;75.07,43.365;75.35,43.365;75.35,42.995) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.6,43.17;75.6,43.79;75.74,43.79;75.74,43.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.54,42.85;75.54,43.17;75.8,43.17;75.8,42.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76,42.85;76,43.17;76.26,43.17;76.26,42.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.32,45.23;72.32,45.55;72.58,45.55;72.58,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,42.51;74.16,42.83;74.42,42.83;74.42,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.37,44.355;77.37,44.725;77.65,44.725;77.65,44.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.84,44.55;77.84,44.87;78.1,44.87;78.1,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,43.87;77.38,44.19;77.64,44.19;77.64,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.44,42.83;77.44,43.87;77.58,43.87;77.58,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,42.51;77.38,42.83;77.64,42.83;77.64,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.92,41.15;76.92,41.47;77.18,41.47;77.18,41.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.06,42.57;76.06,42.85;76.2,42.85;76.2,42.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.6,42.49;75.6,42.57;76.2,42.57;76.2,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.3,38.43;78.3,38.75;78.56,38.75;78.56,38.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.52,42.49;76.52,45.15;76.66,45.15;76.66,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.54,42.43;75.54,42.49;76.2,42.49;76.2,42.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.54,42.17;75.54,42.43;75.8,42.43;75.8,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.6,45.23;80.6,45.55;80.86,45.55;80.86,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.14,42.17;80.14,42.49;80.4,42.49;80.4,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.68,39.45;79.68,39.77;79.94,39.77;79.94,39.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,44.725;72.78,44.87;73.04,44.87;73.04,44.725) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,43.335;81.07,43.705;82.61,43.705;82.61,43.335) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.77,44.355;72.77,44.725;73.05,44.725;73.05,44.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,42.17;76.46,42.49;76.72,42.49;76.72,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.84,41.81;72.84,44.355;72.98,44.355;72.98,41.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,41.49;72.78,41.81;73.04,41.81;73.04,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,37.895;81.07,38.265;82.61,38.265;82.61,37.895) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.96,37.73;82.96,38.77;83.1,38.77;83.1,37.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,41.15;74.16,41.47;74.42,41.47;74.42,41.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.06,45.15;76.06,45.29;76.66,45.29;76.66,45.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.99,44.355;75.99,44.725;76.27,44.725;76.27,44.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.22,39.965;74.22,41.15;74.36,41.15;74.36,39.965) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.15,39.595;74.15,39.965;74.43,39.965;74.43,39.595) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.22,39.43;74.22,39.595;74.36,39.595;74.36,39.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,39.11;74.16,39.43;74.42,39.43;74.42,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.52,37.73;76.52,42.17;76.66,42.17;76.66,37.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.08,43.87;75.08,44.19;75.34,44.19;75.34,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.06,43.93;76.06,44.355;76.2,44.355;76.2,43.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.14,43.365;75.14,43.87;75.28,43.87;75.28,43.365) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.6,43.79;75.6,43.93;76.2,43.93;76.2,43.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.26,42.43;85.26,42.57;86.32,42.57;86.32,42.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,41.49;83.36,41.81;83.62,41.81;83.62,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,44.55;83.36,44.87;83.62,44.87;83.62,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,45.23;90.26,45.55;90.52,45.55;90.52,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.32,42.83;90.32,45.23;90.46,45.23;90.46,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,42.51;90.26,42.83;90.52,42.83;90.52,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.8,41.83;89.8,42.15;90.06,42.15;90.06,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.34,41.15;89.34,41.47;89.6,41.47;89.6,41.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.4,39.43;89.4,41.15;89.54,41.15;89.54,39.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.34,39.11;89.34,39.43;89.6,39.43;89.6,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,41.49;86.12,41.81;86.38,41.81;86.38,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.86,37.73;89.86,41.83;90,41.83;90,37.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (92.1,41.49;92.1,41.81;92.36,41.81;92.36,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,39.79;90.26,40.11;90.52,40.11;90.52,39.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.74,44.21;84.74,44.53;85,44.53;85,44.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.04,38.43;87.04,38.75;87.3,38.75;87.3,38.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.42,44.55;88.42,44.87;88.68,44.87;88.68,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.06,55.41;30.06,60.87;30.2,60.87;30.2,55.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.46,56.11;30.46,56.43;30.72,56.43;30.72,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.74,56.09;33.74,64.02;33.88,64.02;33.88,56.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.2,55.75;34.2,57.275;34.34,57.275;34.34,55.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.66,52.35;34.66,58.635;34.8,58.635;34.8,52.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.12,55.07;35.12,58.49;35.26,58.49;35.26,55.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.58,53.71;35.58,67.33;35.72,67.33;35.72,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.04,55.41;36.04,60.19;36.18,60.19;36.18,55.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.44,56.11;36.44,56.43;36.7,56.43;36.7,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.7,41.83;27.7,42.15;27.96,42.15;27.96,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.18,42.49;40.18,58.49;40.32,58.49;40.32,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.49,55.915;41.49,56.285;41.77,56.285;41.77,55.915) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,54.05;42.94,57.81;43.08,57.81;43.08,54.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,56.11;43.34,56.43;43.6,56.43;43.6,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.32,52.77;44.32,60.675;44.46,60.675;44.46,52.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.7,48.27;45.7,61.21;45.84,61.21;45.84,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.52,55.75;7.52,58.15;7.66,58.15;7.66,55.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,56.11;11.14,56.43;11.4,56.43;11.4,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,55.75;47.54,57.81;47.68,57.81;47.68,55.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.04,48.61;13.04,71;13.18,71;13.18,48.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,53.71;13.96,63.395;14.1,63.395;14.1,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.88,55.75;14.88,59.17;15.02,59.17;15.02,55.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.72,49.63;16.72,58.49;16.86,58.49;16.86,49.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.02,52.09;19.02,71.67;19.16,71.67;19.16,52.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.69,71;27.69,75;27.97,75;27.97,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.7,66.99;27.7,67.31;27.96,67.31;27.96,66.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.7,61.21;27.7,61.53;27.96,61.53;27.96,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.48,55.75;19.48,58.15;19.62,58.15;19.62,55.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.4,55.605;20.4,58.83;20.54,58.83;20.54,55.605) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.86,56.11;25.86,56.43;26.12,56.43;26.12,56.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.84,42.49;26.84,58.15;26.98,58.15;26.98,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.68,47.93;28.68,62.03;28.82,62.03;28.82,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.14,53.71;29.14,60.19;29.28,60.19;29.28,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,55.75;29.6,58.83;29.74,58.83;29.74,55.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.5,64.16;36.5,65.97;36.64,65.97;36.64,64.16) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.88,63.57;37.88,65.97;38.02,65.97;38.02,63.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.74,61.89;38.74,62.21;39,62.21;39,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.8,60.51;38.8,61.89;38.94,61.89;38.94,60.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,61.53;42.94,65.63;43.08,65.63;43.08,61.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.78,63.57;44.78,66.65;44.92,66.65;44.92,63.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.62,64.25;46.62,66.31;46.76,66.31;46.76,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48,64.59;48,65.97;48.14,65.97;48.14,64.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.74,60.19;38.74,60.51;39,60.51;39,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.92,60.42;48.92,65.97;49.06,65.97;49.06,60.42) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.98,59.49;30.98,65.63;31.12,65.63;31.12,59.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.12,59.49;35.12,66.31;35.26,66.31;35.26,59.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.76,61.53;27.76,66.99;27.9,66.99;27.9,61.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.22,64.59;28.22,65.63;28.36,65.63;28.36,64.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.14,62.17;29.14,67.59;29.28,67.59;29.28,62.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.82,63.57;32.82,66.65;32.96,66.65;32.96,63.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.2,64.25;34.2,66.91;34.34,66.91;34.34,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.06,61.19;30.06,65.97;30.2,65.97;30.2,61.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.52,64.93;30.52,65.63;30.66,65.63;30.66,64.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.86,65.97;48.86,66.29;49.12,66.29;49.12,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.48,67.65;42.48,71;42.62,71;42.62,67.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,67.33;42.42,67.65;42.68,67.65;42.68,67.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.56,66.31;46.56,66.63;46.82,66.63;46.82,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.41,71;42.41,75;42.69,75;42.69,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.72,66.65;44.72,66.97;44.98,66.97;44.98,66.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,65.63;42.88,65.95;43.14,65.95;43.14,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,65.97;47.94,66.29;48.2,66.29;48.2,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.16,65.63;28.16,65.95;28.42,65.95;28.42,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.76,66.65;32.76,66.97;33.02,66.97;33.02,66.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.2,66.91;34.2,67.05;35.26,67.05;35.26,66.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,65.97;30,66.29;30.26,66.29;30.26,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.76,67.73;27.76,71;27.9,71;27.9,67.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.76,67.59;27.76,67.73;29.28,67.73;29.28,67.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.06,66.31;35.06,66.63;35.32,66.63;35.32,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.12,67.05;35.12,71;35.26,71;35.26,67.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.05,71;35.05,75;35.33,75;35.33,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.46,65.63;30.46,65.95;30.72,65.95;30.72,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.92,65.63;30.92,65.95;31.18,65.95;31.18,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.52,67.33;35.52,67.65;35.78,67.65;35.78,67.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.44,65.97;36.44,66.29;36.7,66.29;36.7,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,65.97;37.82,66.29;38.08,66.29;38.08,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.88,61.87;37.88,63.25;38.02,63.25;38.02,61.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.9,63.93;36.9,64.02;37.16,64.02;37.16,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,61.55;37.82,61.87;38.08,61.87;38.08,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.9,61.21;36.9,61.53;37.16,61.53;37.16,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.13,57.275;34.13,57.645;34.41,57.645;34.41,57.275) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.98,60.19;35.98,60.51;36.24,60.51;36.24,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.96,61.53;36.96,63.93;37.1,63.93;37.1,61.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.6,64.61;34.6,64.93;34.86,64.93;34.86,64.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.16,64.27;28.16,64.59;28.42,64.59;28.42,64.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.14,64.16;34.14,64.25;34.4,64.25;34.4,64.16) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.74,64.02;33.74,64.16;34.4,64.16;34.4,64.02) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.76,63.25;32.76,63.57;33.02,63.57;33.02,63.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (31.38,60.87;31.38,61.19;31.64,61.19;31.64,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.92,59.17;30.92,59.49;31.18,59.49;31.18,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (31.44,59.15;31.44,60.87;31.58,60.87;31.58,59.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (31.38,58.83;31.38,59.15;31.64,59.15;31.64,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.46,58.49;30.46,58.81;30.72,58.81;30.72,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,63.93;29.54,64.25;29.8,64.25;29.8,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.68,62.03;28.68,62.17;29.28,62.17;29.28,62.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (31.44,61.19;31.44,63.59;31.58,63.59;31.58,61.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.9,64.16;36.9,64.25;37.16,64.25;37.16,64.16) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.06,58.49;35.06,58.81;35.32,58.81;35.32,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.52,56.43;30.52,58.49;30.66,58.49;30.66,56.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.5,64.02;36.5,64.16;37.16,64.16;37.16,64.02) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (31.38,63.59;31.38,63.91;31.64,63.91;31.64,63.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,61.53;29.6,63.93;29.74,63.93;29.74,61.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,61.21;29.54,61.53;29.8,61.53;29.8,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.14,63.93;34.14,64.02;34.4,64.02;34.4,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.66,63.91;34.66,64.61;34.8,64.61;34.8,63.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.6,63.59;34.6,63.91;34.86,63.91;34.86,63.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,63.25;37.82,63.57;38.08,63.57;38.08,63.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.46,64.61;30.46,64.93;30.72,64.93;30.72,64.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,60.87;30,61.19;30.26,61.19;30.26,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.08,60.19;29.08,60.51;29.34,60.51;29.34,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.06,59.17;35.06,59.49;35.32,59.49;35.32,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,58.83;29.54,59.15;29.8,59.15;29.8,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.59,58.635;34.59,59.005;34.87,59.005;34.87,58.635) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.46,59.49;48.46,60.19;48.6,60.19;48.6,59.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,59.17;48.4,59.49;48.66,59.49;48.66,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.92,59.005;48.92,60.28;49.06,60.28;49.06,59.005) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,60.19;49.32,60.28;49.58,60.28;49.58,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.7,61.735;45.7,61.89;45.84,61.89;45.84,61.735) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,61.21;45.64,61.53;45.9,61.53;45.9,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,58.49;47.48,58.81;47.74,58.81;47.74,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.85,58.635;48.85,59.005;49.13,59.005;49.13,58.635) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,58.49;49.32,58.81;49.58,58.81;49.58,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.38,58.325;49.38,58.49;49.52,58.49;49.52,58.325) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,57.81;47.48,58.13;47.74,58.13;47.74,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.31,57.955;49.31,58.325;49.59,58.325;49.59,57.955) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,60.53;42.42,60.675;42.68,60.675;42.68,60.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,65.095;41.07,65.465;42.61,65.465;42.61,65.095) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,64.27;47.94,64.59;48.2,64.59;48.2,64.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.56,63.93;46.56,64.25;46.82,64.25;46.82,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,59.655;41.07,60.025;42.61,60.025;42.61,59.655) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.04,59.06;41.04,59.15;41.3,59.15;41.3,59.06) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,59.06;42.88,59.15;43.14,59.15;43.14,59.06) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.04,58.92;41.04,59.06;43.14,59.06;43.14,58.92) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.04,58.83;41.04,58.92;41.3,58.92;41.3,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,58.83;42.88,58.92;43.14,58.92;43.14,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.12,58.49;40.12,58.81;40.38,58.81;40.38,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.72,63.25;44.72,63.57;44.98,63.57;44.98,63.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,61.21;42.88,61.53;43.14,61.53;43.14,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.41,60.675;42.41,61.045;42.69,61.045;42.69,60.675) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.1,58.13;41.1,58.21;41.7,58.21;41.7,58.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,58.15;43.34,58.47;43.6,58.47;43.6,58.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.04,58.07;41.04,58.13;41.7,58.13;41.7,58.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.04,57.81;41.04,58.07;41.3,58.07;41.3,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.56,56.285;41.56,58.07;41.7,58.07;41.7,56.285) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,57.81;42.88,58.13;43.14,58.13;43.14,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.25,60.675;44.25,61.045;44.53,61.045;44.53,60.675) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.78,60.85;44.78,63.25;44.92,63.25;44.92,60.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,56.43;43.4,58.15;43.54,58.15;43.54,56.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.7,62.21;45.7,62.29;46.3,62.29;46.3,62.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.62,62.21;46.62,63.93;46.76,63.93;46.76,62.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.72,60.53;44.72,60.85;44.98,60.85;44.98,60.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,62.15;45.64,62.21;46.3,62.21;46.3,62.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.16,60.85;46.16,62.15;46.3,62.15;46.3,60.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.56,61.89;46.56,62.21;46.82,62.21;46.82,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,61.89;47.48,62.21;47.74,62.21;47.74,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.02,60.87;47.02,61.19;47.28,61.19;47.28,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.1,60.53;46.1,60.85;46.36,60.85;46.36,60.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.16,60.365;46.16,60.53;46.3,60.53;46.3,60.365) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.09,59.995;46.09,60.365;46.37,60.365;46.37,59.995) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.08,59.49;47.08,60.87;47.22,60.87;47.22,59.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.02,59.17;47.02,59.49;47.28,59.49;47.28,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,58.81;47.54,61.89;47.68,61.89;47.68,58.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.93,60.675;47.93,61.045;48.21,61.045;48.21,60.675) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48,60.51;48,60.675;48.14,60.675;48.14,60.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,60.19;47.94,60.51;48.2,60.51;48.2,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,60.19;48.4,60.51;48.66,60.51;48.66,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,61.89;45.64,62.15;45.9,62.15;45.9,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,60.42;49.32,60.51;49.58,60.51;49.58,60.42) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.92,60.28;48.92,60.42;49.58,60.42;49.58,60.28) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,60.53;11.14,60.85;11.4,60.85;11.4,60.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.46,58.15;7.46,58.47;7.72,58.47;7.72,58.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (5.61,71.81;5.61,75;5.89,75;5.89,71.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (5.61,71.67;5.61,71.81;6.74,71.81;6.74,71.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (5.61,71;5.61,71.67;5.89,71.67;5.89,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (6.6,67.65;6.6,71.67;6.74,71.67;6.74,67.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.97,71;12.97,75;13.25,75;13.25,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.33,71.81;20.33,75;20.61,75;20.61,71.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.02,71.67;19.02,71.81;20.61,71.81;20.61,71.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,67.815;21.07,68.185;22.61,68.185;22.61,67.815) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.9,61.53;8.9,62.91;9.04,62.91;9.04,61.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.2,58.13;11.2,60.53;11.34,60.53;11.34,58.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.66,59.15;11.66,65.63;11.8,65.63;11.8,59.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.18,66.31;22.18,66.63;22.44,66.63;22.44,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.64,66.31;22.64,66.63;22.9,66.63;22.9,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.72,65.97;21.72,66.29;21.98,66.29;21.98,65.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.78,63.57;21.78,65.97;21.92,65.97;21.92,63.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.24,64.59;22.24,66.31;22.38,66.31;22.38,64.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.18,64.27;22.18,64.59;22.44,64.59;22.44,64.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.7,64.25;22.7,66.31;22.84,66.31;22.84,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.86,65.63;25.86,65.95;26.12,65.95;26.12,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.48,64.27;24.48,64.59;24.74,64.59;24.74,64.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.64,64.16;22.64,64.25;22.9,64.25;22.9,64.16) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.64,64.02;22.64,64.16;23.3,64.16;23.3,64.02) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.64,63.93;22.64,64.02;22.9,64.02;22.9,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.72,63.25;21.72,63.57;21.98,63.57;21.98,63.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,62.375;21.07,62.745;22.61,62.745;22.61,62.375) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,62.21;23.16,64.02;23.3,64.02;23.3,62.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,61.89;23.1,62.21;23.36,62.21;23.36,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.54,61.87;24.54,64.27;24.68,64.27;24.68,61.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.48,61.55;24.48,61.87;24.74,61.87;24.74,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.92,59.15;25.92,65.63;26.06,65.63;26.06,59.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.6,58.83;11.6,59.15;11.86,59.15;11.86,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.11,67.475;17.11,67.845;17.39,67.845;17.39,67.475) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.82,66.31;14.82,66.63;15.08,66.63;15.08,66.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.44,65.63;13.44,65.95;13.7,65.95;13.7,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.5,61.53;13.5,65.63;13.64,65.63;13.64,61.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.88,64.25;14.88,66.31;15.02,66.31;15.02,64.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.82,63.93;14.82,64.25;15.08,64.25;15.08,63.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.89,63.395;13.89,63.765;14.17,63.765;14.17,63.395) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.44,61.21;13.44,61.53;13.7,61.53;13.7,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,57.81;11.14,58.13;11.4,58.13;11.4,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.88,59.49;14.88,63.93;15.02,63.93;15.02,59.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.74,63.59;15.74,63.91;16,63.91;16,63.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.8,61.87;15.8,63.59;15.94,63.59;15.94,61.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.18,62.21;17.18,67.475;17.32,67.475;17.32,62.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.12,61.89;17.12,62.21;17.38,62.21;17.38,61.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.34,58.83;20.34,59.15;20.6,59.15;20.6,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.86,58.83;25.86,59.15;26.12,59.15;26.12,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,58.15;19.42,58.47;19.68,58.47;19.68,58.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.74,61.55;15.74,61.87;16,61.87;16,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.18,61.19;17.18,61.89;17.32,61.89;17.32,61.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.78,58.15;26.78,58.47;27.04,58.47;27.04,58.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.86,57.81;25.86,58.13;26.12,58.13;26.12,57.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,56.935;21.07,57.305;22.61,57.305;22.61,56.935) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.92,56.43;25.92,57.81;26.06,57.81;26.06,56.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.12,60.87;17.12,61.19;17.38,61.19;17.38,60.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (6.54,67.33;6.54,67.65;6.8,67.65;6.8,67.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,65.63;11.14,65.95;11.4,65.95;11.4,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.6,65.63;11.6,65.95;11.86,65.95;11.86,65.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.2,64.59;11.2,65.63;11.34,65.63;11.34,64.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,64.27;11.14,64.59;11.4,64.59;11.4,64.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.84,62.91;8.84,63.23;9.1,63.23;9.1,62.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.66,60.19;16.66,60.51;16.92,60.51;16.92,60.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.82,59.17;14.82,59.49;15.08,59.49;15.08,59.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.2,56.43;11.2,57.81;11.34,57.81;11.34,56.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.72,58.81;16.72,60.19;16.86,60.19;16.86,58.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.11,59.315;17.11,59.685;17.39,59.685;17.39,59.315) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.18,59.15;17.18,59.315;17.32,59.315;17.32,59.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.12,58.83;17.12,59.15;17.38,59.15;17.38,58.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.66,58.49;16.66,58.81;16.92,58.81;16.92,58.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.2,61.87;11.2,64.27;11.34,64.27;11.34,61.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.18,56.77;17.18,58.83;17.32,58.83;17.32,56.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.12,56.45;17.12,56.77;17.38,56.77;17.38,56.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,61.55;11.14,61.87;11.4,61.87;11.4,61.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.33,71;20.33,71.67;20.61,71.67;20.61,71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.84,61.21;8.84,61.53;9.1,61.53;9.1,61.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.22,46.59;10.22,46.91;10.48,46.91;10.48,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.8,39.285;15.8,47.95;15.94,47.95;15.94,39.285) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.48,46.59;24.48,46.91;24.74,46.91;24.74,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.46,42.83;25.46,47.61;25.6,47.61;25.6,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.38,45.55;26.38,47.27;26.52,47.27;26.52,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.66,49.31;16.66,49.63;16.92,49.63;16.92,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.56,48.61;18.56,51.95;18.7,51.95;18.7,48.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.95,51.155;18.95,51.525;19.23,51.525;19.23,51.155) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.02,50.65;19.02,51.155;19.16,51.155;19.16,50.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.48,50.99;19.48,53.39;19.62,53.39;19.62,50.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.34,53.05;20.34,53.37;20.6,53.37;20.6,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.88,52.71;19.88,53.03;20.14,53.03;20.14,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.94,51.33;19.94,52.71;20.08,52.71;20.08,51.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.88,51.01;19.88,51.33;20.14,51.33;20.14,51.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,50.67;19.42,50.99;19.68,50.99;19.68,50.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.96,50.33;18.96,50.65;19.22,50.65;19.22,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.12,48.29;17.12,48.61;17.38,48.61;17.38,48.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.5,48.29;18.5,48.61;18.76,48.61;18.76,48.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,55.43;19.42,55.75;19.68,55.75;19.68,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.33,55.235;20.33,55.605;20.61,55.605;20.61,55.235) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.48,47.25;19.48,50.67;19.62,50.67;19.62,47.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.4,50.31;20.4,53.05;20.54,53.05;20.54,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.02,52.71;24.02,53.03;24.28,53.03;24.28,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,51.495;21.07,51.865;22.61,51.865;22.61,51.495) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.08,50.31;24.08,52.71;24.22,52.71;24.22,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.34,49.99;20.34,50.31;20.6,50.31;20.6,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.02,49.99;24.02,50.31;24.28,50.31;24.28,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,49.99;26.32,50.31;26.58,50.31;26.58,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.26,49.65;21.26,49.97;21.52,49.97;21.52,49.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.32,47.93;21.32,49.65;21.46,49.65;21.46,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.26,47.61;21.26,47.93;21.52,47.93;21.52,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,47.61;25.4,47.93;25.66,47.93;25.66,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,46.93;19.42,47.25;19.68,47.25;19.68,46.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,53.39;19.42,53.71;19.68,53.71;19.68,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.64,50.65;17.64,52.03;17.78,52.03;17.78,50.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.38,47.59;26.38,49.99;26.52,49.99;26.52,47.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,47.27;26.32,47.59;26.58,47.59;26.58,47.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.56,51.95;18.56,52.09;19.16,52.09;19.16,51.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.12,52.37;17.12,52.69;17.38,52.69;17.38,52.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.58,50.33;17.58,50.65;17.84,50.65;17.84,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.18,48.61;17.18,52.37;17.32,52.37;17.32,48.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.58,52.03;17.58,52.35;17.84,52.35;17.84,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.12,50.31;12.12,54.75;12.26,54.75;12.26,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,49.99;12.06,50.31;12.32,50.31;12.32,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.6,49.31;11.6,49.63;11.86,49.63;11.86,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.46,55.43;7.46,55.75;7.72,55.75;7.72,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.52,53.71;7.52,55.43;7.66,55.43;7.66,53.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.74,47.95;15.74,48.27;16,48.27;16,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,47.61;11.14,47.93;11.4,47.93;11.4,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,47.61;13.9,47.93;14.16,47.93;14.16,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.83,47.075;8.83,47.445;9.11,47.445;9.11,47.075) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.66,49.63;11.66,52.71;11.8,52.71;11.8,49.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.8,48.27;15.8,52.03;15.94,52.03;15.94,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.82,55.43;14.82,55.75;15.08,55.75;15.08,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,53.39;13.9,53.71;14.16,53.71;14.16,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.46,53.39;7.46,53.71;7.72,53.71;7.72,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.22,53.05;10.22,53.37;10.48,53.37;10.48,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.28,52.885;10.28,53.05;10.42,53.05;10.42,52.885) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.74,52.03;15.74,52.35;16,52.35;16,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,49.99;13.9,50.31;14.16,50.31;14.16,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,48.29;12.98,48.61;13.24,48.61;13.24,48.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,47.93;13.96,49.99;14.1,49.99;14.1,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.21,52.515;10.21,52.885;10.49,52.885;10.49,52.515) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.84,49.31;8.84,49.63;9.1,49.63;9.1,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.9,47.445;8.9,49.31;9.04,49.31;9.04,47.445) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.2,47.93;11.2,56.11;11.34,56.11;11.34,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,54.75;12.06,55.07;12.32,55.07;12.32,54.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.6,52.71;11.6,53.03;11.86,53.03;11.86,52.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.52,39.43;7.52,44.21;7.66,44.21;7.66,39.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.04,42.49;13.04,44.89;13.18,44.89;13.18,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,42.17;12.98,42.49;13.24,42.49;13.24,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.3,41.15;9.3,41.47;9.56,41.47;9.56,41.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.46,39.11;7.46,39.43;7.72,39.43;7.72,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.28,44.87;10.28,46.59;10.42,46.59;10.42,44.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,44.89;12.98,45.21;13.24,45.21;13.24,44.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.28,38.77;15.28,39.09;15.54,39.09;15.54,38.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.73,38.915;15.73,39.285;16.01,39.285;16.01,38.915) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.22,44.55;10.22,44.87;10.48,44.87;10.48,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.46,44.21;7.46,44.53;7.72,44.53;7.72,44.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,42.51;25.4,42.83;25.66,42.83;25.66,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.94,39.79;24.94,40.11;25.2,40.11;25.2,39.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.87,42.995;19.87,43.365;20.15,43.365;20.15,42.995) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.94,42.15;19.94,42.995;20.08,42.995;20.08,42.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.48,39.11;24.48,39.43;24.74,39.43;24.74,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.12,38.77;17.12,39.09;17.38,39.09;17.38,38.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.86,42.83;20.86,44.21;21,44.21;21,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.8,42.51;20.8,42.83;21.06,42.83;21.06,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,42.17;23.1,42.49;23.36,42.49;23.36,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,38.43;23.1,38.75;23.36,38.75;23.36,38.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.88,41.83;19.88,42.15;20.14,42.15;20.14,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,40.615;21.07,40.985;22.61,40.985;22.61,40.615) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.46,37.73;25.46,42.51;25.6,42.51;25.6,37.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,40.11;23.16,42.17;23.3,42.17;23.3,40.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,39.79;23.1,40.11;23.36,40.11;23.36,39.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.54,39.43;24.54,46.59;24.68,46.59;24.68,39.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,39.2;26.32,39.34;26.98,39.34;26.98,39.2) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,39.11;26.32,39.2;26.58,39.2;26.58,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,46.055;21.07,46.425;22.61,46.425;22.61,46.055) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.3,40.45;27.3,44.21;27.44,44.21;27.44,40.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.24,44.21;27.24,44.53;27.5,44.53;27.5,44.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.8,44.21;20.8,44.53;21.06,44.53;21.06,44.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.78,42.17;26.78,42.49;27.04,42.49;27.04,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,39.34;26.32,39.43;26.58,39.43;26.58,39.34) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.84,39.34;26.84,42.17;26.98,42.17;26.98,39.34) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.24,40.13;27.24,40.45;27.5,40.45;27.5,40.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,45.23;26.32,45.55;26.58,45.55;26.58,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.16,41.47;46.16,55.235;46.3,55.235;46.3,41.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.68,45.89;28.68,47.61;28.82,47.61;28.82,45.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,44.87;47.54,49.31;47.68,49.31;47.68,44.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48,45.55;48,47.61;48.14,47.61;48.14,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.46,42.83;48.46,47.61;48.6,47.61;48.6,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.38,45.89;49.38,49.99;49.52,49.99;49.52,45.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,42.15;29.6,47.95;29.74,47.95;29.74,42.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.64,42.15;40.64,51.835;40.78,51.835;40.78,42.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.56,44.61;41.56,47.61;41.7,47.61;41.7,44.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,46.59;42.88,46.91;43.14,46.91;43.14,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,45.21;43.4,47.27;43.54,47.27;43.54,45.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.86,44.61;43.86,49.99;44,49.99;44,44.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.32,42.49;44.32,52.03;44.46,52.03;44.46,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.52,43.17;30.52,47.61;30.66,47.61;30.66,43.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.6,46.59;34.6,46.91;34.86,46.91;34.86,46.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.5,45.89;36.5,47.02;36.64,47.02;36.64,45.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.72,45.55;39.72,47.27;39.86,47.27;39.86,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.74,44.55;38.74,44.87;39,44.87;39,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.8,42.15;38.8,44.55;38.94,44.55;38.94,42.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.74,41.83;38.74,42.15;39,42.15;39,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.56,52.63;41.56,52.77;43.54,52.77;43.54,52.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.56,52.6;41.56,52.63;41.7,52.63;41.7,52.6) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.04,52.46;41.04,52.6;41.7,52.6;41.7,52.46) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.04,52.37;41.04,52.46;41.3,52.46;41.3,52.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.57,51.835;40.57,52.205;40.85,52.205;40.85,51.835) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,53.05;49.32,53.37;49.58,53.37;49.58,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,52.35;47.54,52.515;47.68,52.515;47.68,52.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,52.37;47.94,52.69;48.2,52.69;48.2,52.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.86,52.6;48.86,52.69;49.12,52.69;49.12,52.6) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.46,52.46;48.46,52.6;49.12,52.6;49.12,52.46) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,52.03;47.48,52.35;47.74,52.35;47.74,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.46,49.63;48.46,52.46;48.6,52.46;48.6,49.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.86,52.37;48.86,52.46;49.12,52.46;49.12,52.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.38,52.35;49.38,53.05;49.52,53.05;49.52,52.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,52.03;49.32,52.35;49.58,52.35;49.58,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.86,50.33;48.86,50.65;49.12,50.65;49.12,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.92,50.165;48.92,50.33;49.06,50.33;49.06,50.165) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.85,49.795;48.85,50.165;49.13,50.165;49.13,49.795) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,49.99;49.32,50.31;49.58,50.31;49.58,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,49.31;47.48,49.63;47.74,49.63;47.74,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,49.31;48.4,49.63;48.66,49.63;48.66,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.72,47.61;44.72,47.93;44.98,47.93;44.98,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.46,47.93;48.46,49.31;48.6,49.31;48.6,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,47.61;47.94,47.93;48.2,47.93;48.2,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,47.61;48.4,47.93;48.66,47.93;48.66,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,55.43;47.48,55.75;47.74,55.75;47.74,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.47,52.515;47.47,52.885;47.75,52.885;47.75,52.515) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48,52.69;48,53.82;48.14,53.82;48.14,52.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,47.95;45.64,48.27;45.9,48.27;45.9,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.58,55.43;40.58,55.75;40.84,55.75;40.84,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.1,50.31;41.1,52.37;41.24,52.37;41.24,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.96,52.03;41.96,52.35;42.22,52.35;42.22,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.86,52.205;43.86,55.43;44,55.43;44,52.205) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.18,55.09;45.18,55.41;45.44,55.41;45.44,55.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.24,52.885;45.24,55.09;45.38,55.09;45.38,52.885) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.32,52.63;44.32,52.77;44.92,52.77;44.92,52.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.02,50.73;42.02,52.03;42.16,52.03;42.16,50.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.79,51.835;43.79,52.205;44.07,52.205;44.07,51.835) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.26,52.03;44.26,52.35;44.52,52.35;44.52,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.56,50.65;41.56,50.73;42.16,50.73;42.16,50.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.5,50.59;41.5,50.65;42.16,50.65;42.16,50.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.5,50.33;41.5,50.59;41.76,50.59;41.76,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,50.33;43.34,50.65;43.6,50.65;43.6,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.04,49.99;41.04,50.31;41.3,50.31;41.3,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,49.65;42.88,49.97;43.14,49.97;43.14,49.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,48.775;41.07,49.145;42.61,49.145;42.61,48.775) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.5,47.61;41.5,47.93;41.76,47.93;41.76,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,47.84;42.42,47.93;42.68,47.93;42.68,47.84) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,47.84;42.94,49.65;43.08,49.65;43.08,47.84) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,48.27;43.4,50.33;43.54,50.33;43.54,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.8,49.99;43.8,50.31;44.06,50.31;44.06,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,47.95;43.34,48.27;43.6,48.27;43.6,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,47.7;42.42,47.84;43.08,47.84;43.08,47.7) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,47.61;42.42,47.7;42.68,47.7;42.68,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.64,53.96;40.64,55.43;40.78,55.43;40.78,53.96) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,47.59;43.4,47.95;43.54,47.95;43.54,47.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,47.27;43.34,47.59;43.6,47.59;43.6,47.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,54.215;41.07,54.585;42.61,54.585;42.61,54.215) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.33,55.235;43.33,55.605;43.61,55.605;43.61,55.235) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.8,55.43;43.8,55.75;44.06,55.75;44.06,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.64,53.82;40.64,53.96;42.62,53.96;42.62,53.82) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.78,47.93;44.78,52.63;44.92,52.63;44.92,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.17,52.515;45.17,52.885;45.45,52.885;45.45,52.515) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.18,52.37;45.18,52.515;45.44,52.515;45.44,52.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.24,52.215;45.24,52.37;45.38,52.37;45.38,52.215) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.2,55.43;39.2,55.75;39.46,55.75;39.46,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.26,50.65;39.26,55.43;39.4,55.43;39.4,50.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.66,53.05;39.66,53.37;39.92,53.37;39.92,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.2,50.33;39.2,50.65;39.46,50.65;39.46,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.72,49.63;39.72,53.05;39.86,53.05;39.86,49.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.66,49.31;39.66,49.63;39.92,49.63;39.92,49.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.49,53.195;41.49,53.565;41.77,53.565;41.77,53.195) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.66,47.27;39.66,47.59;39.92,47.59;39.92,47.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.48,53.37;42.48,53.82;42.62,53.82;42.62,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,53.73;42.88,54.05;43.14,54.05;43.14,53.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.5,53.05;41.5,53.195;41.76,53.195;41.76,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,53.05;42.42,53.37;42.68,53.37;42.68,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,52.77;43.4,55.235;43.54,55.235;43.54,52.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.04,52.6;41.04,52.69;41.3,52.69;41.3,52.6) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.09,55.235;46.09,55.605;46.37,55.605;46.37,55.235) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.98,55.09;35.98,55.41;36.24,55.41;36.24,55.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.05,53.195;35.05,53.565;35.33,53.565;35.33,53.195) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.52,53.39;35.52,53.71;35.78,53.71;35.78,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.6,52.03;34.6,52.35;34.86,52.35;34.86,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.06,52.03;35.06,52.35;35.32,52.35;35.32,52.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.12,50.31;35.12,52.03;35.26,52.03;35.26,50.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.06,49.99;35.06,50.31;35.32,50.31;35.32,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.68,49.65;33.68,49.97;33.94,49.97;33.94,49.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.04,47.93;36.04,55.09;36.18,55.09;36.18,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.5,53.37;36.5,56.11;36.64,56.11;36.64,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.68,53.39;33.68,53.71;33.94,53.71;33.94,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.36,54.75;37.36,55.07;37.62,55.07;37.62,54.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.42,53.37;37.42,54.75;37.56,54.75;37.56,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.44,53.05;36.44,53.37;36.7,53.37;36.7,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.36,53.05;37.36,53.37;37.62,53.37;37.62,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.5,50.65;36.5,53.05;36.64,53.05;36.64,50.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.52,53.37;30.52,55.43;30.66,55.43;30.66,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.68,55.77;33.68,56.09;33.94,56.09;33.94,55.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.44,50.33;36.44,50.65;36.7,50.65;36.7,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.46,55.43;30.46,55.75;30.72,55.75;30.72,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,47.95;29.54,48.27;29.8,48.27;29.8,47.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,53.05;29.54,53.37;29.8,53.37;29.8,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.46,47.61;30.46,47.93;30.72,47.93;30.72,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.98,47.61;35.98,47.93;36.24,47.93;36.24,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.46,53.05;30.46,53.37;30.72,53.37;30.72,53.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.62,47.61;28.62,47.93;28.88,47.93;28.88,47.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.98,47.16;35.98,47.25;36.24,47.25;36.24,47.16) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.98,47.02;35.98,47.16;36.64,47.16;36.64,47.02) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.98,46.93;35.98,47.02;36.24,47.02;36.24,46.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,49.99;30,50.08;30.26,50.08;30.26,49.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.14,55.43;34.14,55.75;34.4,55.75;34.4,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,55.09;30,55.41;30.26,55.41;30.26,55.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.52,47.93;30.52,50.08;30.66,50.08;30.66,47.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,50.33;29.54,50.65;29.8,50.65;29.8,50.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,48.27;29.6,50.33;29.74,50.33;29.74,48.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,50.22;30,50.31;30.26,50.31;30.26,50.22) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,50.08;30,50.22;30.66,50.22;30.66,50.08) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.74,49.97;33.74,53.39;33.88,53.39;33.88,49.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.06,54.75;35.06,55.07;35.32,55.07;35.32,54.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.12,53.565;35.12,54.75;35.26,54.75;35.26,53.565) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,55.43;29.54,55.75;29.8,55.75;29.8,55.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.08,53.39;29.08,53.71;29.34,53.71;29.34,53.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,53.37;29.6,55.43;29.74,55.43;29.74,53.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.28,43.17;33.28,45.035;33.42,45.035;33.42,43.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.14,44.55;34.14,44.87;34.4,44.87;34.4,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.98,44.55;35.98,44.87;36.24,44.87;36.24,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.28,44.55;38.28,44.87;38.54,44.87;38.54,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.22,39.11;33.22,39.43;33.48,39.43;33.48,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.46,42.85;30.46,43.17;30.72,43.17;30.72,42.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.22,42.85;33.22,43.17;33.48,43.17;33.48,42.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.2,42.83;34.2,44.55;34.34,44.55;34.34,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.14,42.51;34.14,42.83;34.4,42.83;34.4,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.16,44.21;28.16,44.53;28.42,44.53;28.42,44.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,41.83;29.54,42.15;29.8,42.15;29.8,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.22,42.83;28.22,44.21;28.36,44.21;28.36,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.16,42.51;28.16,42.83;28.42,42.83;28.42,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,44.725;30,44.87;30.26,44.87;30.26,44.725) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.76,37.73;27.76,41.83;27.9,41.83;27.9,37.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,40.45;29.6,41.83;29.74,41.83;29.74,40.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.04,41.81;36.04,44.55;36.18,44.55;36.18,41.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,43.87;37.82,44.19;38.08,44.19;38.08,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.88,42.49;37.88,43.87;38.02,43.87;38.02,42.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.34,42.83;38.34,44.55;38.48,44.55;38.48,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.28,42.51;38.28,42.83;38.54,42.83;38.54,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,42.17;37.82,42.49;38.08,42.49;38.08,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.66,45.55;34.66,46.59;34.8,46.59;34.8,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.99,44.355;29.99,44.725;30.27,44.725;30.27,44.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.22,41.49;33.22,41.81;33.48,41.81;33.48,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.98,41.49;35.98,41.81;36.24,41.81;36.24,41.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,40.13;29.54,40.45;29.8,40.45;29.8,40.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,37.73;29.6,40.13;29.74,40.13;29.74,37.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.28,39.43;33.28,41.49;33.42,41.49;33.42,39.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.44,45.57;36.44,45.89;36.7,45.89;36.7,45.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.62,45.57;28.62,45.89;28.88,45.89;28.88,45.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.21,45.035;33.21,45.405;33.49,45.405;33.49,45.035) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.6,45.23;34.6,45.55;34.86,45.55;34.86,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.1,41.15;46.1,41.47;46.36,41.47;46.36,41.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,41.15;47.94,41.47;48.2,41.47;48.2,41.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.72,40.13;44.72,40.45;44.98,40.45;44.98,40.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,45.55;42.94,46.59;43.08,46.59;43.08,45.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,45.23;42.88,45.55;43.14,45.55;43.14,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,39.11;42.88,39.43;43.14,39.43;43.14,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,44.89;43.34,45.21;43.6,45.21;43.6,44.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,38.43;43.34,38.75;43.6,38.75;43.6,38.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.56,44.47;41.56,44.61;44,44.61;44,44.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,44.19;43.4,44.47;43.54,44.47;43.54,44.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,43.87;42.88,44.19;43.14,44.19;43.14,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,43.87;43.34,44.19;43.6,44.19;43.6,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,43.335;41.07,43.705;42.61,43.705;42.61,43.335) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.58,41.83;40.58,42.15;40.84,42.15;40.84,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.64,37.73;40.64,41.83;40.78,41.83;40.78,37.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.66,45.23;39.66,45.55;39.92,45.55;39.92,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,39.43;42.94,43.87;43.08,43.87;43.08,39.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,39.54;47.54,39.68;49.06,39.68;49.06,39.54) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,39.09;47.54,39.54;47.68,39.54;47.68,39.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,39.11;49.32,39.43;49.58,39.43;49.58,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,38.77;47.48,39.09;47.74,39.09;47.74,38.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,38.43;45.64,38.75;45.9,38.75;45.9,38.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,42.83;43.4,43.87;43.54,43.87;43.54,42.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,42.51;43.34,42.83;43.6,42.83;43.6,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,37.895;41.07,38.265;42.61,38.265;42.61,37.895) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.72,42.17;44.72,42.49;44.98,42.49;44.98,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.78,40.45;44.78,42.17;44.92,42.17;44.92,40.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,45.23;47.94,45.55;48.2,45.55;48.2,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.92,39.68;48.92,42.17;49.06,42.17;49.06,39.68) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.38,42.15;49.38,45.57;49.52,45.57;49.52,42.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.02,44.55;47.02,44.87;47.28,44.87;47.28,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,44.55;47.48,44.87;47.74,44.87;47.74,44.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.08,43.17;47.08,44.55;47.22,44.55;47.22,43.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,41.83;49.32,42.15;49.58,42.15;49.58,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,43.87;47.94,44.19;48.2,44.19;48.2,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.02,42.85;47.02,43.17;47.28,43.17;47.28,42.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.47,42.315;47.47,42.685;47.75,42.685;47.75,42.315) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,42.15;47.54,42.315;47.68,42.315;47.68,42.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,41.83;47.48,42.15;47.74,42.15;47.74,41.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48,41.47;48,43.87;48.14,43.87;48.14,41.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.66,42.85;39.66,43.17;39.92,43.17;39.92,42.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.12,42.17;40.12,42.49;40.38,42.49;40.38,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.2,39.79;39.2,40.11;39.46,40.11;39.46,39.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.66,39.45;39.66,39.77;39.92,39.77;39.92,39.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.19,44.355;39.19,44.725;39.47,44.725;39.47,44.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.26,44.19;39.26,44.355;39.4,44.355;39.4,44.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.2,43.87;39.2,44.19;39.46,44.19;39.46,43.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.26,42.17;44.26,42.49;44.52,42.49;44.52,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.26,37.73;39.26,39.11;39.4,39.11;39.4,37.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.2,39.11;39.2,39.43;39.46,39.43;39.46,39.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.72,43.17;39.72,45.23;39.86,45.23;39.86,43.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,45.57;49.32,45.89;49.58,45.89;49.58,45.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.86,45.23;48.86,45.55;49.12,45.55;49.12,45.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.26,40.11;39.26,43.87;39.4,43.87;39.4,40.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.92,44.53;48.92,45.23;49.06,45.23;49.06,44.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.86,44.21;48.86,44.53;49.12,44.53;49.12,44.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,42.51;48.4,42.83;48.66,42.83;48.66,42.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.86,42.17;48.86,42.49;49.12,42.49;49.12,42.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.36,18.69;32.36,19.39;32.5,19.39;32.5,18.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.74,15.29;33.74,19.39;33.88,19.39;33.88,15.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.42,18.01;37.42,20.75;37.56,20.75;37.56,18.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.8,15.97;38.8,20.07;38.94,20.07;38.94,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.26,17.67;39.26,23.13;39.4,23.13;39.4,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.18,17.33;40.18,28.23;40.32,28.23;40.32,17.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.48,17.67;42.48,19.73;42.62,19.73;42.62,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,18.01;42.94,20.41;43.08,20.41;43.08,18.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.16,15.97;46.16,19.39;46.3,19.39;46.3,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.08,18.35;47.08,27.89;47.22,27.89;47.22,18.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.4,15.97;20.4,23.13;20.54,23.13;20.54,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.46,6.79;48.46,20.07;48.6,20.07;48.6,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.92,15.29;48.92,25.51;49.06,25.51;49.06,15.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.38,17.67;49.38,20.41;49.52,20.41;49.52,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,18.35;23.16,25.85;23.3,25.85;23.3,18.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.7,28.23;27.7,28.55;27.96,28.55;27.96,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.62,3.81;23.62,22.11;23.76,22.11;23.76,3.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.08,15.97;24.08,25.17;24.22,25.17;24.22,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.7,23.13;27.7,23.45;27.96,23.45;27.96,23.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.7,20.07;27.7,20.39;27.96,20.39;27.96,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.92,18.01;25.92,20.07;26.06,20.07;26.06,18.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.76,17.67;27.76,20.07;27.9,20.07;27.9,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.7,17.35;27.7,17.67;27.96,17.67;27.96,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.22,14.95;28.22,20.41;28.36,20.41;28.36,14.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.68,14.61;28.68,20.07;28.82,20.07;28.82,14.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.14,15.97;29.14,20.41;29.28,20.41;29.28,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.06,12.65;30.06,22.79;30.2,22.79;30.2,12.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (31.9,18.69;31.9,28.23;32.04,28.23;32.04,18.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.8,18.69;15.8,36.73;15.94,36.73;15.94,18.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.83,18.515;8.83,18.885;9.11,18.885;9.11,18.515) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.36,18.01;9.36,20.41;9.5,20.41;9.5,18.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.74,15.29;10.74,22.79;10.88,22.79;10.88,15.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.2,18.01;11.2,20.41;11.34,20.41;11.34,18.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.12,14.61;12.12,20.41;12.26,20.41;12.26,14.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.58,16.99;12.58,21.09;12.72,21.09;12.72,16.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.5,15.97;13.5,22.71;13.64,22.71;13.64,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.48,18.01;19.48,28.91;19.62,28.91;19.62,18.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.74,20.07;38.74,20.39;39,20.39;39,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.46,26.51;48.46,30.27;48.6,30.27;48.6,26.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.86,26.85;43.86,29.25;44,29.25;44,26.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.76,23.45;27.76,28.23;27.9,28.23;27.9,23.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.66,26.17;34.66,28.91;34.8,28.91;34.8,26.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.12,27.87;35.12,31.29;35.26,31.29;35.26,27.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.5,26.51;36.5,30.27;36.64,30.27;36.64,26.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.02,27.89;47.02,28.21;47.28,28.21;47.28,27.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.82,24.13;32.82,35.71;32.96,35.71;32.96,24.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.46,33.99;48.46,35.71;48.6,35.71;48.6,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.38,35.01;49.38,35.71;49.52,35.71;49.52,35.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,34.69;49.32,35.01;49.58,35.01;49.58,34.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.66,36.73;39.66,37.05;39.92,37.05;39.92,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.12,36.73;40.12,37.05;40.38,37.05;40.38,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.72,36.73;44.72,37.05;44.98,37.05;44.98,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,36.73;45.64,37.05;45.9,37.05;45.9,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.58,33.67;40.58,33.99;40.84,33.99;40.84,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48,29.57;48,36.39;48.14,36.39;48.14,29.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,37.07;43.34,37.39;43.6,37.39;43.6,37.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,35.71;48.4,36.03;48.66,36.03;48.66,35.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.64,28.89;40.64,33.67;40.78,33.67;40.78,28.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,33.33;42.88,33.65;43.14,33.65;43.14,33.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,32.455;41.07,32.825;42.61,32.825;42.61,32.455) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,32.29;42.94,33.33;43.08,33.33;43.08,32.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,31.97;42.88,32.29;43.14,32.29;43.14,31.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.78,31.27;44.78,36.73;44.92,36.73;44.92,31.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.39,36.875;48.39,37.245;48.67,37.245;48.67,36.875) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,36.73;48.4,36.875;48.66,36.875;48.66,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,33.67;48.4,33.99;48.66,33.99;48.66,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,30.27;48.4,30.59;48.66,30.59;48.66,30.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,29.25;47.94,29.57;48.2,29.57;48.2,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,28.57;47.48,28.89;47.74,28.89;47.74,28.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48,28.55;48,29.25;48.14,29.25;48.14,28.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,28.23;47.94,28.55;48.2,28.55;48.2,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,35.71;49.32,36.03;49.58,36.03;49.58,35.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,28.89;47.54,34.69;47.68,34.69;47.68,28.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.94,36.39;47.94,36.71;48.2,36.71;48.2,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,36.39;49.32,36.71;49.58,36.71;49.58,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,34.69;47.48,35.01;47.74,35.01;47.74,34.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,33.33;45.64,33.65;45.9,33.65;45.9,33.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.7,32.29;45.7,33.33;45.84,33.33;45.84,32.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,31.97;45.64,32.29;45.9,32.29;45.9,31.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,30.95;42.42,31.27;42.68,31.27;42.68,30.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (44.72,30.95;44.72,31.27;44.98,31.27;44.98,30.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.48,29.57;42.48,30.95;42.62,30.95;42.62,29.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,29.25;42.42,29.57;42.68,29.57;42.68,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.8,29.25;43.8,29.57;44.06,29.57;44.06,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.58,28.57;40.58,28.89;40.84,28.89;40.84,28.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.12,28.23;40.12,28.55;40.38,28.55;40.38,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,37.05;29.6,37.41;29.74,37.41;29.74,37.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.36,37.07;37.36,37.39;37.62,37.39;37.62,37.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,36.73;29.54,37.05;29.8,37.05;29.8,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.76,35.71;32.76,36.03;33.02,36.03;33.02,35.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.36,31.63;37.36,31.95;37.62,31.95;37.62,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.06,31.29;35.06,31.61;35.32,31.61;35.32,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.6,28.91;34.6,29.23;34.86,29.23;34.86,28.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.36,34.01;37.36,34.33;37.62,34.33;37.62,34.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.6,33.67;34.6,33.99;34.86,33.99;34.86,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.44,30.27;36.44,30.59;36.7,30.59;36.7,30.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (31.84,28.23;31.84,28.55;32.1,28.55;32.1,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.42,28.55;37.42,31.63;37.56,31.63;37.56,28.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.66,31.95;34.66,33.67;34.8,33.67;34.8,31.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.06,33.33;35.06,33.65;35.32,33.65;35.32,33.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.6,31.63;34.6,31.95;34.86,31.95;34.86,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.12,31.61;35.12,33.33;35.26,33.33;35.26,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.36,28.23;37.36,28.55;37.62,28.55;37.62,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.42,34.33;37.42,37.07;37.56,37.07;37.56,34.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.68,20.07;33.68,20.39;33.94,20.39;33.94,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.68,19.39;33.68,19.71;33.94,19.71;33.94,19.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.92,25.51;30.92,25.83;31.18,25.83;31.18,25.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.36,20.75;37.36,21.07;37.62,21.07;37.62,20.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.98,23.11;30.98,25.51;31.12,25.51;31.12,23.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.68,27.55;33.68,27.87;33.94,27.87;33.94,27.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.76,23.81;32.76,24.13;33.02,24.13;33.02,23.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.3,23.13;32.3,23.45;32.56,23.45;32.56,23.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.74,20.39;33.74,27.55;33.88,27.55;33.88,20.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,22.79;30,23.11;30.26,23.11;30.26,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.92,22.79;30.92,23.11;31.18,23.11;31.18,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.62,20.07;28.62,20.39;28.88,20.39;28.88,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.16,20.41;28.16,20.73;28.42,20.73;28.42,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.06,27.55;35.06,27.87;35.32,27.87;35.32,27.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.08,20.41;29.08,20.73;29.34,20.73;29.34,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.98,20.39;30.98,22.79;31.12,22.79;31.12,20.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.92,20.07;30.92,20.39;31.18,20.39;31.18,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.3,19.39;32.3,19.71;32.56,19.71;32.56,19.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.3,24.83;32.3,25.15;32.56,25.15;32.56,24.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.36,23.45;32.36,24.83;32.5,24.83;32.5,23.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.44,26.19;36.44,26.51;36.7,26.51;36.7,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (34.6,25.85;34.6,26.17;34.86,26.17;34.86,25.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.62,21.07;46.62,22.79;46.76,22.79;46.76,21.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.56,20.75;46.56,21.07;46.82,21.07;46.82,20.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,20.41;42.88,20.73;43.14,20.73;43.14,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.62,20.05;46.62,20.75;46.76,20.75;46.76,20.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,26.19;48.4,26.51;48.66,26.51;48.66,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.86,25.51;48.86,25.83;49.12,25.83;49.12,25.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,20.07;48.4,20.39;48.66,20.39;48.66,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.2,23.13;39.2,23.45;39.46,23.45;39.46,23.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,27.015;41.07,27.385;42.61,27.385;42.61,27.015) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,20.41;49.32,20.73;49.58,20.73;49.58,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.56,19.73;46.56,20.05;46.82,20.05;46.82,19.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.1,19.39;46.1,19.71;46.36,19.71;46.36,19.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,19.73;42.42,20.05;42.68,20.05;42.68,19.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.8,26.53;43.8,26.85;44.06,26.85;44.06,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,26.19;45.64,26.51;45.9,26.51;45.9,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.7,23.45;45.7,26.19;45.84,26.19;45.84,23.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (45.64,23.13;45.64,23.45;45.9,23.45;45.9,23.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.56,22.79;46.56,23.11;46.82,23.11;46.82,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,22.11;42.88,22.43;43.14,22.43;43.14,22.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.66,27.55;39.66,27.87;39.92,27.87;39.92,27.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,21.575;41.07,21.945;42.61,21.945;42.61,21.575) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,20.73;42.94,22.11;43.08,22.11;43.08,20.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.72,24.13;39.72,27.55;39.86,27.55;39.86,24.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.66,23.81;39.66,24.13;39.92,24.13;39.92,23.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.98,27.045;7.98,30.27;8.12,30.27;8.12,27.045) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.44,21.07;8.44,34.01;8.58,34.01;8.58,21.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.58,24.13;12.58,28.23;12.72,28.23;12.72,24.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.88,24.13;14.88,34.835;15.02,34.835;15.02,24.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.26,26.17;16.26,34.01;16.4,34.01;16.4,26.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.56,27.045;18.56,31.29;18.7,31.29;18.7,27.045) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.66,22.11;16.66,22.43;16.92,22.43;16.92,22.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.66,20.07;16.66,20.39;16.92,20.39;16.92,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.72,27.89;21.72,28.21;21.98,28.21;21.98,27.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,27.87;23.16,31.63;23.3,31.63;23.3,27.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.46,27.87;25.46,30.27;25.6,30.27;25.6,27.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.02,27.89;24.02,28.21;24.28,28.21;24.28,27.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,27.89;26.32,28.21;26.58,28.21;26.58,27.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.24,27.89;27.24,28.21;27.5,28.21;27.5,27.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,33.67;19.42,33.99;19.68,33.99;19.68,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.48,33.31;19.48,33.67;19.62,33.67;19.62,33.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,32.99;19.42,33.31;19.68,33.31;19.68,32.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.5,31.29;18.5,31.61;18.76,31.61;18.76,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.04,30.61;18.04,30.93;18.3,30.93;18.3,30.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.58,29.25;17.58,29.57;17.84,29.57;17.84,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.04,36.73;18.04,37.05;18.3,37.05;18.3,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.48,31.27;19.48,32.99;19.62,32.99;19.62,31.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.94,31.95;19.94,36.73;20.08,36.73;20.08,31.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,35.175;21.07,35.545;22.61,35.545;22.61,35.175) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,34.67;23.16,36.73;23.3,36.73;23.3,34.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,34.35;23.1,34.67;23.36,34.67;23.36,34.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.62,33.99;23.62,36.73;23.76,36.73;23.76,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.56,33.67;23.56,33.99;23.82,33.99;23.82,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.88,31.63;19.88,31.95;20.14,31.95;20.14,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,31.63;23.1,31.95;23.36,31.95;23.36,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.34,31.29;20.34,31.61;20.6,31.61;20.6,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,30.95;19.42,31.27;19.68,31.27;19.68,30.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.4,29.57;20.4,31.29;20.54,31.29;20.54,29.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,29.735;21.07,30.105;22.61,30.105;22.61,29.735) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.34,29.25;20.34,29.57;20.6,29.57;20.6,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,28.91;19.42,29.23;19.68,29.23;19.68,28.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.88,36.73;19.88,37.05;20.14,37.05;20.14,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,36.73;23.1,37.05;23.36,37.05;23.36,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.56,36.73;23.56,37.05;23.82,37.05;23.82,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.12,36.39;17.12,36.71;17.38,36.71;17.38,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.62,29.23;23.62,33.67;23.76,33.67;23.76,29.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.48,33.33;24.48,33.65;24.74,33.65;24.74,33.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.54,31.95;24.54,33.33;24.68,33.33;24.68,31.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.48,31.63;24.48,31.95;24.74,31.95;24.74,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25,30.59;25,37.07;25.14,37.07;25.14,30.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.94,37.07;24.94,37.39;25.2,37.39;25.2,37.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.3,28.21;27.3,31.63;27.44,31.63;27.44,28.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,36.73;25.4,37.05;25.66,37.05;25.66,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.46,33.99;25.46,36.73;25.6,36.73;25.6,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.78,36.39;26.78,36.71;27.04,36.71;27.04,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,33.67;25.4,33.99;25.66,33.99;25.66,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.84,31.61;26.84,36.39;26.98,36.39;26.98,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.24,31.63;27.24,31.95;27.5,31.95;27.5,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.78,31.29;26.78,31.61;27.04,31.61;27.04,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.94,30.27;24.94,30.59;25.2,30.59;25.2,30.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,30.27;25.4,30.59;25.66,30.59;25.66,30.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.02,29.25;24.02,29.57;24.28,29.57;24.28,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.56,28.91;23.56,29.23;23.82,29.23;23.82,28.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.08,28.21;24.08,29.25;24.22,29.25;24.22,28.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.58,31.29;17.58,31.61;17.84,31.61;17.84,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (17.64,29.57;17.64,31.29;17.78,31.29;17.78,29.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.1,30.93;18.1,36.73;18.24,36.73;18.24,30.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.98,31.125;7.98,32.99;8.12,32.99;8.12,31.125) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.2,34.01;16.2,34.33;16.46,34.33;16.46,34.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.91,30.755;7.91,31.125;8.19,31.125;8.19,30.755) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.92,30.27;7.92,30.59;8.18,30.59;8.18,30.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.3,36.73;9.3,37.05;9.56,37.05;9.56,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.74,36.73;15.74,37.05;16,37.05;16,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.82,33.99;9.82,34.43;9.96,34.43;9.96,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.22,34.35;10.22,34.67;10.48,34.67;10.48,34.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.12,33.99;12.12,36.39;12.26,36.39;12.26,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.76,33.67;9.76,33.99;10.02,33.99;10.02,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,33.9;12.06,33.99;12.32,33.99;12.32,33.9) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,33.76;12.06,33.9;12.72,33.9;12.72,33.76) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,33.67;12.06,33.76;12.32,33.76;12.32,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.82,31.61;9.82,33.67;9.96,33.67;9.96,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,32.99;12.06,33.31;12.32,33.31;12.32,32.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.12,31.95;12.12,32.99;12.26,32.99;12.26,31.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,31.63;12.06,31.95;12.32,31.95;12.32,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.76,31.29;9.76,31.61;10.02,31.61;10.02,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.12,29.57;12.12,31.63;12.26,31.63;12.26,29.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.58,29.57;12.58,33.76;12.72,33.76;12.72,29.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.04,31.61;13.04,37.41;13.18,37.41;13.18,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,36.39;13.9,36.71;14.16,36.71;14.16,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,35.01;13.96,36.39;14.1,36.39;14.1,35.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,34.69;13.9,35.01;14.16,35.01;14.16,34.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.81,34.835;14.81,35.205;15.09,35.205;15.09,34.835) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.44,34.35;13.44,34.67;13.7,34.67;13.7,34.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.5,32.29;13.5,34.35;13.64,34.35;13.64,32.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,33.73;13.96,34.69;14.1,34.69;14.1,33.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,33.59;13.96,33.73;14.56,33.73;14.56,33.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,32.99;13.9,33.31;14.16,33.31;14.16,32.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.44,31.97;13.44,32.29;13.7,32.29;13.7,31.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,31.61;13.96,32.99;14.1,32.99;14.1,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,31.29;12.98,31.61;13.24,31.61;13.24,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,31.29;13.9,31.61;14.16,31.61;14.16,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.42,31.27;14.42,33.59;14.56,33.59;14.56,31.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.36,30.95;14.36,31.27;14.62,31.27;14.62,30.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,30.27;12.98,30.59;13.24,30.59;13.24,30.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,29.25;12.06,29.57;12.32,29.57;12.32,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.52,29.25;12.52,29.57;12.78,29.57;12.78,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.04,28.89;13.04,30.27;13.18,30.27;13.18,28.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,28.91;13.9,29.23;14.16,29.23;14.16,28.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,28.57;12.98,28.89;13.24,28.89;13.24,28.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.52,28.23;12.52,28.55;12.78,28.55;12.78,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.44,28.29;13.44,28.55;13.7,28.55;13.7,28.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,28.29;13.96,28.91;14.1,28.91;14.1,28.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.44,28.23;13.44,28.29;14.1,28.29;14.1,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.22,36.73;10.22,37.05;10.48,37.05;10.48,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.5,28.15;13.5,28.23;14.1,28.23;14.1,28.15) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.36,34.57;9.36,36.73;9.5,36.73;9.5,34.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.28,34.67;10.28,36.73;10.42,36.73;10.42,34.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,36.39;12.06,36.71;12.32,36.71;12.32,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.36,34.43;9.36,34.57;9.96,34.57;9.96,34.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.38,34.01;8.38,34.33;8.64,34.33;8.64,34.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.92,32.99;7.92,33.31;8.18,33.31;8.18,32.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.82,23.81;14.82,24.13;15.08,24.13;15.08,23.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,22.965;12.98,23.11;13.24,23.11;13.24,22.965) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.97,22.85;12.97,22.965;13.25,22.965;13.25,22.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.97,22.71;12.97,22.85;13.64,22.85;13.64,22.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.97,22.595;12.97,22.71;13.25,22.71;13.25,22.595) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.52,21.09;12.52,21.41;12.78,21.41;12.78,21.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,20.41;11.14,20.73;11.4,20.73;11.4,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,20.41;12.06,20.73;12.32,20.73;12.32,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.38,20.75;8.38,21.07;8.64,21.07;8.64,20.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.9,18.885;8.9,23.13;9.04,23.13;9.04,18.885) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.68,22.79;10.68,23.11;10.94,23.11;10.94,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.3,22.11;9.3,22.43;9.56,22.43;9.56,22.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.36,20.73;9.36,22.11;9.5,22.11;9.5,20.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.3,20.41;9.3,20.73;9.56,20.73;9.56,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.12,20.73;12.12,24.83;12.26,24.83;12.26,20.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.91,26.675;7.91,27.045;8.19,27.045;8.19,26.675) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,24.83;12.06,25.15;12.32,25.15;12.32,24.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,27.55;13.9,27.87;14.16,27.87;14.16,27.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,26.51;13.96,27.55;14.1,27.55;14.1,26.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.2,25.85;16.2,26.17;16.46,26.17;16.46,25.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,26.19;13.9,26.51;14.16,26.51;14.16,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.84,23.13;8.84,23.45;9.1,23.45;9.1,23.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.52,23.81;12.52,24.13;12.78,24.13;12.78,23.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.5,26.53;18.5,26.675;18.76,26.675;18.76,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.56,26.375;18.56,26.53;18.7,26.53;18.7,26.375) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,22.79;25.4,23.11;25.66,23.11;25.66,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.86,25.49;20.86,25.85;21,25.85;21,25.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.72,20.39;16.72,22.11;16.86,22.11;16.86,20.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.8,25.17;20.8,25.49;21.06,25.49;21.06,25.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.08,25.49;24.08,27.89;24.22,27.89;24.22,25.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.54,21.41;24.54,22.79;24.68,22.79;24.68,21.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.78,26.17;21.78,27.89;21.92,27.89;21.92,26.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.94,22.11;24.94,22.43;25.2,22.43;25.2,22.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.48,21.09;24.48,21.41;24.74,21.41;24.74,21.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25,21.07;25,22.11;25.14,22.11;25.14,21.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.94,20.75;24.94,21.07;25.2,21.07;25.2,20.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.46,20.05;25.46,22.79;25.6,22.79;25.6,20.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.26,22.79;21.26,23.11;21.52,23.11;21.52,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.32,22.43;21.32,22.79;21.46,22.79;21.46,22.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,23.81;25.4,24.13;25.66,24.13;25.66,23.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.46,23.11;25.46,23.81;25.6,23.81;25.6,23.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.4,23.45;20.4,24.83;20.54,24.83;20.54,23.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.86,20.07;25.86,20.39;26.12,20.39;26.12,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.8,25.85;20.8,26.17;21.06,26.17;21.06,25.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.7,20.05;22.7,22.45;22.84,22.45;22.84,20.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.64,19.73;22.64,20.05;22.9,20.05;22.9,19.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,24.295;21.07,24.665;22.61,24.665;22.61,24.295) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,18.855;21.07,19.225;22.61,19.225;22.61,18.855) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.34,24.83;20.34,25.15;20.6,25.15;20.6,24.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.72,25.85;21.72,26.17;21.98,26.17;21.98,25.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,26.11;23.1,26.17;23.76,26.17;23.76,26.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,25.85;23.1,26.11;23.36,26.11;23.36,25.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.64,22.45;22.64,22.77;22.9,22.77;22.9,22.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,19.73;25.4,20.05;25.66,20.05;25.66,19.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.26,22.11;21.26,22.43;21.52,22.43;21.52,22.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,27.55;23.1,27.87;23.36,27.87;23.36,27.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.56,27.55;23.56,27.87;23.82,27.87;23.82,27.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,26.85;23.16,27.55;23.3,27.55;23.3,26.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,26.53;23.1,26.85;23.36,26.85;23.36,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.48,22.79;24.48,23.11;24.74,23.11;24.74,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.49,26.675;18.49,27.045;18.77,27.045;18.77,26.675) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,27.55;25.4,27.87;25.66,27.87;25.66,27.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.38,26.85;26.38,27.89;26.52,27.89;26.52,26.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,26.53;26.32,26.85;26.58,26.85;26.58,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.34,23.13;20.34,23.45;20.6,23.45;20.6,23.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.3,26.51;27.3,27.89;27.44,27.89;27.44,26.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.24,26.19;27.24,26.51;27.5,26.51;27.5,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.48,25.85;24.48,26.17;24.74,26.17;24.74,25.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.56,25.17;23.56,25.49;23.82,25.49;23.82,25.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.02,25.17;24.02,25.49;24.28,25.49;24.28,25.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.62,24.13;23.62,25.17;23.76,25.17;23.76,24.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.56,23.81;23.56,24.13;23.82,24.13;23.82,23.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.56,22.11;23.56,22.43;23.82,22.43;23.82,22.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.62,26.25;23.62,27.55;23.76,27.55;23.76,26.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,26.17;23.16,26.25;23.76,26.25;23.76,26.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.54,23.11;24.54,25.85;24.68,25.85;24.68,23.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.4,25.51;25.4,25.83;25.66,25.83;25.66,25.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.46,24.13;25.46,25.51;25.6,25.51;25.6,24.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,9.19;13.9,9.51;14.16,9.51;14.16,9.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.42,7.81;14.42,11.57;14.56,11.57;14.56,7.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.66,9.53;16.66,9.85;16.92,9.85;16.92,9.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.72,6.79;16.72,9.53;16.86,9.53;16.86,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.8,9.19;20.8,9.51;21.06,9.51;21.06,9.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.66,6.47;16.66,6.79;16.92,6.79;16.92,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,6.11;23.16,10.21;23.3,10.21;23.3,6.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.66,14.29;16.66,14.61;16.92,14.61;16.92,14.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.3,4.75;27.3,17.69;27.44,17.69;27.44,4.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.08,8.83;24.08,11.23;24.22,11.23;24.22,8.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25,9.17;25,11.57;25.14,11.57;25.14,9.17) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.92,6.79;25.92,9.87;26.06,9.87;26.06,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.78,9.19;26.78,9.51;27.04,9.51;27.04,9.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.34,15.65;20.34,15.97;20.6,15.97;20.6,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.8,14.69;20.8,14.95;21.06,14.95;21.06,14.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.4,14.63;20.4,14.69;21.06,14.69;21.06,14.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.04,9.87;18.04,10.19;18.3,10.19;18.3,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.4,14.55;20.4,14.63;21,14.63;21,14.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.86,9.51;20.86,11.91;21,11.91;21,9.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.72,9.85;16.72,14.29;16.86,14.29;16.86,9.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.4,12.65;20.4,14.55;20.54,14.55;20.54,12.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,13.415;21.07,13.785;22.61,13.785;22.61,13.415) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.16,12.91;23.16,17.35;23.3,17.35;23.3,12.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.4,12.51;20.4,12.65;21,12.65;21,12.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,12.59;23.1,12.91;23.36,12.91;23.36,12.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.86,12.23;20.86,12.51;21,12.51;21,12.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (20.8,11.91;20.8,12.23;21.06,12.23;21.06,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.04,11.23;18.04,11.55;18.3,11.55;18.3,11.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (18.1,10.19;18.1,11.23;18.24,11.23;18.24,10.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.86,17.69;25.86,18.01;26.12,18.01;26.12,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.24,17.69;27.24,18.01;27.5,18.01;27.5,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.02,15.65;24.02,15.97;24.28,15.97;24.28,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.94,14.97;24.94,15.29;25.2,15.29;25.2,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.78,14.97;26.78,15.29;27.04,15.29;27.04,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25,11.89;25,14.97;25.14,14.97;25.14,11.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.84,13.25;26.84,14.97;26.98,14.97;26.98,13.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.78,12.93;26.78,13.25;27.04,13.25;27.04,12.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,11.91;26.32,12.23;26.58,12.23;26.58,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.94,11.57;24.94,11.89;25.2,11.89;25.2,11.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.02,11.23;24.02,11.55;24.28,11.55;24.28,11.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,10.21;23.1,10.53;23.36,10.53;23.36,10.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (19.42,17.69;19.42,18.01;19.68,18.01;19.68,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.38,10.53;26.38,11.91;26.52,11.91;26.52,10.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.32,10.21;26.32,10.53;26.58,10.53;26.58,10.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.86,9.87;25.86,10.19;26.12,10.19;26.12,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,18.03;23.1,18.35;23.36,18.35;23.36,18.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,17.35;23.1,17.67;23.36,17.67;23.36,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,9.87;12.98,10.19;13.24,10.19;13.24,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.2,17.35;16.2,17.67;16.46,17.67;16.46,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,9.51;13.96,11.91;14.1,11.91;14.1,9.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.36,11.57;14.36,11.89;14.62,11.89;14.62,11.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,17.01;13.9,17.33;14.16,17.33;14.16,17.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.44,15.65;13.44,15.97;13.7,15.97;13.7,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.9,17.67;8.9,18.515;9.04,18.515;9.04,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,14.805;13.96,17.01;14.1,17.01;14.1,14.805) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (9.3,17.69;9.3,18.01;9.56,18.01;9.56,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.84,17.35;8.84,17.67;9.1,17.67;9.1,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.84,16.67;8.84,16.99;9.1,16.99;9.1,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.9,15.29;8.9,16.67;9.04,16.67;9.04,15.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.36,16.67;14.36,16.99;14.62,16.99;14.62,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.89,14.435;13.89,14.805;14.17,14.805;14.17,14.435) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.2,12.59;16.2,12.91;16.46,12.91;16.46,12.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.74,11.91;15.74,12.23;16,12.23;16,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.27,10.355;15.27,10.725;15.55,10.725;15.55,10.355) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.8,10.53;15.8,11.91;15.94,11.91;15.94,10.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.74,10.21;15.74,10.53;16,10.53;16,10.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.26,10.19;16.26,12.59;16.4,12.59;16.4,10.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.2,9.87;16.2,10.19;16.46,10.19;16.46,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,12.23;13.96,14.435;14.1,14.435;14.1,12.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.42,12.57;14.42,16.67;14.56,16.67;14.56,12.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.36,12.25;14.36,12.57;14.62,12.57;14.62,12.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,11.91;13.9,12.23;14.16,12.23;14.16,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.22,9.87;10.22,10.19;10.48,10.19;10.48,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,17.69;11.14,18.01;11.4,18.01;11.4,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.2,15.97;11.2,17.69;11.34,17.69;11.34,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (11.14,15.65;11.14,15.97;11.4,15.97;11.4,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (8.84,14.97;8.84,15.29;9.1,15.29;9.1,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.68,14.97;10.68,15.29;10.94,15.29;10.94,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (16.26,12.91;16.26,17.35;16.4,17.35;16.4,12.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (15.74,18.37;15.74,18.69;16,18.69;16,18.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.98,17.01;12.98,17.33;13.24,17.33;13.24,17.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.52,16.67;12.52,16.99;12.78,16.99;12.78,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.06,14.29;12.06,14.61;12.32,14.61;12.32,14.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.58,13.25;12.58,16.67;12.72,16.67;12.72,13.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (12.52,12.93;12.52,13.25;12.78,13.25;12.78,12.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.22,11.91;10.22,12.23;10.48,12.23;10.48,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (10.28,10.19;10.28,11.91;10.42,11.91;10.42,10.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.04,10.19;13.04,17.01;13.18,17.01;13.18,10.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.96,5.09;13.96,9.19;14.1,9.19;14.1,5.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.46,6.81;7.46,7.13;7.72,7.13;7.72,6.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.52,6.645;7.52,6.81;7.66,6.81;7.66,6.645) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (7.45,6.275;7.45,6.645;7.73,6.645;7.73,6.275) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (14.36,7.49;14.36,7.81;14.62,7.81;14.62,7.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (13.9,4.77;13.9,5.09;14.16,5.09;14.16,4.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25.86,6.47;25.86,6.79;26.12,6.79;26.12,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.78,6.47;26.78,6.79;27.04,6.79;27.04,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.64,6.13;22.64,6.45;22.9,6.45;22.9,6.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (26.84,6.79;26.84,9.19;26.98,9.19;26.98,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.7,4.75;22.7,6.13;22.84,6.13;22.84,4.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.94,8.85;24.94,9.17;25.2,9.17;25.2,8.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.02,8.51;24.02,8.83;24.28,8.83;24.28,8.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.54,4.35;24.54,4.49;25.14,4.49;25.14,4.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (27.24,4.43;27.24,4.75;27.5,4.75;27.5,4.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.54,3.81;24.54,4.35;24.68,4.35;24.68,3.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (25,4;25,4.35;25.14,4.35;25.14,4) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.08,7.13;24.08,8.51;24.22,8.51;24.22,7.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.02,6.81;24.02,7.13;24.28,7.13;24.28,6.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (21.07,7.975;21.07,8.345;22.61,8.345;22.61,7.975) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.1,5.79;23.1,6.11;23.36,6.11;23.36,5.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (22.64,4.43;22.64,4.75;22.9,4.75;22.9,4.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (23.62,3.67;23.62,3.81;24.68,3.81;24.68,3.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (24.93,0;24.93,4;25.21,4;25.21,0) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.74,11.57;38.74,11.89;39,11.89;39,11.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.42,6.45;37.42,9.62;37.56,9.62;37.56,6.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.8,9.51;38.8,11.57;38.94,11.57;38.94,9.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.74,9.19;38.74,9.51;39,9.51;39,9.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.8,7.13;38.8,9.19;38.94,9.19;38.94,7.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.74,6.81;38.74,7.13;39,7.13;39,6.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,6.79;42.94,10.21;43.08,10.21;43.08,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.02,9.19;47.02,9.51;47.28,9.51;47.28,9.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,6.79;29.6,9.53;29.74,9.53;29.74,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30.06,7.81;30.06,11.57;30.2,11.57;30.2,7.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.74,15.65;38.74,15.97;39,15.97;39,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.54,15.63;47.54,16.67;47.68,16.67;47.68,15.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,15.31;47.48,15.63;47.74,15.63;47.74,15.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,11.91;43.34,12.23;43.6,12.23;43.6,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.1,11.91;46.1,12.23;46.36,12.23;46.36,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.02,18.03;47.02,18.35;47.28,18.35;47.28,18.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.08,17.67;47.08,18.03;47.22,18.03;47.22,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.02,17.35;47.02,17.67;47.28,17.67;47.28,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,11.23;42.88,11.55;43.14,11.55;43.14,11.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.1,11.23;46.1,11.55;46.36,11.55;46.36,11.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,10.695;41.07,11.065;42.61,11.065;42.61,10.695) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.94,10.53;42.94,11.23;43.08,11.23;43.08,10.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,10.21;42.88,10.53;43.14,10.53;43.14,10.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.48,16.67;47.48,16.99;47.74,16.99;47.74,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.1,15.65;46.1,15.97;46.36,15.97;46.36,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.8,15.31;43.8,15.63;44.06,15.63;44.06,15.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.8,14.01;43.8,14.27;44.06,14.27;44.06,14.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.16,10.19;46.16,11.23;46.3,11.23;46.3,10.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.1,9.87;46.1,10.19;46.36,10.19;46.36,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,13.95;43.4,14.01;44.06,14.01;44.06,13.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,13.87;43.4,13.95;44,13.95;44,13.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,12.23;43.4,13.87;43.54,13.87;43.54,12.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (49.32,17.35;49.32,17.67;49.58,17.67;49.58,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,17.69;42.88,18.01;43.14,18.01;43.14,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.42,17.35;42.42,17.67;42.68,17.67;42.68,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.34,17.35;43.34,17.67;43.6,17.67;43.6,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.12,17.01;40.12,17.33;40.38,17.33;40.38,17.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.18,15.97;40.18,17.01;40.32,17.01;40.32,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,16.135;41.07,16.505;42.61,16.505;42.61,16.135) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.16,12.23;46.16,15.65;46.3,15.65;46.3,12.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (40.12,15.65;40.12,15.97;40.38,15.97;40.38,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.86,14.97;48.86,15.29;49.12,15.29;49.12,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.4,14.01;43.4,17.35;43.54,17.35;43.54,14.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.8,16.67;43.8,16.99;44.06,16.99;44.06,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (43.86,15.63;43.86,16.67;44,16.67;44,15.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (39.2,17.35;39.2,17.67;39.46,17.67;39.46,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.68,12.57;28.68,14.29;28.82,14.29;28.82,12.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.88,9.85;37.88,11.91;38.02,11.91;38.02,9.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,11.57;30,11.89;30.26,11.89;30.26,11.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.36,10.53;32.36,11.57;32.5,11.57;32.5,10.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.28,10.53;33.28,17.35;33.42,17.35;33.42,10.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.68,9.53;33.68,9.85;33.94,9.85;33.94,9.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (31.84,18.37;31.84,18.69;32.1,18.69;32.1,18.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.3,18.37;32.3,18.69;32.56,18.69;32.56,18.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,9.76;37.82,9.85;38.08,9.85;38.08,9.76) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.42,9.62;37.42,9.76;38.08,9.76;38.08,9.62) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,11.91;37.82,12.23;38.08,12.23;38.08,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,9.53;37.82,9.62;38.08,9.62;38.08,9.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.3,11.57;32.3,11.89;32.56,11.89;32.56,11.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,9.53;29.54,9.85;29.8,9.85;29.8,9.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.9,9.87;36.9,10.19;37.16,10.19;37.16,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.16,14.63;28.16,14.95;28.42,14.95;28.42,14.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.28,14.97;38.28,15.29;38.54,15.29;38.54,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,16.67;29.54,16.99;29.8,16.99;29.8,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.08,15.65;29.08,15.97;29.34,15.97;29.34,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,15.29;29.6,16.67;29.74,16.67;29.74,15.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,14.97;29.54,15.29;29.8,15.29;29.8,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.22,17.35;33.22,17.67;33.48,17.67;33.48,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.36,17.69;37.36,18.01;37.62,18.01;37.62,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.52,17.35;35.52,17.67;35.78,17.67;35.78,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.28,17.35;38.28,17.67;38.54,17.67;38.54,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.68,14.97;33.68,15.29;33.94,15.29;33.94,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.3,10.21;32.3,10.53;32.56,10.53;32.56,10.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.22,10.21;33.22,10.53;33.48,10.53;33.48,10.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (33.74,9.85;33.74,14.97;33.88,14.97;33.88,9.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.58,14.95;35.58,17.35;35.72,17.35;35.72,14.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.9,15.65;36.9,15.97;37.16,15.97;37.16,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (35.52,14.63;35.52,14.95;35.78,14.95;35.78,14.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (36.96,10.19;36.96,15.65;37.1,15.65;37.1,10.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (38.34,15.29;38.34,17.35;38.48,17.35;38.48,15.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.62,12.25;28.62,12.57;28.88,12.57;28.88,12.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,12.51;29.6,12.65;30.2,12.65;30.2,12.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (28.62,14.29;28.62,14.61;28.88,14.61;28.88,14.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.6,9.85;29.6,12.51;29.74,12.51;29.74,9.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.36,6.13;37.36,6.45;37.62,6.45;37.62,6.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,6.13;37.82,6.45;38.08,6.45;38.08,6.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.3,5.79;32.3,6.11;32.56,6.11;32.56,5.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.36,5.09;32.36,5.79;32.5,5.79;32.5,5.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (32.3,4.77;32.3,5.09;32.56,5.09;32.56,4.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.88,4.75;37.88,6.13;38.02,6.13;38.02,4.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (29.54,6.47;29.54,6.79;29.8,6.79;29.8,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (30,7.49;30,7.81;30.26,7.81;30.26,7.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (37.82,4.43;37.82,4.75;38.08,4.75;38.08,4.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (42.88,6.47;42.88,6.79;43.14,6.79;43.14,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.1,6.47;46.1,6.79;46.36,6.79;46.36,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (41.07,5.255;41.07,5.625;42.61,5.625;42.61,5.255) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (48.4,6.47;48.4,6.79;48.66,6.79;48.66,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.16,6.79;46.16,8.51;46.3,8.51;46.3,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.08,7.13;47.08,9.19;47.22,9.19;47.22,7.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (47.02,6.81;47.02,7.13;47.28,7.13;47.28,6.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (46.1,8.51;46.1,8.83;46.36,8.83;46.36,8.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.02,17.67;65.02,20.07;65.16,20.07;65.16,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,15.29;69.16,20.41;69.3,20.41;69.3,15.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.62,12.23;69.62,22.79;69.76,22.79;69.76,12.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.86,20.07;71.86,20.39;72.12,20.39;72.12,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71,17.67;71,19.39;71.14,19.39;71.14,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.92,17.67;71.92,20.07;72.06,20.07;72.06,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.84,17.67;72.84,20.07;72.98,20.07;72.98,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.86,17.35;71.86,17.67;72.12,17.67;72.12,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.14,17.67;52.14,19.39;52.28,19.39;52.28,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.06,16.99;53.06,20.41;53.2,20.41;53.2,16.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.2,13.87;80.2,22.11;80.34,22.11;80.34,13.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.66,15.97;80.66,19.73;80.8,19.73;80.8,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.96,9.51;82.96,20.07;83.1,20.07;83.1,9.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.42,6.11;83.42,20.75;83.56,20.75;83.56,6.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.88,9.85;83.88,19.39;84.02,19.39;84.02,9.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.34,18.01;84.34,23.13;84.48,23.13;84.48,18.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.8,17.33;84.8,22.45;84.94,22.45;84.94,17.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.26,15.485;85.26,21.09;85.4,21.09;85.4,15.485) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.98,18.69;53.98,20.07;54.12,20.07;54.12,18.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.86,12.57;89.86,20.75;90,20.75;90,12.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.32,18.01;90.32,22.79;90.46,22.79;90.46,18.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.24,18.69;91.24,20.41;91.38,20.41;91.38,18.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.7,17.67;91.7,20.07;91.84,20.07;91.84,17.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (92.09,18.515;92.09,18.885;92.37,18.885;92.37,18.515) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.52,22.77;76.52,30.27;76.66,30.27;76.66,22.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.76,25.83;73.76,28.23;73.9,28.23;73.9,25.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.22,26.85;74.22,31.63;74.36,31.63;74.36,26.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.66,24.13;80.66,33.08;80.8,33.08;80.8,24.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.9,31.97;82.9,32.29;83.16,32.29;83.16,31.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.9,29.25;82.9,29.57;83.16,29.57;83.16,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.96,26.85;82.96,29.25;83.1,29.25;83.1,26.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.9,26.53;82.9,26.85;83.16,26.85;83.16,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.9,20.07;82.9,20.39;83.16,20.39;83.16,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.72,23.11;85.72,31.63;85.86,31.63;85.86,23.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.64,26.85;86.64,34.01;86.78,34.01;86.78,26.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.56,19.71;87.56,28.91;87.7,28.91;87.7,19.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.96,27.89;87.96,28.21;88.22,28.21;88.22,27.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.2,31.97;85.2,32.29;85.46,32.29;85.46,31.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.66,31.63;85.66,31.95;85.92,31.95;85.92,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,37.07;86.12,37.39;86.38,37.39;86.38,37.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.5,34.35;87.5,34.67;87.76,34.67;87.76,34.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.56,30.93;87.56,34.35;87.7,34.35;87.7,30.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.34,37.07;89.34,37.39;89.6,37.39;89.6,37.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,33.33;90.26,33.65;90.52,33.65;90.52,33.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.72,33.33;90.72,33.65;90.98,33.65;90.98,33.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.32,31.61;90.32,33.33;90.46,33.33;90.46,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,31.29;90.26,31.61;90.52,31.61;90.52,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.5,30.61;87.5,30.93;87.76,30.93;87.76,30.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.87,30.755;88.87,31.125;89.15,31.125;89.15,30.755) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.56,29.23;87.56,30.61;87.7,30.61;87.7,29.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.94,29.23;88.94,30.755;89.08,30.755;89.08,29.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.5,28.91;87.5,29.23;87.76,29.23;87.76,28.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.88,28.91;88.88,29.23;89.14,29.23;89.14,28.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.58,34.01;86.58,34.33;86.84,34.33;86.84,34.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.32,28.55;90.32,31.29;90.46,31.29;90.46,28.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.78,29.57;90.78,33.33;90.92,33.33;90.92,29.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.72,29.25;90.72,29.57;90.98,29.57;90.98,29.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,28.23;90.26,28.55;90.52,28.55;90.52,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,36.73;83.36,37.05;83.62,37.05;83.62,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.44,33.99;77.44,36.39;77.58,36.39;77.58,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,33.67;76.46,33.99;76.72,33.99;76.72,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,33.67;77.38,33.99;77.64,33.99;77.64,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.08,31.29;75.08,31.61;75.34,31.61;75.34,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.08,36.05;75.08,36.37;75.34,36.37;75.34,36.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,31.63;74.16,31.95;74.42,31.95;74.42,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.52,31.27;76.52,33.67;76.66,33.67;76.66,31.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,30.95;76.46,31.27;76.72,31.27;76.72,30.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.06,36.73;81.06,37.05;81.32,37.05;81.32,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (75.14,31.61;75.14,36.05;75.28,36.05;75.28,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.7,28.23;73.7,28.55;73.96,28.55;73.96,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,30.27;76.46,30.59;76.72,30.59;76.72,30.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.6,33.67;80.6,33.99;80.86,33.99;80.86,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.12,33.22;81.12,36.73;81.26,36.73;81.26,33.22) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.66,33.08;80.66,33.22;81.26,33.22;81.26,33.08) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.06,34.33;76.06,36.73;76.2,36.73;76.2,34.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,32.455;81.07,32.825;82.61,32.825;82.61,32.455) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76,34.01;76,34.33;76.26,34.33;76.26,34.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.3,28.57;78.3,28.89;78.56,28.89;78.56,28.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.68,32.99;79.68,33.31;79.94,33.31;79.94,32.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.22,31.63;79.22,31.95;79.48,31.95;79.48,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.96,29.57;82.96,31.97;83.1,31.97;83.1,29.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.28,28.89;79.28,31.63;79.42,31.63;79.42,28.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.74,31.61;79.74,32.99;79.88,32.99;79.88,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.68,31.29;79.68,31.61;79.94,31.61;79.94,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.22,28.57;79.22,28.89;79.48,28.89;79.48,28.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.52,33.99;76.52,37.41;76.66,37.41;76.66,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76,36.73;76,37.05;76.26,37.05;76.26,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,36.39;77.38,36.71;77.64,36.71;77.64,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,20.07;72.78,20.39;73.04,20.39;73.04,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.14,27.55;80.14,27.87;80.4,27.87;80.4,27.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.76,26.53;78.76,26.85;79.02,26.85;79.02,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,27.015;81.07,27.385;82.61,27.385;82.61,27.015) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.38,23.79;72.38,26.19;72.52,26.19;72.52,23.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (76.46,22.45;76.46,22.77;76.72,22.77;76.72,22.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.6,23.81;80.6,24.13;80.86,24.13;80.86,23.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.14,22.11;80.14,22.43;80.4,22.43;80.4,22.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,22.45;77.38,22.77;77.64,22.77;77.64,22.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,21.575;81.07,21.945;82.61,21.945;82.61,21.575) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.44,21.41;77.44,22.45;77.58,22.45;77.58,21.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.6,19.73;80.6,20.05;80.86,20.05;80.86,19.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.38,21.09;77.38,21.41;77.64,21.41;77.64,21.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.32,26.19;72.32,26.51;72.58,26.51;72.58,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,26.53;74.16,26.85;74.42,26.85;74.42,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.7,25.51;73.7,25.83;73.96,25.83;73.96,25.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.32,23.47;72.32,23.79;72.58,23.79;72.58,23.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.76,23.45;73.76,25.51;73.9,25.51;73.9,23.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (73.7,23.13;73.7,23.45;73.96,23.45;73.96,23.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.28,23.13;84.28,23.45;84.54,23.45;84.54,23.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.26,21.41;85.26,22.45;85.4,22.45;85.4,21.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.2,21.09;85.2,21.41;85.46,21.41;85.46,21.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.82,19.39;83.82,19.71;84.08,19.71;84.08,19.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.72,21.07;85.72,22.79;85.86,22.79;85.86,21.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.18,21.41;86.18,22.79;86.32,22.79;86.32,21.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,21.09;86.12,21.41;86.38,21.41;86.38,21.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.66,20.75;85.66,21.07;85.92,21.07;85.92,20.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.72,20.05;85.72,20.75;85.86,20.75;85.86,20.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.66,19.73;85.66,20.05;85.92,20.05;85.92,19.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,20.75;83.36,21.07;83.62,21.07;83.62,20.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.58,26.53;86.58,26.85;86.84,26.85;86.84,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,26.19;86.12,26.51;86.38,26.51;86.38,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.02,26.51;88.02,27.89;88.16,27.89;88.16,26.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.96,26.19;87.96,26.51;88.22,26.51;88.22,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.42,25.51;88.42,25.83;88.68,25.83;88.68,25.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.48,20.73;88.48,25.51;88.62,25.51;88.62,20.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,22.79;90.26,23.11;90.52,23.11;90.52,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.8,20.75;89.8,21.07;90.06,21.07;90.06,20.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.42,20.41;88.42,20.73;88.68,20.73;88.68,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.5,19.39;87.5,19.71;87.76,19.71;87.76,19.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.18,23.11;86.18,26.19;86.32,26.19;86.32,23.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.66,22.79;85.66,23.11;85.92,23.11;85.92,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.18,20.41;91.18,20.73;91.44,20.73;91.44,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,22.79;86.12,23.11;86.38,23.11;86.38,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.64,20.07;91.64,20.39;91.9,20.39;91.9,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.74,22.45;84.74,22.77;85,22.77;85,22.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.2,22.45;85.2,22.77;85.46,22.77;85.46,22.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,27.89;68.64,28.21;68.9,28.21;68.9,27.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.62,23.11;69.62,28.23;69.76,28.23;69.76,23.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.08,26.51;70.08,30.27;70.22,30.27;70.22,26.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.64,22.77;63.64,32.99;63.78,32.99;63.78,22.77) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.14,26.85;52.14,36.73;52.28,36.73;52.28,26.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.1,26.85;64.1,30.95;64.24,30.95;64.24,26.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.78,24.13;67.78,33.67;67.92,33.67;67.92,24.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71,20.64;71,28.23;71.14,28.23;71.14,20.64) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.82,32.99;60.82,33.31;61.08,33.31;61.08,32.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.88,32.29;60.88,32.99;61.02,32.99;61.02,32.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (60.82,31.97;60.82,32.29;61.08,32.29;61.08,31.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,34.01;70.48,34.33;70.74,34.33;70.74,34.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.54,31.27;70.54,34.01;70.68,34.01;70.68,31.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.62,30.87;69.62,31.01;70.22,31.01;70.22,30.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,36.73;69.1,37.05;69.36,37.05;69.36,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.72,36.05;67.72,36.37;67.98,36.37;67.98,36.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,35.71;68.64,36.03;68.9,36.03;68.9,35.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.7,33.99;68.7,35.71;68.84,35.71;68.84,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.72,33.9;67.72,33.99;67.98,33.99;67.98,33.9) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.32,33.76;67.32,33.9;67.98,33.9;67.98,33.76) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.72,33.67;67.72,33.76;67.98,33.76;67.98,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,33.67;68.64,33.99;68.9,33.99;68.9,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,30.95;64.04,31.27;64.3,31.27;64.3,30.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.34,30.95;66.34,31.27;66.6,31.27;66.6,30.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,30.95;70.48,31.27;70.74,31.27;70.74,30.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.62,28.55;69.62,30.87;69.76,30.87;69.76,28.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.28,37.07;61.28,37.39;61.54,37.39;61.54,37.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,36.39;63.58,36.71;63.84,36.71;63.84,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.26,36.39;67.26,36.71;67.52,36.71;67.52,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.34,34.35;66.34,34.67;66.6,34.67;66.6,34.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,32.99;65.42,33.31;65.68,33.31;65.68,32.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.4,31.27;66.4,34.35;66.54,34.35;66.54,31.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.32,33.9;67.32,36.39;67.46,36.39;67.46,33.9) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,35.175;61.07,35.545;62.61,35.545;62.61,35.175) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.94,28.23;70.94,28.55;71.2,28.55;71.2,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.02,30.27;70.02,30.59;70.28,30.59;70.28,30.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.28,33.67;61.28,33.99;61.54,33.99;61.54,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.56,28.23;69.56,28.55;69.82,28.55;69.82,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,35.71;70.48,36.03;70.74,36.03;70.74,35.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.54,34.33;70.54,35.71;70.68,35.71;70.68,34.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.34,31.95;61.34,33.67;61.48,33.67;61.48,31.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,32.99;63.58,33.31;63.84,33.31;63.84,32.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.28,31.63;61.28,31.95;61.54,31.95;61.54,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,29.735;61.07,30.105;62.61,30.105;62.61,29.735) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.88,37.07;65.88,37.39;66.14,37.39;66.14,37.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.42,35.71;65.42,36.03;65.68,36.03;65.68,35.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (65.48,33.31;65.48,35.71;65.62,35.71;65.62,33.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,36.73;70.48,37.05;70.74,37.05;70.74,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.54,36.03;70.54,36.73;70.68,36.73;70.68,36.03) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.53,36.875;52.53,37.245;52.81,37.245;52.81,36.875) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,36.73;52.54,36.875;52.8,36.875;52.8,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,36.73;55.3,37.05;55.56,37.05;55.56,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.38,33.67;54.38,33.99;54.64,33.99;54.64,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,33.67;55.3,33.99;55.56,33.99;55.56,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,33.67;59.44,33.99;59.7,33.99;59.7,33.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,36.05;52.54,36.37;52.8,36.37;52.8,36.05) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,31.95;55.36,33.67;55.5,33.67;55.5,31.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.06,36.31;53.06,36.45;53.66,36.45;53.66,36.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.38,36.39;54.38,36.71;54.64,36.71;54.64,36.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,31.61;52.6,36.05;52.74,36.05;52.74,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.46,34.01;53.46,34.33;53.72,34.33;53.72,34.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,36.73;59.9,37.05;60.16,37.05;60.16,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,31.63;55.3,31.95;55.56,31.95;55.56,31.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,31.29;52.54,31.61;52.8,31.61;52.8,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.46,31.29;53.46,31.61;53.72,31.61;53.72,31.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.6,30.27;57.6,30.59;57.86,30.59;57.86,30.27) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53,28.57;53,28.89;53.26,28.89;53.26,28.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.66,28.55;57.66,30.27;57.8,30.27;57.8,28.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.52,31.61;53.52,34.01;53.66,34.01;53.66,31.61) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.6,28.23;57.6,28.55;57.86,28.55;57.86,28.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.44,33.99;54.44,36.39;54.58,36.39;54.58,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,33.99;55.36,36.73;55.5,36.73;55.5,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.5,33.99;59.5,37.41;59.64,37.41;59.64,33.99) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.06,28.89;53.06,36.31;53.2,36.31;53.2,28.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.46,35.71;53.46,36.03;53.72,36.03;53.72,35.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,36.73;52.08,37.05;52.34,37.05;52.34,36.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.52,34.33;53.52,35.71;53.66,35.71;53.66,34.33) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.38,20.07;54.38,20.39;54.64,20.39;54.64,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,22.45;59.9,22.77;60.16,22.77;60.16,22.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.14,19.71;52.14,20.41;52.28,20.41;52.28,19.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,19.39;52.08,19.71;52.34,19.71;52.34,19.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,21.09;59.9,21.41;60.16,21.41;60.16,21.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.38,22.45;54.38,22.77;54.64,22.77;54.64,22.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (56.68,27.55;56.68,27.87;56.94,27.87;56.94,27.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,26.53;52.08,26.85;52.34,26.85;52.34,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (56.74,26.51;56.74,27.55;56.88,27.55;56.88,26.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,20.41;52.08,20.73;52.34,20.73;52.34,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (54.44,20.39;54.44,22.45;54.58,22.45;54.58,20.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.7,23.47;50.7,23.79;50.96,23.79;50.96,23.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.96,21.41;59.96,22.45;60.1,22.45;60.1,21.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.7,26.19;50.7,26.51;50.96,26.51;50.96,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (56.68,26.19;56.68,26.51;56.94,26.51;56.94,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53,20.41;53,20.73;53.26,20.73;53.26,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (50.76,23.79;50.76,26.19;50.9,26.19;50.9,23.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.96,23.45;59.96,25.51;60.1,25.51;60.1,23.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,23.13;59.9,23.45;60.16,23.45;60.16,23.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,25.51;59.9,25.83;60.16,25.83;60.16,25.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.92,20.07;53.92,20.39;54.18,20.39;54.18,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.04,26.53;64.04,26.85;64.3,26.85;64.3,26.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.94,19.39;70.94,19.71;71.2,19.71;71.2,19.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,20.64;70.48,20.73;70.74,20.73;70.74,20.64) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,22.79;68.64,23.11;68.9,23.11;68.9,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.58,22.45;63.58,22.77;63.84,22.77;63.84,22.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,24.295;61.07,24.665;62.61,24.665;62.61,24.295) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,20.5;70.48,20.64;71.14,20.64;71.14,20.5) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.02,26.19;70.02,26.51;70.28,26.51;70.28,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.56,22.79;69.56,23.11;69.82,23.11;69.82,22.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,20.41;62.2,20.73;62.46,20.73;62.46,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,20.41;69.1,20.73;69.36,20.73;69.36,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.18,21.41;63.18,26.19;63.32,26.19;63.32,21.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (67.72,23.81;67.72,24.13;67.98,24.13;67.98,23.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,21.09;63.12,21.41;63.38,21.41;63.38,21.09) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.26,19.71;62.26,20.41;62.4,20.41;62.4,19.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.96,20.07;64.96,20.39;65.22,20.39;65.22,20.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (62.2,19.39;62.2,19.71;62.46,19.71;62.46,19.39) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,18.855;61.07,19.225;62.61,19.225;62.61,18.855) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.48,20.41;70.48,20.5;70.74,20.5;70.74,20.41) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,26.19;63.12,26.51;63.38,26.51;63.38,26.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.7,21.07;68.7,22.79;68.84,22.79;68.84,21.07) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71,19.71;71,20.5;71.14,20.5;71.14,19.71) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.64,20.75;68.64,21.07;68.9,21.07;68.9,20.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.16,20.73;69.16,23.81;69.3,23.81;69.3,20.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (68.7,23.11;68.7,27.89;68.84,27.89;68.84,23.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,23.81;69.1,24.13;69.36,24.13;69.36,23.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.76,6.81;55.76,7.13;56.02,7.13;56.02,6.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.5,6.79;59.5,13.95;59.64,13.95;59.64,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.08,17.35;52.08,17.67;52.34,17.67;52.34,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,13.415;61.07,13.785;62.61,13.785;62.61,13.415) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,11.57;59.9,11.89;60.16,11.89;60.16,11.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.96,7.81;59.96,11.57;60.1,11.57;60.1,7.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.18,10.19;63.18,14.29;63.32,14.29;63.32,10.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.86,12.23;66.86,14.29;67,14.29;67,12.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,8.83;52.6,12.93;52.74,12.93;52.74,8.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.06,12.57;53.06,16.67;53.2,16.67;53.2,12.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.52,15.485;53.52,17.35;53.66,17.35;53.66,15.485) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.66,15.63;57.66,17.69;57.8,17.69;57.8,15.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.22,11.55;51.22,14.63;51.36,14.63;51.36,11.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (64.96,17.35;64.96,17.67;65.22,17.67;65.22,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.45,15.115;53.45,15.485;53.73,15.485;53.73,15.115) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.6,15.31;57.6,15.63;57.86,15.63;57.86,15.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53,12.25;53,12.57;53.26,12.57;53.26,12.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.52,9.85;53.52,15.115;53.66,15.115;53.66,9.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.76,14.63;55.76,14.95;56.02,14.95;56.02,14.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,14.29;55.3,14.61;55.56,14.61;55.56,14.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,12.23;55.36,14.29;55.5,14.29;55.5,12.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.82,12.91;55.82,14.63;55.96,14.63;55.96,12.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.66,13.87;57.66,15.31;57.8,15.31;57.8,13.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.05,15.115;58.05,15.485;58.33,15.485;58.33,15.115) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,13.25;52.6,14.97;52.74,14.97;52.74,13.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.06,14.97;58.06,15.115;58.32,15.115;58.32,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.98,14.97;58.98,15.29;59.24,15.29;59.24,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.1,14.97;69.1,15.29;69.36,15.29;69.36,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.66,13.73;57.66,13.87;58.26,13.87;58.26,13.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.76,12.59;55.76,12.91;56.02,12.91;56.02,12.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,11.91;55.3,12.23;55.56,12.23;55.56,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.82,11.89;55.82,12.59;55.96,12.59;55.96,11.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.12,12.57;58.12,13.73;58.26,13.73;58.26,12.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.04,13.25;59.04,14.97;59.18,14.97;59.18,13.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,14.29;63.12,14.61;63.38,14.61;63.38,14.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.8,14.29;66.8,14.61;67.06,14.61;67.06,14.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.92,18.37;53.92,18.69;54.18,18.69;54.18,18.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (57.6,17.69;57.6,18.01;57.86,18.01;57.86,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.46,17.35;53.46,17.67;53.72,17.67;53.72,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,13.95;59.44,14.27;59.7,14.27;59.7,13.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53,16.67;53,16.99;53.26,16.99;53.26,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.98,12.93;58.98,13.25;59.24,13.25;59.24,12.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.06,12.25;58.06,12.57;58.32,12.57;58.32,12.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.76,11.57;55.76,11.89;56.02,11.89;56.02,11.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,11.23;55.3,11.55;55.56,11.55;55.56,11.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,9.85;55.36,11.23;55.5,11.23;55.5,9.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.12,10.19;58.12,12.25;58.26,12.25;58.26,10.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (58.06,9.87;58.06,10.19;58.32,10.19;58.32,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (53.46,9.53;53.46,9.85;53.72,9.85;53.72,9.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,9.53;55.3,9.85;55.56,9.85;55.56,9.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.94,17.35;70.94,17.67;71.2,17.67;71.2,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,8.51;52.54,8.83;52.8,8.83;52.8,8.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71,13.25;71,17.35;71.14,17.35;71.14,13.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.4,16.67;71.4,16.99;71.66,16.99;71.66,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (70.94,12.93;70.94,13.25;71.2,13.25;71.2,12.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (66.8,11.91;66.8,12.23;67.06,12.23;67.06,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (69.56,11.91;69.56,12.23;69.82,12.23;69.82,11.91) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.46,10.19;71.46,16.67;71.6,16.67;71.6,10.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (63.12,9.87;63.12,10.19;63.38,10.19;63.38,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (71.4,9.87;71.4,10.19;71.66,10.19;71.66,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (61.07,7.975;61.07,8.345;62.61,8.345;62.61,7.975) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.9,7.49;59.9,7.81;60.16,7.81;60.16,7.49) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (59.44,6.47;59.44,6.79;59.7,6.79;59.7,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,6.81;51.16,7.13;51.42,7.13;51.42,6.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.6,6.79;52.6,8.51;52.74,8.51;52.74,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.3,6.13;55.3,6.45;55.56,6.45;55.56,6.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,12.93;52.54,13.25;52.8,13.25;52.8,12.93) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,11.23;51.16,11.55;51.42,11.55;51.42,11.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.22,7.13;51.22,11.23;51.36,11.23;51.36,7.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,6.47;52.54,6.79;52.8,6.79;52.8,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.36,6.45;55.36,9.53;55.5,9.53;55.5,6.45) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.76,9.19;55.76,9.51;56.02,9.51;56.02,9.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (55.82,7.13;55.82,9.19;55.96,9.19;55.96,7.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (52.54,14.97;52.54,15.29;52.8,15.29;52.8,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (51.16,14.63;51.16,14.95;51.42,14.95;51.42,14.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.62,9.19;74.62,9.51;74.88,9.51;74.88,9.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,8.51;74.16,8.83;74.42,8.83;74.42,8.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.22,7.13;74.22,8.51;74.36,8.51;74.36,7.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.3,17.01;78.3,17.33;78.56,17.33;78.56,17.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.36,9.85;78.36,17.01;78.5,17.01;78.5,9.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,6.81;72.78,7.13;73.04,7.13;73.04,6.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.68,4;74.68,9.19;74.82,9.19;74.82,4) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.28,17.69;84.28,18.01;84.54,18.01;84.54,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.16,6.81;74.16,7.13;74.42,7.13;74.42,6.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,12.25;72.78,12.57;73.04,12.57;73.04,12.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.84,10.53;72.84,12.25;72.98,12.25;72.98,10.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.74,17.01;84.74,17.33;85,17.33;85,17.01) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.62,11.23;74.62,11.55;74.88,11.55;74.88,11.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,16.135;81.07,16.505;82.61,16.505;82.61,16.135) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.6,15.65;80.6,15.97;80.86,15.97;80.86,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.2,13.73;80.2,13.87;80.8,13.87;80.8,13.73) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.66,9.85;80.66,13.73;80.8,13.73;80.8,9.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,10.695;81.07,11.065;82.61,11.065;82.61,10.695) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (78.3,9.53;78.3,9.85;78.56,9.85;78.56,9.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,16.67;86.12,16.99;86.38,16.99;86.38,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.19,15.115;85.19,15.485;85.47,15.485;85.47,15.115) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.18,15.29;86.18,16.67;86.32,16.67;86.32,15.29) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.6,9.53;80.6,9.85;80.86,9.85;80.86,9.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.68,8.85;79.68,9.17;79.94,9.17;79.94,8.85) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.74,6.79;79.74,8.85;79.88,8.85;79.88,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.66,7.13;80.66,9.53;80.8,9.53;80.8,7.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,10.21;72.78,10.53;73.04,10.53;73.04,10.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.9,9.19;82.9,9.51;83.16,9.51;83.16,9.19) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.88,16.67;88.88,16.99;89.14,16.99;89.14,16.67) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.04,15.31;87.04,15.63;87.3,15.63;87.3,15.31) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (86.12,14.97;86.12,15.29;86.38,15.29;86.38,14.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.94,14.95;88.94,16.67;89.08,16.67;89.08,14.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.34,15.65;89.34,15.97;89.6,15.97;89.6,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.5,14.63;87.5,14.95;87.76,14.95;87.76,14.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.88,14.63;88.88,14.95;89.14,14.95;89.14,14.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.2,13.95;85.2,14.27;85.46,14.27;85.46,13.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.26,12.57;85.26,13.95;85.4,13.95;85.4,12.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (85.2,12.25;85.2,12.57;85.46,12.57;85.46,12.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.74,9.87;84.74,10.19;85,10.19;85,9.87) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.82,9.53;83.82,9.85;84.08,9.85;84.08,9.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.8,6.79;84.8,9.87;84.94,9.87;84.94,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (84.74,6.47;84.74,6.79;85,6.79;85,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.56,6.11;87.56,14.63;87.7,14.63;87.7,6.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.96,12.59;87.96,12.91;88.22,12.91;88.22,12.59) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.02,6.79;88.02,12.59;88.16,12.59;88.16,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.94,11.55;88.94,14.63;89.08,14.63;89.08,11.55) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.4,11.97;89.4,15.65;89.54,15.65;89.54,11.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (80.6,6.81;80.6,7.13;80.86,7.13;80.86,6.81) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (77.83,6.275;77.83,6.645;78.11,6.645;78.11,6.275) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (79.68,6.47;79.68,6.79;79.94,6.79;79.94,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.96,6.11;82.96,9.19;83.1,9.19;83.1,6.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,17.35;72.78,17.67;73.04,17.67;73.04,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.18,18.37;91.18,18.69;91.44,18.69;91.44,18.37) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,17.69;90.26,18.01;90.52,18.01;90.52,17.69) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.32,15.97;90.32,17.69;90.46,17.69;90.46,15.97) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.84,14.95;72.84,17.35;72.98,17.35;72.98,14.95) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.78,14.63;72.78,14.95;73.04,14.95;73.04,14.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.84,12.57;72.84,14.63;72.98,14.63;72.98,12.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.64,17.35;91.64,17.67;91.9,17.67;91.9,17.35) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (90.26,15.65;90.26,15.97;90.52,15.97;90.52,15.65) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.18,14.63;91.18,14.95;91.44,14.95;91.44,14.63) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.8,12.25;89.8,12.57;90.06,12.57;90.06,12.25) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.4,11.89;89.4,11.97;90,11.97;90,11.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.4,11.83;89.4,11.89;90.06,11.89;90.06,11.83) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (89.8,11.57;89.8,11.83;90.06,11.83;90.06,11.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (88.88,11.23;88.88,11.55;89.14,11.55;89.14,11.23) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.24,10.53;91.24,14.63;91.38,14.63;91.38,10.53) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.7,11.89;91.7,17.35;91.84,17.35;91.84,11.89) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.64,11.57;91.64,11.89;91.9,11.89;91.9,11.57) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.18,10.21;91.18,10.53;91.44,10.53;91.44,10.21) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.24,6.79;91.24,10.21;91.38,10.21;91.38,6.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.96,6.47;87.96,6.79;88.22,6.79;88.22,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.18,6.47;91.18,6.79;91.44,6.79;91.44,6.47) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.7,6.11;91.7,11.57;91.84,11.57;91.84,6.11) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (82.9,5.79;82.9,6.11;83.16,6.11;83.16,5.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (83.36,5.79;83.36,6.11;83.62,6.11;83.62,5.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (87.5,5.79;87.5,6.11;87.76,6.11;87.76,5.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.64,5.79;91.64,6.11;91.9,6.11;91.9,5.79) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (81.07,5.255;81.07,5.625;82.61,5.625;82.61,5.255) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.7,4.75;91.7,5.79;91.84,5.79;91.84,4.75) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (91.64,4.43;91.64,4.75;91.9,4.75;91.9,4.43) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (72.84,7.13;72.84,10.21;72.98,10.21;72.98,7.13) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.68,9.51;74.68,11.23;74.82,11.23;74.82,9.51) - - - - - '69/20' - digital_pll - false - 1 - - - polygon: (74.61,0;74.61,4;74.89,4;74.89,0) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (50.73,53.28;50.73,53.48;50.93,53.48;50.93,53.28) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (76.03,67.56;76.03,67.76;76.23,67.76;76.23,67.56) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,65.18;81.14,65.38;81.34,65.38;81.34,65.18) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,65.18;81.54,65.38;81.74,65.38;81.74,65.18) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,65.18;81.94,65.38;82.14,65.38;82.14,65.18) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,65.18;82.34,65.38;82.54,65.38;82.54,65.18) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (93.97,61.44;93.97,61.64;94.17,61.64;94.17,61.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,59.74;81.14,59.94;81.34,59.94;81.34,59.74) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,59.74;81.54,59.94;81.74,59.94;81.74,59.74) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,59.74;81.94,59.94;82.14,59.94;82.14,59.74) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,59.74;82.34,59.94;82.54,59.94;82.54,59.74) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (79.71,56;79.71,56.2;79.91,56.2;79.91,56) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (80.17,55.32;80.17,55.52;80.37,55.52;80.37,55.32) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,54.3;81.14,54.5;81.34,54.5;81.34,54.3) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,54.3;81.54,54.5;81.74,54.5;81.74,54.3) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,54.3;81.94,54.5;82.14,54.5;82.14,54.3) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,54.3;82.34,54.5;82.54,54.5;82.54,54.3) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (59.01,60.76;59.01,60.96;59.21,60.96;59.21,60.76) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (64.99,60.76;64.99,60.96;65.19,60.96;65.19,60.76) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (70.51,60.08;70.51,60.28;70.71,60.28;70.71,60.08) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,67.9;61.54,68.1;61.74,68.1;61.74,67.9) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,67.9;61.94,68.1;62.14,68.1;62.14,67.9) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (51.65,63.48;51.65,63.68;51.85,63.68;51.85,63.48) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (58.09,63.48;58.09,63.68;58.29,63.68;58.29,63.48) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (53.03,59.4;53.03,59.6;53.23,59.6;53.23,59.4) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (60.85,59.4;60.85,59.6;61.05,59.6;61.05,59.4) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (53.95,58.72;53.95,58.92;54.15,58.92;54.15,58.72) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.31,58.72;61.31,58.92;61.51,58.92;61.51,58.72) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (52.57,58.04;52.57,58.24;52.77,58.24;52.77,58.04) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (54.41,58.04;54.41,58.24;54.61,58.24;54.61,58.04) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (64.53,58.04;64.53,58.24;64.73,58.24;64.73,58.04) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (54.87,57.36;54.87,57.56;55.07,57.56;55.07,57.36) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,57.02;61.14,57.22;61.34,57.22;61.34,57.02) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,57.02;61.54,57.22;61.74,57.22;61.74,57.02) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,57.02;61.94,57.22;62.14,57.22;62.14,57.02) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,57.02;62.34,57.22;62.54,57.22;62.54,57.02) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (52.11,56.68;52.11,56.88;52.31,56.88;52.31,56.68) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (60.39,56.68;60.39,56.88;60.59,56.88;60.59,56.68) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (54.87,56;54.87,56.2;55.07,56.2;55.07,56) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,62.46;61.14,62.66;61.34,62.66;61.34,62.46) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (66.37,55.32;66.37,55.52;66.57,55.52;66.57,55.32) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,62.46;61.54,62.66;61.74,62.66;61.74,62.46) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (54.87,54.64;54.87,54.84;55.07,54.84;55.07,54.64) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (67.29,54.64;67.29,54.84;67.49,54.84;67.49,54.64) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,62.46;61.94,62.66;62.14,62.66;62.14,62.46) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,62.46;62.34,62.66;62.54,62.66;62.54,62.46) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (52.11,61.44;52.11,61.64;52.31,61.64;52.31,61.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,67.9;62.34,68.1;62.54,68.1;62.54,67.9) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (53.95,53.96;53.95,54.16;54.15,54.16;54.15,53.96) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (60.85,53.96;60.85,54.16;61.05,54.16;61.05,53.96) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,67.9;61.14,68.1;61.34,68.1;61.34,67.9) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (51.65,53.28;51.65,53.48;51.85,53.48;51.85,53.28) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (58.55,53.28;58.55,53.48;58.75,53.48;58.75,53.28) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.31,53.28;61.31,53.48;61.51,53.48;61.51,53.28) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (70.05,53.28;70.05,53.48;70.25,53.48;70.25,53.28) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.69,52.6;62.69,52.8;62.89,52.8;62.89,52.6) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,51.58;61.14,51.78;61.34,51.78;61.34,51.58) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,51.58;61.54,51.78;61.74,51.78;61.74,51.58) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,51.58;61.94,51.78;62.14,51.78;62.14,51.58) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,51.58;62.34,51.78;62.54,51.78;62.54,51.58) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (59.47,49.88;59.47,50.08;59.67,50.08;59.67,49.88) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (57.17,47.84;57.17,48.04;57.37,48.04;57.37,47.84) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (70.05,47.16;70.05,47.36;70.25,47.36;70.25,47.16) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,46.14;61.14,46.34;61.34,46.34;61.34,46.14) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,46.14;61.54,46.34;61.74,46.34;61.74,46.14) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,46.14;61.94,46.34;62.14,46.34;62.14,46.14) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,46.14;62.34,46.34;62.54,46.34;62.54,46.14) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (69.59,45.12;69.59,45.32;69.79,45.32;69.79,45.12) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (53.03,44.44;53.03,44.64;53.23,44.64;53.23,44.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (64.53,44.44;64.53,44.64;64.73,44.64;64.73,44.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (51.65,43.76;51.65,43.96;51.85,43.96;51.85,43.76) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (59.47,43.76;59.47,43.96;59.67,43.96;59.67,43.76) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (58.55,43.08;58.55,43.28;58.75,43.28;58.75,43.08) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (52.57,42.4;52.57,42.6;52.77,42.6;52.77,42.4) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (54.41,42.4;54.41,42.6;54.61,42.6;54.61,42.4) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.31,42.4;61.31,42.6;61.51,42.6;61.51,42.4) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (68.67,42.4;68.67,42.6;68.87,42.6;68.87,42.4) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (57.17,41.72;57.17,41.92;57.37,41.92;57.37,41.72) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (67.29,41.72;67.29,41.92;67.49,41.92;67.49,41.72) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,40.7;61.14,40.9;61.34,40.9;61.34,40.7) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,40.7;61.54,40.9;61.74,40.9;61.74,40.7) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,40.7;61.94,40.9;62.14,40.9;62.14,40.7) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,40.7;62.34,40.9;62.54,40.9;62.54,40.7) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (57.63,39.68;57.63,39.88;57.83,39.88;57.83,39.68) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (52.57,36.96;52.57,37.16;52.77,37.16;52.77,36.96) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,43.42;82.34,43.62;82.54,43.62;82.54,43.42) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,48.86;82.34,49.06;82.54,49.06;82.54,48.86) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (75.11,43.08;75.11,43.28;75.31,43.28;75.31,43.08) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (75.57,50.56;75.57,50.76;75.77,50.76;75.77,50.56) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (79.25,47.84;79.25,48.04;79.45,48.04;79.45,47.84) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,48.86;81.14,49.06;81.34,49.06;81.34,48.86) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (78.33,47.16;78.33,47.36;78.53,47.36;78.53,47.16) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (72.81,44.44;72.81,44.64;73.01,44.64;73.01,44.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (76.03,44.44;76.03,44.64;76.23,44.64;76.23,44.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (77.41,44.44;77.41,44.64;77.61,44.64;77.61,44.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,48.86;81.54,49.06;81.74,49.06;81.74,48.86) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,48.86;81.94,49.06;82.14,49.06;82.14,48.86) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,43.42;81.14,43.62;81.34,43.62;81.34,43.42) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,43.42;81.54,43.62;81.74,43.62;81.74,43.42) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (74.19,39.68;74.19,39.88;74.39,39.88;74.39,39.68) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,37.98;81.14,38.18;81.34,38.18;81.34,37.98) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,37.98;81.54,38.18;81.74,38.18;81.74,37.98) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,37.98;81.94,38.18;82.14,38.18;82.14,37.98) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,37.98;82.34,38.18;82.54,38.18;82.54,37.98) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,43.42;81.94,43.62;82.14,43.62;82.14,43.42) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,51.58;21.94,51.78;22.14,51.78;22.14,51.58) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,51.58;22.34,51.78;22.54,51.78;22.54,51.58) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (50.27,61.44;50.27,61.64;50.47,61.64;50.47,61.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,67.9;21.94,68.1;22.14,68.1;22.14,67.9) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (34.17,57.36;34.17,57.56;34.37,57.56;34.37,57.36) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,67.9;22.34,68.1;22.54,68.1;22.54,67.9) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (18.99,51.24;18.99,51.44;19.19,51.44;19.19,51.24) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (50.27,50.56;50.27,50.76;50.47,50.76;50.47,50.56) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,57.02;21.14,57.22;21.34,57.22;21.34,57.02) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (48.89,49.88;48.89,50.08;49.09,50.08;49.09,49.88) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,57.02;21.54,57.22;21.74,57.22;21.74,57.02) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,48.86;41.14,49.06;41.34,49.06;41.34,48.86) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,48.86;41.54,49.06;41.74,49.06;41.74,48.86) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,48.86;41.94,49.06;42.14,49.06;42.14,48.86) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,48.86;42.34,49.06;42.54,49.06;42.54,48.86) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,57.02;21.94,57.22;22.14,57.22;22.14,57.02) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,57.02;22.34,57.22;22.54,57.22;22.54,57.02) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.45,60.76;42.45,60.96;42.65,60.96;42.65,60.76) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (44.29,60.76;44.29,60.96;44.49,60.96;44.49,60.76) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (47.97,60.76;47.97,60.96;48.17,60.96;48.17,60.76) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (17.15,67.56;17.15,67.76;17.35,67.76;17.35,67.56) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (8.87,47.16;8.87,47.36;9.07,47.36;9.07,47.16) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (13.93,63.48;13.93,63.68;14.13,63.68;14.13,63.48) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (46.13,60.08;46.13,60.28;46.33,60.28;46.33,60.08) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,46.14;21.14,46.34;21.34,46.34;21.34,46.14) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,46.14;21.54,46.34;21.74,46.34;21.74,46.14) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,46.14;21.94,46.34;22.14,46.34;22.14,46.14) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,46.14;22.34,46.34;22.54,46.34;22.54,46.14) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.53,56;41.53,56.2;41.73,56.2;41.73,56) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,67.9;21.14,68.1;21.34,68.1;21.34,67.9) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,59.74;41.14,59.94;41.34,59.94;41.34,59.74) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (20.37,55.32;20.37,55.52;20.57,55.52;20.57,55.32) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (33.25,45.12;33.25,45.32;33.45,45.32;33.45,45.12) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (43.37,55.32;43.37,55.52;43.57,55.52;43.57,55.32) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (30.03,44.44;30.03,44.64;30.23,44.64;30.23,44.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (39.23,44.44;39.23,44.64;39.43,44.64;39.43,44.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (46.13,55.32;46.13,55.52;46.33,55.52;46.33,55.32) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,59.74;41.54,59.94;41.74,59.94;41.74,59.74) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,59.74;41.94,59.94;42.14,59.94;42.14,59.74) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,59.74;42.34,59.94;42.54,59.94;42.54,59.74) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,65.18;41.14,65.38;41.34,65.38;41.34,65.18) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,54.3;41.14,54.5;41.34,54.5;41.34,54.3) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,54.3;41.54,54.5;41.74,54.5;41.74,54.3) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,43.42;41.14,43.62;41.34,43.62;41.34,43.42) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,43.42;41.54,43.62;41.74,43.62;41.74,43.42) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,43.42;41.94,43.62;42.14,43.62;42.14,43.42) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,43.42;42.34,43.62;42.54,43.62;42.54,43.42) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,54.3;41.94,54.5;42.14,54.5;42.14,54.3) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,54.3;42.34,54.5;42.54,54.5;42.54,54.3) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,62.46;21.14,62.66;21.34,62.66;21.34,62.46) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,62.46;21.54,62.66;21.74,62.66;21.74,62.46) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (19.91,43.08;19.91,43.28;20.11,43.28;20.11,43.08) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,62.46;21.94,62.66;22.14,62.66;22.14,62.46) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (17.15,59.4;17.15,59.6;17.35,59.6;17.35,59.4) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (47.51,42.4;47.51,42.6;47.71,42.6;47.71,42.4) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,62.46;22.34,62.66;22.54,62.66;22.54,62.46) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,65.18;41.54,65.38;41.74,65.38;41.74,65.18) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (35.09,53.28;35.09,53.48;35.29,53.48;35.29,53.28) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.53,53.28;41.53,53.48;41.73,53.48;41.73,53.28) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (34.63,58.72;34.63,58.92;34.83,58.92;34.83,58.72) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (48.89,58.72;48.89,58.92;49.09,58.92;49.09,58.72) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,40.7;21.14,40.9;21.34,40.9;21.34,40.7) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,40.7;21.54,40.9;21.74,40.9;21.74,40.7) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,40.7;21.94,40.9;22.14,40.9;22.14,40.7) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,40.7;22.34,40.9;22.54,40.9;22.54,40.7) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,65.18;41.94,65.38;42.14,65.38;42.14,65.18) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,65.18;42.34,65.38;42.54,65.38;42.54,65.18) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (49.35,58.04;49.35,58.24;49.55,58.24;49.55,58.04) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (10.25,52.6;10.25,52.8;10.45,52.8;10.45,52.6) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (45.21,52.6;45.21,52.8;45.41,52.8;45.41,52.6) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (47.51,52.6;47.51,52.8;47.71,52.8;47.71,52.6) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (15.77,39;15.77,39.2;15.97,39.2;15.97,39) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,37.98;41.14,38.18;41.34,38.18;41.34,37.98) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,37.98;41.54,38.18;41.74,38.18;41.74,37.98) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,37.98;41.94,38.18;42.14,38.18;42.14,37.98) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,37.98;42.34,38.18;42.54,38.18;42.54,37.98) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,67.9;21.54,68.1;21.74,68.1;21.74,67.9) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (40.61,51.92;40.61,52.12;40.81,52.12;40.81,51.92) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (43.83,51.92;43.83,52.12;44.03,52.12;44.03,51.92) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,51.58;21.14,51.78;21.34,51.78;21.34,51.58) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (48.43,36.96;48.43,37.16;48.63,37.16;48.63,36.96) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,51.58;21.54,51.78;21.74,51.78;21.74,51.58) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,35.26;21.14,35.46;21.34,35.46;21.34,35.26) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,35.26;21.54,35.46;21.74,35.46;21.74,35.26) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,35.26;21.94,35.46;22.14,35.46;22.14,35.26) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,35.26;22.34,35.46;22.54,35.46;22.54,35.26) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (14.85,34.92;14.85,35.12;15.05,35.12;15.05,34.92) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,32.54;41.14,32.74;41.34,32.74;41.34,32.54) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,32.54;41.54,32.74;41.74,32.74;41.74,32.54) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,32.54;41.94,32.74;42.14,32.74;42.14,32.54) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,32.54;42.34,32.74;42.54,32.74;42.54,32.54) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (7.95,30.84;7.95,31.04;8.15,31.04;8.15,30.84) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,29.82;21.14,30.02;21.34,30.02;21.34,29.82) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,29.82;21.54,30.02;21.74,30.02;21.74,29.82) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,29.82;21.94,30.02;22.14,30.02;22.14,29.82) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,29.82;22.34,30.02;22.54,30.02;22.54,29.82) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,27.1;41.14,27.3;41.34,27.3;41.34,27.1) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,27.1;41.54,27.3;41.74,27.3;41.74,27.1) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,27.1;41.94,27.3;42.14,27.3;42.14,27.1) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,27.1;42.34,27.3;42.54,27.3;42.54,27.1) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (7.95,26.76;7.95,26.96;8.15,26.96;8.15,26.76) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (18.53,26.76;18.53,26.96;18.73,26.96;18.73,26.76) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,24.38;21.14,24.58;21.34,24.58;21.34,24.38) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,24.38;21.54,24.58;21.74,24.58;21.74,24.38) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,24.38;21.94,24.58;22.14,24.58;22.14,24.38) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,24.38;22.34,24.58;22.54,24.58;22.54,24.38) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (13.01,22.68;13.01,22.88;13.21,22.88;13.21,22.68) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,21.66;41.14,21.86;41.34,21.86;41.34,21.66) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,21.66;41.54,21.86;41.74,21.86;41.74,21.66) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,21.66;41.94,21.86;42.14,21.86;42.14,21.66) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,21.66;42.34,21.86;42.54,21.86;42.54,21.66) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,18.94;21.14,19.14;21.34,19.14;21.34,18.94) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,18.94;21.54,19.14;21.74,19.14;21.74,18.94) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,18.94;21.94,19.14;22.14,19.14;22.14,18.94) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,18.94;22.34,19.14;22.54,19.14;22.54,18.94) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (8.87,18.6;8.87,18.8;9.07,18.8;9.07,18.6) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,16.22;41.14,16.42;41.34,16.42;41.34,16.22) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,16.22;41.54,16.42;41.74,16.42;41.74,16.22) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,16.22;41.94,16.42;42.14,16.42;42.14,16.22) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,16.22;42.34,16.42;42.54,16.42;42.54,16.22) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (13.93,14.52;13.93,14.72;14.13,14.72;14.13,14.52) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,13.5;21.14,13.7;21.34,13.7;21.34,13.5) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,13.5;21.54,13.7;21.74,13.7;21.74,13.5) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,13.5;21.94,13.7;22.14,13.7;22.14,13.5) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,13.5;22.34,13.7;22.54,13.7;22.54,13.5) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,10.78;41.14,10.98;41.34,10.98;41.34,10.78) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,10.78;41.54,10.98;41.74,10.98;41.74,10.78) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,10.78;41.94,10.98;42.14,10.98;42.14,10.78) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,10.78;42.34,10.98;42.54,10.98;42.54,10.78) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (15.31,10.44;15.31,10.64;15.51,10.64;15.51,10.44) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.14,8.06;21.14,8.26;21.34,8.26;21.34,8.06) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.54,8.06;21.54,8.26;21.74,8.26;21.74,8.06) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (21.94,8.06;21.94,8.26;22.14,8.26;22.14,8.06) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (22.34,8.06;22.34,8.26;22.54,8.26;22.54,8.06) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (7.49,6.36;7.49,6.56;7.69,6.56;7.69,6.36) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.14,5.34;41.14,5.54;41.34,5.54;41.34,5.34) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.54,5.34;41.54,5.54;41.74,5.54;41.74,5.34) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (41.94,5.34;41.94,5.54;42.14,5.54;42.14,5.34) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (42.34,5.34;42.34,5.54;42.54,5.54;42.54,5.34) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,27.1;81.54,27.3;81.74,27.3;81.74,27.1) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,18.94;61.14,19.14;61.34,19.14;61.34,18.94) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,18.94;61.54,19.14;61.74,19.14;61.74,18.94) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,18.94;61.94,19.14;62.14,19.14;62.14,18.94) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,18.94;62.34,19.14;62.54,19.14;62.54,18.94) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,27.1;81.94,27.3;82.14,27.3;82.14,27.1) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (92.13,18.6;92.13,18.8;92.33,18.8;92.33,18.6) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,27.1;82.34,27.3;82.54,27.3;82.54,27.1) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (88.91,30.84;88.91,31.04;89.11,31.04;89.11,30.84) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,35.26;61.94,35.46;62.14,35.46;62.14,35.26) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,35.26;62.34,35.46;62.54,35.46;62.54,35.26) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,16.22;81.14,16.42;81.34,16.42;81.34,16.22) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,16.22;81.54,16.42;81.74,16.42;81.74,16.22) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,16.22;81.94,16.42;82.14,16.42;82.14,16.22) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,16.22;82.34,16.42;82.54,16.42;82.54,16.22) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (53.49,15.2;53.49,15.4;53.69,15.4;53.69,15.2) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (58.09,15.2;58.09,15.4;58.29,15.4;58.29,15.2) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (85.23,15.2;85.23,15.4;85.43,15.4;85.43,15.2) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,35.26;61.14,35.46;61.34,35.46;61.34,35.26) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,32.54;81.14,32.74;81.34,32.74;81.34,32.54) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,29.82;61.14,30.02;61.34,30.02;61.34,29.82) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,24.38;61.14,24.58;61.34,24.58;61.34,24.38) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,24.38;61.54,24.58;61.74,24.58;61.74,24.38) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,13.5;61.14,13.7;61.34,13.7;61.34,13.5) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,13.5;61.54,13.7;61.74,13.7;61.74,13.5) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,13.5;61.94,13.7;62.14,13.7;62.14,13.5) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,13.5;62.34,13.7;62.54,13.7;62.54,13.5) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,24.38;61.94,24.58;62.14,24.58;62.14,24.38) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,24.38;62.34,24.58;62.54,24.58;62.54,24.38) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,29.82;61.54,30.02;61.74,30.02;61.74,29.82) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,29.82;61.94,30.02;62.14,30.02;62.14,29.82) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,10.78;81.14,10.98;81.34,10.98;81.34,10.78) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,10.78;81.54,10.98;81.74,10.98;81.74,10.78) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,10.78;81.94,10.98;82.14,10.98;82.14,10.78) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,10.78;82.34,10.98;82.54,10.98;82.54,10.78) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,29.82;62.34,30.02;62.54,30.02;62.54,29.82) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,32.54;81.54,32.74;81.74,32.74;81.74,32.54) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,32.54;81.94,32.74;82.14,32.74;82.14,32.54) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,21.66;81.14,21.86;81.34,21.86;81.34,21.66) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,21.66;81.54,21.86;81.74,21.86;81.74,21.66) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.14,8.06;61.14,8.26;61.34,8.26;61.34,8.06) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,8.06;61.54,8.26;61.74,8.26;61.74,8.06) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.94,8.06;61.94,8.26;62.14,8.26;62.14,8.06) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (62.34,8.06;62.34,8.26;62.54,8.26;62.54,8.06) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,21.66;81.94,21.86;82.14,21.86;82.14,21.66) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (77.87,6.36;77.87,6.56;78.07,6.56;78.07,6.36) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,21.66;82.34,21.86;82.54,21.86;82.54,21.66) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,32.54;82.34,32.74;82.54,32.74;82.54,32.54) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (61.54,35.26;61.54,35.46;61.74,35.46;61.74,35.26) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,27.1;81.14,27.3;81.34,27.3;81.34,27.1) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.14,5.34;81.14,5.54;81.34,5.54;81.34,5.34) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.54,5.34;81.54,5.54;81.74,5.54;81.74,5.34) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (81.94,5.34;81.94,5.54;82.14,5.54;82.14,5.34) - - - - - '69/44' - digital_pll - false - 1 - - - polygon: (82.34,5.34;82.34,5.54;82.54,5.54;82.54,5.34) - - - - - '7/0' - digital_pll - false - 1 - - - path: (78.43,28.73;78.43,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.59,37.57;76.59,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.07,30.43;25.07,39.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (45.77,36.89;45.77,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,37.06;52.67,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.71,36.55;63.71,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (44.85,36.89;44.85,40.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,34.85;47.61,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.59,36.38;53.59,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.41,37.23;61.41,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (87.17,15.47;87.17,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (17.25,36.55;17.25,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.47,34.51;66.47,39.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,36.89;69.23,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.95,37.57;60.95,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (40.25,36.89;40.25,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (40.71,37.57;40.71,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (39.33,37.57;39.33,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (39.79,36.89;39.79,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.03,36.89;60.03,41.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.85,36.21;67.85,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,37.23;43.47,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.15,30.94;70.15,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.91,55.93;49.91,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.91,53.21;49.91,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.07,53.89;49.91,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (85.33,32.13;85.33,42.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (15.41,10.54;15.41,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.91,42.67;49.91,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,37.57;29.67,40.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (9.43,36.89;9.43,41.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.83,37.57;27.83,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.91,58.99;49.91,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (78.89,26.69;78.89,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.01,29.07;89.01,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (92.23,18.7;92.23,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.97,6.46;77.97,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.39,36.55;67.39,39.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.27,27.71;80.27,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.45,36.55;49.45,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.21,36.89;52.21,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.17,31.11;64.17,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.01,37.23;66.01,41.82) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.91,28.39;49.91,30.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.91,30.77;49.91,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,36.89;23.23,38.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.53,37.57;25.53,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.93,37.57;89.93,41.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (86.25,37.23;86.25,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.03,37.57;83.03,38.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.39,33.49;90.39,39.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.49,36.89;83.49,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.47,37.23;89.47,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (79.35,31.79;79.35,47.94) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.73,33.83;80.73,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.39,48.45;67.39,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.97,54.74;54.97,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.97,48.3;54.97,54.74) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.25,53.21;63.25,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.09,52.7;65.09,56.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.01,53.55;66.01,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.21,52.19;75.21,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.21,52.19;52.21,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.05,54.06;54.05,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (50.83,53.38;50.83,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.59,49.47;53.59,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.73,49.47;57.73,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,53.55;69.23,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (59.57,53.21;59.57,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.89,52.53;55.89,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.19,52.87;58.19,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.85,47.26;67.85,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.47,53.21;66.47,55.42) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,53.55;55.43,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (51.29,53.55;51.29,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.53,52.87;71.53,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (86.25,48.11;86.25,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.71,47.09;63.71,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.93,53.55;66.93,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.31,53.55;68.31,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.77,50.15;68.77,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.69,52.19;69.69,56.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.61,44.71;70.61,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.05,50.49;77.05,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.51,53.89;77.51,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.13,53.89;76.13,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (84.87,44.37;84.87,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.27,53.21;57.27,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.87,53.55;61.87,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.99,40.29;71.99,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.99,58.65;71.99,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.27,46.75;80.27,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (59.11,48.11;59.11,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.55,53.21;65.55,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.97,47.09;77.97,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (87.63,53.55;87.63,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.85,53.55;90.85,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.39,51.17;90.39,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (79.81,39.61;79.81,56.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.07,51.17;71.07,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.15,53.38;70.15,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.49,53.89;83.49,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (88.55,52.87;88.55,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (79.35,53.55;79.35,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (94.07,61.54;94.07,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.13,61.37;76.13,67.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (86.25,60.35;86.25,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.59,56.61;76.59,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.97,56.27;77.97,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,59.84;82.61,59.84) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,65.28;82.61,65.28) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.75,57.97;74.75,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.95,57.97;83.95,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.29,55.59;74.29,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (73.37,64.43;73.37,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.51,61.71;77.51,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (73.37,55.93;73.37,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.05,63.07;77.05,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (79.35,62.1;79.35,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.05,61.03;77.05,63.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (91.31,61.37;91.31,63.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.01,64.09;89.01,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.01,61.71;89.01,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.27,63.41;80.27,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.27,61.03;80.27,63.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.95,64.77;83.95,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (85.33,62.05;85.33,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (78.89,64.09;78.89,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (85.79,55.93;85.79,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (78.89,62.1;79.35,62.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (78.89,58.65;78.89,62.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (86.71,68.51;86.71,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.73,58.65;80.73,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (84.41,55.25;84.41,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.31,56.61;68.31,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,56.27;52.67,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (56.35,58.99;56.35,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.65,55.59;58.65,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.47,55.42;66.47,55.93) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.63,61.03;64.63,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.63,61.71;64.63,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.61,59.33;70.61,60.18) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.97,60.69;55.43,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.13,59.33;53.13,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.79,61.03;62.79,61.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.87,61.54;62.79,61.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.87,58.99;61.87,61.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.71,63.41;63.71,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.71,66.13;63.71,68.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.95,59.33;60.95,59.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (50.37,56.27;50.37,61.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.21,61.03;52.21,61.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.09,60.86;65.09,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.25,56.27;63.25,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (59.11,60.86;59.11,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.73,55.93;57.73,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.53,58.99;71.53,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.03,58.65;60.03,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.51,55.93;54.51,56.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,68;62.61,68) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,62.56;62.61,62.56) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.05,56.1;54.51,56.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.05,56.1;54.05,58.82) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.41,58.31;61.41,58.82) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.77,62.05;68.77,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.97,56.1;54.97,56.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.41,58.31;61.87,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,64.43;69.23,67.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (56.81,62.05;56.81,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.87,57.63;61.87,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.33,58.31;62.33,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.07,61.03;71.07,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.55,64.09;65.55,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.55,62.05;65.55,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.33,58.31;63.71,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.25,58.31;63.25,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.87,57.63;63.25,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,64.43;55.43,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.05,64.09;54.05,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.13,64.77;53.13,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.69,58.99;69.69,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.19,63.58;58.19,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (51.75,60.69;51.75,63.58) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.19,64.09;58.19,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.97,57.46;54.97,57.63) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.41,63.07;61.41,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (50.83,64.09;50.83,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.17,57.63;64.17,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.71,58.65;64.17,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.71,58.65;63.71,61.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.33,55.59;63.25,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.21,55.59;52.21,56.78) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,59.33;52.67,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.49,62.05;60.49,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.63,55.93;64.63,58.14) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,56.61;69.23,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.51,57.63;54.51,58.14) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,56.1;69.69,56.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,56.1;69.23,56.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.49,56.78;60.49,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,57.12;62.61,57.12) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.17,60.35;64.17,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.05,45.73;54.05,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.95,53.21;60.95,54.06) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.03,53.21;60.95,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.89,41.65;55.89,52.02) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.63,44.54;64.63,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,44.71;69.23,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.07,39.95;71.07,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,46.24;62.61,46.24) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.93,39.1;66.93,47.94) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.95,41.99;60.95,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.97,45.39;54.97,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.49,45.73;60.49,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.49,45.73;60.95,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.95,44.71;60.95,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (51.29,41.99;51.29,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.21,42.33;52.21,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.47,41.82;66.47,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,45.73;52.67,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.85,45.73;67.85,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.31,41.31;68.31,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.65,44.71;58.65,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.53,45.39;71.53,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.03,41.31;60.03,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.39,44.71;67.39,47.26) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.01,42.33;66.01,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.51,42.5;54.51,48.3) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.55,45.39;65.55,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.47,50.15;66.47,50.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.17,50.83;64.17,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.55,52.7;66.93,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.93,47.94;66.93,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.25,46.58;64.17,46.58) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.17,46.58;64.17,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.79,47.26;62.79,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.79,47.26;63.25,47.26) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,51.68;62.61,51.68) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.25,52.53;63.25,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.63,52.7;65.09,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.63,52.53;64.63,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.79,52.7;62.79,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.15,47.26;70.15,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.41,53.38;61.41,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.39,47.77;67.39,47.94) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.77,47.77;68.77,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.93,47.94;67.39,47.94) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.55,47.43;65.55,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.39,47.26;67.85,47.26) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.55,52.7;65.55,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.01,47.77;66.01,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.25,46.58;63.25,47.26) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.25,47.77;63.25,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.17,50.15;64.17,50.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (51.29,53.55;51.75,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.51,48.3;54.97,48.3) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.03,51.17;60.03,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (50.83,48.11;50.83,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (50.37,50.49;50.37,50.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (59.57,47.43;60.03,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.51,50.15;54.51,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (59.57,47.43;59.57,49.98) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,47.77;52.67,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (50.37,48.11;50.37,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,52.02;55.89,52.02) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.65,47.77;58.65,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,52.02;55.43,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.05,47.77;54.05,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.65,52.87;58.65,53.38) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (51.75,53.38;51.75,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.27,47.94;57.27,50.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,48.45;55.43,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (50.83,41.65;50.83,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.73,39.78;57.73,41.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (51.75,42.33;51.75,43.86) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.13,44.54;53.13,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (59.57,43.86;59.57,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.27,39.61;57.27,41.82) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.65,41.65;58.65,43.18) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,42.5;52.67,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.59,38.59;53.59,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.63,40.29;64.63,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,42.33;69.23,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.61,44.03;70.61,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.77,44.71;69.23,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.69,42.67;69.69,45.22) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,40.8;62.61,40.8) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.71,39.27;63.71,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.39,41.65;67.39,41.82) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.61,42.33;70.61,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.93,39.1;67.39,39.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.77,42.5;68.77,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.15,43.01;70.61,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.15,43.01;70.15,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.33,45.05;62.33,45.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.09,39.27;65.09,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.01,41.82;66.47,41.82) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.69,42.67;70.15,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.41,42.5;61.41,42.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.79,42.5;62.79,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.33,42.33;62.33,42.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.33,42.5;62.79,42.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.55,39.95;65.55,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (73.83,47.09;73.83,48.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.67,48.3;75.67,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.59,42.33;76.59,45.22) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,38.08;82.61,38.08) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.45,47.09;72.45,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.45,45.39;72.45,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.47,39.27;89.47,41.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.13,45.22;76.59,45.22) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (78.43,47.26;78.43,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.21,50.49;75.21,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.67,42.33;75.67,42.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.67,42.5;76.13,42.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.13,42.5;76.13,43.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.91,49.81;73.37,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.59,48.11;76.59,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.91,47.77;72.91,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.21,43.18;75.21,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.13,45.22;76.13,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.51,42.67;77.51,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.29,42.67;74.29,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.75,50.83;74.75,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.91,41.65;72.91,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.29,39.27;74.29,39.78) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (85.33,42.5;86.25,42.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (86.25,42.5;86.25,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.67,43.01;75.67,43.86) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.67,43.86;76.13,43.86) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.13,43.86;76.13,44.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.51,44.54;77.51,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,54.4;82.61,54.4) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.29,39.78;74.29,41.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.05,41.31;77.05,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,48.96;82.61,48.96) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,43.52;82.61,43.52) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.49,48.11;83.49,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (87.17,49.81;87.17,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.13,47.77;76.13,48.3) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.85,50.15;90.85,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.39,45.39;90.39,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.39,42.67;90.39,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.67,48.3;76.13,48.3) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.49,44.71;83.49,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.95,50.15;83.95,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.95,48.11;83.95,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.67,50.15;75.67,50.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (88.55,44.71;88.55,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (73.83,48.45;73.83,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (35.65,53.55;35.65,67.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.93,52.02;43.93,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.49,53.21;37.49,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (45.31,52.7;45.31,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (44.39,52.7;44.39,60.86) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,52.7;43.47,55.42) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,53.55;14.03,63.58) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.11,48.45;13.11,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (34.73,52.19;34.73,58.82) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (46.23,41.31;46.23,55.42) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (19.09,52.02;19.09,71.74) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.11,47.77;36.11,55.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (16.79,49.47;16.79,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (40.71,53.89;40.71,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (40.25,42.33;40.25,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (26.91,42.33;26.91,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,53.89;43.01,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.57,53.21;36.57,56.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.19,50.15;12.19,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (35.19,53.38;35.19,54.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (39.33,50.49;39.33,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (45.77,48.11;45.77,61.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.21,53.55;29.21,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,53.21;29.67,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.59,53.21;30.59,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (11.27,47.77;11.27,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (7.59,53.55;7.59,55.59) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.83,61.37;27.83,67.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.83,67.66;29.21,67.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.83,67.66;27.83,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (28.75,47.77;28.75,62.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (46.23,60.18;46.23,62.22) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (42.55,60.69;42.55,60.86) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.17,58.99;43.01,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (31.05,59.33;31.05,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (45.77,62.22;46.23,62.22) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (33.81,64.09;34.27,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,58.65;47.61,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.17,57.97;41.17,58.14) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.45,58.14;49.45,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,55.59;47.61,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.17,58.14;41.63,58.14) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.59,56.27;30.59,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,65.28;42.61,65.28) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (35.19,54.91;35.19,58.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (33.81,55.93;33.81,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.59,64.77;30.59,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,59.84;42.61,59.84) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (42.55,67.49;42.55,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,56.27;43.47,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.53,59.33;48.53,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.95,63.41;37.95,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.95,61.71;37.95,63.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (31.51,58.99;31.51,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (31.51,61.03;31.51,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.99,58.82;48.99,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.99,60.35;49.45,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,55.59;29.67,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.63,56.1;41.63,58.14) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (34.27,55.59;34.27,57.46) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (34.73,63.75;34.73,64.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.13,55.25;30.13,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.13,61.03;30.13,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.07,60.35;48.07,60.86) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (35.19,59.33;35.19,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.11,55.25;36.11,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,61.37;29.67,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (32.89,63.41;32.89,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.07,64.43;48.07,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,61.37;43.01,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (44.85,63.41;44.85,66.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (44.85,60.69;44.85,63.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.57,64.09;37.03,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.57,64.09;36.57,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.03,61.37;37.03,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (46.69,64.09;46.69,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (46.69,62.05;46.69,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (28.29,64.43;28.29,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (38.87,60.35;38.87,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.15,59.33;47.15,61.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.21,62.1;29.21,67.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (45.77,62.05;45.77,62.22) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (28.75,62.1;29.21,62.1) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (34.27,64.09;34.27,66.98) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (34.27,66.98;35.19,66.98) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (35.19,66.98;35.19,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (8.97,61.37;8.97,63.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.95,64.09;14.95,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.95,55.59;14.95,59.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.95,59.33;14.95,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.47,55.42;20.47,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (22.31,64.43;22.31,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.61,61.71;24.61,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.85,63.41;21.85,66.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (22.77,64.09;22.77,66.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (22.77,64.09;23.23,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,62.05;23.23,64.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (19.09,71.74;20.47,71.74) w=0.14 bx=0.07 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,68;22.61,68) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (15.87,61.71;15.87,63.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,62.56;22.61,62.56) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (17.25,61.03;17.25,62.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (17.25,62.05;17.25,67.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (16.79,58.65;16.79,60.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.99,56.27;25.99,57.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (19.55,55.59;19.55,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (6.67,67.49;6.67,71.74) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,57.12;22.61,57.12) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (5.75,71.74;6.67,71.74) w=0.14 bx=0 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.99,58.99;25.99,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.57,61.37;13.57,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (11.27,64.43;11.27,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (11.27,61.71;11.27,64.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (11.73,58.99;11.73,65.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (17.25,58.99;17.25,59.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (11.27,57.97;11.27,60.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (17.25,56.61;17.25,58.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (7.59,55.59;7.59,58.31) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.11,42.33;13.11,45.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (11.73,49.47;11.73,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (26.45,39.27;26.91,39.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (26.91,39.27;26.91,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.53,42.67;25.53,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (18.63,48.45;18.63,52.02) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (18.63,52.02;19.09,52.02) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,51.68;22.61,51.68) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,46.24;22.61,46.24) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (19.09,50.49;19.09,51.34) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (10.35,52.7;10.35,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (8.97,47.26;8.97,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (10.35,44.71;10.35,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,40.8;22.61,40.8) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (7.59,39.27;7.59,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.61,39.27;24.61,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,39.95;23.23,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.37,40.29;27.37,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.01,51.17;20.01,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.47,50.15;20.47,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (15.87,39.1;15.87,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.01,41.99;20.01,43.18) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (17.71,50.49;17.71,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (19.55,47.09;19.55,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,47.77;14.03,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.15,50.15;24.15,52.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (26.45,45.39;26.45,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.39,47.77;21.39,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (17.25,48.45;17.25,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.93,42.67;20.93,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.99,49.98;48.99,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.53,42.67;48.53,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.53,52.53;48.99,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.07,45.39;48.07,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.53,47.77;48.53,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,41.99;47.61,42.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (44.85,47.77;44.85,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (44.39,52.7;44.85,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.13,44.54;30.13,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (44.39,42.33;44.39,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,52.19;47.61,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.63,50.49;41.63,50.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.63,44.54;41.63,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (39.33,44.03;39.33,44.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.17,52.53;41.63,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.63,52.53;41.63,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.63,52.7;43.47,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (33.81,49.81;33.81,53.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.63,50.66;42.09,50.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.17,50.15;41.17,52.53) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,42.67;43.47,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.63,44.54;43.47,44.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,44.03;43.47,44.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.45,52.19;49.45,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.93,44.54;43.93,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.95,42.33;37.95,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (40.71,41.99;40.71,52.02) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,38.93;47.61,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,39.27;43.01,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (33.35,39.27;33.35,41.65) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.11,41.65;36.11,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (42.09,50.66;42.09,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (34.73,45.39;34.73,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (42.55,47.77;43.01,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,47.77;43.01,49.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.07,52.53;48.07,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (45.31,52.53;45.31,52.7) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.57,50.49;36.57,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.13,50.15;30.59,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (33.35,43.01;33.35,45.22) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.59,43.01;30.59,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.45,41.99;49.45,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.11,47.09;36.57,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.57,45.73;36.57,47.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.59,47.77;30.59,50.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,44.54;43.93,44.54) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.99,44.37;48.99,45.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,47.43;43.47,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (28.29,42.67;28.29,44.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.07,41.31;48.07,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (38.41,42.67;38.41,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,45.05;43.47,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (40.71,53.89;42.55,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (42.55,53.21;42.55,53.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (35.19,50.15;35.19,52.19) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,43.52;42.61,43.52) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,40.29;29.67,48.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,38.08;42.61,38.08) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (39.79,43.01;39.79,47.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,45.39;43.01,46.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.63,53.21;41.63,53.38) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,48.11;29.67,50.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.99,39.61;48.99,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (39.33,39.95;39.33,44.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,39.61;48.99,39.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,54.4;42.61,54.4) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (38.87,41.99;38.87,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (34.27,42.67;34.27,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,48.96;42.61,48.96) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (44.85,40.29;44.85,42.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (28.75,45.73;28.75,47.77) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.15,43.01;47.15,44.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (39.79,49.47;39.79,53.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,44.71;47.61,49.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (31.05,20.23;31.05,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.99,15.13;48.99,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.53,19.89;25.53,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (40.25,15.81;40.25,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.49,17.85;37.49,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,18.19;23.23,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.69,3.74;23.69,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.15,15.81;24.15,25.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,20.57;43.01,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (46.69,19.89;46.69,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (39.33,17.51;39.33,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.13,12.58;30.13,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (15.87,18.53;15.87,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.83,23.29;27.83,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (8.97,17.51;8.97,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.47,15.81;20.47,24.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.57,15.81;13.57,22.78) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.83,17.51;27.83,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (19.55,17.85;19.55,29.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (10.81,15.13;10.81,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.65,16.83;12.65,21.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (9.43,20.57;9.43,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (33.81,20.23;33.81,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (16.79,20.23;16.79,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.15,17.51;47.15,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (22.77,19.89;22.77,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (31.97,18.53;31.97,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.19,20.57;12.19,24.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (42.55,29.41;42.55,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.93,26.69;43.93,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (31.05,22.95;31.05,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (39.79,23.97;39.79,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (35.19,27.71;35.19,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (35.19,31.45;35.19,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (45.77,23.29;45.77,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.53,33.83;48.53,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (45.77,32.13;45.77,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,32.64;42.61,32.64) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.53,26.35;48.53,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (40.71,28.73;40.71,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,32.13;43.01,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.53,36.89;48.53,37.06) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (32.89,23.97;32.89,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.49,34.17;37.49,37.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (44.85,31.11;44.85,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (34.73,31.79;34.73,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (32.43,23.29;32.43,24.99) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.45,34.85;49.45,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,28.73;47.61,34.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (36.57,26.35;36.57,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (34.73,26.01;34.73,29.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,36.89;29.67,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.49,28.39;37.49,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,27.2;42.61,27.2) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,21.76;42.61,21.76) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.07,28.39;48.07,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (9.89,31.45;9.89,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (9.89,33.83;9.89,34.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,26.69;23.23,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (9.43,34.5;9.89,34.5) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (9.43,34.5;9.43,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (18.63,26.69;18.63,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (8.05,30.94;8.05,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (19.55,31.11;19.55,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (19.55,33.15;19.55,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.95,23.97;14.95,35.02) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (10.35,34.51;10.35,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.57,32.13;13.57,34.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.11,28.73;13.11,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.65,23.97;12.65,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,24.48;22.61,24.48) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.57,28.22;13.57,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.61,22.95;24.61,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,28.22;14.03,29.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.57,28.22;14.03,28.22) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.65,29.41;12.65,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.19,33.83;12.65,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.19,33.83;12.19,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (17.71,29.41;17.71,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.19,29.41;12.19,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.61,21.25;24.61,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,34.51;23.23,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (16.33,26.01;16.33,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (8.51,20.91;8.51,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,31.45;14.03,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.53,33.83;25.53,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.53,27.71;25.53,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (26.45,26.69;26.45,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.69,29.07;23.69,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.69,33.83;23.69,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.61,31.79;24.61,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,34.85;14.03,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,26.35;14.03,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.49,31.11;14.49,33.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,33.66;14.49,33.66) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,33.66;14.03,34.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.11,31.45;13.11,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (26.91,31.45;26.91,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.07,20.91;25.07,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (18.17,30.77;18.17,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.53,22.95;25.53,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.93,25.33;20.93,26.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.69,26.18;23.69,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.15,25.33;24.15,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,26.18;23.69,26.18) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.01,31.79;20.01,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.15,28.05;24.15,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.37,26.35;27.37,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,26.01;23.23,26.18) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.37,28.05;27.37,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.69,23.97;23.69,25.33) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.85,26.01;21.85,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.47,29.41;20.47,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,35.36;22.61,35.36) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,29.92;22.61,29.92) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.11,22.78;13.11,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.39,22.27;21.39,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.11,22.78;13.57,22.78) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (8.05,26.86;8.05,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.19,14.45;12.19,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (9.43,17.85;9.43,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (16.79,9.69;16.79,14.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,19.04;22.61,19.04) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,13.6;22.61,13.6) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.93,9.35;20.93,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (26.91,6.63;26.91,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.47,12.58;20.93,12.58) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.93,12.07;20.93,12.58) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.47,14.62;20.93,14.62) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (7.59,6.46;7.59,6.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.93,14.62;20.93,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (13.11,10.03;13.11,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (20.47,12.58;20.47,14.62) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.07,9.01;25.07,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (26.91,13.09;26.91,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (21.07,8.16;22.61,8.16) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,12.75;23.23,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (16.79,6.63;16.79,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.99,6.63;25.99,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.69,3.74;24.61,3.74) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.61,3.74;24.61,4.42) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.61,4.42;25.07,4.42) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,9.35;14.03,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (18.17,10.03;18.17,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,4.93;14.03,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.03,12.07;14.03,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.07,3.74;25.07,4.42) w=0.14 bx=0 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (15.87,10.37;15.87,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (23.23,5.95;23.23,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (22.77,4.59;22.77,6.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (8.97,15.13;8.97,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (11.27,17.85;11.27,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (25.99,17.85;25.99,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (27.37,4.59;27.37,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (11.27,15.81;11.27,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (10.35,10.03;10.35,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (16.33,10.03;16.33,12.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (16.33,12.75;16.33,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (24.15,6.97;24.15,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.49,7.65;14.49,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (14.49,12.41;14.49,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (12.65,13.09;12.65,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (26.45,10.37;26.45,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (38.87,15.81;38.87,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.03,10.03;37.03,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,9.69;29.67,12.58) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,12.58;30.13,12.58) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,6.63;29.67,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (32.43,18.53;32.43,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (28.75,14.45;28.75,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (28.75,12.41;28.75,14.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.95,9.69;37.95,12.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.21,15.81;29.21,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.49,6.29;37.49,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (28.29,14.79;28.29,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (46.23,10.03;46.23,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.93,15.47;43.93,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.49,9.69;37.95,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (33.81,15.13;33.81,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (48.53,6.63;48.53,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (32.43,10.37;32.43,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (29.67,15.13;29.67,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (30.13,7.65;30.13,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (33.81,9.69;33.81,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.15,6.97;47.15,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (47.61,15.47;47.61,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,10.37;43.01,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (32.43,4.93;32.43,5.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (33.35,10.37;33.35,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (37.95,4.59;37.95,6.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,6.63;43.01,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.01,17.85;43.01,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (38.41,15.13;38.41,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,13.94;43.93,13.94) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (46.23,6.63;46.23,8.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (38.87,6.97;38.87,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.93,13.94;43.93,14.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (38.87,9.35;38.87,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (35.65,14.79;35.65,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,13.94;43.47,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (43.47,12.07;43.47,13.94) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (42.55,17.51;42.55,19.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,5.44;42.61,5.44) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (46.23,12.07;46.23,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,16.32;42.61,16.32) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (41.07,10.88;42.61,10.88) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (49.45,17.51;49.45,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,20.57;69.23,23.97) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (87.63,19.55;87.63,29.07) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.07,20.57;71.07,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.51,20.23;54.51,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.99,17.51;71.99,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.27,13.8;80.27,22.27) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.69,12.07;69.69,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (84.87,17.17;84.87,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.93,12.41;89.93,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (85.33,15.3;85.33,21.25) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.39,17.85;90.39,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.49,5.95;83.49,20.91) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (84.41,17.85;84.41,23.29) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (88.55,20.57;88.55,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (85.79,19.89;85.79,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,32.64;82.61,32.64) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,27.2;82.61,27.2) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.45,23.63;72.45,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,21.76;82.61,21.76) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (85.33,21.25;85.33,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.39,31.45;90.39,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.51,21.25;77.51,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.03,29.41;83.03,32.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.03,26.69;83.03,29.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (86.25,22.95;86.25,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (86.25,21.25;86.25,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.39,28.39;90.39,31.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.59,22.61;76.59,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (77.51,33.83;77.51,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.13,34.17;76.13,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (79.81,31.45;79.81,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (75.21,31.45;75.21,36.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.59,33.83;76.59,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (76.59,31.11;76.59,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.29,26.69;74.29,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.19,33.15;81.19,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.73,33.15;81.19,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.73,23.97;80.73,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.85,29.41;90.85,33.49) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (86.71,26.69;86.71,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (88.09,26.35;88.09,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (73.83,23.29;73.83,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (87.63,29.07;87.63,34.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (85.79,22.95;85.79,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (79.35,28.73;79.35,31.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.71,22.61;63.71,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,36.89;52.67,37.06) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.77,33.83;68.77,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.69,28.39;69.69,30.94) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.69,30.94;70.15,30.94) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,24.48;62.61,24.48) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.69,22.95;69.69,28.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (59.57,33.83;59.57,37.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.85,23.97;67.85,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.39,33.83;67.39,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (67.39,33.83;67.85,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,31.45;52.67,36.21) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.13,28.73;53.13,36.38) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.51,33.83;54.51,36.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.13,36.38;53.59,36.38) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,35.36;62.61,35.36) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,29.92;62.61,29.92) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.59,34.17;53.59,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (56.81,26.35;56.81,27.71) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.61,34.17;70.61,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.95,32.13;60.95,33.15) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.21,26.69;52.21,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.73,28.39;57.73,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.61,31.11;70.61,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.59,31.45;53.59,34.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,33.83;55.43,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.55,33.15;65.55,35.87) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.47,31.11;66.47,34.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (64.17,26.69;64.17,31.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,31.79;55.43,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.03,23.29;60.03,25.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.77,22.95;68.77,28.05) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (68.77,20.91;68.77,22.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.15,26.35;70.15,30.43) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.03,21.25;60.03,22.61) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (50.83,23.63;50.83,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.61,35.87;70.61,36.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.41,31.79;61.41,33.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.25,21.25;63.25,26.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (51.29,11.39;51.29,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (63.25,10.03;63.25,14.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (66.93,12.07;66.93,14.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.73,15.47;57.73,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.73,13.8;58.19,13.8) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (57.73,13.8;57.73,15.47) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (59.11,13.09;59.11,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (65.09,17.51;65.09,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,13.6;62.61,13.6) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,6.63;52.67,13.09) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.67,13.09;52.67,15.13) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.89,11.73;55.89,12.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.89,12.75;55.89,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (54.05,18.53;54.05,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,8.16;62.61,8.16) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (59.57,6.63;59.57,14.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (61.07,19.04;62.61,19.04) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.07,13.09;71.07,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (70.61,20.57;71.07,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.19,15.13;58.19,15.3) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.07,17.51;71.07,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.59,15.3;53.59,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.59,9.69;53.59,15.3) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (62.33,19.55;62.33,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.89,6.97;55.89,9.35) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (60.03,7.65;60.03,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.13,16.83;53.13,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (71.53,10.03;71.53,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.19,10.03;58.19,12.41) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,6.29;55.43,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (58.19,12.41;58.19,13.8) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (53.13,12.41;53.13,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,9.69;55.43,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (69.23,15.13;69.23,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.21,17.51;52.21,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (51.29,6.97;51.29,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (52.21,19.55;52.21,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (55.43,12.07;55.43,14.45) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.91,14.79;72.91,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,16.32;82.61,16.32) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,10.88;82.61,10.88) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (81.07,5.44;82.61,5.44) w=0.37 bx=0 ex=0 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (91.77,4.59;91.77,5.95) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (91.77,5.95;91.77,11.73) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.91,17.51;72.91,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (88.09,6.63;88.09,12.75) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (79.81,6.63;79.81,9.01) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.91,10.37;72.91,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.75,3.74;74.75,9.35) w=0.14 bx=0 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (91.77,17.51;91.77,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (86.25,15.13;86.25,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (78.43,9.69;78.43,17.17) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (91.31,18.53;91.31,20.57) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (91.77,11.73;91.77,17.51) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.75,9.35;74.75,11.39) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (85.33,12.41;85.33,14.11) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (74.29,6.97;74.29,8.67) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (87.63,5.95;87.63,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.03,5.95;83.03,20.23) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (83.95,9.69;83.95,19.55) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.73,6.97;80.73,9.69) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (90.39,15.81;90.39,17.85) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.01,14.79;89.01,16.83) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.01,11.39;89.01,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.93,11.73;89.93,11.9) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.47,11.9;89.93,11.9) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (89.47,11.9;89.47,15.81) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.73,15.81;80.73,19.89) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.73,9.69;80.73,13.8) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (84.87,6.63;84.87,10.03) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (80.27,13.8;80.73,13.8) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (72.91,6.97;72.91,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (91.31,6.63;91.31,10.37) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - path: (91.31,10.37;91.31,14.79) w=0.14 bx=0.07 ex=0.07 r=false - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (47.08,17.705;47.08,18.19;47.22,18.19;47.22,17.705) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (24.08,8.185;24.08,8.67;24.22,8.67;24.22,8.185) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (67.78,50.685;67.78,51.17;67.92,51.17;67.92,50.685) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (25,11.245;25,11.73;25.14,11.73;25.14,11.245) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (23.16,27.225;23.16,27.71;23.3,27.71;23.3,27.225) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (12.12,31.305;12.12,31.79;12.26,31.79;12.26,31.305) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (25,36.745;25,37.23;25.14,37.23;25.14,36.745) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (76.98,46.605;76.98,47.09;77.12,47.09;77.12,46.605) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (68.24,43.885;68.24,44.37;68.38,44.37;68.38,43.885) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (49.38,45.245;49.38,45.73;49.52,45.73;49.52,45.245) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (43.4,47.625;43.4,48.11;43.54,48.11;43.54,47.625) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (67.32,54.255;67.32,54.74;67.46,54.74;67.46,54.255) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (72.84,44.055;72.84,44.54;72.98,44.54;72.98,44.055) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (45.7,61.735;45.7,62.05;45.84,62.05;45.84,61.735) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (46.16,60.205;46.16,60.69;46.3,60.69;46.3,60.205) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (48.46,48.985;48.46,49.47;48.6,49.47;48.6,48.985) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (52.6,57.655;52.6,58.14;52.74,58.14;52.74,57.655) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (53.06,59.015;53.06,59.5;53.2,59.5;53.2,59.015) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (78.36,47.285;78.36,47.77;78.5,47.77;78.5,47.285) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (55.82,55.785;55.82,56.27;55.96,56.27;55.96,55.785) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (45.24,52.215;45.24,52.53;45.38,52.53;45.38,52.215) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (55.36,58.845;55.36,59.33;55.5,59.33;55.5,58.845) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (51.22,54.425;51.22,54.91;51.36,54.91;51.36,54.425) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (46.62,20.425;46.62,20.91;46.76,20.91;46.76,20.425) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (71,19.065;71,19.55;71.14,19.55;71.14,19.065) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (15.8,47.625;15.8,48.11;15.94,48.11;15.94,47.625) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (29.6,41.505;29.6,41.99;29.74,41.99;29.74,41.505) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (39.72,44.905;39.72,45.39;39.86,45.39;39.86,44.905) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (13.96,14.135;13.96,14.62;14.1,14.62;14.1,14.135) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (8.9,18.215;8.9,18.7;9.04,18.7;9.04,18.215) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (20.4,22.805;20.4,23.29;20.54,23.29;20.54,22.805) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (18.56,26.375;18.56,26.86;18.7,26.86;18.7,26.375) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (80.2,54.935;80.2,55.42;80.34,55.42;80.34,54.935) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (88.94,30.455;88.94,30.94;89.08,30.94;89.08,30.455) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (72.84,11.925;72.84,12.41;72.98,12.41;72.98,11.925) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (73.76,25.185;73.76,25.67;73.9,25.67;73.9,25.185) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (52.6,8.185;52.6,8.67;52.74,8.67;52.74,8.185) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (25.46,23.485;25.46,23.97;25.6,23.97;25.6,23.485) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (40.18,16.685;40.18,17.17;40.32,17.17;40.32,16.685) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (82.96,8.865;82.96,9.35;83.1,9.35;83.1,8.865) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (48,28.925;48,29.41;48.14,29.41;48.14,28.925) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (87.56,30.285;87.56,30.77;87.7,30.77;87.7,30.285) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (19.48,50.345;19.48,50.83;19.62,50.83;19.62,50.345) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (26.38,46.945;26.38,47.43;26.52,47.43;26.52,46.945) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (70.08,62.585;70.08,63.07;70.22,63.07;70.22,62.585) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (58.12,64.285;58.12,64.77;58.26,64.77;58.26,64.285) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (11.2,55.785;11.2,56.27;11.34,56.27;11.34,55.785) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (46.16,15.325;46.16,15.81;46.3,15.81;46.3,15.325) - - - - - '7/0' - digital_pll - false - 1 - - - polygon: (85.72,20.425;85.72,20.91;85.86,20.91;85.86,20.425) - - - - - '7/0' - VIA_M1M2_PR - false - 1 - - - polygon: (-0.13,-0.16;-0.13,0.16;0.13,0.16;0.13,-0.16) - - - - - '7/0' - VIA_M2M3_PR - false - 1 - - - polygon: (-0.14,-0.185;-0.14,0.185;0.14,0.185;0.14,-0.185) - - - - - '7/0' - VIA_via3_4_1600_480_1_4_400_400 - false - 1 - - - polygon: (-0.74,-0.185;-0.74,0.185;0.74,0.185;0.74,-0.185) - - - - - '7/0' - VIA_via2_3_1600_480_1_5_320_320 - false - 1 - - - polygon: (-0.77,-0.16;-0.77,0.16;0.77,0.16;0.77,-0.16) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[10]',r0 27.83,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[11]',r0 35.19,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[12]',r0 42.55,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[13]',r0 49.91,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[14]',r0 57.27,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[15]',r0 64.63,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[16]',r0 71.99,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[17]',r0 79.35,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[18]',r0 86.71,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[19]',r0 94.07,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[7]',r0 5.75,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[8]',r0 13.11,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('ext_trim[9]',r0 20.47,73) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('osc',r0 74.75,2) - - - - - '7/1' - digital_pll - false - 1 - - - label: ('resetb',r0 25.07,2) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (27.69,71;27.69,75;27.97,75;27.97,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (35.05,71;35.05,75;35.33,75;35.33,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (42.41,71;42.41,75;42.69,75;42.69,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (49.77,71;49.77,75;50.05,75;50.05,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (57.13,71;57.13,75;57.41,75;57.41,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (64.49,71;64.49,75;64.77,75;64.77,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (71.85,71;71.85,75;72.13,75;72.13,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (79.21,71;79.21,75;79.49,75;79.49,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (86.57,71;86.57,75;86.85,75;86.85,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (93.93,71;93.93,75;94.21,75;94.21,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (5.61,71;5.61,75;5.89,75;5.89,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (12.97,71;12.97,75;13.25,75;13.25,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (20.33,71;20.33,75;20.61,75;20.61,71) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (74.61,0;74.61,4;74.89,4;74.89,0) - - - - - '7/2' - digital_pll - false - 1 - - - polygon: (24.93,0;24.93,4;25.21,4;25.21,0) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,6.16;0,6.76;4,6.76;4,6.16) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,10.24;0,10.84;4,10.84;4,10.24) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,38.8;0,39.4;4,39.4;4,38.8) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,14.32;0,14.92;4,14.92;4,14.32) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,18.4;0,19;4,19;4,18.4) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,22.48;0,23.08;4,23.08;4,22.48) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,26.56;0,27.16;4,27.16;4,26.56) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,30.64;0,31.24;4,31.24;4,30.64) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,34.72;0,35.32;4,35.32;4,34.72) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,42.88;0,43.48;4,43.48;4,42.88) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,46.96;0,47.56;4,47.56;4,46.96) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (96,67.36;96,67.96;100,67.96;100,67.36) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (96,55.12;96,55.72;100,55.72;100,55.12) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (96,42.88;96,43.48;100,43.48;100,42.88) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (96,30.64;96,31.24;100,31.24;100,30.64) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (96,18.4;96,19;100,19;100,18.4) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (96,6.16;96,6.76;100,6.76;100,6.16) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,51.04;0,51.64;4,51.64;4,51.04) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,55.12;0,55.72;4,55.72;4,55.12) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,59.2;0,59.8;4,59.8;4,59.2) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,63.28;0,63.88;4,63.88;4,63.28) - - - - - '70/16' - digital_pll - false - 1 - - - polygon: (0,67.36;0,67.96;4,67.96;4,67.36) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('clockp[0]',r0 2,6.46) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('clockp[1]',r0 2,10.54) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('dco',r0 2,39.1) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('div[0]',r0 2,14.62) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('div[1]',r0 2,18.7) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('div[2]',r0 2,22.78) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('div[3]',r0 2,26.86) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('div[4]',r0 2,30.94) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('enable',r0 2,35.02) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[0]',r0 2,43.18) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[1]',r0 2,47.26) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[20]',r0 98,67.66) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[21]',r0 98,55.42) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[22]',r0 98,43.18) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[23]',r0 98,30.94) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[24]',r0 98,18.7) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[25]',r0 98,6.46) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[2]',r0 2,51.34) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[3]',r0 2,55.42) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[4]',r0 2,59.5) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[5]',r0 2,63.58) - - - - - '70/16' - digital_pll - false - 1 - - - label: ('ext_trim[6]',r0 2,67.66) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (46.065,60.03;46.065,60.33;70.775,60.33;70.775,60.03) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (49.285,57.99;49.285,58.29;52.835,58.29;52.835,57.99) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (34.105,57.31;34.105,57.61;55.135,57.61;55.135,57.31) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.71,55.27;41.71,55.57;66.635,55.57;66.635,55.27) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (35.025,53.23;35.025,53.53;50.995,53.53;50.995,53.23) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (47.445,52.55;47.445,52.85;62.955,52.85;62.955,52.55) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (48.825,49.83;48.825,50.13;59.735,50.13;59.735,49.83) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (33.185,45.07;33.185,45.37;69.855,45.37;69.855,45.07) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (47.445,42.35;47.445,42.65;54.675,42.65;54.675,42.35) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (48.365,36.91;48.365,37.21;52.835,37.21;52.835,36.91) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (50.205,61.39;50.205,61.69;94.235,61.69;94.235,61.39) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.805,55.95;54.805,56.25;79.975,56.25;79.975,55.95) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (50.205,50.51;50.205,50.81;75.835,50.81;75.835,50.51) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (57.105,47.79;57.105,48.09;79.515,48.09;79.515,47.79) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (69.985,47.11;69.985,47.41;78.595,47.41;78.595,47.11) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.485,43.03;58.485,43.33;75.375,43.33;75.375,43.03) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (79.645,56.25;79.645,56.265;79.975,56.265;79.975,56.25) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (93.905,61.69;93.905,61.705;94.235,61.705;94.235,61.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (79.645,55.935;79.645,55.95;79.975,55.95;79.975,55.935) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.965,67.51;75.965,67.81;100,67.81;100,67.51) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (80.105,55.57;80.105,55.585;80.435,55.585;80.435,55.57) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,55.57;96,55.72;100,55.72;100,55.57) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (80.105,55.27;80.105,55.57;100,55.57;100,55.27) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (80.105,55.255;80.105,55.27;80.435,55.27;80.435,55.255) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,55.12;96,55.27;100,55.27;100,55.12) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,54.235;81.05,54.565;82.63,54.565;82.63,54.235) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.965,67.495;75.965,67.51;76.295,67.51;76.295,67.495) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,67.36;96,67.51;100,67.51;100,67.36) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (93.905,61.375;93.905,61.39;94.235,61.39;94.235,61.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,65.115;81.05,65.445;82.63,65.445;82.63,65.115) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,59.675;81.05,60.005;82.63,60.005;82.63,59.675) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.965,67.81;75.965,67.825;76.295,67.825;76.295,67.81) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,67.81;96,67.96;100,67.96;100,67.81) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (60.785,59.335;60.785,59.35;61.115,59.35;61.115,59.335) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (53.885,58.97;53.885,58.985;54.215,58.985;54.215,58.97) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.245,58.97;61.245,58.985;61.575,58.985;61.575,58.97) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (53.885,58.67;53.885,58.97;61.575,58.97;61.575,58.67) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (53.885,58.655;53.885,58.67;54.215,58.67;54.215,58.655) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.245,58.655;61.245,58.67;61.575,58.67;61.575,58.655) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.505,58.29;52.505,58.305;52.835,58.305;52.835,58.29) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.045,61.69;52.045,61.705;52.375,61.705;52.375,61.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.505,57.975;52.505,57.99;52.835,57.99;52.835,57.975) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.345,58.29;54.345,58.305;54.675,58.305;54.675,58.29) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (64.465,58.29;64.465,58.305;64.795,58.305;64.795,58.29) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.345,57.99;54.345,58.29;64.795,58.29;64.795,57.99) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.345,57.975;54.345,57.99;54.675,57.99;54.675,57.975) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (64.465,57.975;64.465,57.99;64.795,57.99;64.795,57.975) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.805,57.61;54.805,57.625;55.135,57.625;55.135,57.61) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (51.585,63.415;51.585,63.43;51.915,63.43;51.915,63.415) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.805,57.295;54.805,57.31;55.135,57.31;55.135,57.295) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,56.955;61.05,57.285;62.63,57.285;62.63,56.955) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.045,56.93;52.045,56.945;52.375,56.945;52.375,56.93) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (60.325,56.93;60.325,56.945;60.655,56.945;60.655,56.93) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.045,56.63;52.045,56.93;60.655,56.93;60.655,56.63) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.045,56.615;52.045,56.63;52.375,56.63;52.375,56.615) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (60.325,56.615;60.325,56.63;60.655,56.63;60.655,56.615) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.805,56.25;54.805,56.265;55.135,56.265;55.135,56.25) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.025,63.415;58.025,63.43;58.355,63.43;58.355,63.415) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (50.205,61.375;50.205,61.39;50.535,61.39;50.535,61.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.805,55.935;54.805,55.95;55.135,55.95;55.135,55.935) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.045,61.375;52.045,61.39;52.375,61.39;52.375,61.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (66.305,55.57;66.305,55.585;66.635,55.585;66.635,55.57) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,62.395;61.05,62.725;62.63,62.725;62.63,62.395) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (66.305,55.255;66.305,55.27;66.635,55.27;66.635,55.255) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.945,61.01;58.945,61.025;59.275,61.025;59.275,61.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (64.925,61.01;64.925,61.025;65.255,61.025;65.255,61.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.945,60.71;58.945,61.01;65.255,61.01;65.255,60.71) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.945,60.695;58.945,60.71;59.275,60.71;59.275,60.695) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (64.925,60.695;64.925,60.71;65.255,60.71;65.255,60.695) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.805,54.89;54.805,54.905;55.135,54.905;55.135,54.89) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (67.225,54.89;67.225,54.905;67.555,54.905;67.555,54.89) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.805,54.59;54.805,54.89;67.555,54.89;67.555,54.59) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.805,54.575;54.805,54.59;55.135,54.59;55.135,54.575) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (67.225,54.575;67.225,54.59;67.555,54.59;67.555,54.575) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (70.445,60.33;70.445,60.345;70.775,60.345;70.775,60.33) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (53.885,54.21;53.885,54.225;54.215,54.225;54.215,54.21) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (60.785,54.21;60.785,54.225;61.115,54.225;61.115,54.21) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (53.885,53.91;53.885,54.21;61.115,54.21;61.115,53.91) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (53.885,53.895;53.885,53.91;54.215,53.91;54.215,53.895) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (60.785,53.895;60.785,53.91;61.115,53.91;61.115,53.895) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (50.665,53.53;50.665,53.545;50.995,53.545;50.995,53.53) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,67.835;61.05,68.165;62.63,68.165;62.63,67.835) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (50.665,53.215;50.665,53.23;50.995,53.23;50.995,53.215) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (51.585,53.53;51.585,53.545;51.915,53.545;51.915,53.53) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.485,53.53;58.485,53.545;58.815,53.545;58.815,53.53) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (51.585,53.23;51.585,53.53;58.815,53.53;58.815,53.23) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (51.585,53.215;51.585,53.23;51.915,53.23;51.915,53.215) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.485,53.215;58.485,53.23;58.815,53.23;58.815,53.215) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.245,53.53;61.245,53.545;61.575,53.545;61.575,53.53) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (69.985,53.53;69.985,53.545;70.315,53.545;70.315,53.53) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.245,53.23;61.245,53.53;70.315,53.53;70.315,53.23) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.245,53.215;61.245,53.23;61.575,53.23;61.575,53.215) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (69.985,53.215;69.985,53.23;70.315,53.23;70.315,53.215) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (62.625,52.85;62.625,52.865;62.955,52.865;62.955,52.85) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (70.445,60.015;70.445,60.03;70.775,60.03;70.775,60.015) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (62.625,52.535;62.625,52.55;62.955,52.55;62.955,52.535) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (50.205,61.69;50.205,61.705;50.535,61.705;50.535,61.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.965,59.65;52.965,59.665;53.295,59.665;53.295,59.65) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (60.785,59.65;60.785,59.665;61.115,59.665;61.115,59.65) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.965,59.35;52.965,59.65;61.115,59.65;61.115,59.35) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (51.585,63.73;51.585,63.745;51.915,63.745;51.915,63.73) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.965,59.335;52.965,59.35;53.295,59.35;53.295,59.335) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.025,63.73;58.025,63.745;58.355,63.745;58.355,63.73) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (51.585,63.43;51.585,63.73;58.355,63.73;58.355,63.43) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,51.515;61.05,51.845;62.63,51.845;62.63,51.515) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (57.105,47.775;57.105,47.79;57.435,47.79;57.435,47.775) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (69.985,47.41;69.985,47.425;70.315,47.425;70.315,47.41) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (50.205,50.495;50.205,50.51;50.535,50.51;50.535,50.495) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (69.985,47.095;69.985,47.11;70.315,47.11;70.315,47.095) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,46.075;61.05,46.405;62.63,46.405;62.63,46.075) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (69.525,45.37;69.525,45.385;69.855,45.385;69.855,45.37) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (59.405,50.13;59.405,50.145;59.735,50.145;59.735,50.13) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (69.525,45.055;69.525,45.07;69.855,45.07;69.855,45.055) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.965,44.69;52.965,44.705;53.295,44.705;53.295,44.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (64.465,44.69;64.465,44.705;64.795,44.705;64.795,44.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (72.745,44.69;72.745,44.705;73.075,44.705;73.075,44.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.965,44.39;52.965,44.69;73.075,44.69;73.075,44.39) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.965,44.375;52.965,44.39;53.295,44.39;53.295,44.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (64.465,44.375;64.465,44.39;64.795,44.39;64.795,44.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (72.745,44.375;72.745,44.39;73.075,44.39;73.075,44.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (51.585,44.01;51.585,44.025;51.915,44.025;51.915,44.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (59.405,44.01;59.405,44.025;59.735,44.025;59.735,44.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (51.585,43.71;51.585,44.01;59.735,44.01;59.735,43.71) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (51.585,43.695;51.585,43.71;51.915,43.71;51.915,43.695) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (59.405,43.695;59.405,43.71;59.735,43.71;59.735,43.695) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.485,43.33;58.485,43.345;58.815,43.345;58.815,43.33) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (50.205,50.81;50.205,50.825;50.535,50.825;50.535,50.81) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.485,43.015;58.485,43.03;58.815,43.03;58.815,43.015) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.505,42.65;52.505,42.665;52.835,42.665;52.835,42.65) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.345,42.65;54.345,42.665;54.675,42.665;54.675,42.65) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (59.405,49.815;59.405,49.83;59.735,49.83;59.735,49.815) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.505,42.335;52.505,42.35;52.835,42.35;52.835,42.335) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (54.345,42.335;54.345,42.35;54.675,42.35;54.675,42.335) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.245,42.65;61.245,42.665;61.575,42.665;61.575,42.65) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (68.605,42.65;68.605,42.665;68.935,42.665;68.935,42.65) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.245,42.35;61.245,42.65;68.935,42.65;68.935,42.35) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.245,42.335;61.245,42.35;61.575,42.35;61.575,42.335) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (68.605,42.335;68.605,42.35;68.935,42.35;68.935,42.335) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (57.105,41.97;57.105,41.985;57.435,41.985;57.435,41.97) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (67.225,41.97;67.225,41.985;67.555,41.985;67.555,41.97) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (57.105,41.67;57.105,41.97;67.555,41.97;67.555,41.67) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (57.105,41.655;57.105,41.67;57.435,41.67;57.435,41.655) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (67.225,41.655;67.225,41.67;67.555,41.67;67.555,41.655) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,40.635;61.05,40.965;62.63,40.965;62.63,40.635) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (57.565,39.93;57.565,39.945;57.895,39.945;57.895,39.93) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (74.125,39.93;74.125,39.945;74.455,39.945;74.455,39.93) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (57.565,39.63;57.565,39.93;74.455,39.93;74.455,39.63) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (57.565,39.615;57.565,39.63;57.895,39.63;57.895,39.615) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (74.125,39.615;74.125,39.63;74.455,39.63;74.455,39.615) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.505,37.21;52.505,37.225;52.835,37.225;52.835,37.21) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (57.105,48.09;57.105,48.105;57.435,48.105;57.435,48.09) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (52.505,36.895;52.505,36.91;52.835,36.91;52.835,36.895) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.505,50.81;75.505,50.825;75.835,50.825;75.835,50.81) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (78.265,47.095;78.265,47.11;78.595,47.11;78.595,47.095) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,48.795;81.05,49.125;82.63,49.125;82.63,48.795) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (79.185,47.775;79.185,47.79;79.515,47.79;79.515,47.775) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,43.355;81.05,43.685;82.63,43.685;82.63,43.355) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.505,50.495;75.505,50.51;75.835,50.51;75.835,50.495) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.045,43.33;75.045,43.345;75.375,43.345;75.375,43.33) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (78.265,47.41;78.265,47.425;78.595,47.425;78.595,47.41) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (83.11,43.33;83.11,44.39;83.41,44.39;83.41,43.33) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,43.33;96,43.48;100,43.48;100,43.33) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (83.11,43.03;83.11,43.33;100,43.33;100,43.03) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.965,44.69;75.965,44.705;76.295,44.705;76.295,44.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.045,43.015;75.045,43.03;75.375,43.03;75.375,43.015) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,42.88;96,43.03;100,43.03;100,42.88) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (77.345,44.69;77.345,44.705;77.675,44.705;77.675,44.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.965,44.39;75.965,44.69;83.41,44.69;83.41,44.39) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,37.915;81.05,38.245;82.63,38.245;82.63,37.915) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (75.965,44.375;75.965,44.39;76.295,44.39;76.295,44.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (77.345,44.375;77.345,44.39;77.675,44.39;77.675,44.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (79.185,48.09;79.185,48.105;79.515,48.105;79.515,48.09) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (10.185,52.55;10.185,52.85;45.475,52.85;45.475,52.55) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (43.305,55.57;43.305,55.585;43.635,55.585;43.635,55.57) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (46.065,55.57;46.065,55.585;46.395,55.585;46.395,55.57) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (42.385,61.01;42.385,61.025;42.715,61.025;42.715,61.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (34.565,58.97;34.565,58.985;34.895,58.985;34.895,58.97) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (43.305,55.255;43.305,55.27;43.635,55.27;43.635,55.255) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (46.065,55.255;46.065,55.27;46.395,55.27;46.395,55.255) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (48.825,58.97;48.825,58.985;49.155,58.985;49.155,58.97) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (34.565,58.67;34.565,58.97;49.155,58.97;49.155,58.67) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (34.565,58.655;34.565,58.67;34.895,58.67;34.895,58.655) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (48.825,58.655;48.825,58.67;49.155,58.67;49.155,58.655) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (44.225,61.01;44.225,61.025;44.555,61.025;44.555,61.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (47.905,61.01;47.905,61.025;48.235,61.025;48.235,61.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (42.385,60.71;42.385,61.01;48.235,61.01;48.235,60.71) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (42.385,60.695;42.385,60.71;42.715,60.71;42.715,60.695) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (44.225,60.695;44.225,60.71;44.555,60.71;44.555,60.695) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (49.285,58.29;49.285,58.305;49.615,58.305;49.615,58.29) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (47.905,60.695;47.905,60.71;48.235,60.71;48.235,60.695) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,54.235;41.05,54.565;42.63,54.565;42.63,54.235) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (49.285,57.975;49.285,57.99;49.615,57.99;49.615,57.975) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (35.025,53.53;35.025,53.545;35.355,53.545;35.355,53.53) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.465,53.53;41.465,53.545;41.795,53.545;41.795,53.53) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (46.065,60.33;46.065,60.345;46.395,60.345;46.395,60.33) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,65.115;41.05,65.445;42.63,65.445;42.63,65.115) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (35.025,53.215;35.025,53.23;35.355,53.23;35.355,53.215) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.465,53.215;41.465,53.23;41.795,53.23;41.795,53.215) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (34.105,57.61;34.105,57.625;34.435,57.625;34.435,57.61) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (46.065,60.015;46.065,60.03;46.395,60.03;46.395,60.015) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (34.105,57.295;34.105,57.31;34.435,57.31;34.435,57.295) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,59.675;41.05,60.005;42.63,60.005;42.63,59.675) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (45.145,52.85;45.145,52.865;45.475,52.865;45.475,52.85) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.71,55.57;41.71,55.935;42.01,55.935;42.01,55.57) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (45.145,52.535;45.145,52.55;45.475,52.55;45.475,52.535) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (47.445,52.85;47.445,52.865;47.775,52.865;47.775,52.85) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.465,56.25;41.465,56.265;41.795,56.265;41.795,56.25) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (47.445,52.535;47.445,52.55;47.775,52.55;47.775,52.535) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.465,55.935;41.465,56.25;42.01,56.25;42.01,55.935) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (20.305,55.255;20.305,55.27;20.635,55.27;20.635,55.255) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,67.81;0,67.96;4,67.96;4,67.81) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (17.085,67.495;17.085,67.51;17.415,67.51;17.415,67.495) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (13.865,63.415;13.865,63.43;14.195,63.43;14.195,63.415) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (17.085,67.81;17.085,67.825;17.415,67.825;17.415,67.81) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,63.73;0,63.88;4,63.88;4,63.73) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,56.955;21.05,57.285;22.63,57.285;22.63,56.955) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,59.65;0,59.8;4,59.8;4,59.65) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,67.51;0,67.81;17.415,67.81;17.415,67.51) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (13.865,63.73;13.865,63.745;14.195,63.745;14.195,63.73) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (17.085,59.65;17.085,59.665;17.415,59.665;17.415,59.65) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,59.35;0,59.65;17.415,59.65;17.415,59.35) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (10.185,52.85;10.185,52.865;10.515,52.865;10.515,52.85) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,67.36;0,67.51;4,67.51;4,67.36) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,62.395;21.05,62.725;22.63,62.725;22.63,62.395) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (10.185,52.535;10.185,52.55;10.515,52.55;10.515,52.535) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,67.835;21.05,68.165;22.63,68.165;22.63,67.835) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,55.57;0,55.72;4,55.72;4,55.57) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,59.2;0,59.35;4,59.35;4,59.2) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (20.305,55.57;20.305,55.585;20.635,55.585;20.635,55.57) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,55.27;0,55.57;20.635,55.57;20.635,55.27) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (17.085,59.335;17.085,59.35;17.415,59.35;17.415,59.335) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,63.43;0,63.73;14.195,63.73;14.195,63.43) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,55.12;0,55.27;4,55.27;4,55.12) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,63.28;0,63.43;4,63.43;4,63.28) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,46.075;21.05,46.405;22.63,46.405;22.63,46.075) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,47.41;0,47.56;4,47.56;4,47.41) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (8.805,47.41;8.805,47.425;9.135,47.425;9.135,47.41) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,47.11;0,47.41;9.135,47.41;9.135,47.11) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,43.33;0,43.48;4,43.48;4,43.33) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,46.96;0,47.11;4,47.11;4,46.96) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (19.845,43.33;19.845,43.345;20.175,43.345;20.175,43.33) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,43.03;0,43.33;20.175,43.33;20.175,43.03) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,40.635;21.05,40.965;22.63,40.965;22.63,40.635) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,51.49;0,51.64;4,51.64;4,51.49) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,51.515;21.05,51.845;22.63,51.845;22.63,51.515) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,42.88;0,43.03;4,43.03;4,42.88) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (18.925,51.49;18.925,51.505;19.255,51.505;19.255,51.49) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,51.19;0,51.49;19.255,51.49;19.255,51.19) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,39.25;0,39.4;4,39.4;4,39.25) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (15.705,39.25;15.705,39.265;16.035,39.265;16.035,39.25) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,38.95;0,39.25;16.035,39.25;16.035,38.95) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,38.8;0,38.95;4,38.95;4,38.8) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (15.705,38.935;15.705,38.95;16.035,38.95;16.035,38.935) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,51.04;0,51.19;4,51.19;4,51.04) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (18.925,51.175;18.925,51.19;19.255,51.19;19.255,51.175) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (19.845,43.015;19.845,43.03;20.175,43.03;20.175,43.015) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (8.805,47.095;8.805,47.11;9.135,47.11;9.135,47.095) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (40.545,51.87;40.545,52.17;44.095,52.17;44.095,51.87) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (40.545,51.855;40.545,51.87;40.875,51.87;40.875,51.855) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (47.445,42.65;47.445,42.665;47.775,42.665;47.775,42.65) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (43.765,51.855;43.765,51.87;44.095,51.87;44.095,51.855) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (48.825,49.815;48.825,49.83;49.155,49.83;49.155,49.815) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (48.825,50.13;48.825,50.145;49.155,50.145;49.155,50.13) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (33.185,45.055;33.185,45.07;33.515,45.07;33.515,45.055) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (47.445,42.335;47.445,42.35;47.775,42.35;47.775,42.335) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,48.795;41.05,49.125;42.63,49.125;42.63,48.795) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (29.965,44.69;29.965,44.705;30.295,44.705;30.295,44.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (39.165,44.69;39.165,44.705;39.495,44.705;39.495,44.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (29.965,44.39;29.965,44.69;39.495,44.69;39.495,44.39) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,43.355;41.05,43.685;42.63,43.685;42.63,43.355) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (29.965,44.375;29.965,44.39;30.295,44.39;30.295,44.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,37.915;41.05,38.245;42.63,38.245;42.63,37.915) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (39.165,44.375;39.165,44.39;39.495,44.39;39.495,44.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (48.365,37.21;48.365,37.225;48.695,37.225;48.695,37.21) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (33.185,45.37;33.185,45.385;33.515,45.385;33.515,45.37) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (40.545,52.17;40.545,52.185;40.875,52.185;40.875,52.17) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (48.365,36.895;48.365,36.91;48.695,36.91;48.695,36.895) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (43.765,52.17;43.765,52.185;44.095,52.185;44.095,52.17) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,35.17;0,35.32;4,35.32;4,35.17) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,35.195;21.05,35.525;22.63,35.525;22.63,35.195) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (14.785,35.17;14.785,35.185;15.115,35.185;15.115,35.17) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,34.87;0,35.17;15.115,35.17;15.115,34.87) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,34.72;0,34.87;4,34.87;4,34.72) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (14.785,34.855;14.785,34.87;15.115,34.87;15.115,34.855) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,32.475;41.05,32.805;42.63,32.805;42.63,32.475) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,31.09;0,31.24;4,31.24;4,31.09) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (7.885,31.09;7.885,31.105;8.215,31.105;8.215,31.09) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,30.79;0,31.09;8.215,31.09;8.215,30.79) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,30.64;0,30.79;4,30.79;4,30.64) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (7.885,30.775;7.885,30.79;8.215,30.79;8.215,30.775) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,29.755;21.05,30.085;22.63,30.085;22.63,29.755) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,27.01;0,27.16;4,27.16;4,27.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,27.035;41.05,27.365;42.63,27.365;42.63,27.035) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (7.885,27.01;7.885,27.025;8.215,27.025;8.215,27.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (18.465,27.01;18.465,27.025;18.795,27.025;18.795,27.01) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,26.71;0,27.01;18.795,27.01;18.795,26.71) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,26.56;0,26.71;4,26.71;4,26.56) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (7.885,26.695;7.885,26.71;8.215,26.71;8.215,26.695) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (18.465,26.695;18.465,26.71;18.795,26.71;18.795,26.695) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,24.315;21.05,24.645;22.63,24.645;22.63,24.315) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,22.93;0,23.08;4,23.08;4,22.93) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (12.945,22.93;12.945,22.945;13.275,22.945;13.275,22.93) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,22.63;0,22.93;13.275,22.93;13.275,22.63) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,22.48;0,22.63;4,22.63;4,22.48) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (12.945,22.615;12.945,22.63;13.275,22.63;13.275,22.615) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,21.595;41.05,21.925;42.63,21.925;42.63,21.595) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,18.85;0,19;4,19;4,18.85) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,18.875;21.05,19.205;22.63,19.205;22.63,18.875) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (8.805,18.85;8.805,18.865;9.135,18.865;9.135,18.85) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,18.55;0,18.85;9.135,18.85;9.135,18.55) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,18.4;0,18.55;4,18.55;4,18.4) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (8.805,18.535;8.805,18.55;9.135,18.55;9.135,18.535) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,16.155;41.05,16.485;42.63,16.485;42.63,16.155) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,14.77;0,14.92;4,14.92;4,14.77) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (13.865,14.77;13.865,14.785;14.195,14.785;14.195,14.77) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,14.47;0,14.77;14.195,14.77;14.195,14.47) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,14.32;0,14.47;4,14.47;4,14.32) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (13.865,14.455;13.865,14.47;14.195,14.47;14.195,14.455) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,13.435;21.05,13.765;22.63,13.765;22.63,13.435) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,10.69;0,10.84;4,10.84;4,10.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,10.715;41.05,11.045;42.63,11.045;42.63,10.715) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (15.245,10.69;15.245,10.705;15.575,10.705;15.575,10.69) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,10.39;0,10.69;15.575,10.69;15.575,10.39) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,10.24;0,10.39;4,10.39;4,10.24) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (15.245,10.375;15.245,10.39;15.575,10.39;15.575,10.375) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (21.05,7.995;21.05,8.325;22.63,8.325;22.63,7.995) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,6.61;0,6.76;4,6.76;4,6.61) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (7.425,6.61;7.425,6.625;7.755,6.625;7.755,6.61) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,6.31;0,6.61;7.755,6.61;7.755,6.31) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (0,6.16;0,6.31;4,6.31;4,6.16) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (7.425,6.295;7.425,6.31;7.755,6.31;7.755,6.295) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (41.05,5.275;41.05,5.605;42.63,5.605;42.63,5.275) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.025,15.45;58.025,15.465;58.355,15.465;58.355,15.45) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (85.165,15.45;85.165,15.465;85.495,15.465;85.495,15.45) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (53.425,15.15;53.425,15.45;85.495,15.45;85.495,15.15) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (53.425,15.135;53.425,15.15;53.755,15.15;53.755,15.135) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (58.025,15.135;58.025,15.15;58.355,15.15;58.355,15.135) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (85.165,15.135;85.165,15.15;85.495,15.15;85.495,15.135) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (88.845,30.79;88.845,31.09;100,31.09;100,30.79) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (88.845,30.775;88.845,30.79;89.175,30.79;89.175,30.775) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,30.64;96,30.79;100,30.79;100,30.64) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,21.595;81.05,21.925;82.63,21.925;82.63,21.595) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,32.475;81.05,32.805;82.63,32.805;82.63,32.475) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,29.755;61.05,30.085;62.63,30.085;62.63,29.755) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,13.435;61.05,13.765;62.63,13.765;62.63,13.435) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,18.875;61.05,19.205;62.63,19.205;62.63,18.875) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,35.195;61.05,35.525;62.63,35.525;62.63,35.195) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,10.715;81.05,11.045;82.63,11.045;82.63,10.715) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,24.315;61.05,24.645;62.63,24.645;62.63,24.315) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (88.845,31.09;88.845,31.105;89.175,31.105;89.175,31.09) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,27.035;81.05,27.365;82.63,27.365;82.63,27.035) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (92.065,18.85;92.065,18.865;92.395,18.865;92.395,18.85) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,18.85;96,19;100,19;100,18.85) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (61.05,7.995;61.05,8.325;62.63,8.325;62.63,7.995) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (92.065,18.55;92.065,18.85;100,18.85;100,18.55) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (92.065,18.535;92.065,18.55;92.395,18.55;92.395,18.535) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,18.4;96,18.55;100,18.55;100,18.4) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,31.09;96,31.24;100,31.24;100,31.09) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,16.155;81.05,16.485;82.63,16.485;82.63,16.155) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (77.805,6.61;77.805,6.625;78.135,6.625;78.135,6.61) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,6.61;96,6.76;100,6.76;100,6.61) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (77.805,6.31;77.805,6.61;100,6.61;100,6.31) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (77.805,6.295;77.805,6.31;78.135,6.31;78.135,6.295) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (96,6.16;96,6.31;100,6.31;100,6.16) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (53.425,15.45;53.425,15.465;53.755,15.465;53.755,15.45) - - - - - '70/20' - digital_pll - false - 1 - - - polygon: (81.05,5.275;81.05,5.605;82.63,5.605;82.63,5.275) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,67.9;61.14,68.1;61.34,68.1;61.34,67.9) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,67.9;61.54,68.1;61.74,68.1;61.74,67.9) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,67.9;61.94,68.1;62.14,68.1;62.14,67.9) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,67.9;62.34,68.1;62.54,68.1;62.54,67.9) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,65.18;81.14,65.38;81.34,65.38;81.34,65.18) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,65.18;81.54,65.38;81.74,65.38;81.74,65.18) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,65.18;81.94,65.38;82.14,65.38;82.14,65.18) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,65.18;82.34,65.38;82.54,65.38;82.54,65.18) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,62.46;61.14,62.66;61.34,62.66;61.34,62.46) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,62.46;61.54,62.66;61.74,62.66;61.74,62.46) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,62.46;61.94,62.66;62.14,62.66;62.14,62.46) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,62.46;62.34,62.66;62.54,62.66;62.54,62.46) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,59.74;81.14,59.94;81.34,59.94;81.34,59.74) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,59.74;81.54,59.94;81.74,59.94;81.74,59.74) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,59.74;81.94,59.94;82.14,59.94;82.14,59.74) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,59.74;82.34,59.94;82.54,59.94;82.54,59.74) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,57.02;61.14,57.22;61.34,57.22;61.34,57.02) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,57.02;61.54,57.22;61.74,57.22;61.74,57.02) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,57.02;61.94,57.22;62.14,57.22;62.14,57.02) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,57.02;62.34,57.22;62.54,57.22;62.54,57.02) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,54.3;81.14,54.5;81.34,54.5;81.34,54.3) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,54.3;81.54,54.5;81.74,54.5;81.74,54.3) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,54.3;81.94,54.5;82.14,54.5;82.14,54.3) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,54.3;82.34,54.5;82.54,54.5;82.54,54.3) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,51.58;61.14,51.78;61.34,51.78;61.34,51.58) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,51.58;61.54,51.78;61.74,51.78;61.74,51.58) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,51.58;61.94,51.78;62.14,51.78;62.14,51.58) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,51.58;62.34,51.78;62.54,51.78;62.54,51.58) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,48.86;81.14,49.06;81.34,49.06;81.34,48.86) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,48.86;81.54,49.06;81.74,49.06;81.74,48.86) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,48.86;81.94,49.06;82.14,49.06;82.14,48.86) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,48.86;82.34,49.06;82.54,49.06;82.54,48.86) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,46.14;61.14,46.34;61.34,46.34;61.34,46.14) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,46.14;61.54,46.34;61.74,46.34;61.74,46.14) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,46.14;61.94,46.34;62.14,46.34;62.14,46.14) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,46.14;62.34,46.34;62.54,46.34;62.54,46.14) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,43.42;81.14,43.62;81.34,43.62;81.34,43.42) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,43.42;81.54,43.62;81.74,43.62;81.74,43.42) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,43.42;81.94,43.62;82.14,43.62;82.14,43.42) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,43.42;82.34,43.62;82.54,43.62;82.54,43.42) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,40.7;61.14,40.9;61.34,40.9;61.34,40.7) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,40.7;61.54,40.9;61.74,40.9;61.74,40.7) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,40.7;61.94,40.9;62.14,40.9;62.14,40.7) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,40.7;62.34,40.9;62.54,40.9;62.54,40.7) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,37.98;81.14,38.18;81.34,38.18;81.34,37.98) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,37.98;81.54,38.18;81.74,38.18;81.74,37.98) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,37.98;81.94,38.18;82.14,38.18;82.14,37.98) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,37.98;82.34,38.18;82.54,38.18;82.54,37.98) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,51.58;21.14,51.78;21.34,51.78;21.34,51.58) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,51.58;21.54,51.78;21.74,51.78;21.74,51.58) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,51.58;21.94,51.78;22.14,51.78;22.14,51.58) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,51.58;22.34,51.78;22.54,51.78;22.54,51.58) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,59.74;41.14,59.94;41.34,59.94;41.34,59.74) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,59.74;41.54,59.94;41.74,59.94;41.74,59.74) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,59.74;41.94,59.94;42.14,59.94;42.14,59.74) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,59.74;42.34,59.94;42.54,59.94;42.54,59.74) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,48.86;41.14,49.06;41.34,49.06;41.34,48.86) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,48.86;41.54,49.06;41.74,49.06;41.74,48.86) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,48.86;41.94,49.06;42.14,49.06;42.14,48.86) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,48.86;42.34,49.06;42.54,49.06;42.54,48.86) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,67.9;21.14,68.1;21.34,68.1;21.34,67.9) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,67.9;21.54,68.1;21.74,68.1;21.74,67.9) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,67.9;21.94,68.1;22.14,68.1;22.14,67.9) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,67.9;22.34,68.1;22.54,68.1;22.54,67.9) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,46.14;21.14,46.34;21.34,46.34;21.34,46.14) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,46.14;21.54,46.34;21.74,46.34;21.74,46.14) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,46.14;21.94,46.34;22.14,46.34;22.14,46.14) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,46.14;22.34,46.34;22.54,46.34;22.54,46.14) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,57.02;21.14,57.22;21.34,57.22;21.34,57.02) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,57.02;21.54,57.22;21.74,57.22;21.74,57.02) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,57.02;21.94,57.22;22.14,57.22;22.14,57.02) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,57.02;22.34,57.22;22.54,57.22;22.54,57.02) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,43.42;41.14,43.62;41.34,43.62;41.34,43.42) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,43.42;41.54,43.62;41.74,43.62;41.74,43.42) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,43.42;41.94,43.62;42.14,43.62;42.14,43.42) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,43.42;42.34,43.62;42.54,43.62;42.54,43.42) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,62.46;21.14,62.66;21.34,62.66;21.34,62.46) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,62.46;21.54,62.66;21.74,62.66;21.74,62.46) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,62.46;21.94,62.66;22.14,62.66;22.14,62.46) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,62.46;22.34,62.66;22.54,62.66;22.54,62.46) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,40.7;21.14,40.9;21.34,40.9;21.34,40.7) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,40.7;21.54,40.9;21.74,40.9;21.74,40.7) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,40.7;21.94,40.9;22.14,40.9;22.14,40.7) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,40.7;22.34,40.9;22.54,40.9;22.54,40.7) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,54.3;41.14,54.5;41.34,54.5;41.34,54.3) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,54.3;41.54,54.5;41.74,54.5;41.74,54.3) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,54.3;41.94,54.5;42.14,54.5;42.14,54.3) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,54.3;42.34,54.5;42.54,54.5;42.54,54.3) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,37.98;41.14,38.18;41.34,38.18;41.34,37.98) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,37.98;41.54,38.18;41.74,38.18;41.74,37.98) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,37.98;41.94,38.18;42.14,38.18;42.14,37.98) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,37.98;42.34,38.18;42.54,38.18;42.54,37.98) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,65.18;41.14,65.38;41.34,65.38;41.34,65.18) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,65.18;41.54,65.38;41.74,65.38;41.74,65.18) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,65.18;41.94,65.38;42.14,65.38;42.14,65.18) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,65.18;42.34,65.38;42.54,65.38;42.54,65.18) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,35.26;21.14,35.46;21.34,35.46;21.34,35.26) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,35.26;21.54,35.46;21.74,35.46;21.74,35.26) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,35.26;21.94,35.46;22.14,35.46;22.14,35.26) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,35.26;22.34,35.46;22.54,35.46;22.54,35.26) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,32.54;41.14,32.74;41.34,32.74;41.34,32.54) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,32.54;41.54,32.74;41.74,32.74;41.74,32.54) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,32.54;41.94,32.74;42.14,32.74;42.14,32.54) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,32.54;42.34,32.74;42.54,32.74;42.54,32.54) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,29.82;21.14,30.02;21.34,30.02;21.34,29.82) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,29.82;21.54,30.02;21.74,30.02;21.74,29.82) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,29.82;21.94,30.02;22.14,30.02;22.14,29.82) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,29.82;22.34,30.02;22.54,30.02;22.54,29.82) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,27.1;41.14,27.3;41.34,27.3;41.34,27.1) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,27.1;41.54,27.3;41.74,27.3;41.74,27.1) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,27.1;41.94,27.3;42.14,27.3;42.14,27.1) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,27.1;42.34,27.3;42.54,27.3;42.54,27.1) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,24.38;21.14,24.58;21.34,24.58;21.34,24.38) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,24.38;21.54,24.58;21.74,24.58;21.74,24.38) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,24.38;21.94,24.58;22.14,24.58;22.14,24.38) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,24.38;22.34,24.58;22.54,24.58;22.54,24.38) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,21.66;41.14,21.86;41.34,21.86;41.34,21.66) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,21.66;41.54,21.86;41.74,21.86;41.74,21.66) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,21.66;41.94,21.86;42.14,21.86;42.14,21.66) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,21.66;42.34,21.86;42.54,21.86;42.54,21.66) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,18.94;21.14,19.14;21.34,19.14;21.34,18.94) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,18.94;21.54,19.14;21.74,19.14;21.74,18.94) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,18.94;21.94,19.14;22.14,19.14;22.14,18.94) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,18.94;22.34,19.14;22.54,19.14;22.54,18.94) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,16.22;41.14,16.42;41.34,16.42;41.34,16.22) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,16.22;41.54,16.42;41.74,16.42;41.74,16.22) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,16.22;41.94,16.42;42.14,16.42;42.14,16.22) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,16.22;42.34,16.42;42.54,16.42;42.54,16.22) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,13.5;21.14,13.7;21.34,13.7;21.34,13.5) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,13.5;21.54,13.7;21.74,13.7;21.74,13.5) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,13.5;21.94,13.7;22.14,13.7;22.14,13.5) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,13.5;22.34,13.7;22.54,13.7;22.54,13.5) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,10.78;41.14,10.98;41.34,10.98;41.34,10.78) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,10.78;41.54,10.98;41.74,10.98;41.74,10.78) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,10.78;41.94,10.98;42.14,10.98;42.14,10.78) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,10.78;42.34,10.98;42.54,10.98;42.54,10.78) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.14,8.06;21.14,8.26;21.34,8.26;21.34,8.06) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.54,8.06;21.54,8.26;21.74,8.26;21.74,8.06) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (21.94,8.06;21.94,8.26;22.14,8.26;22.14,8.06) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (22.34,8.06;22.34,8.26;22.54,8.26;22.54,8.06) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.14,5.34;41.14,5.54;41.34,5.54;41.34,5.34) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.54,5.34;41.54,5.54;41.74,5.54;41.74,5.34) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (41.94,5.34;41.94,5.54;42.14,5.54;42.14,5.34) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (42.34,5.34;42.34,5.54;42.54,5.54;42.54,5.34) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,32.54;81.14,32.74;81.34,32.74;81.34,32.54) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,32.54;81.54,32.74;81.74,32.74;81.74,32.54) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,32.54;81.94,32.74;82.14,32.74;82.14,32.54) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,32.54;82.34,32.74;82.54,32.74;82.54,32.54) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,18.94;61.14,19.14;61.34,19.14;61.34,18.94) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,18.94;61.54,19.14;61.74,19.14;61.74,18.94) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,18.94;61.94,19.14;62.14,19.14;62.14,18.94) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,18.94;62.34,19.14;62.54,19.14;62.54,18.94) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,27.1;81.14,27.3;81.34,27.3;81.34,27.1) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,27.1;81.54,27.3;81.74,27.3;81.74,27.1) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,27.1;81.94,27.3;82.14,27.3;82.14,27.1) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,27.1;82.34,27.3;82.54,27.3;82.54,27.1) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,16.22;81.14,16.42;81.34,16.42;81.34,16.22) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,16.22;81.54,16.42;81.74,16.42;81.74,16.22) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,16.22;81.94,16.42;82.14,16.42;82.14,16.22) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,16.22;82.34,16.42;82.54,16.42;82.54,16.22) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,35.26;61.14,35.46;61.34,35.46;61.34,35.26) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,35.26;61.54,35.46;61.74,35.46;61.74,35.26) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,35.26;61.94,35.46;62.14,35.46;62.14,35.26) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,35.26;62.34,35.46;62.54,35.46;62.54,35.26) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,13.5;61.14,13.7;61.34,13.7;61.34,13.5) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,13.5;61.54,13.7;61.74,13.7;61.74,13.5) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,13.5;61.94,13.7;62.14,13.7;62.14,13.5) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,13.5;62.34,13.7;62.54,13.7;62.54,13.5) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,24.38;61.14,24.58;61.34,24.58;61.34,24.38) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,24.38;61.54,24.58;61.74,24.58;61.74,24.38) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,24.38;61.94,24.58;62.14,24.58;62.14,24.38) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,24.38;62.34,24.58;62.54,24.58;62.54,24.38) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,10.78;81.14,10.98;81.34,10.98;81.34,10.78) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,10.78;81.54,10.98;81.74,10.98;81.74,10.78) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,10.78;81.94,10.98;82.14,10.98;82.14,10.78) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,10.78;82.34,10.98;82.54,10.98;82.54,10.78) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,29.82;61.14,30.02;61.34,30.02;61.34,29.82) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,29.82;61.54,30.02;61.74,30.02;61.74,29.82) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,29.82;61.94,30.02;62.14,30.02;62.14,29.82) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,29.82;62.34,30.02;62.54,30.02;62.54,29.82) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.14,8.06;61.14,8.26;61.34,8.26;61.34,8.06) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.54,8.06;61.54,8.26;61.74,8.26;61.74,8.06) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (61.94,8.06;61.94,8.26;62.14,8.26;62.14,8.06) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (62.34,8.06;62.34,8.26;62.54,8.26;62.54,8.06) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,21.66;81.14,21.86;81.34,21.86;81.34,21.66) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,21.66;81.54,21.86;81.74,21.86;81.74,21.66) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,21.66;81.94,21.86;82.14,21.86;82.14,21.66) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,21.66;82.34,21.86;82.54,21.86;82.54,21.66) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.14,5.34;81.14,5.54;81.34,5.54;81.34,5.34) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.54,5.34;81.54,5.54;81.74,5.54;81.74,5.34) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (81.94,5.34;81.94,5.54;82.14,5.54;82.14,5.34) - - - - - '70/44' - digital_pll - false - 1 - - - polygon: (82.34,5.34;82.34,5.54;82.54,5.54;82.54,5.34) - - - - - '71/16' - digital_pll - false - 1 - - - polygon: (41.04,5.2;41.04,68.24;42.64,68.24;42.64,5.2) - - - - - '71/16' - digital_pll - false - 1 - - - polygon: (81.04,5.2;81.04,68.24;82.64,68.24;82.64,5.2) - - - - - '71/16' - digital_pll - false - 1 - - - polygon: (21.04,5.2;21.04,68.24;22.64,68.24;22.64,5.2) - - - - - '71/16' - digital_pll - false - 1 - - - polygon: (61.04,5.2;61.04,68.24;62.64,68.24;62.64,5.2) - - - - - '71/16' - digital_pll - false - 1 - - - label: ('VGND',r90 41.84,36.72) - - - - - '71/16' - digital_pll - false - 1 - - - label: ('VGND',r90 81.84,36.72) - - - - - '71/16' - digital_pll - false - 1 - - - label: ('VPWR',r90 21.84,36.72) - - - - - '71/16' - digital_pll - false - 1 - - - label: ('VPWR',r90 61.84,36.72) - - - - - '71/20' - digital_pll - false - 1 - - - polygon: (21.04,5.2;21.04,68.24;22.64,68.24;22.64,5.2) - - - - - '71/20' - digital_pll - false - 1 - - - polygon: (41.04,5.2;41.04,68.24;42.64,68.24;42.64,5.2) - - - - - '71/20' - digital_pll - false - 1 - - - polygon: (61.04,5.2;61.04,68.24;62.64,68.24;62.64,5.2) - - - - - '71/20' - digital_pll - false - 1 - - - polygon: (81.04,5.2;81.04,68.24;82.64,68.24;82.64,5.2) - - - - - '71/44' - digital_pll - false - 1 - - - polygon: (21.44,61.45;21.44,62.25;22.24,62.25;22.24,61.45) - - - - - '71/44' - digital_pll - false - 1 - - - polygon: (61.44,61.45;61.44,62.25;62.24,62.25;62.24,61.45) - - - - - '71/44' - digital_pll - false - 1 - - - polygon: (41.44,41.45;41.44,42.25;42.24,42.25;42.24,41.45) - - - - - '71/44' - digital_pll - false - 1 - - - polygon: (81.44,41.45;81.44,42.25;82.24,42.25;82.24,41.45) - - - - - '71/44' - digital_pll - false - 1 - - - polygon: (21.44,21.45;21.44,22.25;22.24,22.25;22.24,21.45) - - - - - '71/44' - digital_pll - false - 1 - - - polygon: (61.44,21.45;61.44,22.25;62.24,22.25;62.24,21.45) - - - - - '72/16' - digital_pll - false - 1 - - - polygon: (5.28,41.05;5.28,42.65;94.54,42.65;94.54,41.05) - - - - - '72/16' - digital_pll - false - 1 - - - polygon: (5.28,21.05;5.28,22.65;94.54,22.65;94.54,21.05) - - - - - '72/16' - digital_pll - false - 1 - - - polygon: (5.28,61.05;5.28,62.65;94.54,62.65;94.54,61.05) - - - - - '72/16' - digital_pll - false - 1 - - - label: ('VGND',r0 49.91,41.85) - - - - - '72/16' - digital_pll - false - 1 - - - label: ('VPWR',r0 49.91,21.85) - - - - - '72/16' - digital_pll - false - 1 - - - label: ('VPWR',r0 49.91,61.85) - - - - - '72/20' - digital_pll - false - 1 - - - polygon: (5.28,61.05;5.28,62.65;94.54,62.65;94.54,61.05) - - - - - '72/20' - digital_pll - false - 1 - - - polygon: (5.28,41.05;5.28,42.65;94.54,42.65;94.54,41.05) - - - - - '72/20' - digital_pll - false - 1 - - - polygon: (5.28,21.05;5.28,22.65;94.54,22.65;94.54,21.05) - - - - - '8/0' - VIA_M2M3_PR - false - 1 - - - polygon: (-0.1,-0.1;-0.1,0.1;0.1,0.1;0.1,-0.1) - - - - - '8/0' - VIA_via3_4_1600_480_1_4_400_400 - false - 1 - - - polygon: (-0.7,-0.1;-0.7,0.1;-0.5,0.1;-0.5,-0.1) - - - - - '8/0' - VIA_via3_4_1600_480_1_4_400_400 - false - 1 - - - polygon: (-0.3,-0.1;-0.3,0.1;-0.1,0.1;-0.1,-0.1) - - - - - '8/0' - VIA_via3_4_1600_480_1_4_400_400 - false - 1 - - - polygon: (0.1,-0.1;0.1,0.1;0.3,0.1;0.3,-0.1) - - - - - '8/0' - VIA_via3_4_1600_480_1_4_400_400 - false - 1 - - - polygon: (0.5,-0.1;0.5,0.1;0.7,0.1;0.7,-0.1) - - - - - '9/0' - digital_pll - false - 1 - - - path: (48.53,37.06;52.67,37.06) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (47.61,42.5;52.67,42.5) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (47.61,52.7;62.79,52.7) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (48.99,49.98;59.57,49.98) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (46.23,60.18;70.61,60.18) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (33.35,45.22;69.69,45.22) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (49.45,58.14;52.67,58.14) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (35.19,53.38;50.83,53.38) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (43.47,55.42;66.47,55.42) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (34.27,57.46;54.97,57.46) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,46.24;62.63,46.24) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,40.8;62.63,40.8) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (50.37,50.66;75.67,50.66) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,65.28;82.63,65.28) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (57.73,39.78;74.29,39.78) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (51.75,43.86;59.57,43.86) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (52.67,42.5;54.51,42.5) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,59.84;82.63,59.84) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (54.97,54.74;67.39,54.74) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (53.13,44.54;72.91,44.54) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,54.4;82.63,54.4) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (57.27,41.82;67.39,41.82) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,48.96;82.63,48.96) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,43.52;82.63,43.52) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,38.08;82.63,38.08) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (52.21,56.78;60.49,56.78) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (54.05,54.06;60.95,54.06) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,68;62.63,68) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (53.13,59.5;60.95,59.5) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,62.56;62.63,62.56) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (54.05,58.82;61.41,58.82) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (70.15,47.26;78.43,47.26) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (54.51,58.14;64.63,58.14) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,57.12;62.63,57.12) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (58.65,43.18;75.21,43.18) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (51.75,53.38;58.65,53.38) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (50.37,61.54;94.07,61.54) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (76.13,67.66;97.06,67.66) w=0.3 bx=0.15 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (59.11,60.86;65.09,60.86) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (80.27,55.42;97.06,55.42) w=0.3 bx=0.15 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.41,42.5;68.77,42.5) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (77.51,44.54;83.26,44.54) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (83.26,43.18;83.26,44.54) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (83.26,43.18;97.06,43.18) w=0.3 bx=0.15 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (76.13,44.54;77.51,44.54) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (54.97,56.1;79.81,56.1) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.41,53.38;70.15,53.38) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (51.75,63.58;58.19,63.58) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,51.68;62.63,51.68) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (57.27,47.94;79.35,47.94) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.86,55.42;41.86,56.1) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.86,55.42;43.47,55.42) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,57.12;22.63,57.12) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (40.71,52.02;43.93,52.02) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,51.68;22.63,51.68) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,39.1;15.87,39.1) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,43.18;20.01,43.18) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,46.24;22.63,46.24) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,47.26;8.97,47.26) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,40.8;22.63,40.8) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,65.28;42.63,65.28) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,59.84;42.63,59.84) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,54.4;42.63,54.4) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,48.96;42.63,48.96) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (30.13,44.54;39.33,44.54) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,43.52;42.63,43.52) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,38.08;42.63,38.08) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,51.34;19.09,51.34) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,55.42;20.47,55.42) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,59.5;17.25,59.5) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,63.58;14.03,63.58) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,67.66;17.25,67.66) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (34.73,58.82;48.99,58.82) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,68;22.63,68) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,62.56;22.63,62.56) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (10.35,52.7;45.31,52.7) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (42.55,60.86;48.07,60.86) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.63,56.1;41.86,56.1) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,19.04;22.63,19.04) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,13.6;22.63,13.6) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,8.16;22.63,8.16) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,32.64;42.63,32.64) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,27.2;42.63,27.2) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,21.76;42.63,21.76) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,16.32;42.63,16.32) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,10.88;42.63,10.88) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (41.05,5.44;42.63,5.44) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,35.36;22.63,35.36) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,6.46;7.59,6.46) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,10.54;15.41,10.54) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,29.92;22.63,29.92) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,14.62;14.03,14.62) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,18.7;8.97,18.7) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,22.78;13.11,22.78) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,26.86;8.05,26.86) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (8.05,26.86;18.63,26.86) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,30.94;8.05,30.94) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (3.22,35.02;14.95,35.02) w=0.3 bx=0 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (21.05,24.48;22.63,24.48) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,29.92;62.63,29.92) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,24.48;62.63,24.48) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,19.04;62.63,19.04) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (89.01,30.94;97.06,30.94) w=0.3 bx=0.15 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (92.23,18.7;97.06,18.7) w=0.3 bx=0.15 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (77.97,6.46;97.06,6.46) w=0.3 bx=0.15 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,13.6;62.63,13.6) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,8.16;62.63,8.16) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (58.19,15.3;85.33,15.3) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (53.59,15.3;58.19,15.3) w=0.3 bx=0.15 ex=0.15 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,32.64;82.63,32.64) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,27.2;82.63,27.2) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,21.76;82.63,21.76) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,16.32;82.63,16.32) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,10.88;82.63,10.88) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (81.05,5.44;82.63,5.44) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - path: (61.05,35.36;62.63,35.36) w=0.33 bx=0 ex=0 r=false - - - - - '9/0' - digital_pll - false - 1 - - - polygon: (63.83,44.39;63.83,44.69;64.63,44.69;64.63,44.39) - - - - - '9/0' - digital_pll - false - 1 - - - polygon: (40.83,53.23;40.83,53.53;41.63,53.53;41.63,53.23) - - - - - '9/0' - digital_pll - false - 1 - - - polygon: (43.59,60.71;43.59,61.01;44.39,61.01;44.39,60.71) - - - - - '9/0' - digital_pll - false - 1 - - - polygon: (45.43,55.27;45.43,55.57;46.23,55.57;46.23,55.27) - - - - - '9/0' - digital_pll - false - 1 - - - polygon: (51.41,61.39;51.41,61.69;52.21,61.69;52.21,61.39) - - - - - '9/0' - VIA_M2M3_PR - false - 1 - - - polygon: (-0.165,-0.165;-0.165,0.165;0.165,0.165;0.165,-0.165) - - - - - '9/0' - VIA_via3_4_1600_480_1_4_400_400 - false - 1 - - - polygon: (-0.765,-0.165;-0.765,0.165;0.765,0.165;0.765,-0.165) - - - - - '9/0' - VIA_via4_5_1600_480_1_4_400_400 - false - 1 - - - polygon: (-0.79,-0.16;-0.79,0.16;0.79,0.16;0.79,-0.16) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('clockp[0]',r0 2,6.46) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('clockp[1]',r0 2,10.54) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('dco',r0 2,39.1) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('div[0]',r0 2,14.62) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('div[1]',r0 2,18.7) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('div[2]',r0 2,22.78) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('div[3]',r0 2,26.86) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('div[4]',r0 2,30.94) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('enable',r0 2,35.02) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[0]',r0 2,43.18) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[1]',r0 2,47.26) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[20]',r0 98,67.66) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[21]',r0 98,55.42) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[22]',r0 98,43.18) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[23]',r0 98,30.94) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[24]',r0 98,18.7) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[25]',r0 98,6.46) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[2]',r0 2,51.34) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[3]',r0 2,55.42) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[4]',r0 2,59.5) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[5]',r0 2,63.58) - - - - - '9/1' - digital_pll - false - 1 - - - label: ('ext_trim[6]',r0 2,67.66) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,6.16;0,6.76;4,6.76;4,6.16) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,10.24;0,10.84;4,10.84;4,10.24) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,38.8;0,39.4;4,39.4;4,38.8) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,14.32;0,14.92;4,14.92;4,14.32) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,18.4;0,19;4,19;4,18.4) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,22.48;0,23.08;4,23.08;4,22.48) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,26.56;0,27.16;4,27.16;4,26.56) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,30.64;0,31.24;4,31.24;4,30.64) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,34.72;0,35.32;4,35.32;4,34.72) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,42.88;0,43.48;4,43.48;4,42.88) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,46.96;0,47.56;4,47.56;4,46.96) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (96,67.36;96,67.96;100,67.96;100,67.36) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (96,55.12;96,55.72;100,55.72;100,55.12) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (96,42.88;96,43.48;100,43.48;100,42.88) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (96,30.64;96,31.24;100,31.24;100,30.64) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (96,18.4;96,19;100,19;100,18.4) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (96,6.16;96,6.76;100,6.76;100,6.16) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,51.04;0,51.64;4,51.64;4,51.04) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,55.12;0,55.72;4,55.72;4,55.12) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,59.2;0,59.8;4,59.8;4,59.2) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,63.28;0,63.88;4,63.88;4,63.28) - - - - - '9/2' - digital_pll - false - 1 - - - polygon: (0,67.36;0,67.96;4,67.96;4,67.36) - - - - diff --git a/signoff/digital_pll/openlane-signoff/drc.klayout.xml b/signoff/digital_pll/openlane-signoff/drc.klayout.xml deleted file mode 100644 index f48043fd..00000000 --- a/signoff/digital_pll/openlane-signoff/drc.klayout.xml +++ /dev/null @@ -1,10 +0,0 @@ - - - - - - digital_pll - - - - diff --git a/signoff/digital_pll/openlane-signoff/drc.rdb b/signoff/digital_pll/openlane-signoff/drc.rdb deleted file mode 100644 index b9ee1156..00000000 --- a/signoff/digital_pll/openlane-signoff/drc.rdb +++ /dev/null @@ -1 +0,0 @@ -$digital_pll 100 diff --git a/signoff/digital_pll/openlane-signoff/drc.rpt b/signoff/digital_pll/openlane-signoff/drc.rpt deleted file mode 100644 index b2dcf868..00000000 --- a/signoff/digital_pll/openlane-signoff/drc.rpt +++ /dev/null @@ -1,5 +0,0 @@ -digital_pll ----------------------------------------- -[INFO]: COUNT: 0 -[INFO]: Should be divided by 3 or 4 - diff --git a/signoff/digital_pll/openlane-signoff/drc.tcl b/signoff/digital_pll/openlane-signoff/drc.tcl deleted file mode 100644 index e69de29b..00000000 diff --git a/signoff/digital_pll/openlane-signoff/drc.tr b/signoff/digital_pll/openlane-signoff/drc.tr deleted file mode 100644 index e69de29b..00000000 diff --git a/signoff/digital_pll/openlane-signoff/get_net_length-openroad.log b/signoff/digital_pll/openlane-signoff/get_net_length-openroad.log deleted file mode 100644 index e79b0107..00000000 --- a/signoff/digital_pll/openlane-signoff/get_net_length-openroad.log +++ /dev/null @@ -1,35 +0,0 @@ -logging to ./signoff/digital_pll/openlane-signoff/get_net_length-openroad.log -[?1034hopenroad> read_lef ./openlane/digital_pll/runs/digital_pll/tmp/merged.nom.lef -[INFO ODB-0222] Reading LEF file: ./openlane/digital_pll/runs/digital_pll/tmp/merged.nom.lef -[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later. -The LEF parser will ignore this statement. -To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file ./openlane/digital_pll/runs/digital_pll/tmp/merged.nom.lef at line 930. - -[INFO ODB-0223] Created 13 technology layers -[INFO ODB-0224] Created 25 technology vias -[INFO ODB-0225] Created 441 library cells -[INFO ODB-0226] Finished LEF file: ./openlane/digital_pll/runs/digital_pll/tmp/merged.nom.lef -openroad> read_def ./def/digital_pll.def -[INFO ODB-0127] Reading DEF file: ./def/digital_pll.def -[INFO ODB-0128] Design: digital_pll -[INFO ODB-0130] Created 39 pins. -[INFO ODB-0131] Created 1093 components and 5448 component-terminals. -[INFO ODB-0132] Created 2 special nets and 4222 connections. -[INFO ODB-0133] Created 371 nets and 1225 connections. -[INFO ODB-0134] Finished DEF file: ./def/digital_pll.def -openroad> variable odb_block [[[::ord::get_db] getChip] getBlock] -openroad> set odb_nets [odb::dbBlock_getNets $::odb_block] -_50a4c30600000000_p_odb__dbNet _c0a4c30600000000_p_odb__dbNet _30a5c30600000000_p_odb__dbNet _a0a5c30600000000_p_odb__dbNet _10a6c30600000000_p_odb__dbNet _80a6c30600000000_p_odb__dbNet _f0a6c30600000000_p_odb__dbNet _60a7c30600000000_p_odb__dbNet _d0a7c30600000000_p_odb__dbNet _40a8c30600000000_p_odb__dbNet _b0a8c30600000000_p_odb__dbNet _20a9c30600000000_p_odb__dbNet _90a9c30600000000_p_odb__dbNet _00aac30600000000_p_odb__dbNet _70aac30600000000_p_odb__dbNet _e0aac30600000000_p_odb__dbNet _50abc30600000000_p_odb__dbNet _c0abc30600000000_p_odb__dbNet _30acc30600000000_p_odb__dbNet _a0acc30600000000_p_odb__dbNet _10adc30600000000_p_odb__dbNet _80adc30600000000_p_odb__dbNet _f0adc30600000000_p_odb__dbNet _60aec30600000000_p_odb__dbNet _d0aec30600000000_p_odb__dbNet _40afc30600000000_p_odb__dbNet _b0afc30600000000_p_odb__dbNet _20b0c30600000000_p_odb__dbNet _90b0c30600000000_p_odb__dbNet _00b1c30600000000_p_odb__dbNet _70b1c30600000000_p_odb__dbNet _e0b1c30600000000_p_odb__dbNet _50b2c30600000000_p_odb__dbNet _c0b2c30600000000_p_odb__dbNet _30b3c30600000000_p_odb__dbNet _a0b3c30600000000_p_odb__dbNet _10b4c30600000000_p_odb__dbNet _80b4c30600000000_p_odb__dbNet _f0b4c30600000000_p_odb__dbNet _60b5c30600000000_p_odb__dbNet _d0b5c30600000000_p_odb__dbNet _40b6c30600000000_p_odb__dbNet _b0b6c30600000000_p_odb__dbNet _20b7c30600000000_p_odb__dbNet _90b7c30600000000_p_odb__dbNet _00b8c30600000000_p_odb__dbNet _70b8c30600000000_p_odb__dbNet _e0b8c30600000000_p_odb__dbNet _50b9c30600000000_p_odb__dbNet _c0b9c30600000000_p_odb__dbNet _30bac30600000000_p_odb__dbNet _a0bac30600000000_p_odb__dbNet _10bbc30600000000_p_odb__dbNet _80bbc30600000000_p_odb__dbNet _f0bbc30600000000_p_odb__dbNet _60bcc30600000000_p_odb__dbNet _d0bcc30600000000_p_odb__dbNet _40bdc30600000000_p_odb__dbNet _b0bdc30600000000_p_odb__dbNet _20bec30600000000_p_odb__dbNet _90bec30600000000_p_odb__dbNet _00bfc30600000000_p_odb__dbNet _70bfc30600000000_p_odb__dbNet _e0bfc30600000000_p_odb__dbNet _50c0c30600000000_p_odb__dbNet _c0c0c30600000000_p_odb__dbNet _30c1c30600000000_p_odb__dbNet _a0c1c30600000000_p_odb__dbNet _10c2c30600000000_p_odb__dbNet _80c2c30600000000_p_odb__dbNet _f0c2c30600000000_p_odb__dbNet _60c3c30600000000_p_odb__dbNet _d0c3c30600000000_p_odb__dbNet _40c4c30600000000_p_odb__dbNet _b0c4c30600000000_p_odb__dbNet _20c5c30600000000_p_odb__dbNet _90c5c30600000000_p_odb__dbNet _00c6c30600000000_p_odb__dbNet _70c6c30600000000_p_odb__dbNet _e0c6c30600000000_p_odb__dbNet _50c7c30600000000_p_odb__dbNet _c0c7c30600000000_p_odb__dbNet _30c8c30600000000_p_odb__dbNet _a0c8c30600000000_p_odb__dbNet _10c9c30600000000_p_odb__dbNet _80c9c30600000000_p_odb__dbNet _f0c9c30600000000_p_odb__dbNet _60cac30600000000_p_odb__dbNet _d0cac30600000000_p_odb__dbNet _40cbc30600000000_p_odb__dbNet _b0cbc30600000000_p_odb__dbNet _20ccc30600000000_p_odb__dbNet _90ccc30600000000_p_odb__dbNet _00cdc30600000000_p_odb__dbNet _70cdc30600000000_p_odb__dbNet _e0cdc30600000000_p_odb__dbNet _50cec30600000000_p_odb__dbNet _c0cec30600000000_p_odb__dbNet _30cfc30600000000_p_odb__dbNet _a0cfc30600000000_p_odb__dbNet _10d0c30600000000_p_odb__dbNet _80d0c30600000000_p_odb__dbNet _f0d0c30600000000_p_odb__dbNet _60d1c30600000000_p_odb__dbNet _d0d1c30600000000_p_odb__dbNet _40d2c30600000000_p_odb__dbNet _b0d2c30600000000_p_odb__dbNet _20d3c30600000000_p_odb__dbNet _90d3c30600000000_p_odb__dbNet _00d4c30600000000_p_odb__dbNet _70d4c30600000000_p_odb__dbNet _e0d4c30600000000_p_odb__dbNet _50d5c30600000000_p_odb__dbNet _c0d5c30600000000_p_odb__dbNet _30d6c30600000000_p_odb__dbNet _a0d6c30600000000_p_odb__dbNet _10d7c30600000000_p_odb__dbNet _80d7c30600000000_p_odb__dbNet _f0d7c30600000000_p_odb__dbNet _60d8c30600000000_p_odb__dbNet _d0d8c30600000000_p_odb__dbNet _40d9c30600000000_p_odb__dbNet _b0d9c30600000000_p_odb__dbNet _20dac30600000000_p_odb__dbNet _90dac30600000000_p_odb__dbNet _00dbc30600000000_p_odb__dbNet _70dbc30600000000_p_odb__dbNet _8086cf0600000000_p_odb__dbNet _f086cf0600000000_p_odb__dbNet _6087cf0600000000_p_odb__dbNet _d087cf0600000000_p_odb__dbNet _4088cf0600000000_p_odb__dbNet _b088cf0600000000_p_odb__dbNet _2089cf0600000000_p_odb__dbNet _9089cf0600000000_p_odb__dbNet _008acf0600000000_p_odb__dbNet _708acf0600000000_p_odb__dbNet _e08acf0600000000_p_odb__dbNet _508bcf0600000000_p_odb__dbNet _c08bcf0600000000_p_odb__dbNet _308ccf0600000000_p_odb__dbNet _a08ccf0600000000_p_odb__dbNet _108dcf0600000000_p_odb__dbNet _808dcf0600000000_p_odb__dbNet _f08dcf0600000000_p_odb__dbNet _608ecf0600000000_p_odb__dbNet _d08ecf0600000000_p_odb__dbNet _408fcf0600000000_p_odb__dbNet _b08fcf0600000000_p_odb__dbNet _2090cf0600000000_p_odb__dbNet _9090cf0600000000_p_odb__dbNet _0091cf0600000000_p_odb__dbNet _7091cf0600000000_p_odb__dbNet _e091cf0600000000_p_odb__dbNet _5092cf0600000000_p_odb__dbNet _c092cf0600000000_p_odb__dbNet _3093cf0600000000_p_odb__dbNet _a093cf0600000000_p_odb__dbNet _1094cf0600000000_p_odb__dbNet _8094cf0600000000_p_odb__dbNet _f094cf0600000000_p_odb__dbNet _6095cf0600000000_p_odb__dbNet _d095cf0600000000_p_odb__dbNet _4096cf0600000000_p_odb__dbNet _b096cf0600000000_p_odb__dbNet _2097cf0600000000_p_odb__dbNet _9097cf0600000000_p_odb__dbNet _0098cf0600000000_p_odb__dbNet _7098cf0600000000_p_odb__dbNet _e098cf0600000000_p_odb__dbNet _5099cf0600000000_p_odb__dbNet _c099cf0600000000_p_odb__dbNet _309acf0600000000_p_odb__dbNet _a09acf0600000000_p_odb__dbNet _109bcf0600000000_p_odb__dbNet _809bcf0600000000_p_odb__dbNet _f09bcf0600000000_p_odb__dbNet _609ccf0600000000_p_odb__dbNet _d09ccf0600000000_p_odb__dbNet _409dcf0600000000_p_odb__dbNet _b09dcf0600000000_p_odb__dbNet _209ecf0600000000_p_odb__dbNet _909ecf0600000000_p_odb__dbNet _009fcf0600000000_p_odb__dbNet _709fcf0600000000_p_odb__dbNet _e09fcf0600000000_p_odb__dbNet _50a0cf0600000000_p_odb__dbNet _c0a0cf0600000000_p_odb__dbNet _30a1cf0600000000_p_odb__dbNet _a0a1cf0600000000_p_odb__dbNet _10a2cf0600000000_p_odb__dbNet _80a2cf0600000000_p_odb__dbNet _f0a2cf0600000000_p_odb__dbNet _60a3cf0600000000_p_odb__dbNet _d0a3cf0600000000_p_odb__dbNet _40a4cf0600000000_p_odb__dbNet _b0a4cf0600000000_p_odb__dbNet _20a5cf0600000000_p_odb__dbNet _90a5cf0600000000_p_odb__dbNet _00a6cf0600000000_p_odb__dbNet _70a6cf0600000000_p_odb__dbNet _e0a6cf0600000000_p_odb__dbNet _50a7cf0600000000_p_odb__dbNet _c0a7cf0600000000_p_odb__dbNet _30a8cf0600000000_p_odb__dbNet _a0a8cf0600000000_p_odb__dbNet _10a9cf0600000000_p_odb__dbNet _80a9cf0600000000_p_odb__dbNet _f0a9cf0600000000_p_odb__dbNet _60aacf0600000000_p_odb__dbNet _d0aacf0600000000_p_odb__dbNet _40abcf0600000000_p_odb__dbNet _b0abcf0600000000_p_odb__dbNet _20accf0600000000_p_odb__dbNet _90accf0600000000_p_odb__dbNet _00adcf0600000000_p_odb__dbNet _70adcf0600000000_p_odb__dbNet _e0adcf0600000000_p_odb__dbNet _50aecf0600000000_p_odb__dbNet _c0aecf0600000000_p_odb__dbNet _30afcf0600000000_p_odb__dbNet _a0afcf0600000000_p_odb__dbNet _10b0cf0600000000_p_odb__dbNet _80b0cf0600000000_p_odb__dbNet _f0b0cf0600000000_p_odb__dbNet _60b1cf0600000000_p_odb__dbNet _d0b1cf0600000000_p_odb__dbNet _40b2cf0600000000_p_odb__dbNet _b0b2cf0600000000_p_odb__dbNet _20b3cf0600000000_p_odb__dbNet _90b3cf0600000000_p_odb__dbNet _00b4cf0600000000_p_odb__dbNet _70b4cf0600000000_p_odb__dbNet _e0b4cf0600000000_p_odb__dbNet _50b5cf0600000000_p_odb__dbNet _c0b5cf0600000000_p_odb__dbNet _30b6cf0600000000_p_odb__dbNet _a0b6cf0600000000_p_odb__dbNet _10b7cf0600000000_p_odb__dbNet _80b7cf0600000000_p_odb__dbNet _f0b7cf0600000000_p_odb__dbNet _60b8cf0600000000_p_odb__dbNet _d0b8cf0600000000_p_odb__dbNet _40b9cf0600000000_p_odb__dbNet _b0b9cf0600000000_p_odb__dbNet _20bacf0600000000_p_odb__dbNet _90bacf0600000000_p_odb__dbNet _00bbcf0600000000_p_odb__dbNet _70bbcf0600000000_p_odb__dbNet _e0bbcf0600000000_p_odb__dbNet _50bccf0600000000_p_odb__dbNet _c0bccf0600000000_p_odb__dbNet _30bdcf0600000000_p_odb__dbNet _a0bdcf0600000000_p_odb__dbNet _10becf0600000000_p_odb__dbNet _c0d4eb0600000000_p_odb__dbNet _30d5eb0600000000_p_odb__dbNet _a0d5eb0600000000_p_odb__dbNet _10d6eb0600000000_p_odb__dbNet _80d6eb0600000000_p_odb__dbNet _f0d6eb0600000000_p_odb__dbNet _60d7eb0600000000_p_odb__dbNet _d0d7eb0600000000_p_odb__dbNet _40d8eb0600000000_p_odb__dbNet _b0d8eb0600000000_p_odb__dbNet _20d9eb0600000000_p_odb__dbNet _90d9eb0600000000_p_odb__dbNet _00daeb0600000000_p_odb__dbNet _70daeb0600000000_p_odb__dbNet _e0daeb0600000000_p_odb__dbNet _50dbeb0600000000_p_odb__dbNet _c0dbeb0600000000_p_odb__dbNet _30dceb0600000000_p_odb__dbNet _a0dceb0600000000_p_odb__dbNet _10ddeb0600000000_p_odb__dbNet _80ddeb0600000000_p_odb__dbNet _f0ddeb0600000000_p_odb__dbNet _60deeb0600000000_p_odb__dbNet _d0deeb0600000000_p_odb__dbNet _40dfeb0600000000_p_odb__dbNet _b0dfeb0600000000_p_odb__dbNet _20e0eb0600000000_p_odb__dbNet _90e0eb0600000000_p_odb__dbNet _00e1eb0600000000_p_odb__dbNet _70e1eb0600000000_p_odb__dbNet _e0e1eb0600000000_p_odb__dbNet _50e2eb0600000000_p_odb__dbNet _c0e2eb0600000000_p_odb__dbNet _30e3eb0600000000_p_odb__dbNet _a0e3eb0600000000_p_odb__dbNet _10e4eb0600000000_p_odb__dbNet _80e4eb0600000000_p_odb__dbNet _f0e4eb0600000000_p_odb__dbNet _60e5eb0600000000_p_odb__dbNet _d0e5eb0600000000_p_odb__dbNet _40e6eb0600000000_p_odb__dbNet _b0e6eb0600000000_p_odb__dbNet _20e7eb0600000000_p_odb__dbNet _90e7eb0600000000_p_odb__dbNet _00e8eb0600000000_p_odb__dbNet _70e8eb0600000000_p_odb__dbNet _e0e8eb0600000000_p_odb__dbNet _50e9eb0600000000_p_odb__dbNet _c0e9eb0600000000_p_odb__dbNet _30eaeb0600000000_p_odb__dbNet _a0eaeb0600000000_p_odb__dbNet _10ebeb0600000000_p_odb__dbNet _80ebeb0600000000_p_odb__dbNet _f0ebeb0600000000_p_odb__dbNet _60eceb0600000000_p_odb__dbNet _d0eceb0600000000_p_odb__dbNet _40edeb0600000000_p_odb__dbNet _b0edeb0600000000_p_odb__dbNet _20eeeb0600000000_p_odb__dbNet _90eeeb0600000000_p_odb__dbNet _00efeb0600000000_p_odb__dbNet _70efeb0600000000_p_odb__dbNet _e0efeb0600000000_p_odb__dbNet _50f0eb0600000000_p_odb__dbNet _c0f0eb0600000000_p_odb__dbNet _30f1eb0600000000_p_odb__dbNet _a0f1eb0600000000_p_odb__dbNet _10f2eb0600000000_p_odb__dbNet _80f2eb0600000000_p_odb__dbNet _f0f2eb0600000000_p_odb__dbNet _60f3eb0600000000_p_odb__dbNet _d0f3eb0600000000_p_odb__dbNet _40f4eb0600000000_p_odb__dbNet _b0f4eb0600000000_p_odb__dbNet _20f5eb0600000000_p_odb__dbNet _90f5eb0600000000_p_odb__dbNet _00f6eb0600000000_p_odb__dbNet _70f6eb0600000000_p_odb__dbNet _e0f6eb0600000000_p_odb__dbNet _50f7eb0600000000_p_odb__dbNet _c0f7eb0600000000_p_odb__dbNet _30f8eb0600000000_p_odb__dbNet _a0f8eb0600000000_p_odb__dbNet _10f9eb0600000000_p_odb__dbNet _80f9eb0600000000_p_odb__dbNet _f0f9eb0600000000_p_odb__dbNet _60faeb0600000000_p_odb__dbNet _d0faeb0600000000_p_odb__dbNet _40fbeb0600000000_p_odb__dbNet _b0fbeb0600000000_p_odb__dbNet _20fceb0600000000_p_odb__dbNet _90fceb0600000000_p_odb__dbNet _00fdeb0600000000_p_odb__dbNet _70fdeb0600000000_p_odb__dbNet _e0fdeb0600000000_p_odb__dbNet _50feeb0600000000_p_odb__dbNet _c0feeb0600000000_p_odb__dbNet _30ffeb0600000000_p_odb__dbNet _a0ffeb0600000000_p_odb__dbNet _1000ec0600000000_p_odb__dbNet _8000ec0600000000_p_odb__dbNet _f000ec0600000000_p_odb__dbNet _6001ec0600000000_p_odb__dbNet _d001ec0600000000_p_odb__dbNet _4002ec0600000000_p_odb__dbNet _b002ec0600000000_p_odb__dbNet _2003ec0600000000_p_odb__dbNet _9003ec0600000000_p_odb__dbNet _0004ec0600000000_p_odb__dbNet _7004ec0600000000_p_odb__dbNet _e004ec0600000000_p_odb__dbNet _5005ec0600000000_p_odb__dbNet _c005ec0600000000_p_odb__dbNet _3006ec0600000000_p_odb__dbNet _a006ec0600000000_p_odb__dbNet _1007ec0600000000_p_odb__dbNet _8007ec0600000000_p_odb__dbNet _f007ec0600000000_p_odb__dbNet -openroad> set fp [open "./signoff/digital_pll/openlane-signoff/wire-length.txt" w] -file5 -openroad> foreach net $odb_nets { -...> set net_name [odb::dbNet_getName $net] -...> set wire [odb::dbNet_getWire $net] -...> if {$wire != "NULL"} { -...> set wire_length [odb::dbWire_getLength $wire] -...> set wire_length [expr $wire_length / 1000.0] -...> puts $fp "$net_name $wire_length" -...> } -...> } -openroad> close $fp -openroad> diff --git a/signoff/digital_pll/openlane-signoff/review.txt b/signoff/digital_pll/openlane-signoff/review.txt deleted file mode 100644 index 3ccea7a7..00000000 --- a/signoff/digital_pll/openlane-signoff/review.txt +++ /dev/null @@ -1,31 +0,0 @@ -Max transition on internal signals: 1.25ns -Hold WNS (F2F): -0.02 (ff-*) -Setup WNS (F2F): -1.17 (ss-max) - -lvs clean: Y -drc clean: Y -cvc clean: Y -Antenna Violations: 0 -Antenna Violations (400-500): 0 -Antenna Violations (500-800): 0 -Antenna Violations (800-1000): 0 - -non-physical cells: 1093 - 730 = 363 -decap cell count: 206 -% decap: 206 / 1093 * 100 = 18.8% -max ir drop: 1.69e-09 V - -Verilog "assign" in the netlist: N -Does the netlist show cells from different libraries: N -Does the macro have mixed power domains powered cells: N -Any internal macros with floating input ports: N -Output ports not connected to any logic inside the macro: N -Input ports not connected to any logic inside the macro: N -Tri-state cells are connected directly to output ports: N -Analog Signals are not digitally buffered: N -Output ports are properly buffred (>=buf_4): Y -buffer cells count: 59 -logic cells that are not buffers count: 363 - 59 = 304 -buf_1 & buf_2 cells count: 57 -0.5mm or longer wire count: 0 - diff --git a/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ff.sdf b/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ff.sdf deleted file mode 100644 index b859cddb..00000000 --- a/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ff.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:49 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.115:0.115:0.115) (0.049:0.049:0.049)) - (INTERCONNECT dco _349_.A (0.116:0.116:0.116) (0.050:0.050:0.050)) - (INTERCONNECT dco _358_.A (0.116:0.116:0.116) (0.050:0.050:0.050)) - (INTERCONNECT dco _361_.B (0.119:0.119:0.119) (0.053:0.053:0.053)) - (INTERCONNECT dco _374_.B1 (0.117:0.117:0.117) (0.051:0.051:0.051)) - (INTERCONNECT dco _379_.A (0.119:0.119:0.119) (0.053:0.053:0.053)) - (INTERCONNECT dco _447_.A (0.116:0.116:0.116) (0.050:0.050:0.050)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.116:0.116:0.116) (0.050:0.050:0.050)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.119:0.119:0.119) (0.053:0.053:0.053)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.118:0.118:0.118) (0.052:0.052:0.052)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.119:0.119:0.119) (0.053:0.053:0.053)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.114:0.114:0.114) (0.048:0.048:0.048)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.117:0.117:0.117) (0.051:0.051:0.051)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.116:0.116:0.116) (0.050:0.050:0.050)) - (INTERCONNECT div[0] _257_.A_N (0.042:0.042:0.042) (0.017:0.017:0.017)) - (INTERCONNECT div[0] _287_.B1 (0.042:0.042:0.042) (0.018:0.018:0.018)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.042:0.042:0.042) (0.017:0.017:0.017)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.042:0.042:0.042) (0.017:0.017:0.017)) - (INTERCONNECT div[1] _252_.A (0.037:0.037:0.037) (0.015:0.015:0.015)) - (INTERCONNECT div[1] _258_.A (0.037:0.037:0.037) (0.015:0.015:0.015)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.038:0.038:0.038) (0.015:0.015:0.015)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.038:0.038:0.038) (0.015:0.015:0.015)) - (INTERCONNECT div[2] _244_.A (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT div[3] _243_.A (0.052:0.052:0.052) (0.021:0.021:0.021)) - (INTERCONNECT div[3] _260_.A1 (0.052:0.052:0.052) (0.021:0.021:0.021)) - (INTERCONNECT div[3] _267_.A1 (0.052:0.052:0.052) (0.021:0.021:0.021)) - (INTERCONNECT div[3] _289_.A1 (0.051:0.051:0.051) (0.021:0.021:0.021)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.051:0.051:0.051) (0.021:0.021:0.021)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.052:0.052:0.052) (0.021:0.021:0.021)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.052:0.052:0.052) (0.021:0.021:0.021)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.052:0.052:0.052) (0.021:0.021:0.021)) - (INTERCONNECT div[4] _266_.A (0.029:0.029:0.029) (0.011:0.011:0.011)) - (INTERCONNECT div[4] _270_.A1 (0.029:0.029:0.029) (0.011:0.011:0.011)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.029:0.029:0.029) (0.011:0.011:0.011)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.029:0.029:0.029) (0.011:0.011:0.011)) - (INTERCONNECT enable _425_.A (0.027:0.027:0.027) (0.010:0.010:0.010)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.027:0.027:0.027) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[0] _350_.A (0.024:0.024:0.024) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.022:0.022:0.022) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.023:0.023:0.023) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.022:0.022:0.022) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.024:0.024:0.024) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.023:0.023:0.023) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.029:0.029:0.029) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.029:0.029:0.029) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.038:0.038:0.038) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.038:0.038:0.038) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.036:0.036:0.036) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.036:0.036:0.036) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.011:0.011:0.011) (0.004:0.004:0.004)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.011:0.011:0.011) (0.003:0.003:0.003)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.038:0.038:0.038) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.038:0.038:0.038) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.038:0.038:0.038) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.038:0.038:0.038) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.029:0.029:0.029) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.029:0.029:0.029) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.023:0.023:0.023) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.023:0.023:0.023) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.031:0.031:0.031) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.031:0.031:0.031) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.046:0.046:0.046) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.046:0.046:0.046) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.014:0.014:0.014) (0.004:0.004:0.004)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.014:0.014:0.014) (0.004:0.004:0.004)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.016:0.016:0.016) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.016:0.016:0.016) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.016:0.016:0.016) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.016:0.016:0.016) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.050:0.050:0.050) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.050:0.050:0.050) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.047:0.047:0.047) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.046:0.046:0.046) (0.020:0.020:0.020)) - (INTERCONNECT osc _470_.D (0.011:0.011:0.011) (0.004:0.004:0.004)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.011:0.011:0.011) (0.003:0.003:0.003)) - (INTERCONNECT resetb _425_.B (0.026:0.026:0.026) (0.010:0.010:0.010)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.025:0.025:0.025) (0.010:0.010:0.010)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _219_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _215_.X _221_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _215_.X _223_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _215_.X _226_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _215_.X _343_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _345_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _217_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _216_.X _332_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _216_.X _334_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _338_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _341_.B1_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _342_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _216_.X _347_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _254_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _225_.X _255_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _225_.X _330_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _335_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _342_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _311_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _394_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _405_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _416_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _228_.X _420_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _423_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _229_.Y _292_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _229_.Y _294_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _229_.Y _353_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _355_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _229_.Y _362_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _229_.Y _366_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _397_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _231_.Y _241_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _231_.Y _264_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _236_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _245_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _251_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _236_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _245_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _251_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _235_.X _236_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _236_.X _241_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _236_.X _264_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _241_.Y _249_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _242_.Y _260_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _242_.Y _267_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _245_.Y _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _249_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _250_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _255_.Y _287_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _261_.X _268_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _264_.X _268_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _272_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _285_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _295_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _296_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _302_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _304_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _292_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _294_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _272_.X _305_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _307_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _308_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _272_.X _319_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _325_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _278_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _295_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _317_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _366_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _376_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _393_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _415_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _274_.X _278_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _304_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _277_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _275_.Y _279_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _275_.Y _321_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _374_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _275_.Y _408_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _412_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _275_.Y _420_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _277_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.Y _279_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _276_.Y _302_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.Y _320_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _418_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _385_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _394_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _355_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _361_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _367_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _393_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _306_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _401_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _283_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _385_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _412_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _285_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _285_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _303_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _284_.Y _319_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.X _292_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.X _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _314_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _317_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _323_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _326_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _307_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _313_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _296_.X _312_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _307_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _312_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _316_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _301_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _305_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _377_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _382_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _298_.X _393_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _402_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _298_.X _406_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _299_.X _300_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _368_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _370_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _388_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _391_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _415_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _299_.X _418_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _300_.Y _301_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _300_.Y _381_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _402_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _412_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _305_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _372_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _413_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _304_.X _320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _304_.X _322_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _307_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _316_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.Y _307_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.Y _357_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.Y _398_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _331_.X _333_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _331_.X _336_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _336_.Y _341_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _336_.Y _342_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _363_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _348_.X _385_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _387_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _409_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _348_.X _417_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _348_.X _421_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _349_.X _350_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _352_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _362_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _384_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _390_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _429_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _438_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _360_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _364_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _352_.X _369_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _352_.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _375_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _378_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _352_.X _389_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _353_.Y _354_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _353_.Y _376_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _353_.Y _395_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _354_.X _356_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _354_.X _365_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _354_.X _386_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _355_.X _356_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _355_.X _371_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _359_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _364_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _368_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _372_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _380_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _387_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _388_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _360_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _359_.X _377_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _359_.X _391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _403_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _411_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _361_.Y _363_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _365_.Y _368_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _365_.Y _382_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _365_.Y _408_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _367_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _394_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _405_.C (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _420_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _368_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _382_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _387_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _388_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _413_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _369_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _407_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _370_.Y _371_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _370_.Y _397_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _371_.Y _372_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _371_.Y _380_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _371_.Y _414_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _373_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _383_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _372_.X _396_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _375_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _417_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _377_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _391_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _400_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _402_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _412_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _380_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _383_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _379_.X _396_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _399_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _379_.X _411_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _423_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _424_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _382_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _381_.X _386_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _381_.X _400_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _405_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _406_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _408_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _414_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _392_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _390_.X _404_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _390_.X _410_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT _390_.X _419_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT _390_.X _422_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _390_.X _427_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _428_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _398_.X _410_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _403_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _408_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _411_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _414_.C1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _402_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _406_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _408_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _418_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _403_.X _424_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _418_.X _419_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _426_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _434_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _443_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _452_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _453_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _454_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _430_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _426_.X _427_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _426_.X _428_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _426_.X _431_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _432_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _426_.X _433_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _431_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _429_.X _432_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _433_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _435_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _436_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _429_.X _437_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _436_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _437_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _439_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _440_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _441_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _434_.X _442_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _440_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _441_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _442_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _444_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _445_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _446_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _443_.X _445_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _443_.X _446_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _443_.X _448_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _443_.X _449_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _443_.X _450_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _451_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _449_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _450_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _451_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _452_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _453_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _447_.X _454_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _233_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _459_.Q _235_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _330_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _335_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _340_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _221_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _231_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _234_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _246_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _330_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _335_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _219_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _237_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _461_.Q _238_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _263_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _331_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _217_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _261_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _462_.Q _269_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _332_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _333_.A_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _336_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _274_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _284_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _326_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _276_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _300_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _319_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _323_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _370_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _466_.Q _275_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _281_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _467_.Q _296_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _354_.A_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _355_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _229_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _281_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _314_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _393_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _415_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _228_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _278_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _469_.Q _280_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _469_.Q _353_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _469_.Q _357_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _469_.Q _401_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _471_.Q _472_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _214_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _226_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _232_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _255_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _474_.Q _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _474_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _475_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _475_.Q _234_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _475_.Q _246_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _476_.Q _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _476_.Q _238_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _476_.Q _263_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _217_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _261_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _269_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.095:0.095:0.095)) - (IOPATH A Y (0.114:0.114:0.114) (0.044:0.044:0.044)) - (IOPATH B Y (0.070:0.070:0.070) (0.085:0.085:0.085)) - (IOPATH B Y (0.093:0.093:0.093) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.156:0.164) (0.117:0.119:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.107:0.107:0.107) (0.150:0.150:0.150)) - (IOPATH A1 X (0.095:0.095:0.095) (0.144:0.144:0.144)) - (IOPATH S X (0.149:0.149:0.149) (0.204:0.204:0.204)) - (IOPATH S X (0.110:0.110:0.110) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.056:0.056:0.056) (0.070:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.108:0.108:0.108) (0.151:0.151:0.151)) - (IOPATH A1 X (0.097:0.097:0.097) (0.146:0.146:0.146)) - (IOPATH S X (0.153:0.153:0.153) (0.207:0.207:0.207)) - (IOPATH S X (0.114:0.114:0.114) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.057:0.057:0.057) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.105:0.105:0.105) (0.149:0.149:0.149)) - (IOPATH A1 X (0.098:0.098:0.098) (0.146:0.146:0.146)) - (IOPATH S X (0.153:0.153:0.153) (0.207:0.207:0.207)) - (IOPATH S X (0.114:0.114:0.114) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.058:0.059:0.059) (0.073:0.073:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.109:0.109:0.109) (0.152:0.152:0.152)) - (IOPATH A1 X (0.091:0.091:0.091) (0.141:0.141:0.141)) - (IOPATH S X (0.150:0.150:0.150) (0.203:0.203:0.203)) - (IOPATH S X (0.110:0.110:0.110) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.057:0.057:0.057) (0.071:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.121:0.121:0.121) (0.157:0.157:0.157)) - (IOPATH A1 X (0.102:0.102:0.102) (0.151:0.151:0.151)) - (IOPATH S X (0.157:0.157:0.157) (0.211:0.211:0.211)) - (IOPATH S X (0.118:0.118:0.118) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.063:0.063:0.063) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.131:0.131) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.044:0.044:0.044) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.111:0.111:0.111) (0.139:0.139:0.139)) - (IOPATH B Y (0.063:0.063:0.063) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.141:0.141:0.141)) - (IOPATH B X (0.150:0.150:0.150) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.087:0.087:0.087)) - (IOPATH A X (0.184:0.184:0.184) (0.151:0.151:0.151)) - (IOPATH B X (0.185:0.185:0.185) (0.068:0.068:0.068)) - (IOPATH B X (0.184:0.184:0.184) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.112:0.112:0.112) (0.127:0.127:0.127)) - (IOPATH B X (0.108:0.108:0.108) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.123:0.123:0.123) (0.135:0.135:0.135)) - (IOPATH B X (0.109:0.109:0.109) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.110:0.110:0.110) (0.207:0.208:0.208)) - (IOPATH A2 X (0.122:0.122:0.123) (0.230:0.234:0.238)) - (IOPATH B1 X (0.084:0.084:0.084) (0.161:0.161:0.161)) - (IOPATH C1 X (0.082:0.082:0.083) (0.142:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.039:0.039:0.039)) - (IOPATH B Y (0.088:0.088:0.088) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.033:0.033:0.033)) - (IOPATH B Y (0.055:0.055:0.055) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.094:0.094:0.094) (0.113:0.114:0.116)) - (IOPATH B Y (0.051:0.053:0.055) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.117:0.117:0.118) (0.120:0.122:0.123)) - (IOPATH B X (0.118:0.118:0.119) (0.135:0.136:0.138)) - (IOPATH C X (0.119:0.119:0.120) (0.138:0.140:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.122:0.124:0.125) (0.042:0.042:0.042)) - (IOPATH A2 Y (0.130:0.132:0.134) (0.044:0.044:0.045)) - (IOPATH B1 Y (0.075:0.077:0.078) (0.028:0.028:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.108:0.108) (0.034:0.034:0.034)) - (IOPATH B Y (0.088:0.091:0.093) (0.034:0.035:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.030:0.030:0.030) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.033:0.033:0.033) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.124:0.124:0.125) (0.047:0.047:0.047)) - (IOPATH A2 Y (0.129:0.134:0.138) (0.056:0.056:0.056)) - (IOPATH B1 Y (0.075:0.076:0.076) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.030:0.030:0.030)) - (IOPATH B Y (0.069:0.069:0.069) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.097:0.098) (0.035:0.035:0.035)) - (IOPATH B Y (0.084:0.084:0.085) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.094:0.096) (0.097:0.103:0.110)) - (IOPATH A Y (0.139:0.145:0.151) (0.057:0.060:0.062)) - (IOPATH B Y (0.089:0.089:0.089) (0.089:0.090:0.091)) - (IOPATH B Y (0.121:0.122:0.123) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.089:0.089:0.089) (0.230:0.230:0.230)) - (IOPATH A2 X (0.096:0.096:0.096) (0.222:0.223:0.223)) - (IOPATH A3 X (0.099:0.102:0.105) (0.193:0.195:0.197)) - (IOPATH B1 X (0.080:0.080:0.080) (0.121:0.121:0.121)) - (IOPATH B2 X (0.079:0.084:0.089) (0.118:0.120:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.029:0.029:0.029)) - (IOPATH B Y (0.054:0.056:0.059) (0.037:0.040:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.098:0.099:0.099)) - (IOPATH A Y (0.118:0.118:0.119) (0.049:0.049:0.049)) - (IOPATH B Y (0.078:0.078:0.079) (0.089:0.093:0.098)) - (IOPATH B Y (0.097:0.102:0.106) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.030:0.030:0.030) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.073:0.081:0.089) (0.108:0.111:0.114)) - (IOPATH B Y (0.041:0.041:0.041) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.032:0.032:0.032)) - (IOPATH B Y (0.055:0.055:0.055) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.044:0.044:0.044)) - (IOPATH B Y (0.100:0.100:0.100) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036:0.039:0.043) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.086:0.086:0.086) (0.126:0.126:0.126)) - (IOPATH B Y (0.052:0.056:0.059) (0.045:0.045:0.045)) - (IOPATH C Y (0.059:0.059:0.059) (0.045:0.045:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.090:0.090) (0.104:0.104:0.104)) - (IOPATH B X (0.088:0.095:0.102) (0.118:0.121:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.072:0.073:0.074) (0.116:0.118:0.120)) - (IOPATH A2 X (0.077:0.079:0.081) (0.137:0.139:0.141)) - (IOPATH B1 X (0.056:0.056:0.056) (0.085:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.159:0.159:0.159)) - (IOPATH A2 X (0.106:0.106:0.106) (0.146:0.148:0.150)) - (IOPATH B1 X (0.088:0.088:0.088) (0.095:0.099:0.102)) - (IOPATH C1 X (0.083:0.084:0.085) (0.085:0.088:0.091)) - (IOPATH D1 X (0.076:0.077:0.077) (0.071:0.072:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.073:0.073:0.073)) - (IOPATH A X (0.148:0.148:0.148) (0.140:0.140:0.140)) - (IOPATH B X (0.151:0.151:0.151) (0.060:0.060:0.060)) - (IOPATH B X (0.149:0.149:0.149) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.028:0.030:0.032) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.095:0.095:0.095) (0.114:0.114:0.114)) - (IOPATH B X (0.088:0.088:0.088) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.111:0.111:0.111) (0.142:0.142:0.142)) - (IOPATH A2 X (0.125:0.125:0.125) (0.172:0.174:0.175)) - (IOPATH A3 X (0.124:0.124:0.124) (0.181:0.183:0.185)) - (IOPATH B1 X (0.086:0.086:0.086) (0.096:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.094:0.097:0.101)) - (IOPATH A Y (0.118:0.122:0.125) (0.053:0.053:0.053)) - (IOPATH B Y (0.075:0.076:0.076) (0.085:0.087:0.089)) - (IOPATH B Y (0.099:0.101:0.102) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.088) (0.103:0.103:0.103)) - (IOPATH B X (0.089:0.097:0.104) (0.119:0.123:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.091:0.091:0.091) (0.128:0.128:0.128)) - (IOPATH A2 X (0.085:0.085:0.085) (0.116:0.118:0.120)) - (IOPATH B1_N X (0.128:0.132:0.135) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.037:0.041:0.044) (0.024:0.024:0.023)) - (IOPATH B Y (0.043:0.045:0.048) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.047:0.047:0.047) (0.025:0.025:0.025)) - (IOPATH B Y (0.049:0.049:0.049) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.125:0.125:0.125) (0.170:0.170:0.170)) - (IOPATH A2 X (0.119:0.124:0.129) (0.161:0.164:0.167)) - (IOPATH B1 X (0.104:0.104:0.105) (0.091:0.094:0.098)) - (IOPATH C1 X (0.100:0.101:0.101) (0.080:0.082:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.159:0.159:0.159) (0.255:0.257:0.259)) - (IOPATH A2 X (0.159:0.159:0.160) (0.247:0.247:0.248)) - (IOPATH A3 X (0.147:0.147:0.147) (0.220:0.221:0.222)) - (IOPATH B1 X (0.141:0.141:0.141) (0.103:0.106:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.192:0.193) (0.139:0.145:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.132:0.132:0.132)) - (IOPATH B X (0.129:0.129:0.129) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.114:0.114) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.155:0.155:0.155) (0.054:0.054:0.054)) - (IOPATH B Y (0.136:0.136:0.136) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.072:0.072:0.072) (0.060:0.060:0.060)) - (IOPATH B Y (0.072:0.072:0.072) (0.069:0.069:0.069)) - (IOPATH C Y (0.072:0.073:0.073) (0.062:0.062:0.062)) - (IOPATH D Y (0.074:0.076:0.078) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.065:0.065) (0.041:0.041:0.041)) - (IOPATH B Y (0.069:0.069:0.069) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.155:0.155:0.155) (0.051:0.051:0.051)) - (IOPATH B Y (0.137:0.137:0.137) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.055:0.055:0.055)) - (IOPATH B Y (0.079:0.080:0.081) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114:0.117:0.119) (0.035:0.035:0.036)) - (IOPATH B Y (0.102:0.104:0.106) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.175:0.175:0.175) (0.050:0.050:0.050)) - (IOPATH B Y (0.164:0.164:0.164) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.109:0.114:0.120)) - (IOPATH B X (0.108:0.109:0.109) (0.112:0.113:0.113)) - (IOPATH C X (0.117:0.117:0.117) (0.127:0.128:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.179:0.181:0.183) (0.149:0.154:0.159)) - (IOPATH B X (0.144:0.144:0.144) (0.144:0.144:0.144)) - (IOPATH C X (0.146:0.146:0.146) (0.152:0.152:0.152)) - (IOPATH D X (0.151:0.151:0.151) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.092:0.092:0.092) (0.039:0.039:0.039)) - (IOPATH A2 Y (0.069:0.072:0.075) (0.030:0.030:0.030)) - (IOPATH B1 Y (0.032:0.032:0.032) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.173:0.174:0.174) (0.144:0.144:0.144)) - (IOPATH B X (0.134:0.135:0.135) (0.133:0.135:0.137)) - (IOPATH C X (0.139:0.140:0.142) (0.147:0.149:0.151)) - (IOPATH D X (0.140:0.144:0.147) (0.154:0.156:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.113:0.113:0.113) (0.162:0.162:0.162)) - (IOPATH A2 X (0.112:0.112:0.112) (0.147:0.149:0.152)) - (IOPATH B1 X (0.092:0.093:0.093) (0.087:0.090:0.094)) - (IOPATH C1 X (0.086:0.087:0.088) (0.073:0.076:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.089:0.089:0.089) (0.135:0.135:0.135)) - (IOPATH B Y (0.068:0.069:0.070) (0.069:0.069:0.069)) - (IOPATH C Y (0.071:0.074:0.078) (0.072:0.072:0.072)) - (IOPATH D Y (0.072:0.075:0.078) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.156:0.157:0.158) (0.136:0.137:0.137)) - (IOPATH B X (0.129:0.129:0.129) (0.139:0.140:0.141)) - (IOPATH C X (0.130:0.132:0.134) (0.157:0.158:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.109:0.109:0.109) (0.226:0.226:0.226)) - (IOPATH A2 X (0.109:0.109:0.109) (0.215:0.215:0.215)) - (IOPATH A3 X (0.083:0.084:0.086) (0.180:0.183:0.185)) - (IOPATH B1 X (0.073:0.073:0.073) (0.076:0.077:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.130:0.130) (0.106:0.110:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.120:0.120:0.120)) - (IOPATH A Y (0.169:0.169:0.169) (0.068:0.068:0.068)) - (IOPATH B Y (0.098:0.098:0.098) (0.113:0.113:0.113)) - (IOPATH B Y (0.151:0.151:0.151) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.094:0.094) (0.034:0.034:0.034)) - (IOPATH B Y (0.077:0.082:0.088) (0.027:0.027:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.125:0.125:0.125)) - (IOPATH B X (0.122:0.122:0.122) (0.137:0.143:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.150:0.151:0.151) (0.048:0.048:0.048)) - (IOPATH B Y (0.135:0.136:0.136) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.157:0.157) (0.125:0.127:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.105:0.105) (0.081:0.081:0.081)) - (IOPATH B Y (0.110:0.110:0.110) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.057:0.057:0.057)) - (IOPATH B Y (0.084:0.086:0.089) (0.056:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.101:0.101) (0.117:0.117:0.117)) - (IOPATH A Y (0.164:0.164:0.164) (0.066:0.066:0.066)) - (IOPATH B Y (0.097:0.097:0.097) (0.103:0.109:0.114)) - (IOPATH B Y (0.140:0.146:0.151) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.035:0.036) (0.011:0.011:0.010)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.131:0.131:0.131) (0.155:0.160:0.165)) - (IOPATH A2 X (0.113:0.114:0.114) (0.165:0.165:0.165)) - (IOPATH B1 X (0.101:0.101:0.101) (0.116:0.116:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.142:0.143:0.143) (0.153:0.156:0.159)) - (IOPATH A2 X (0.146:0.154:0.162) (0.167:0.170:0.172)) - (IOPATH A3 X (0.152:0.152:0.152) (0.165:0.167:0.168)) - (IOPATH B1 X (0.129:0.129:0.129) (0.125:0.125:0.125)) - (IOPATH B2 X (0.134:0.134:0.134) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.061:0.062:0.063) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.112:0.119:0.127) (0.136:0.139:0.142)) - (IOPATH A2 X (0.133:0.133:0.133) (0.149:0.150:0.151)) - (IOPATH A3 X (0.124:0.124:0.125) (0.149:0.151:0.152)) - (IOPATH B1 X (0.090:0.090:0.090) (0.103:0.103:0.103)) - (IOPATH B2 X (0.105:0.105:0.105) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.090:0.090) (0.110:0.110:0.110)) - (IOPATH A Y (0.139:0.139:0.139) (0.057:0.057:0.057)) - (IOPATH B Y (0.085:0.085:0.085) (0.104:0.104:0.104)) - (IOPATH B Y (0.122:0.122:0.122) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.084:0.085:0.085) (0.102:0.103:0.104)) - (IOPATH B X (0.084:0.092:0.100) (0.115:0.119:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.082:0.084:0.085) (0.035:0.035:0.035)) - (IOPATH A2 Y (0.070:0.073:0.076) (0.027:0.028:0.029)) - (IOPATH B1 Y (0.044:0.044:0.044) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.097:0.097:0.097) (0.150:0.150:0.150)) - (IOPATH A2 X (0.084:0.084:0.084) (0.134:0.134:0.134)) - (IOPATH B1 X (0.064:0.065:0.065) (0.115:0.115:0.116)) - (IOPATH B2 X (0.056:0.060:0.064) (0.099:0.101:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.110:0.112:0.113) (0.048:0.048:0.048)) - (IOPATH A2 Y (0.121:0.123:0.124) (0.049:0.049:0.049)) - (IOPATH B1 Y (0.071:0.071:0.071) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.070:0.074) (0.086:0.089:0.092)) - (IOPATH A Y (0.096:0.098:0.101) (0.037:0.039:0.041)) - (IOPATH B Y (0.064:0.066:0.067) (0.072:0.077:0.083)) - (IOPATH B Y (0.070:0.075:0.080) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.101:0.101:0.101) (0.145:0.145:0.145)) - (IOPATH A1 X (0.080:0.087:0.093) (0.132:0.135:0.138)) - (IOPATH S X (0.144:0.144:0.144) (0.201:0.201:0.201)) - (IOPATH S X (0.105:0.105:0.105) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.056:0.056:0.056) (0.070:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.091:0.092:0.094) (0.043:0.043:0.043)) - (IOPATH A X (0.075:0.075:0.075) (0.103:0.104:0.105)) - (IOPATH B X (0.074:0.075:0.077) (0.035:0.035:0.036)) - (IOPATH B X (0.077:0.077:0.077) (0.086:0.087:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.106:0.106:0.106) (0.143:0.143:0.143)) - (IOPATH A1 X (0.086:0.089:0.091) (0.132:0.135:0.138)) - (IOPATH S X (0.144:0.144:0.144) (0.201:0.201:0.201)) - (IOPATH S X (0.105:0.105:0.105) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.058:0.058:0.058) (0.072:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.108:0.108:0.108) (0.152:0.152:0.152)) - (IOPATH A1 X (0.121:0.121:0.121) (0.144:0.145:0.146)) - (IOPATH S X (0.157:0.157:0.157) (0.208:0.208:0.208)) - (IOPATH S X (0.118:0.118:0.118) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.136:0.136:0.136) (0.188:0.188:0.188)) - (IOPATH A2 X (0.125:0.125:0.126) (0.166:0.168:0.169)) - (IOPATH B1 X (0.104:0.104:0.105) (0.096:0.096:0.097)) - (IOPATH C1 X (0.101:0.102:0.102) (0.080:0.084:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.104:0.104:0.104)) - (IOPATH A Y (0.120:0.120:0.120) (0.049:0.049:0.049)) - (IOPATH B Y (0.069:0.069:0.069) (0.079:0.082:0.085)) - (IOPATH B Y (0.085:0.087:0.090) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.083:0.088) (0.097:0.099:0.102)) - (IOPATH A Y (0.123:0.125:0.127) (0.048:0.052:0.056)) - (IOPATH B Y (0.078:0.078:0.078) (0.091:0.092:0.094)) - (IOPATH B Y (0.106:0.107:0.108) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.146:0.146:0.146) (0.138:0.138:0.138)) - (IOPATH A2_N X (0.128:0.131:0.135) (0.123:0.129:0.136)) - (IOPATH B1 X (0.118:0.118:0.118) (0.166:0.166:0.166)) - (IOPATH B2 X (0.097:0.097:0.097) (0.149:0.149:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.103:0.104) (0.039:0.039:0.039)) - (IOPATH B Y (0.086:0.086:0.087) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.107:0.107:0.107) (0.044:0.044:0.044)) - (IOPATH A X (0.076:0.076:0.076) (0.118:0.118:0.118)) - (IOPATH B X (0.070:0.074:0.078) (0.035:0.036:0.036)) - (IOPATH B X (0.079:0.079:0.079) (0.081:0.085:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.088:0.088:0.088) (0.138:0.138:0.138)) - (IOPATH A1 X (0.086:0.089:0.091) (0.131:0.135:0.139)) - (IOPATH S X (0.143:0.143:0.143) (0.200:0.200:0.200)) - (IOPATH S X (0.104:0.104:0.104) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.056:0.056:0.056) (0.070:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.043:0.043:0.043) (0.024:0.024:0.024)) - (IOPATH B Y (0.050:0.050:0.050) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.084:0.084:0.084) (0.135:0.135:0.135)) - (IOPATH A2 X (0.071:0.071:0.071) (0.118:0.119:0.119)) - (IOPATH B1 X (0.055:0.055:0.056) (0.067:0.067:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.134:0.134:0.134)) - (IOPATH B X (0.144:0.144:0.144) (0.154:0.154:0.154)) - (IOPATH C X (0.145:0.145:0.145) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.137:0.137:0.137)) - (IOPATH B X (0.117:0.117:0.117) (0.139:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.088:0.088:0.088) (0.137:0.137:0.137)) - (IOPATH A2 X (0.069:0.069:0.069) (0.116:0.117:0.117)) - (IOPATH B1 X (0.070:0.070:0.070) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.087:0.087:0.087) (0.118:0.118:0.118)) - (IOPATH B Y (0.045:0.045:0.045) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.106:0.106:0.106) (0.167:0.167:0.167)) - (IOPATH A2 X (0.094:0.094:0.094) (0.142:0.143:0.143)) - (IOPATH B1 X (0.077:0.078:0.079) (0.075:0.077:0.078)) - (IOPATH C1 X (0.096:0.096:0.096) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.103:0.103:0.103) (0.037:0.037:0.037)) - (IOPATH A2 Y (0.112:0.112:0.112) (0.042:0.042:0.042)) - (IOPATH B1 Y (0.065:0.065:0.065) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.064:0.064:0.064)) - (IOPATH B Y (0.083:0.084:0.084) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.083:0.083:0.084) (0.034:0.034:0.034)) - (IOPATH A2 Y (0.065:0.067:0.070) (0.028:0.028:0.028)) - (IOPATH B1 Y (0.040:0.042:0.044) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.102:0.102:0.102) (0.115:0.115:0.115)) - (IOPATH B X (0.079:0.083:0.087) (0.109:0.110:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.058:0.059:0.059) (0.070:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.107:0.107:0.107)) - (IOPATH A Y (0.126:0.126:0.126) (0.050:0.050:0.050)) - (IOPATH B Y (0.078:0.078:0.078) (0.097:0.097:0.097)) - (IOPATH B Y (0.106:0.106:0.106) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.086:0.088:0.090) (0.031:0.032:0.032)) - (IOPATH A2 Y (0.088:0.091:0.093) (0.030:0.034:0.037)) - (IOPATH B1_N Y (0.097:0.097:0.097) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.035:0.035:0.035)) - (IOPATH B Y (0.056:0.056:0.056) (0.043:0.043:0.043)) - (IOPATH C Y (0.053:0.055:0.058) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.087:0.087:0.087) (0.138:0.138:0.138)) - (IOPATH A1 X (0.086:0.086:0.086) (0.138:0.138:0.138)) - (IOPATH S X (0.151:0.151:0.151) (0.204:0.204:0.204)) - (IOPATH S X (0.111:0.111:0.111) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.064:0.064:0.064) (0.077:0.077:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.085:0.085) (0.136:0.136:0.136)) - (IOPATH A1 X (0.085:0.085:0.085) (0.137:0.137:0.137)) - (IOPATH S X (0.149:0.149:0.149) (0.202:0.202:0.202)) - (IOPATH S X (0.109:0.109:0.109) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.058:0.058:0.059) (0.072:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.073:0.073:0.073) (0.110:0.110:0.110)) - (IOPATH B Y (0.058:0.058:0.058) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.026:0.026:0.026)) - (IOPATH B Y (0.060:0.060:0.060) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.170:0.170:0.170) (0.071:0.071:0.071)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.070:0.073:0.076) (0.037:0.039:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.045:0.045:0.045)) - (IOPATH B Y (0.109:0.109:0.109) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.180:0.180:0.180) (0.165:0.165:0.165)) - (IOPATH B X (0.140:0.140:0.140) (0.152:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.133:0.133:0.133)) - (IOPATH B X (0.149:0.149:0.149) (0.155:0.155:0.155)) - (IOPATH C X (0.137:0.137:0.137) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.086) (0.030:0.030:0.030)) - (IOPATH B Y (0.066:0.066:0.067) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.046:0.046:0.046)) - (IOPATH B Y (0.107:0.107:0.107) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.206:0.206:0.206) (0.073:0.073:0.073)) - (IOPATH B Y (0.175:0.179:0.182) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.139:0.140:0.142)) - (IOPATH B X (0.177:0.177:0.177) (0.169:0.172:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.115) (0.145:0.145:0.145)) - (IOPATH A2 X (0.100:0.100:0.100) (0.145:0.145:0.145)) - (IOPATH B1 X (0.093:0.093:0.093) (0.113:0.113:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.041:0.041:0.041)) - (IOPATH B Y (0.114:0.114:0.114) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.035:0.035:0.035)) - (IOPATH B Y (0.085:0.085:0.085) (0.027:0.027:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.128:0.128:0.128) (0.219:0.219:0.219)) - (IOPATH A2 X (0.117:0.117:0.117) (0.233:0.233:0.233)) - (IOPATH B1 X (0.108:0.108:0.108) (0.170:0.172:0.174)) - (IOPATH C1 X (0.099:0.099:0.099) (0.151:0.151:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.118:0.118:0.118) (0.147:0.147:0.147)) - (IOPATH A2 X (0.106:0.106:0.106) (0.149:0.149:0.149)) - (IOPATH B1 X (0.098:0.098:0.098) (0.116:0.118:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.061:0.061:0.061) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.157:0.157:0.157)) - (IOPATH B X (0.162:0.162:0.162) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.095:0.095) (0.065:0.065:0.065)) - (IOPATH B Y (0.102:0.102:0.103) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.158) (0.202:0.202:0.202)) - (IOPATH A2 X (0.141:0.141:0.141) (0.176:0.176:0.176)) - (IOPATH B1 X (0.138:0.139:0.140) (0.119:0.120:0.120)) - (IOPATH C1 X (0.151:0.151:0.151) (0.107:0.109:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.146:0.146:0.146)) - (IOPATH A2 X (0.102:0.102:0.102) (0.146:0.146:0.146)) - (IOPATH B1 X (0.091:0.091:0.091) (0.105:0.108:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.053:0.053:0.053)) - (IOPATH B Y (0.132:0.132:0.132) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.064:0.066:0.068) (0.054:0.054:0.054)) - (IOPATH B Y (0.069:0.069:0.070) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.157:0.157:0.158) (0.199:0.202:0.205)) - (IOPATH A2 X (0.157:0.157:0.157) (0.192:0.192:0.193)) - (IOPATH B1 X (0.164:0.164:0.164) (0.123:0.125:0.127)) - (IOPATH C1 X (0.136:0.137:0.137) (0.101:0.104:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.146:0.146:0.146)) - (IOPATH A2 X (0.102:0.102:0.102) (0.147:0.147:0.147)) - (IOPATH B1 X (0.092:0.092:0.092) (0.106:0.109:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.152:0.152:0.152) (0.059:0.059:0.059)) - (IOPATH A2 Y (0.141:0.145:0.149) (0.060:0.060:0.060)) - (IOPATH B1 Y (0.106:0.106:0.106) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.117:0.117:0.117) (0.147:0.147:0.147)) - (IOPATH A2 X (0.103:0.103:0.103) (0.147:0.147:0.147)) - (IOPATH B1 X (0.094:0.095:0.096) (0.110:0.113:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.076:0.076:0.076)) - (IOPATH B Y (0.100:0.101:0.102) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.098:0.098:0.098) (0.143:0.143:0.143)) - (IOPATH A2 X (0.081:0.081:0.082) (0.133:0.134:0.135)) - (IOPATH B1 X (0.074:0.074:0.074) (0.083:0.083:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.119:0.119:0.119) (0.148:0.148:0.148)) - (IOPATH A2 X (0.107:0.107:0.107) (0.150:0.150:0.150)) - (IOPATH B1 X (0.083:0.084:0.084) (0.100:0.102:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.139:0.139) (0.157:0.157:0.157)) - (IOPATH A2 X (0.131:0.131:0.131) (0.160:0.160:0.160)) - (IOPATH B1 X (0.139:0.139:0.139) (0.136:0.139:0.141)) - (IOPATH B2 X (0.121:0.122:0.122) (0.136:0.140:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.163) (0.134:0.136:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.096:0.096:0.096) (0.148:0.148:0.148)) - (IOPATH A2 X (0.073:0.073:0.073) (0.125:0.125:0.125)) - (IOPATH B1 X (0.079:0.080:0.080) (0.127:0.128:0.128)) - (IOPATH B2 X (0.073:0.073:0.073) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.141:0.141:0.141) (0.159:0.159:0.159)) - (IOPATH A2 X (0.133:0.133:0.133) (0.161:0.161:0.161)) - (IOPATH B1 X (0.129:0.129:0.129) (0.130:0.133:0.136)) - (IOPATH B2 X (0.116:0.116:0.116) (0.132:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.066:0.066:0.066) (0.096:0.096:0.096)) - (IOPATH B Y (0.055:0.055:0.055) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.164:0.164:0.164) (0.262:0.262:0.262)) - (IOPATH A2 X (0.152:0.152:0.153) (0.245:0.247:0.248)) - (IOPATH A3 X (0.152:0.152:0.152) (0.219:0.221:0.222)) - (IOPATH B1 X (0.119:0.121:0.122) (0.097:0.097:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.037:0.037:0.037)) - (IOPATH B Y (0.058:0.058:0.058) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.174:0.174:0.175) (0.171:0.171:0.172)) - (IOPATH A2 X (0.194:0.194:0.194) (0.187:0.189:0.192)) - (IOPATH A3 X (0.170:0.170:0.170) (0.171:0.173:0.176)) - (IOPATH B1 X (0.138:0.138:0.138) (0.112:0.112:0.112)) - (IOPATH B2 X (0.158:0.158:0.158) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.093:0.093:0.093) (0.138:0.138:0.138)) - (IOPATH A2 X (0.076:0.076:0.077) (0.126:0.127:0.127)) - (IOPATH B1 X (0.075:0.075:0.075) (0.081:0.084:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.127:0.127:0.127) (0.155:0.155:0.155)) - (IOPATH A2 X (0.115:0.115:0.115) (0.158:0.158:0.158)) - (IOPATH B1 X (0.090:0.090:0.091) (0.106:0.107:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.211:0.211) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.096:0.096:0.096) (0.141:0.141:0.141)) - (IOPATH A2 X (0.080:0.080:0.081) (0.132:0.133:0.134)) - (IOPATH B1 X (0.073:0.073:0.073) (0.082:0.082:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.126:0.126:0.126) (0.164:0.164:0.164)) - (IOPATH A2 X (0.106:0.106:0.106) (0.151:0.151:0.151)) - (IOPATH B1 X (0.083:0.083:0.083) (0.101:0.102:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.081:0.081:0.081) (0.183:0.183:0.183)) - (IOPATH A2 X (0.090:0.090:0.090) (0.208:0.208:0.208)) - (IOPATH B1 X (0.070:0.070:0.070) (0.143:0.143:0.143)) - (IOPATH C1 X (0.061:0.061:0.061) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.092:0.092:0.092) (0.036:0.036:0.036)) - (IOPATH A2 Y (0.069:0.071:0.073) (0.024:0.024:0.024)) - (IOPATH B1 Y (0.041:0.041:0.041) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.141:0.142:0.142) (0.131:0.131:0.131)) - (IOPATH B X (0.095:0.096:0.096) (0.115:0.117:0.118)) - (IOPATH C X (0.101:0.104:0.107) (0.125:0.126:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.132:0.132:0.132) (0.155:0.155:0.155)) - (IOPATH A2 X (0.116:0.116:0.116) (0.150:0.150:0.150)) - (IOPATH B1 X (0.120:0.120:0.120) (0.126:0.129:0.132)) - (IOPATH B2 X (0.109:0.109:0.109) (0.129:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.034:0.034:0.034)) - (IOPATH B Y (0.054:0.056:0.058) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.102:0.102:0.102) (0.122:0.122:0.122)) - (IOPATH B X (0.117:0.117:0.117) (0.130:0.133:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.117:0.117:0.117) (0.144:0.144:0.144)) - (IOPATH A2 X (0.102:0.102:0.102) (0.140:0.140:0.140)) - (IOPATH B1 X (0.092:0.093:0.093) (0.114:0.115:0.115)) - (IOPATH B2 X (0.098:0.098:0.098) (0.122:0.122:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.121:0.121:0.122) (0.149:0.150:0.151)) - (IOPATH B Y (0.091:0.091:0.091) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.055:0.055:0.055)) - (IOPATH B Y (0.071:0.072:0.073) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.097:0.097:0.098) (0.157:0.159:0.161)) - (IOPATH A2 X (0.089:0.090:0.090) (0.147:0.148:0.149)) - (IOPATH B1 X (0.082:0.082:0.082) (0.133:0.133:0.134)) - (IOPATH B2 X (0.082:0.082:0.082) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.142) (0.135:0.136:0.136)) - (IOPATH B X (0.136:0.136:0.137) (0.148:0.149:0.150)) - (IOPATH C X (0.128:0.128:0.129) (0.147:0.148:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.120:0.120:0.120) (0.159:0.159:0.159)) - (IOPATH A2 X (0.102:0.102:0.102) (0.148:0.148:0.148)) - (IOPATH B1 X (0.085:0.085:0.085) (0.105:0.106:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.054:0.054:0.054) (0.041:0.041:0.041)) - (IOPATH B Y (0.060:0.060:0.060) (0.049:0.049:0.049)) - (IOPATH C Y (0.060:0.060:0.060) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.087:0.087:0.088) (0.138:0.139:0.140)) - (IOPATH B Y (0.070:0.070:0.070) (0.057:0.057:0.057)) - (IOPATH C Y (0.079:0.079:0.079) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.116:0.116) (0.115:0.118:0.121)) - (IOPATH B X (0.107:0.107:0.107) (0.125:0.126:0.126)) - (IOPATH C X (0.112:0.114:0.116) (0.141:0.142:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.126:0.126:0.126) (0.191:0.191:0.191)) - (IOPATH A2 X (0.107:0.107:0.107) (0.164:0.164:0.164)) - (IOPATH B1 X (0.106:0.106:0.106) (0.162:0.163:0.164)) - (IOPATH B2 X (0.117:0.117:0.117) (0.154:0.154:0.154)) - (IOPATH C1 X (0.091:0.091:0.092) (0.089:0.090:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.141:0.141:0.142) (0.150:0.153:0.156)) - (IOPATH A2 X (0.147:0.147:0.147) (0.165:0.166:0.166)) - (IOPATH A3 X (0.148:0.148:0.149) (0.158:0.161:0.165)) - (IOPATH B1 X (0.121:0.121:0.121) (0.106:0.106:0.106)) - (IOPATH B2 X (0.137:0.137:0.137) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.140:0.140:0.140) (0.171:0.171:0.171)) - (IOPATH A2 X (0.126:0.126:0.126) (0.164:0.164:0.164)) - (IOPATH B1 X (0.102:0.102:0.102) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.130:0.130:0.130) (0.153:0.153:0.153)) - (IOPATH A2 X (0.118:0.118:0.118) (0.152:0.152:0.152)) - (IOPATH B1 X (0.120:0.120:0.120) (0.131:0.131:0.132)) - (IOPATH B2 X (0.116:0.116:0.117) (0.139:0.140:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.099:0.099:0.099) (0.152:0.152:0.152)) - (IOPATH A2 X (0.077:0.078:0.078) (0.133:0.135:0.136)) - (IOPATH B1 X (0.082:0.083:0.083) (0.132:0.134:0.136)) - (IOPATH B2 X (0.070:0.071:0.071) (0.119:0.120:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.106:0.106:0.106) (0.164:0.167:0.169)) - (IOPATH A2 X (0.105:0.105:0.105) (0.157:0.157:0.157)) - (IOPATH B1 X (0.086:0.086:0.087) (0.083:0.085:0.088)) - (IOPATH C1 X (0.077:0.078:0.078) (0.070:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.123:0.123:0.123) (0.170:0.170:0.170)) - (IOPATH A2 X (0.101:0.101:0.101) (0.143:0.145:0.146)) - (IOPATH B1 X (0.089:0.090:0.090) (0.098:0.102:0.106)) - (IOPATH C1 X (0.091:0.092:0.092) (0.098:0.099:0.100)) - (IOPATH D1 X (0.077:0.077:0.077) (0.069:0.072:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.104:0.104:0.104) (0.046:0.046:0.046)) - (IOPATH A2 Y (0.095:0.095:0.095) (0.035:0.035:0.035)) - (IOPATH B1 Y (0.053:0.053:0.053) (0.027:0.027:0.027)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.033:0.033:0.033)) - (IOPATH B Y (0.047:0.048:0.049) (0.031:0.034:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.125:0.128:0.131) (0.140:0.143:0.146)) - (IOPATH A2 X (0.114:0.114:0.115) (0.143:0.146:0.148)) - (IOPATH A3 X (0.119:0.119:0.119) (0.142:0.147:0.152)) - (IOPATH B1 X (0.095:0.095:0.095) (0.095:0.095:0.095)) - (IOPATH B2 X (0.108:0.108:0.108) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.113:0.113:0.113) (0.227:0.227:0.227)) - (IOPATH A2 X (0.109:0.109:0.109) (0.214:0.214:0.214)) - (IOPATH A3 X (0.090:0.090:0.090) (0.185:0.186:0.186)) - (IOPATH B1 X (0.077:0.077:0.077) (0.079:0.080:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.113:0.113:0.113) (0.153:0.153:0.153)) - (IOPATH A2 X (0.099:0.099:0.099) (0.145:0.145:0.145)) - (IOPATH B1 X (0.073:0.074:0.074) (0.093:0.097:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.038:0.038:0.038)) - (IOPATH B Y (0.062:0.062:0.062) (0.046:0.046:0.046)) - (IOPATH C Y (0.058:0.058:0.058) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.135:0.136:0.136)) - (IOPATH A2 X (0.120:0.121:0.121) (0.147:0.151:0.154)) - (IOPATH A3 X (0.121:0.122:0.123) (0.149:0.152:0.155)) - (IOPATH B1 X (0.096:0.096:0.096) (0.094:0.094:0.094)) - (IOPATH B2 X (0.112:0.112:0.112) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.113:0.113:0.113) (0.154:0.154:0.154)) - (IOPATH A2 X (0.093:0.093:0.093) (0.141:0.141:0.141)) - (IOPATH B1 X (0.084:0.084:0.084) (0.099:0.101:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.120:0.120:0.120) (0.146:0.146:0.146)) - (IOPATH A2 X (0.104:0.104:0.104) (0.141:0.141:0.141)) - (IOPATH B1 X (0.104:0.104:0.104) (0.116:0.116:0.117)) - (IOPATH B2 X (0.118:0.118:0.118) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.126:0.126:0.126) (0.150:0.150:0.150)) - (IOPATH A2 X (0.113:0.113:0.113) (0.148:0.148:0.148)) - (IOPATH B1 X (0.106:0.106:0.106) (0.121:0.122:0.123)) - (IOPATH B2 X (0.105:0.107:0.109) (0.133:0.133:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.061:0.061:0.061)) - (IOPATH B Y (0.093:0.093:0.093) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.187) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.028:0.028:0.028)) - (IOPATH B Y (0.076:0.076:0.076) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.032:0.032:0.032)) - (IOPATH B Y (0.084:0.084:0.084) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.029:0.029:0.029)) - (IOPATH B Y (0.073:0.073:0.073) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.027:0.027:0.027)) - (IOPATH B Y (0.070:0.070:0.070) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.028:0.028:0.028)) - (IOPATH B Y (0.073:0.073:0.073) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.027:0.027:0.027)) - (IOPATH B Y (0.070:0.070:0.070) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.174) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.027:0.027:0.027)) - (IOPATH B Y (0.067:0.067:0.067) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.028:0.028:0.028)) - (IOPATH B Y (0.068:0.068:0.068) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.029:0.029:0.029)) - (IOPATH B Y (0.071:0.071:0.071) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.029:0.029:0.029)) - (IOPATH B Y (0.070:0.070:0.070) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.027:0.027:0.027)) - (IOPATH B Y (0.066:0.066:0.066) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.029:0.029:0.029)) - (IOPATH B Y (0.071:0.071:0.071) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.027:0.027:0.027)) - (IOPATH B Y (0.067:0.067:0.067) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.188:0.188) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.029:0.029:0.029)) - (IOPATH B Y (0.073:0.073:0.073) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.030:0.030:0.030)) - (IOPATH B Y (0.076:0.076:0.076) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.029:0.029:0.029)) - (IOPATH B Y (0.074:0.074:0.074) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.026:0.026:0.026)) - (IOPATH B Y (0.070:0.070:0.070) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.035:0.035:0.035)) - (IOPATH B Y (0.088:0.088:0.088) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.027:0.027:0.027)) - (IOPATH B Y (0.073:0.073:0.073) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.027:0.027:0.027)) - (IOPATH B Y (0.073:0.073:0.073) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.026:0.026:0.026)) - (IOPATH B Y (0.065:0.065:0.065) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.031:0.031:0.031)) - (IOPATH B Y (0.074:0.074:0.075) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.027:0.027:0.027)) - (IOPATH B Y (0.066:0.067:0.067) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.236:0.236:0.236) (0.262:0.262:0.262)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.212:0.212:0.212)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.069:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.235:0.235:0.235) (0.261:0.261:0.261)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.214:0.214:0.214)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.231:0.231:0.231) (0.258:0.258:0.258)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.211:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.234:0.234:0.234) (0.261:0.261:0.261)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136:-0.135:-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.026:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.068:0.071:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.304:0.304:0.304) (0.308:0.308:0.308)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.211:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.023:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.036:0.037:0.038)) - (SETUP (negedge D) (posedge CLK) (0.065:0.067:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.280:0.280:0.280) (0.293:0.293:0.293)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.288:0.288:0.288) (0.299:0.299:0.299)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.022:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.063:0.066:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.294:0.294:0.294) (0.302:0.302:0.302)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.021:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.034)) - (SETUP (negedge D) (posedge CLK) (0.063:0.065:0.066)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.269:0.269:0.269) (0.286:0.286:0.286)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.211:0.211:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.021:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.065:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.246:0.246:0.246) (0.270:0.270:0.270)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.288:0.288:0.288) (0.298:0.298:0.298)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136:-0.135:-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035)) - (SETUP (negedge D) (posedge CLK) (0.066:0.068:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.246:0.246:0.246) (0.270:0.270:0.270)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.211:0.211:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.021:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.026:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.035:0.037:0.040)) - (SETUP (negedge D) (posedge CLK) (0.067:0.070:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.270:0.270:0.270) (0.287:0.287:0.287)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.281:0.281:0.281) (0.294:0.294:0.294)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.314:0.314:0.314) (0.314:0.314:0.314)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.211:0.212:0.212)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.066:0.067:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.221:0.221:0.221) (0.250:0.250:0.250)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.211:0.211:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.060:0.060:0.060)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.258:0.258:0.258) (0.280:0.280:0.280)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.245:0.245:0.245) (0.270:0.270:0.270)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.215:0.216:0.216)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130:-0.130:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.262:0.262:0.262) (0.282:0.282:0.282)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.251:0.251:0.251) (0.273:0.273:0.273)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.262:0.262:0.262) (0.281:0.281:0.281)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.259:0.259:0.259) (0.280:0.280:0.280)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.212:0.212:0.212)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.262:0.262:0.262) (0.282:0.282:0.282)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.106:0.106) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.093:0.093:0.093) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.080:0.080:0.080) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.057:0.061:0.066) (0.004:-0.003:-0.009)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.002:0.002:0.002)) - (IOPATH TE Z (0.056:0.056:0.056) (0.005:0.005:0.005)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.033:0.037:0.041) (0.072:0.076:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.030:0.032:0.033) (0.055:0.056:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.076:0.076:0.076) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.012:0.012:0.012) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.009:-0.009)) - (IOPATH TE_B Z (0.037:0.041:0.044) (0.075:0.081:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.028:0.029:0.030) (0.052:0.053:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.079:0.079:0.079) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.002:0.002:0.002)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.040:0.041:0.042) (0.079:0.081:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.030:0.030:0.031) (0.054:0.055:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.080:0.080:0.080) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.002:0.002:0.002)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.032:0.033:0.033) (0.057:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.078:0.078:0.078) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.027:0.027:0.027) (-0.017:-0.017:-0.017)) - (IOPATH TE_B Z (0.039:0.040:0.041) (0.077:0.078:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.026:0.026:0.026) (-0.016:-0.016:-0.016)) - (IOPATH TE_B Z (0.029:0.030:0.030) (0.053:0.054:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.078:0.078:0.078) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.015:0.015:0.015) (0.005:0.005:0.005)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.025:0.025:0.025) (-0.013:-0.013:-0.013)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.011:-0.011:-0.011)) - (IOPATH TE_B Z (0.029:0.031:0.032) (0.054:0.055:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.033:0.033:0.033) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.081:0.081:0.081) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.002:0.002:0.002)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.010:-0.010:-0.010)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.032:0.034:0.035) (0.057:0.059:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.078:0.078:0.078) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.034:0.035:0.036) (0.059:0.060:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.025:0.025:0.025) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.092:0.092:0.092) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.012:0.012:0.012) (0.000:0.000:0.000)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.011:-0.011:-0.011)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.031:0.032:0.033) (0.056:0.057:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.073:0.073:0.073) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.011:-0.011:-0.011)) - (IOPATH TE_B Z (0.034:0.036:0.037) (0.072:0.074:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.028:0.029:0.030) (0.052:0.053:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.073:0.073:0.073) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.038:0.039:0.039) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.028:0.029:0.030) (0.052:0.053:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.022:0.022:0.022) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.078:0.078:0.078) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.038:0.039:0.040) (0.076:0.077:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.028:0.030:0.031) (0.053:0.054:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.072:0.072:0.072) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.115:0.115:0.115) (0.202:0.202:0.202)) - (IOPATH B X (0.098:0.098:0.098) (0.179:0.180:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.059:0.059:0.059) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.005:-0.005:-0.005) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.039:0.039:0.039) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.001:-0.001:-0.001) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.031:0.031:0.032) (0.055:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.052:0.052:0.052) (-0.008:-0.008:-0.008)) - ) - ) - ) -) diff --git a/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ss.sdf b/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ss.sdf deleted file mode 100644 index e758dd2e..00000000 --- a/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ss.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:49 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.247:0.247:0.247) (0.144:0.144:0.144)) - (INTERCONNECT dco _349_.A (0.249:0.249:0.249) (0.146:0.146:0.146)) - (INTERCONNECT dco _358_.A (0.248:0.248:0.248) (0.145:0.145:0.145)) - (INTERCONNECT dco _361_.B (0.251:0.251:0.251) (0.148:0.148:0.148)) - (INTERCONNECT dco _374_.B1 (0.249:0.249:0.249) (0.146:0.146:0.146)) - (INTERCONNECT dco _379_.A (0.251:0.251:0.251) (0.148:0.148:0.148)) - (INTERCONNECT dco _447_.A (0.248:0.248:0.248) (0.145:0.145:0.145)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.248:0.248:0.248) (0.145:0.145:0.145)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.251:0.251:0.251) (0.148:0.148:0.148)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.250:0.250:0.250) (0.147:0.147:0.147)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.251:0.251:0.251) (0.148:0.148:0.148)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.246:0.246:0.246) (0.143:0.143:0.143)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.249:0.249:0.249) (0.146:0.146:0.146)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.248:0.248:0.248) (0.145:0.145:0.145)) - (INTERCONNECT div[0] _257_.A_N (0.089:0.089:0.089) (0.052:0.052:0.052)) - (INTERCONNECT div[0] _287_.B1 (0.089:0.089:0.089) (0.052:0.052:0.052)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.089:0.089:0.089) (0.052:0.052:0.052)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.089:0.089:0.089) (0.052:0.052:0.052)) - (INTERCONNECT div[1] _252_.A (0.079:0.079:0.079) (0.046:0.046:0.046)) - (INTERCONNECT div[1] _258_.A (0.079:0.079:0.079) (0.046:0.046:0.046)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.079:0.079:0.079) (0.046:0.046:0.046)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.079:0.079:0.079) (0.046:0.046:0.046)) - (INTERCONNECT div[2] _244_.A (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT div[3] _243_.A (0.112:0.112:0.112) (0.064:0.064:0.064)) - (INTERCONNECT div[3] _260_.A1 (0.112:0.112:0.112) (0.064:0.064:0.064)) - (INTERCONNECT div[3] _267_.A1 (0.112:0.112:0.112) (0.065:0.065:0.065)) - (INTERCONNECT div[3] _289_.A1 (0.111:0.111:0.111) (0.064:0.064:0.064)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.111:0.111:0.111) (0.064:0.064:0.064)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.112:0.112:0.112) (0.064:0.064:0.064)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.112:0.112:0.112) (0.064:0.064:0.064)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.112:0.112:0.112) (0.064:0.064:0.064)) - (INTERCONNECT div[4] _266_.A (0.061:0.061:0.061) (0.036:0.036:0.036)) - (INTERCONNECT div[4] _270_.A1 (0.061:0.061:0.061) (0.036:0.036:0.036)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.061:0.061:0.061) (0.035:0.035:0.035)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.061:0.061:0.061) (0.035:0.035:0.035)) - (INTERCONNECT enable _425_.A (0.056:0.056:0.056) (0.033:0.033:0.033)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.056:0.056:0.056) (0.033:0.033:0.033)) - (INTERCONNECT ext_trim[0] _350_.A (0.051:0.051:0.051) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.051:0.051:0.051) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.045:0.045:0.045) (0.027:0.027:0.027)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.045:0.045:0.045) (0.027:0.027:0.027)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.048:0.048:0.048) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.048:0.048:0.048) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.047:0.047:0.047) (0.027:0.027:0.027)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.047:0.047:0.047) (0.027:0.027:0.027)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.051:0.051:0.051) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.051:0.051:0.051) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.048:0.048:0.048) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.048:0.048:0.048) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.061:0.061:0.061) (0.036:0.036:0.036)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.061:0.061:0.061) (0.036:0.036:0.036)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.079:0.079:0.079) (0.047:0.047:0.047)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.080:0.080:0.080) (0.047:0.047:0.047)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.076:0.076:0.076) (0.045:0.045:0.045)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.076:0.076:0.076) (0.045:0.045:0.045)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.025:0.025:0.025) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.025:0.025:0.025) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.079:0.079:0.079) (0.047:0.047:0.047)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.079:0.079:0.079) (0.047:0.047:0.047)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.080:0.080:0.080) (0.047:0.047:0.047)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.080:0.080:0.080) (0.048:0.048:0.048)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.061:0.061:0.061) (0.036:0.036:0.036)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.061:0.061:0.061) (0.036:0.036:0.036)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.049:0.049:0.049) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.048:0.048:0.048) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.066:0.066:0.066) (0.039:0.039:0.039)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.066:0.066:0.066) (0.039:0.039:0.039)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.096:0.096:0.096) (0.057:0.057:0.057)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.096:0.096:0.096) (0.057:0.057:0.057)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.046:0.046:0.046) (0.027:0.027:0.027)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.046:0.046:0.046) (0.027:0.027:0.027)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.030:0.030:0.030) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.030:0.030:0.030) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.036:0.036:0.036) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.036:0.036:0.036) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.034:0.034:0.034) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.034:0.034:0.034) (0.019:0.019:0.019)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.045:0.045:0.045) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.045:0.045:0.045) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.104:0.104:0.104) (0.063:0.063:0.063)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.104:0.104:0.104) (0.062:0.062:0.062)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.097:0.097:0.097) (0.058:0.058:0.058)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.097:0.097:0.097) (0.058:0.058:0.058)) - (INTERCONNECT osc _470_.D (0.023:0.023:0.023) (0.013:0.013:0.013)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.023:0.023:0.023) (0.013:0.013:0.013)) - (INTERCONNECT resetb _425_.B (0.054:0.054:0.054) (0.031:0.031:0.031)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.054:0.054:0.054) (0.031:0.031:0.031)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _219_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _215_.X _221_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _215_.X _223_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _215_.X _226_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _215_.X _343_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _345_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _217_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _216_.X _332_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _216_.X _334_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _338_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _341_.B1_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _342_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _216_.X _347_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _254_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _225_.X _255_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _225_.X _330_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _335_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _342_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _311_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _394_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _405_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _416_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _228_.X _420_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _423_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _229_.Y _292_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _229_.Y _294_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _229_.Y _353_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _355_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _229_.Y _362_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _229_.Y _366_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _397_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _231_.Y _241_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _231_.Y _264_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _236_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _245_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _251_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _236_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _245_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _251_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _235_.X _236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _236_.X _241_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _236_.X _264_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.000:0.000:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _241_.Y _249_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _242_.Y _260_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _242_.Y _267_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _245_.Y _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _249_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _250_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _255_.Y _287_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _261_.X _268_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _264_.X _268_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _272_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _285_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _295_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _296_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _302_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _304_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _292_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _294_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _272_.X _305_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _307_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _308_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _272_.X _319_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _325_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _278_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _295_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _317_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _366_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _376_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _393_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _415_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _274_.X _278_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _304_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _277_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _275_.Y _279_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _275_.Y _321_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _374_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _275_.Y _408_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _412_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _275_.Y _420_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _277_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.Y _279_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.Y _302_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.Y _320_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _418_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _385_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _394_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _355_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _361_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _367_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _393_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _306_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _401_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _283_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _385_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _412_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _285_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _285_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _303_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _284_.Y _319_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.X _292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.X _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _314_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _317_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _323_.A1_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _326_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _307_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _313_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _296_.X _312_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _307_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _312_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _316_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _301_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _305_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _377_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _382_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _298_.X _393_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _402_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _298_.X _406_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _299_.X _300_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _368_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _370_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _388_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _391_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _415_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _418_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _300_.Y _301_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _300_.Y _381_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _402_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _412_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _305_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _372_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _413_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _304_.X _320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _304_.X _322_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _307_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _316_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.Y _307_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.Y _357_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.Y _398_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _331_.X _333_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _331_.X _336_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _336_.Y _341_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _336_.Y _342_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _363_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _348_.X _385_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _387_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _409_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _348_.X _417_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _348_.X _421_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _349_.X _350_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _352_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _362_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _384_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _390_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _429_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _438_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _360_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _364_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _352_.X _369_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _352_.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _375_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _378_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _352_.X _389_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _353_.Y _354_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _353_.Y _376_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _353_.Y _395_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _354_.X _356_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _354_.X _365_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _354_.X _386_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _355_.X _356_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _355_.X _371_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _359_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _364_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _368_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _372_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _380_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _387_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _388_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _360_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _359_.X _377_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _403_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _411_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _361_.Y _363_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _365_.Y _368_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _365_.Y _382_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _365_.Y _408_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _367_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _394_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _405_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _420_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _368_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _382_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _387_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _388_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _413_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _369_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _407_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _370_.Y _371_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _370_.Y _397_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _371_.Y _372_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _371_.Y _380_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _371_.Y _414_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _373_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _383_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _372_.X _396_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _375_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _417_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _377_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _391_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _400_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _402_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _412_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _380_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _379_.X _383_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _379_.X _396_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _399_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _379_.X _411_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _379_.X _423_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _424_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _382_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _381_.X _386_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _381_.X _400_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _405_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _406_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _408_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _414_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _392_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _390_.X _404_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _390_.X _410_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _390_.X _419_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _390_.X _422_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _390_.X _427_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _428_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _398_.X _410_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _403_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _408_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _411_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _414_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _402_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _406_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _408_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _418_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _403_.X _424_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _418_.X _419_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _426_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _434_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _443_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _452_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _453_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _454_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _430_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _426_.X _427_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _426_.X _428_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _426_.X _431_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _432_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _426_.X _433_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _431_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _429_.X _432_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _433_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _435_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _436_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _429_.X _437_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _436_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _437_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _439_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _440_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _441_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _434_.X _442_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _440_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _441_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _442_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _444_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _445_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _446_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _443_.X _445_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _443_.X _446_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _443_.X _448_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _443_.X _449_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _443_.X _450_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _451_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _449_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _447_.X _450_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _451_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _452_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _453_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _447_.X _454_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _233_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _459_.Q _235_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _330_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _335_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _340_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _221_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _231_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _234_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _246_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _330_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _335_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _219_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _237_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _461_.Q _238_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _263_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _331_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _217_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _261_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _462_.Q _269_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _332_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _333_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _336_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _274_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _284_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _326_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _276_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _300_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _319_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _323_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _370_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _466_.Q _275_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _281_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _467_.Q _296_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _354_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _355_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _229_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _281_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _314_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _393_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _415_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _228_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _278_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _469_.Q _280_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _469_.Q _353_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _469_.Q _357_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _469_.Q _401_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _471_.Q _472_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _214_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _226_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _232_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _255_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _474_.Q _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _474_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _475_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _475_.Q _234_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _475_.Q _246_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _476_.Q _219_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _476_.Q _238_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _476_.Q _263_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _217_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _261_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _269_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.008:0.008:0.008) (0.008:0.008:0.008)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.003:0.003:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.238:0.238:0.238) (0.263:0.263:0.263)) - (IOPATH A Y (0.272:0.272:0.272) (0.170:0.170:0.170)) - (IOPATH B Y (0.216:0.216:0.216) (0.252:0.252:0.252)) - (IOPATH B Y (0.221:0.221:0.221) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.362:0.389:0.416) (0.352:0.357:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.453:0.453:0.453) (0.396:0.396:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.342:0.342:0.342) (0.574:0.574:0.574)) - (IOPATH A1 X (0.309:0.309:0.309) (0.564:0.564:0.564)) - (IOPATH S X (0.465:0.465:0.465) (0.741:0.741:0.741)) - (IOPATH S X (0.359:0.359:0.359) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.163:0.164:0.165) (0.227:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.342:0.342:0.342) (0.578:0.578:0.578)) - (IOPATH A1 X (0.313:0.313:0.313) (0.568:0.568:0.568)) - (IOPATH S X (0.474:0.474:0.474) (0.752:0.752:0.752)) - (IOPATH S X (0.371:0.371:0.371) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.168:0.169) (0.231:0.231:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.332:0.332:0.332) (0.572:0.572:0.572)) - (IOPATH A1 X (0.315:0.315:0.315) (0.570:0.570:0.570)) - (IOPATH S X (0.475:0.475:0.475) (0.753:0.753:0.753)) - (IOPATH S X (0.371:0.371:0.371) (0.590:0.590:0.590)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.171:0.172) (0.234:0.234:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.351:0.351:0.351) (0.579:0.579:0.579)) - (IOPATH A1 X (0.294:0.294:0.294) (0.552:0.552:0.552)) - (IOPATH S X (0.466:0.466:0.466) (0.743:0.743:0.743)) - (IOPATH S X (0.362:0.363:0.363) (0.581:0.581:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.167:0.169) (0.230:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.397:0.397:0.397) (0.375:0.375:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.390:0.390:0.390) (0.595:0.595:0.595)) - (IOPATH A1 X (0.328:0.328:0.328) (0.583:0.583:0.583)) - (IOPATH S X (0.486:0.486:0.486) (0.765:0.765:0.765)) - (IOPATH S X (0.382:0.382:0.382) (0.603:0.603:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.183:0.184) (0.243:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.502:0.502:0.502) (0.442:0.442:0.442)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.304:0.304:0.304) (0.236:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.113:0.113) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.309:0.309:0.309) (0.458:0.458:0.458)) - (IOPATH B Y (0.137:0.137:0.137) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.408:0.408:0.408) (0.463:0.463:0.463)) - (IOPATH B X (0.444:0.444:0.444) (0.534:0.534:0.534)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.506:0.506:0.506) (0.307:0.307:0.307)) - (IOPATH A X (0.500:0.500:0.500) (0.430:0.430:0.430)) - (IOPATH B X (0.432:0.432:0.432) (0.241:0.241:0.241)) - (IOPATH B X (0.459:0.459:0.459) (0.350:0.350:0.350)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.360:0.360:0.360) (0.428:0.428:0.428)) - (IOPATH B X (0.346:0.346:0.346) (0.463:0.463:0.463)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.396:0.396:0.396) (0.452:0.452:0.452)) - (IOPATH B X (0.343:0.343:0.343) (0.459:0.459:0.459)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.365:0.365:0.365) (0.654:0.655:0.656)) - (IOPATH A2 X (0.433:0.437:0.442) (0.699:0.716:0.733)) - (IOPATH B1 X (0.240:0.240:0.240) (0.645:0.645:0.646)) - (IOPATH C1 X (0.239:0.239:0.239) (0.580:0.580:0.581)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.278:0.278:0.278) (0.155:0.155:0.155)) - (IOPATH B Y (0.221:0.221:0.221) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.155:0.155:0.155)) - (IOPATH B Y (0.139:0.139:0.139) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.270:0.270:0.270) (0.370:0.373:0.377)) - (IOPATH B Y (0.118:0.122:0.127) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.401:0.402:0.402) (0.420:0.424:0.428)) - (IOPATH B X (0.407:0.409:0.412) (0.462:0.463:0.464)) - (IOPATH C X (0.414:0.415:0.415) (0.474:0.479:0.485)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.310:0.313:0.317) (0.155:0.155:0.156)) - (IOPATH A2 Y (0.328:0.329:0.329) (0.156:0.158:0.161)) - (IOPATH B1 Y (0.262:0.266:0.270) (0.086:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.267:0.268:0.270) (0.112:0.113:0.113)) - (IOPATH B Y (0.216:0.226:0.236) (0.151:0.152:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.314:0.314:0.315) (0.196:0.196:0.196)) - (IOPATH A2 Y (0.324:0.343:0.362) (0.259:0.263:0.267)) - (IOPATH B1 Y (0.260:0.261:0.262) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.229:0.229:0.229) (0.133:0.133:0.133)) - (IOPATH B Y (0.181:0.181:0.181) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.235:0.238) (0.119:0.119:0.120)) - (IOPATH B Y (0.212:0.213:0.214) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.302:0.303:0.304) (0.268:0.284:0.301)) - (IOPATH A Y (0.321:0.336:0.351) (0.236:0.237:0.238)) - (IOPATH B Y (0.283:0.283:0.283) (0.262:0.264:0.267)) - (IOPATH B Y (0.278:0.280:0.282) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.330:0.330:0.330) (0.743:0.743:0.743)) - (IOPATH A2 X (0.350:0.350:0.350) (0.729:0.731:0.732)) - (IOPATH A3 X (0.374:0.375:0.376) (0.646:0.656:0.665)) - (IOPATH B1 X (0.316:0.316:0.316) (0.392:0.392:0.392)) - (IOPATH B2 X (0.306:0.342:0.378) (0.398:0.402:0.406)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.100:0.100:0.100)) - (IOPATH B Y (0.139:0.144:0.149) (0.129:0.158:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.265:0.265:0.265) (0.272:0.273:0.274)) - (IOPATH A Y (0.281:0.282:0.282) (0.197:0.197:0.197)) - (IOPATH B Y (0.312:0.315:0.319) (0.259:0.279:0.298)) - (IOPATH B Y (0.228:0.246:0.263) (0.220:0.223:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.076:0.076) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.197:0.225:0.253) (0.365:0.370:0.375)) - (IOPATH B Y (0.089:0.089:0.089) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.149:0.149:0.149) (0.178:0.178:0.178)) - (IOPATH B Y (0.142:0.142:0.142) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.313:0.313:0.313) (0.192:0.192:0.192)) - (IOPATH B Y (0.254:0.254:0.254) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.090:0.096) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.238:0.238:0.238) (0.444:0.444:0.444)) - (IOPATH B Y (0.123:0.131:0.139) (0.175:0.175:0.175)) - (IOPATH C Y (0.132:0.132:0.132) (0.176:0.177:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.360:0.360:0.360)) - (IOPATH B X (0.280:0.303:0.325) (0.410:0.415:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.241:0.241:0.242) (0.359:0.364:0.369)) - (IOPATH A2 X (0.256:0.261:0.266) (0.415:0.419:0.424)) - (IOPATH B1 X (0.168:0.168:0.168) (0.354:0.354:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.485:0.485:0.485) (0.484:0.484:0.484)) - (IOPATH A2 X (0.450:0.450:0.450) (0.443:0.446:0.450)) - (IOPATH B1 X (0.449:0.449:0.450) (0.286:0.295:0.303)) - (IOPATH C1 X (0.418:0.421:0.424) (0.257:0.261:0.265)) - (IOPATH D1 X (0.386:0.387:0.389) (0.225:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.421:0.421:0.421) (0.259:0.259:0.259)) - (IOPATH A X (0.414:0.414:0.414) (0.401:0.401:0.401)) - (IOPATH B X (0.364:0.364:0.364) (0.217:0.217:0.217)) - (IOPATH B X (0.395:0.395:0.395) (0.336:0.336:0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.070:0.073) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.320:0.320:0.320) (0.395:0.395:0.395)) - (IOPATH B X (0.294:0.294:0.294) (0.420:0.420:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.373:0.373:0.373) (0.420:0.420:0.420)) - (IOPATH A2 X (0.423:0.423:0.424) (0.507:0.510:0.514)) - (IOPATH A3 X (0.425:0.428:0.430) (0.528:0.529:0.529)) - (IOPATH B1 X (0.244:0.244:0.244) (0.451:0.452:0.452)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.296:0.300:0.304) (0.257:0.271:0.285)) - (IOPATH A Y (0.278:0.291:0.303) (0.227:0.231:0.235)) - (IOPATH B Y (0.233:0.236:0.239) (0.259:0.260:0.261)) - (IOPATH B Y (0.239:0.240:0.241) (0.154:0.157:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.282:0.282:0.282) (0.357:0.357:0.357)) - (IOPATH B X (0.287:0.312:0.337) (0.417:0.424:0.432)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.315:0.315:0.315) (0.398:0.398:0.398)) - (IOPATH A2 X (0.307:0.307:0.307) (0.361:0.364:0.367)) - (IOPATH B1_N X (0.482:0.491:0.500) (0.303:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.107:0.119) (0.150:0.153:0.155)) - (IOPATH B Y (0.113:0.114:0.115) (0.119:0.122:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.138:0.138:0.138)) - (IOPATH B Y (0.127:0.127:0.127) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.449:0.449:0.449) (0.516:0.516:0.516)) - (IOPATH A2 X (0.417:0.440:0.463) (0.496:0.502:0.509)) - (IOPATH B1 X (0.419:0.421:0.424) (0.269:0.277:0.285)) - (IOPATH C1 X (0.405:0.406:0.406) (0.238:0.243:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.461:0.461:0.462) (0.789:0.793:0.797)) - (IOPATH A2 X (0.449:0.449:0.449) (0.769:0.770:0.770)) - (IOPATH A3 X (0.409:0.410:0.411) (0.691:0.693:0.695)) - (IOPATH B1 X (0.468:0.468:0.469) (0.315:0.321:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.507:0.508:0.509) (0.420:0.431:0.442)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.382:0.382:0.382) (0.368:0.368:0.368)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.368:0.368:0.368) (0.437:0.437:0.437)) - (IOPATH B X (0.397:0.397:0.397) (0.502:0.502:0.502)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.322:0.322:0.322) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.273:0.273:0.273) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.391:0.391:0.391) (0.226:0.226:0.226)) - (IOPATH B Y (0.329:0.329:0.329) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.190:0.190:0.190) (0.256:0.256:0.256)) - (IOPATH B Y (0.181:0.181:0.181) (0.279:0.279:0.279)) - (IOPATH C Y (0.178:0.179:0.180) (0.267:0.267:0.267)) - (IOPATH D Y (0.159:0.165:0.171) (0.326:0.326:0.326)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.173:0.173) (0.207:0.207:0.207)) - (IOPATH B Y (0.162:0.162:0.162) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.233:0.233:0.233) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.385:0.385:0.385) (0.179:0.179:0.179)) - (IOPATH B Y (0.338:0.338:0.338) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.179:0.179:0.179) (0.192:0.192:0.192)) - (IOPATH B Y (0.178:0.180:0.182) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.280:0.286:0.292) (0.107:0.107:0.107)) - (IOPATH B Y (0.263:0.265:0.268) (0.119:0.120:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.424:0.424:0.424) (0.151:0.151:0.151)) - (IOPATH B Y (0.400:0.400:0.400) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.399:0.400:0.401) (0.387:0.398:0.410)) - (IOPATH B X (0.392:0.392:0.392) (0.386:0.386:0.387)) - (IOPATH C X (0.456:0.456:0.456) (0.437:0.437:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.631:0.637:0.642) (0.508:0.534:0.559)) - (IOPATH B X (0.525:0.525:0.525) (0.492:0.492:0.492)) - (IOPATH C X (0.537:0.537:0.537) (0.515:0.515:0.515)) - (IOPATH D X (0.556:0.556:0.556) (0.552:0.552:0.552)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.239:0.240:0.240) (0.170:0.170:0.170)) - (IOPATH A2 Y (0.170:0.175:0.181) (0.147:0.147:0.147)) - (IOPATH B1 Y (0.083:0.083:0.083) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.614:0.615:0.616) (0.502:0.502:0.502)) - (IOPATH B X (0.498:0.498:0.499) (0.467:0.473:0.479)) - (IOPATH C X (0.518:0.522:0.526) (0.523:0.528:0.533)) - (IOPATH D X (0.523:0.537:0.551) (0.520:0.523:0.526)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.434:0.434:0.434) (0.505:0.505:0.505)) - (IOPATH A2 X (0.430:0.430:0.431) (0.459:0.462:0.466)) - (IOPATH B1 X (0.401:0.402:0.403) (0.268:0.277:0.286)) - (IOPATH C1 X (0.370:0.373:0.376) (0.224:0.228:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.250:0.250:0.250) (0.474:0.475:0.475)) - (IOPATH B Y (0.167:0.168:0.170) (0.286:0.286:0.287)) - (IOPATH C Y (0.170:0.177:0.183) (0.304:0.304:0.304)) - (IOPATH D Y (0.162:0.168:0.174) (0.304:0.304:0.304)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.520:0.521:0.522) (0.464:0.465:0.465)) - (IOPATH B X (0.449:0.449:0.449) (0.468:0.469:0.471)) - (IOPATH C X (0.449:0.455:0.461) (0.558:0.559:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.381:0.381:0.381) (0.716:0.716:0.716)) - (IOPATH A2 X (0.392:0.392:0.392) (0.695:0.695:0.695)) - (IOPATH A3 X (0.269:0.273:0.277) (0.612:0.614:0.617)) - (IOPATH B1 X (0.309:0.309:0.309) (0.230:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.328:0.329:0.330) (0.320:0.331:0.342)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.333:0.333:0.333) (0.334:0.334:0.334)) - (IOPATH A Y (0.397:0.397:0.397) (0.270:0.270:0.270)) - (IOPATH B Y (0.346:0.346:0.346) (0.345:0.345:0.345)) - (IOPATH B Y (0.368:0.368:0.368) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.241:0.241:0.241) (0.151:0.151:0.151)) - (IOPATH B Y (0.205:0.214:0.223) (0.141:0.141:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.353:0.353:0.353) (0.424:0.424:0.424)) - (IOPATH B X (0.392:0.393:0.394) (0.474:0.485:0.497)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.360:0.360:0.360) (0.157:0.157:0.158)) - (IOPATH B Y (0.331:0.332:0.333) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.390:0.390:0.390) (0.370:0.378:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.399:0.399:0.399) (0.376:0.376:0.376)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.251:0.251:0.251) (0.299:0.299:0.299)) - (IOPATH B Y (0.263:0.263:0.263) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.192:0.192:0.192) (0.231:0.231:0.231)) - (IOPATH B Y (0.220:0.223:0.226) (0.203:0.205:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.316:0.316:0.316) (0.320:0.320:0.320)) - (IOPATH A Y (0.381:0.381:0.381) (0.251:0.251:0.251)) - (IOPATH B Y (0.316:0.317:0.318) (0.318:0.328:0.338)) - (IOPATH B Y (0.341:0.350:0.359) (0.237:0.238:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.417:0.418:0.419) (0.469:0.479:0.488)) - (IOPATH A2 X (0.333:0.333:0.333) (0.468:0.468:0.468)) - (IOPATH B1 X (0.290:0.290:0.290) (0.446:0.447:0.448)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.480:0.481:0.483) (0.545:0.550:0.556)) - (IOPATH A2 X (0.497:0.532:0.567) (0.585:0.590:0.594)) - (IOPATH A3 X (0.522:0.523:0.524) (0.575:0.576:0.576)) - (IOPATH B1 X (0.442:0.442:0.442) (0.530:0.530:0.530)) - (IOPATH B2 X (0.460:0.460:0.460) (0.557:0.557:0.557)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.139:0.141) (0.193:0.193:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.403:0.441:0.479) (0.501:0.507:0.512)) - (IOPATH A2 X (0.511:0.511:0.511) (0.518:0.520:0.522)) - (IOPATH A3 X (0.461:0.462:0.464) (0.531:0.532:0.533)) - (IOPATH B1 X (0.294:0.294:0.294) (0.452:0.452:0.452)) - (IOPATH B2 X (0.390:0.390:0.390) (0.510:0.510:0.510)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.315:0.315:0.315) (0.309:0.309:0.309)) - (IOPATH A Y (0.336:0.336:0.336) (0.245:0.245:0.245)) - (IOPATH B Y (0.316:0.316:0.316) (0.311:0.311:0.311)) - (IOPATH B Y (0.299:0.299:0.299) (0.227:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.283:0.285) (0.362:0.362:0.363)) - (IOPATH B X (0.273:0.300:0.327) (0.407:0.414:0.421)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.217:0.218:0.218) (0.133:0.135:0.137)) - (IOPATH A2 Y (0.182:0.188:0.194) (0.100:0.123:0.146)) - (IOPATH B1 Y (0.113:0.113:0.113) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.392:0.392:0.392) (0.469:0.469:0.469)) - (IOPATH A2 X (0.325:0.325:0.325) (0.422:0.422:0.422)) - (IOPATH B1 X (0.265:0.265:0.265) (0.380:0.381:0.382)) - (IOPATH B2 X (0.235:0.250:0.264) (0.339:0.345:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.273:0.275:0.277) (0.236:0.236:0.236)) - (IOPATH A2 Y (0.313:0.314:0.315) (0.188:0.190:0.192)) - (IOPATH B1 Y (0.247:0.247:0.248) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.210:0.243:0.275) (0.245:0.251:0.257)) - (IOPATH A Y (0.239:0.244:0.249) (0.141:0.172:0.203)) - (IOPATH B Y (0.238:0.239:0.241) (0.212:0.228:0.244)) - (IOPATH B Y (0.166:0.180:0.195) (0.151:0.152:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.324:0.324:0.324) (0.562:0.562:0.562)) - (IOPATH A1 X (0.255:0.274:0.294) (0.525:0.530:0.535)) - (IOPATH S X (0.449:0.449:0.449) (0.723:0.723:0.723)) - (IOPATH S X (0.340:0.340:0.340) (0.565:0.565:0.565)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.163:0.165) (0.227:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.217:0.220) (0.210:0.210:0.210)) - (IOPATH A X (0.283:0.283:0.283) (0.296:0.298:0.300)) - (IOPATH B X (0.195:0.195:0.196) (0.153:0.155:0.157)) - (IOPATH B X (0.246:0.248:0.249) (0.268:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.337:0.337:0.337) (0.554:0.554:0.554)) - (IOPATH A1 X (0.273:0.279:0.285) (0.521:0.528:0.535)) - (IOPATH S X (0.448:0.448:0.448) (0.723:0.723:0.723)) - (IOPATH S X (0.339:0.339:0.339) (0.564:0.564:0.564)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.169:0.170) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.345:0.345:0.345) (0.580:0.580:0.580)) - (IOPATH A1 X (0.422:0.422:0.422) (0.560:0.560:0.560)) - (IOPATH S X (0.494:0.494:0.494) (0.777:0.777:0.777)) - (IOPATH S X (0.399:0.399:0.399) (0.608:0.608:0.608)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.504:0.504:0.504) (0.561:0.561:0.561)) - (IOPATH A2 X (0.449:0.451:0.452) (0.515:0.516:0.516)) - (IOPATH B1 X (0.428:0.430:0.431) (0.287:0.288:0.288)) - (IOPATH C1 X (0.413:0.414:0.415) (0.241:0.252:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.302:0.302:0.302) (0.295:0.295:0.295)) - (IOPATH A Y (0.296:0.296:0.296) (0.232:0.232:0.232)) - (IOPATH B Y (0.219:0.219:0.219) (0.235:0.241:0.246)) - (IOPATH B Y (0.200:0.206:0.211) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.237:0.270:0.302) (0.278:0.282:0.287)) - (IOPATH A Y (0.300:0.304:0.308) (0.172:0.204:0.236)) - (IOPATH B Y (0.245:0.247:0.248) (0.273:0.273:0.274)) - (IOPATH B Y (0.255:0.255:0.256) (0.168:0.169:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.507:0.507:0.507) (0.486:0.486:0.486)) - (IOPATH A2_N X (0.465:0.472:0.478) (0.424:0.453:0.481)) - (IOPATH B1 X (0.396:0.396:0.396) (0.571:0.571:0.571)) - (IOPATH B2 X (0.327:0.327:0.327) (0.516:0.518:0.519)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.255:0.255:0.255) (0.213:0.213:0.213)) - (IOPATH B Y (0.221:0.222:0.223) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.214:0.214:0.214)) - (IOPATH A X (0.289:0.289:0.289) (0.348:0.348:0.348)) - (IOPATH B X (0.169:0.178:0.188) (0.154:0.154:0.154)) - (IOPATH B X (0.249:0.249:0.249) (0.243:0.251:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.283:0.283:0.283) (0.537:0.537:0.537)) - (IOPATH A1 X (0.273:0.279:0.286) (0.520:0.527:0.534)) - (IOPATH S X (0.447:0.447:0.447) (0.721:0.721:0.721)) - (IOPATH S X (0.338:0.338:0.338) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.164:0.165) (0.227:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.112:0.112) (0.117:0.117:0.117)) - (IOPATH B Y (0.127:0.127:0.127) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.294:0.294:0.294) (0.412:0.412:0.412)) - (IOPATH A2 X (0.245:0.245:0.245) (0.366:0.367:0.368)) - (IOPATH B1 X (0.232:0.233:0.234) (0.201:0.202:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.455:0.455:0.455) (0.451:0.451:0.451)) - (IOPATH B X (0.491:0.491:0.491) (0.515:0.515:0.515)) - (IOPATH C X (0.511:0.511:0.511) (0.539:0.539:0.539)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.398:0.398:0.398) (0.456:0.456:0.456)) - (IOPATH B X (0.364:0.364:0.364) (0.465:0.466:0.468)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.313:0.313:0.313) (0.421:0.421:0.421)) - (IOPATH A2 X (0.240:0.240:0.240) (0.362:0.363:0.364)) - (IOPATH B1 X (0.317:0.317:0.317) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.266:0.266:0.266) (0.398:0.398:0.398)) - (IOPATH B Y (0.111:0.112:0.112) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.424:0.424:0.424) (0.516:0.516:0.516)) - (IOPATH A2 X (0.365:0.365:0.365) (0.447:0.448:0.450)) - (IOPATH B1 X (0.348:0.349:0.351) (0.226:0.231:0.236)) - (IOPATH C1 X (0.417:0.417:0.417) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.269:0.269:0.269) (0.183:0.183:0.183)) - (IOPATH A2 Y (0.289:0.289:0.289) (0.201:0.201:0.201)) - (IOPATH B1 Y (0.225:0.225:0.225) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.220:0.220:0.220) (0.239:0.239:0.239)) - (IOPATH B Y (0.197:0.198:0.199) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.212:0.214:0.215) (0.135:0.135:0.135)) - (IOPATH A2 Y (0.163:0.169:0.175) (0.117:0.120:0.122)) - (IOPATH B1 Y (0.115:0.117:0.119) (0.126:0.128:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.343:0.343:0.343) (0.395:0.395:0.395)) - (IOPATH B X (0.263:0.274:0.286) (0.381:0.386:0.391)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.170:0.170) (0.223:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.284:0.284:0.284) (0.298:0.298:0.298)) - (IOPATH A Y (0.309:0.309:0.309) (0.214:0.214:0.214)) - (IOPATH B Y (0.290:0.290:0.290) (0.290:0.290:0.290)) - (IOPATH B Y (0.261:0.261:0.261) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.233:0.235:0.237) (0.134:0.135:0.137)) - (IOPATH A2 Y (0.225:0.230:0.235) (0.108:0.131:0.154)) - (IOPATH B1_N Y (0.351:0.351:0.351) (0.358:0.358:0.358)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.183:0.183:0.183)) - (IOPATH B Y (0.144:0.144:0.144) (0.200:0.200:0.200)) - (IOPATH C Y (0.141:0.143:0.145) (0.169:0.171:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.279:0.279:0.279) (0.538:0.538:0.538)) - (IOPATH A1 X (0.276:0.276:0.276) (0.542:0.542:0.542)) - (IOPATH S X (0.469:0.469:0.469) (0.746:0.746:0.746)) - (IOPATH S X (0.365:0.365:0.365) (0.583:0.583:0.583)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.185:0.186) (0.246:0.246:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.273:0.273:0.273) (0.532:0.532:0.532)) - (IOPATH A1 X (0.274:0.274:0.274) (0.539:0.539:0.539)) - (IOPATH S X (0.464:0.464:0.464) (0.740:0.740:0.740)) - (IOPATH S X (0.360:0.360:0.360) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.170:0.171) (0.233:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.204:0.204:0.204) (0.367:0.367:0.367)) - (IOPATH B Y (0.148:0.148:0.148) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.482:0.482:0.482) (0.411:0.411:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.487:0.487:0.487) (0.415:0.415:0.415)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.091:0.091:0.091)) - (IOPATH B Y (0.153:0.153:0.153) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.435:0.435:0.435) (0.271:0.271:0.271)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.163:0.167:0.171) (0.165:0.169:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.419:0.419:0.419) (0.375:0.375:0.375)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.326:0.326:0.326) (0.190:0.190:0.190)) - (IOPATH B Y (0.267:0.267:0.267) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.553:0.553:0.553) (0.576:0.576:0.576)) - (IOPATH B X (0.434:0.434:0.434) (0.500:0.501:0.502)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.444:0.444:0.444) (0.435:0.435:0.435)) - (IOPATH B X (0.505:0.505:0.505) (0.507:0.507:0.507)) - (IOPATH C X (0.473:0.473:0.473) (0.524:0.524:0.524)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.219:0.219) (0.118:0.118:0.118)) - (IOPATH B Y (0.168:0.169:0.170) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.345:0.345:0.345) (0.196:0.196:0.196)) - (IOPATH B Y (0.258:0.259:0.259) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.507:0.507:0.507) (0.259:0.259:0.259)) - (IOPATH B Y (0.412:0.419:0.426) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.435:0.435:0.435) (0.448:0.450:0.452)) - (IOPATH B X (0.565:0.565:0.565) (0.536:0.541:0.547)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.372:0.372:0.372) (0.435:0.435:0.435)) - (IOPATH A2 X (0.303:0.303:0.303) (0.415:0.415:0.415)) - (IOPATH B1 X (0.294:0.294:0.294) (0.433:0.433:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.295:0.295:0.295) (0.137:0.137:0.137)) - (IOPATH B Y (0.291:0.291:0.291) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.257:0.257:0.257) (0.166:0.166:0.166)) - (IOPATH B Y (0.218:0.218:0.218) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.424:0.424:0.424) (0.691:0.691:0.691)) - (IOPATH A2 X (0.367:0.367:0.367) (0.709:0.709:0.709)) - (IOPATH B1 X (0.329:0.329:0.329) (0.667:0.672:0.678)) - (IOPATH C1 X (0.292:0.292:0.293) (0.602:0.602:0.603)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.377:0.377:0.377) (0.440:0.440:0.440)) - (IOPATH A2 X (0.320:0.320:0.320) (0.427:0.427:0.427)) - (IOPATH B1 X (0.382:0.382:0.382) (0.427:0.431:0.435)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.150:0.150:0.151) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.498:0.498:0.498) (0.505:0.505:0.505)) - (IOPATH B X (0.479:0.479:0.479) (0.541:0.541:0.541)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.213:0.213) (0.229:0.229:0.229)) - (IOPATH B Y (0.243:0.244:0.245) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.570:0.570:0.570) (0.610:0.610:0.610)) - (IOPATH A2 X (0.478:0.478:0.478) (0.529:0.529:0.529)) - (IOPATH B1 X (0.523:0.524:0.526) (0.367:0.368:0.368)) - (IOPATH C1 X (0.623:0.623:0.623) (0.305:0.310:0.315)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.374:0.374:0.374) (0.437:0.437:0.437)) - (IOPATH A2 X (0.307:0.307:0.307) (0.419:0.419:0.419)) - (IOPATH B1 X (0.275:0.275:0.275) (0.413:0.418:0.424)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.371:0.371:0.371) (0.208:0.208:0.208)) - (IOPATH B Y (0.330:0.330:0.330) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.149:0.153) (0.225:0.225:0.225)) - (IOPATH B Y (0.163:0.165:0.167) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.533:0.534:0.536) (0.599:0.604:0.609)) - (IOPATH A2 X (0.528:0.530:0.531) (0.592:0.592:0.593)) - (IOPATH B1 X (0.654:0.654:0.654) (0.349:0.354:0.359)) - (IOPATH C1 X (0.496:0.498:0.500) (0.310:0.316:0.322)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.374:0.374:0.374) (0.437:0.437:0.437)) - (IOPATH A2 X (0.311:0.311:0.311) (0.421:0.421:0.421)) - (IOPATH B1 X (0.287:0.287:0.287) (0.417:0.422:0.428)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.385:0.385:0.385) (0.265:0.265:0.265)) - (IOPATH A2 Y (0.340:0.348:0.356) (0.231:0.231:0.232)) - (IOPATH B1 Y (0.348:0.348:0.348) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.376:0.376:0.376) (0.439:0.439:0.439)) - (IOPATH A2 X (0.312:0.312:0.312) (0.422:0.422:0.422)) - (IOPATH B1 X (0.318:0.319:0.319) (0.420:0.428:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.234:0.234:0.234) (0.272:0.272:0.272)) - (IOPATH B Y (0.221:0.222:0.222) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.355:0.355:0.355) (0.436:0.436:0.436)) - (IOPATH A2 X (0.281:0.283:0.284) (0.419:0.420:0.421)) - (IOPATH B1 X (0.318:0.318:0.318) (0.256:0.257:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.381:0.381:0.381) (0.443:0.443:0.443)) - (IOPATH A2 X (0.323:0.323:0.323) (0.431:0.431:0.431)) - (IOPATH B1 X (0.227:0.228:0.229) (0.400:0.402:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.445:0.445:0.445) (0.393:0.393:0.393)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.464:0.464:0.464) (0.545:0.545:0.545)) - (IOPATH A2 X (0.431:0.431:0.431) (0.563:0.563:0.563)) - (IOPATH B1 X (0.541:0.541:0.541) (0.497:0.501:0.505)) - (IOPATH B2 X (0.398:0.400:0.401) (0.520:0.525:0.530)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.425:0.426:0.428) (0.416:0.419:0.422)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.384:0.384:0.384) (0.463:0.463:0.463)) - (IOPATH A2 X (0.276:0.276:0.276) (0.391:0.391:0.391)) - (IOPATH B1 X (0.319:0.320:0.322) (0.430:0.431:0.431)) - (IOPATH B2 X (0.326:0.326:0.326) (0.388:0.388:0.388)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.469:0.469:0.469) (0.548:0.548:0.548)) - (IOPATH A2 X (0.432:0.432:0.432) (0.563:0.563:0.563)) - (IOPATH B1 X (0.436:0.436:0.436) (0.493:0.499:0.504)) - (IOPATH B2 X (0.379:0.379:0.380) (0.504:0.504:0.504)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.181:0.181:0.181) (0.328:0.328:0.328)) - (IOPATH B Y (0.142:0.142:0.142) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.512:0.512:0.512) (0.819:0.819:0.819)) - (IOPATH A2 X (0.446:0.447:0.449) (0.776:0.779:0.782)) - (IOPATH A3 X (0.480:0.480:0.480) (0.686:0.690:0.695)) - (IOPATH B1 X (0.408:0.411:0.414) (0.295:0.297:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.149:0.149:0.149) (0.179:0.179:0.179)) - (IOPATH B Y (0.146:0.146:0.146) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.558:0.559:0.561) (0.603:0.603:0.603)) - (IOPATH A2 X (0.683:0.683:0.683) (0.614:0.619:0.623)) - (IOPATH A3 X (0.546:0.547:0.547) (0.583:0.588:0.594)) - (IOPATH B1 X (0.393:0.393:0.393) (0.498:0.498:0.498)) - (IOPATH B2 X (0.487:0.487:0.487) (0.568:0.568:0.568)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.341:0.341:0.341) (0.424:0.424:0.424)) - (IOPATH A2 X (0.266:0.268:0.270) (0.403:0.403:0.404)) - (IOPATH B1 X (0.404:0.404:0.405) (0.234:0.239:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.394:0.394:0.394) (0.462:0.462:0.462)) - (IOPATH A2 X (0.338:0.338:0.338) (0.451:0.451:0.451)) - (IOPATH B1 X (0.235:0.236:0.238) (0.417:0.419:0.421)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.457:0.457:0.457)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.349:0.349:0.349) (0.431:0.431:0.431)) - (IOPATH A2 X (0.278:0.280:0.281) (0.416:0.417:0.418)) - (IOPATH B1 X (0.314:0.315:0.315) (0.253:0.254:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.455:0.455:0.455) (0.490:0.490:0.490)) - (IOPATH A2 X (0.318:0.318:0.318) (0.430:0.430:0.430)) - (IOPATH B1 X (0.223:0.224:0.225) (0.401:0.403:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.292:0.292:0.292) (0.585:0.585:0.585)) - (IOPATH A2 X (0.330:0.330:0.330) (0.645:0.645:0.645)) - (IOPATH B1 X (0.239:0.239:0.239) (0.589:0.589:0.589)) - (IOPATH C1 X (0.197:0.197:0.197) (0.511:0.511:0.511)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.244:0.244:0.244) (0.193:0.193:0.193)) - (IOPATH A2 Y (0.167:0.172:0.177) (0.158:0.159:0.159)) - (IOPATH B1 Y (0.107:0.108:0.109) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.472:0.473:0.474) (0.486:0.486:0.486)) - (IOPATH B X (0.345:0.347:0.349) (0.405:0.406:0.406)) - (IOPATH C X (0.371:0.380:0.390) (0.427:0.430:0.433)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.447:0.447:0.447) (0.534:0.534:0.534)) - (IOPATH A2 X (0.384:0.384:0.384) (0.530:0.530:0.530)) - (IOPATH B1 X (0.413:0.414:0.414) (0.479:0.485:0.490)) - (IOPATH B2 X (0.368:0.368:0.368) (0.490:0.491:0.492)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.174:0.174:0.174)) - (IOPATH B Y (0.121:0.125:0.129) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.403:0.403:0.403)) - (IOPATH B X (0.376:0.376:0.376) (0.432:0.439:0.446)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.410:0.410:0.410) (0.502:0.502:0.502)) - (IOPATH A2 X (0.350:0.350:0.350) (0.500:0.500:0.500)) - (IOPATH B1 X (0.317:0.318:0.318) (0.437:0.440:0.443)) - (IOPATH B2 X (0.340:0.340:0.340) (0.467:0.467:0.468)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.325:0.327:0.329) (0.501:0.502:0.503)) - (IOPATH B Y (0.215:0.215:0.215) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.208:0.208:0.208) (0.227:0.227:0.227)) - (IOPATH B Y (0.159:0.161:0.163) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.365:0.367:0.368) (0.499:0.502:0.504)) - (IOPATH A2 X (0.334:0.335:0.337) (0.473:0.474:0.475)) - (IOPATH B1 X (0.313:0.313:0.313) (0.434:0.436:0.438)) - (IOPATH B2 X (0.357:0.357:0.357) (0.419:0.419:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.474:0.474:0.475) (0.455:0.456:0.456)) - (IOPATH B X (0.451:0.452:0.453) (0.502:0.503:0.505)) - (IOPATH C X (0.438:0.439:0.439) (0.496:0.496:0.496)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.442:0.442:0.442) (0.479:0.479:0.479)) - (IOPATH A2 X (0.309:0.309:0.309) (0.422:0.422:0.422)) - (IOPATH B1 X (0.249:0.250:0.250) (0.410:0.412:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.147:0.147) (0.206:0.206:0.206)) - (IOPATH B Y (0.156:0.156:0.156) (0.220:0.220:0.220)) - (IOPATH C Y (0.148:0.149:0.150) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.241:0.241:0.241) (0.478:0.480:0.483)) - (IOPATH B Y (0.174:0.174:0.174) (0.246:0.246:0.246)) - (IOPATH C Y (0.194:0.194:0.194) (0.260:0.260:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.409:0.410:0.410) (0.399:0.405:0.412)) - (IOPATH B X (0.380:0.380:0.381) (0.434:0.434:0.434)) - (IOPATH C X (0.398:0.403:0.408) (0.491:0.494:0.497)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.542:0.542:0.542) (0.604:0.604:0.604)) - (IOPATH A2 X (0.438:0.438:0.438) (0.515:0.515:0.515)) - (IOPATH B1 X (0.446:0.446:0.446) (0.518:0.520:0.522)) - (IOPATH B2 X (0.491:0.491:0.491) (0.502:0.502:0.502)) - (IOPATH C1 X (0.426:0.427:0.427) (0.286:0.287:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.467:0.468:0.470) (0.539:0.545:0.552)) - (IOPATH A2 X (0.500:0.500:0.500) (0.568:0.569:0.571)) - (IOPATH A3 X (0.507:0.507:0.508) (0.554:0.561:0.568)) - (IOPATH B1 X (0.361:0.361:0.361) (0.482:0.482:0.482)) - (IOPATH B2 X (0.443:0.443:0.443) (0.546:0.546:0.546)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.487:0.487:0.487) (0.514:0.514:0.514)) - (IOPATH A2 X (0.371:0.371:0.371) (0.469:0.469:0.469)) - (IOPATH B1 X (0.277:0.277:0.277) (0.441:0.442:0.442)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.440:0.440:0.440) (0.528:0.528:0.528)) - (IOPATH A2 X (0.393:0.393:0.393) (0.536:0.536:0.536)) - (IOPATH B1 X (0.416:0.417:0.417) (0.490:0.491:0.492)) - (IOPATH B2 X (0.387:0.387:0.388) (0.520:0.521:0.522)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.404:0.404:0.404) (0.477:0.477:0.477)) - (IOPATH A2 X (0.294:0.295:0.297) (0.428:0.431:0.434)) - (IOPATH B1 X (0.329:0.330:0.332) (0.444:0.447:0.449)) - (IOPATH B2 X (0.296:0.297:0.299) (0.408:0.409:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.405:0.407:0.408) (0.508:0.513:0.518)) - (IOPATH A2 X (0.401:0.402:0.404) (0.501:0.501:0.501)) - (IOPATH B1 X (0.376:0.377:0.377) (0.247:0.254:0.260)) - (IOPATH C1 X (0.347:0.348:0.349) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.528:0.528:0.528) (0.516:0.516:0.516)) - (IOPATH A2 X (0.420:0.420:0.420) (0.436:0.438:0.440)) - (IOPATH B1 X (0.451:0.453:0.455) (0.293:0.300:0.306)) - (IOPATH C1 X (0.444:0.445:0.446) (0.299:0.300:0.302)) - (IOPATH D1 X (0.393:0.393:0.393) (0.216:0.222:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.269:0.269:0.269) (0.191:0.191:0.191)) - (IOPATH A2 Y (0.247:0.247:0.247) (0.181:0.181:0.181)) - (IOPATH B1 Y (0.139:0.139:0.139) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.153:0.153) (0.183:0.183:0.183)) - (IOPATH B Y (0.113:0.115:0.117) (0.115:0.131:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.485:0.485:0.486) (0.499:0.509:0.518)) - (IOPATH A2 X (0.422:0.423:0.424) (0.506:0.510:0.515)) - (IOPATH A3 X (0.429:0.430:0.431) (0.509:0.518:0.528)) - (IOPATH B1 X (0.307:0.307:0.307) (0.442:0.442:0.442)) - (IOPATH B2 X (0.378:0.378:0.378) (0.501:0.501:0.501)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.395:0.395:0.395) (0.726:0.726:0.726)) - (IOPATH A2 X (0.362:0.362:0.362) (0.680:0.680:0.680)) - (IOPATH A3 X (0.289:0.289:0.289) (0.604:0.606:0.607)) - (IOPATH B1 X (0.323:0.323:0.323) (0.239:0.241:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.424:0.424:0.424) (0.464:0.464:0.464)) - (IOPATH A2 X (0.309:0.309:0.309) (0.419:0.419:0.419)) - (IOPATH B1 X (0.209:0.210:0.211) (0.380:0.388:0.397)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.197:0.197:0.197)) - (IOPATH B Y (0.168:0.168:0.168) (0.231:0.231:0.231)) - (IOPATH C Y (0.144:0.145:0.146) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.418:0.418:0.418) (0.489:0.490:0.492)) - (IOPATH A2 X (0.439:0.439:0.439) (0.520:0.528:0.535)) - (IOPATH A3 X (0.436:0.437:0.439) (0.527:0.534:0.540)) - (IOPATH B1 X (0.304:0.304:0.304) (0.442:0.442:0.442)) - (IOPATH B2 X (0.385:0.385:0.385) (0.506:0.506:0.506)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.426:0.426:0.426) (0.465:0.465:0.465)) - (IOPATH A2 X (0.289:0.289:0.289) (0.406:0.406:0.406)) - (IOPATH B1 X (0.276:0.276:0.276) (0.385:0.390:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.416:0.416:0.416) (0.507:0.507:0.507)) - (IOPATH A2 X (0.354:0.354:0.354) (0.504:0.504:0.504)) - (IOPATH B1 X (0.363:0.363:0.363) (0.438:0.439:0.440)) - (IOPATH B2 X (0.431:0.431:0.431) (0.512:0.512:0.512)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.430:0.430:0.430) (0.520:0.520:0.520)) - (IOPATH A2 X (0.378:0.378:0.378) (0.523:0.523:0.523)) - (IOPATH B1 X (0.368:0.368:0.368) (0.462:0.463:0.464)) - (IOPATH B2 X (0.351:0.357:0.363) (0.503:0.506:0.508)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.195:0.195:0.195) (0.208:0.208:0.208)) - (IOPATH B Y (0.207:0.207:0.207) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.474:0.476:0.478) (0.437:0.438:0.438)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.254:0.254:0.254) (0.193:0.193:0.193)) - (IOPATH B Y (0.197:0.197:0.197) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.271:0.271:0.271) (0.201:0.201:0.201)) - (IOPATH B Y (0.213:0.213:0.213) (0.157:0.157:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.482:0.482:0.482) (0.409:0.409:0.409)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.222:0.222:0.222) (0.160:0.160:0.160)) - (IOPATH B Y (0.190:0.190:0.190) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.214:0.214) (0.155:0.155:0.155)) - (IOPATH B Y (0.181:0.181:0.181) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.221:0.221:0.221) (0.159:0.159:0.159)) - (IOPATH B Y (0.189:0.189:0.189) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.215:0.215:0.215) (0.156:0.156:0.156)) - (IOPATH B Y (0.182:0.182:0.182) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.447:0.449:0.451) (0.420:0.420:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.156:0.156:0.156)) - (IOPATH B Y (0.173:0.173:0.173) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.218:0.218) (0.157:0.157:0.157)) - (IOPATH B Y (0.175:0.175:0.175) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.225:0.225:0.225) (0.161:0.161:0.161)) - (IOPATH B Y (0.183:0.183:0.183) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.473:0.473:0.473) (0.403:0.403:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.221:0.221:0.221) (0.157:0.157:0.157)) - (IOPATH B Y (0.181:0.181:0.181) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.211:0.211) (0.152:0.152:0.152)) - (IOPATH B Y (0.171:0.171:0.171) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.222:0.222:0.222) (0.158:0.158:0.158)) - (IOPATH B Y (0.183:0.183:0.183) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.212:0.212:0.212) (0.153:0.153:0.153)) - (IOPATH B Y (0.173:0.173:0.173) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.479:0.481:0.483) (0.439:0.439:0.439)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.218:0.218) (0.156:0.156:0.156)) - (IOPATH B Y (0.190:0.190:0.190) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.226:0.226:0.226) (0.159:0.159:0.159)) - (IOPATH B Y (0.197:0.197:0.197) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.221:0.221:0.221) (0.157:0.157:0.157)) - (IOPATH B Y (0.193:0.193:0.193) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.547:0.547:0.547) (0.449:0.449:0.449)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.229:0.229:0.229) (0.171:0.171:0.171)) - (IOPATH B Y (0.183:0.183:0.183) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.270:0.270:0.270) (0.191:0.191:0.191)) - (IOPATH B Y (0.225:0.225:0.225) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.236:0.236:0.236) (0.175:0.175:0.175)) - (IOPATH B Y (0.190:0.190:0.190) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.235:0.235:0.235) (0.175:0.175:0.175)) - (IOPATH B Y (0.189:0.189:0.189) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.230:0.230:0.230) (0.172:0.172:0.172)) - (IOPATH B Y (0.186:0.186:0.186) (0.110:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.252:0.252:0.252) (0.183:0.183:0.183)) - (IOPATH B Y (0.208:0.208:0.208) (0.120:0.121:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.233:0.233:0.233) (0.174:0.174:0.174)) - (IOPATH B Y (0.189:0.189:0.189) (0.112:0.113:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.748:0.748:0.748) (0.863:0.863:0.863)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.701:0.701:0.701)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.443:-0.443:-0.442)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.065:-0.067)) - (HOLD (negedge D) (posedge CLK) (-0.074:-0.076:-0.078)) - (SETUP (posedge D) (posedge CLK) (0.124:0.126:0.127)) - (SETUP (negedge D) (posedge CLK) (0.260:0.262:0.264)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.749:0.749:0.749) (0.863:0.863:0.863)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.707:0.707:0.707)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.435:-0.434:-0.434)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.246:0.246:0.246)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.738:0.738:0.738) (0.854:0.854:0.854)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.697:0.698:0.699)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.448:-0.447:-0.446)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (HOLD (negedge D) (posedge CLK) (-0.065:-0.065:-0.065)) - (SETUP (posedge D) (posedge CLK) (0.123:0.123:0.123)) - (SETUP (negedge D) (posedge CLK) (0.249:0.249:0.249)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.747:0.747:0.747) (0.862:0.862:0.862)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.695:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.452:-0.451:-0.449)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.065:-0.066)) - (HOLD (negedge D) (posedge CLK) (-0.076:-0.082:-0.087)) - (SETUP (posedge D) (posedge CLK) (0.125:0.126:0.126)) - (SETUP (negedge D) (posedge CLK) (0.262:0.268:0.274)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.910:0.910:0.910) (0.988:0.988:0.988)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.697:0.698:0.699)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.449:-0.447:-0.446)) - (HOLD (posedge D) (posedge CLK) (-0.077:-0.079:-0.081)) - (HOLD (negedge D) (posedge CLK) (-0.070:-0.072:-0.074)) - (SETUP (posedge D) (posedge CLK) (0.139:0.141:0.143)) - (SETUP (negedge D) (posedge CLK) (0.255:0.257:0.259)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.855:0.855:0.855) (0.951:0.951:0.951)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.452:-0.450:-0.449)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.245:0.245:0.245)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.874:0.874:0.874) (0.966:0.966:0.966)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.451:-0.450:-0.449)) - (HOLD (posedge D) (posedge CLK) (-0.065:-0.065:-0.065)) - (HOLD (negedge D) (posedge CLK) (-0.060:-0.065:-0.070)) - (SETUP (posedge D) (posedge CLK) (0.125:0.125:0.126)) - (SETUP (negedge D) (posedge CLK) (0.244:0.249:0.254)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.887:0.887:0.887) (0.973:0.973:0.973)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.450:-0.449:-0.448)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.060:-0.063:-0.065)) - (SETUP (posedge D) (posedge CLK) (0.119:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.244:0.247:0.250)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.833:0.833:0.833) (0.935:0.935:0.935)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.698:0.699:0.699)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.062)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.064:-0.067)) - (SETUP (posedge D) (posedge CLK) (0.121:0.121:0.122)) - (SETUP (negedge D) (posedge CLK) (0.246:0.248:0.251)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.775:0.775:0.775) (0.885:0.885:0.885)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.697:0.698:0.699)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.446)) - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.118:0.118:0.118)) - (SETUP (negedge D) (posedge CLK) (0.245:0.245:0.245)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.874:0.874:0.874) (0.964:0.964:0.964)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.695:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.452:-0.451:-0.450)) - (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.066)) - (HOLD (negedge D) (posedge CLK) (-0.068:-0.073:-0.077)) - (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.127)) - (SETUP (negedge D) (posedge CLK) (0.253:0.258:0.263)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.777:0.777:0.777) (0.887:0.887:0.887)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.698:0.699:0.699)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.076:-0.089)) - (HOLD (negedge D) (posedge CLK) (-0.072:-0.078:-0.084)) - (SETUP (posedge D) (posedge CLK) (0.124:0.138:0.152)) - (SETUP (negedge D) (posedge CLK) (0.257:0.264:0.271)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.833:0.833:0.833) (0.935:0.935:0.935)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.695:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.451:-0.450:-0.449)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.246:0.246:0.246)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.861:0.861:0.861) (0.955:0.955:0.955)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.697:0.698:0.698)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.448:-0.447:-0.446)) - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.118:0.118:0.118)) - (SETUP (negedge D) (posedge CLK) (0.244:0.244:0.244)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.936:0.936:0.936) (1.008:1.008:1.008)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.700:0.700:0.701)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.445:-0.443:-0.442)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (HOLD (negedge D) (posedge CLK) (-0.071:-0.071:-0.071)) - (SETUP (posedge D) (posedge CLK) (0.122:0.122:0.123)) - (SETUP (negedge D) (posedge CLK) (0.256:0.256:0.256)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.711:0.711:0.711) (0.829:0.829:0.829)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.698:0.699:0.700)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.117:0.117:0.117)) - (SETUP (negedge D) (posedge CLK) (0.236:0.236:0.236)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.805:0.805:0.805) (0.911:0.911:0.911)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.452:-0.450:-0.449)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.073:-0.073:-0.073)) - (SETUP (posedge D) (posedge CLK) (0.125:0.125:0.125)) - (SETUP (negedge D) (posedge CLK) (0.258:0.258:0.258)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.775:0.775:0.775) (0.887:0.887:0.887)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.710:0.711:0.711)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.430:-0.430:-0.429)) - (HOLD (posedge D) (posedge CLK) (-0.084:-0.084:-0.084)) - (HOLD (negedge D) (posedge CLK) (-0.094:-0.094:-0.094)) - (SETUP (posedge D) (posedge CLK) (0.147:0.147:0.147)) - (SETUP (negedge D) (posedge CLK) (0.282:0.282:0.282)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.818:0.818:0.818) (0.920:0.920:0.920)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.697:0.697:0.698)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.448:-0.447:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.121:0.121:0.121)) - (SETUP (negedge D) (posedge CLK) (0.247:0.247:0.247)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.788:0.788:0.788) (0.895:0.895:0.895)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.696:0.697:0.698)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.448:-0.447:-0.446)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.245:0.245:0.245)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.815:0.815:0.815) (0.918:0.918:0.918)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.451:-0.449:-0.448)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.245:0.245:0.245)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.809:0.809:0.809) (0.912:0.912:0.912)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.703:0.703:0.704)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.439:-0.438:-0.437)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.245:0.245:0.245)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.819:0.819:0.819) (0.921:0.921:0.921)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.696:0.697:0.698)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.449:-0.448:-0.446)) - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.118:0.118:0.118)) - (SETUP (negedge D) (posedge CLK) (0.244:0.244:0.244)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.311:0.311:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.306:0.306:0.306) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.196:0.231:0.266) (0.071:0.086:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.044:0.044:0.044)) - (IOPATH TE Z (0.179:0.181:0.184) (0.062:0.063:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.071:0.071:0.071) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.114:0.115:0.117) (0.242:0.244:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.090:0.091:0.091) (0.170:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.043:0.043:0.043) (0.033:0.033:0.033)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.069:0.069:0.069) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.106:0.115:0.124) (0.233:0.244:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.082:0.082:0.083) (0.159:0.159:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.057:0.057:0.057) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.296:0.296:0.296) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.030:0.030:0.030)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.116:0.116:0.117) (0.245:0.246:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.087:0.087:0.088) (0.166:0.166:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.029:0.029:0.029)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.070:0.070:0.070) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.095:0.095:0.096) (0.219:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.096:0.096:0.097) (0.178:0.178:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.368:0.368:0.368) (0.331:0.331:0.331)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.291:0.291:0.291) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.043:0.043:0.043) (0.032:0.032:0.032)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.080:0.080:0.080) (0.048:0.048:0.048)) - (IOPATH TE_B Z (0.111:0.112:0.112) (0.239:0.240:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.075:0.075:0.075) (0.052:0.052:0.052)) - (IOPATH TE_B Z (0.085:0.086:0.086) (0.164:0.164:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.333:0.333:0.333) (0.302:0.302:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.050:0.050:0.050) (0.039:0.039:0.039)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.076:0.076:0.076) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.096:0.097:0.097) (0.221:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.073:0.073:0.073) (0.051:0.051:0.051)) - (IOPATH TE_B Z (0.086:0.087:0.087) (0.165:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.311:0.311:0.311) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.028:0.028:0.028)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.045:0.045:0.045)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.072:0.072:0.072) (0.046:0.047:0.047)) - (IOPATH TE_B Z (0.095:0.096:0.096) (0.220:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.070:0.070:0.070) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.098:0.099:0.099) (0.180:0.181:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.263:0.263) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.042:0.042:0.042) (0.031:0.031:0.031)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.095:0.096:0.096) (0.220:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.097:0.097:0.098) (0.179:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.054:0.054:0.054) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.041:0.041:0.041) (0.030:0.030:0.030)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.044:0.044:0.044) (0.049:0.049:0.049)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.075:0.075:0.075) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.096:0.097:0.097) (0.221:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.071:0.071:0.071) (0.051:0.051:0.051)) - (IOPATH TE_B Z (0.094:0.094:0.095) (0.175:0.175:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.319:0.319:0.319) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.041:0.041:0.041) (0.030:0.030:0.030)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.075:0.075:0.075) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.098:0.098:0.099) (0.223:0.223:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.071:0.071:0.071) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.085:0.085:0.086) (0.163:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.028:0.028:0.028)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.069:0.069:0.069) (0.046:0.047:0.047)) - (IOPATH TE_B Z (0.106:0.106:0.107) (0.232:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.081:0.082:0.082) (0.158:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.043:0.043:0.043) (0.032:0.032:0.032)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.070:0.070:0.070) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.107:0.107:0.108) (0.234:0.234:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.087:0.087:0.087) (0.165:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.177:0.177:0.177) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.356:0.356:0.356) (0.775:0.775:0.775)) - (IOPATH B X (0.272:0.273:0.275) (0.702:0.702:0.703)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.029:0.029:0.029)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.008:0.008:0.008) (0.011:0.011:0.011)) - (IOPATH TE_B Z (0.111:0.111:0.111) (0.238:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.013:0.013:0.013)) - (IOPATH TE_B Z (0.091:0.092:0.092) (0.171:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.228:0.228:0.228) (0.105:0.105:0.105)) - ) - ) - ) -) diff --git a/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.tt.sdf b/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.tt.sdf deleted file mode 100644 index cc92878f..00000000 --- a/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.tt.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:49 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.155:0.155:0.155) (0.074:0.074:0.074)) - (INTERCONNECT dco _349_.A (0.157:0.157:0.157) (0.075:0.075:0.075)) - (INTERCONNECT dco _358_.A (0.156:0.156:0.156) (0.074:0.074:0.074)) - (INTERCONNECT dco _361_.B (0.159:0.159:0.159) (0.077:0.077:0.077)) - (INTERCONNECT dco _374_.B1 (0.157:0.157:0.157) (0.076:0.076:0.076)) - (INTERCONNECT dco _379_.A (0.159:0.159:0.159) (0.077:0.077:0.077)) - (INTERCONNECT dco _447_.A (0.156:0.156:0.156) (0.074:0.074:0.074)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.156:0.156:0.156) (0.074:0.074:0.074)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.159:0.159:0.159) (0.077:0.077:0.077)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.158:0.158:0.158) (0.076:0.076:0.076)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.159:0.159:0.159) (0.077:0.077:0.077)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.154:0.154:0.154) (0.072:0.072:0.072)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.157:0.157:0.157) (0.075:0.075:0.075)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.156:0.156:0.156) (0.074:0.074:0.074)) - (INTERCONNECT div[0] _257_.A_N (0.057:0.057:0.057) (0.027:0.027:0.027)) - (INTERCONNECT div[0] _287_.B1 (0.057:0.057:0.057) (0.027:0.027:0.027)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.057:0.057:0.057) (0.027:0.027:0.027)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.057:0.057:0.057) (0.027:0.027:0.027)) - (INTERCONNECT div[1] _252_.A (0.050:0.050:0.050) (0.024:0.024:0.024)) - (INTERCONNECT div[1] _258_.A (0.050:0.050:0.050) (0.024:0.024:0.024)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.051:0.051:0.051) (0.024:0.024:0.024)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.051:0.051:0.051) (0.024:0.024:0.024)) - (INTERCONNECT div[2] _244_.A (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT div[3] _243_.A (0.071:0.071:0.071) (0.033:0.033:0.033)) - (INTERCONNECT div[3] _260_.A1 (0.071:0.071:0.071) (0.033:0.033:0.033)) - (INTERCONNECT div[3] _267_.A1 (0.071:0.071:0.071) (0.033:0.033:0.033)) - (INTERCONNECT div[3] _289_.A1 (0.070:0.070:0.070) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.070:0.070:0.070) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.071:0.071:0.071) (0.033:0.033:0.033)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.071:0.071:0.071) (0.033:0.033:0.033)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.071:0.071:0.071) (0.033:0.033:0.033)) - (INTERCONNECT div[4] _266_.A (0.039:0.039:0.039) (0.018:0.018:0.018)) - (INTERCONNECT div[4] _270_.A1 (0.039:0.039:0.039) (0.018:0.018:0.018)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.039:0.039:0.039) (0.018:0.018:0.018)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.039:0.039:0.039) (0.018:0.018:0.018)) - (INTERCONNECT enable _425_.A (0.036:0.036:0.036) (0.017:0.017:0.017)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.036:0.036:0.036) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[0] _350_.A (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.030:0.030:0.030) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.026:0.026:0.026) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.030:0.030:0.030) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.039:0.039:0.039) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.040:0.040:0.040) (0.019:0.019:0.019)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.051:0.051:0.051) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.051:0.051:0.051) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.016:0.016:0.016) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.051:0.051:0.051) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.051:0.051:0.051) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.051:0.051:0.051) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.052:0.052:0.052) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.040:0.040:0.040) (0.019:0.019:0.019)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.040:0.040:0.040) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.042:0.042:0.042) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.042:0.042:0.042) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.062:0.062:0.062) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.062:0.062:0.062) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.029:0.029:0.029) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.023:0.023:0.023) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.022:0.022:0.022) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.067:0.067:0.067) (0.033:0.033:0.033)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.067:0.067:0.067) (0.033:0.033:0.033)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.062:0.062:0.062) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.062:0.062:0.062) (0.030:0.030:0.030)) - (INTERCONNECT osc _470_.D (0.015:0.015:0.015) (0.007:0.007:0.007)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.015:0.015:0.015) (0.007:0.007:0.007)) - (INTERCONNECT resetb _425_.B (0.035:0.035:0.035) (0.016:0.016:0.016)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.035:0.035:0.035) (0.016:0.016:0.016)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _219_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _215_.X _221_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _215_.X _223_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _215_.X _226_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _215_.X _343_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _345_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _217_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _216_.X _332_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _216_.X _334_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _338_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _341_.B1_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _216_.X _342_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _216_.X _347_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _254_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _225_.X _255_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _225_.X _330_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _335_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _342_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _311_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _394_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _405_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _416_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _228_.X _420_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _228_.X _423_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _229_.Y _292_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _229_.Y _294_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _229_.Y _353_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _355_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _229_.Y _362_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _229_.Y _366_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _397_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _231_.Y _241_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _231_.Y _264_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _236_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _245_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _251_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _236_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _245_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _251_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _235_.X _236_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _236_.X _241_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _236_.X _264_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _241_.Y _249_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _242_.Y _260_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _242_.Y _267_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _245_.Y _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _249_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _248_.Y _250_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _255_.Y _287_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _261_.X _268_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _264_.X _268_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _272_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _285_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _295_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _296_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _302_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _271_.X _304_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _292_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _294_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _272_.X _305_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _307_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _308_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _272_.X _319_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _325_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _278_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _295_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _317_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _366_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _376_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _393_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _273_.X _415_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _274_.X _278_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _304_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _277_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _275_.Y _279_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _275_.Y _321_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _374_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _275_.Y _408_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _412_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _275_.Y _420_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _277_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.Y _279_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _276_.Y _302_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _276_.Y _320_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _418_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _385_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _394_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _355_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _361_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _367_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _280_.Y _393_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _306_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _281_.Y _401_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _283_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _385_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _282_.Y _412_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _285_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _283_.Y _351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _285_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _303_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _284_.Y _319_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _291_.X _292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.001:0.001:0.001) (0.000:0.001:0.001)) - (INTERCONNECT _291_.X _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _314_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _317_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _323_.A1_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _326_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _293_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _307_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _313_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _296_.X _312_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _307_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _312_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _297_.Y _316_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _301_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _305_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _377_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _382_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _298_.X _393_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _298_.X _402_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _298_.X _406_.C (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _299_.X _300_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _368_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _370_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _388_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _391_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _299_.X _415_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _299_.X _418_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _300_.Y _301_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _300_.Y _381_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _402_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _412_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _305_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _372_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _413_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _304_.X _320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _304_.X _322_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _307_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _316_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.Y _307_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.Y _357_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _306_.Y _398_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _331_.X _333_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _331_.X _336_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _336_.Y _341_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _336_.Y _342_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _363_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _348_.X _385_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _387_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _409_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _348_.X _417_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _348_.X _421_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _349_.X _350_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _352_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _362_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _384_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _390_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _429_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _438_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _360_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _364_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _352_.X _369_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _352_.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _375_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _378_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _352_.X _389_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _353_.Y _354_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _353_.Y _376_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _353_.Y _395_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _354_.X _356_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _354_.X _365_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _354_.X _386_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _355_.X _356_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _355_.X _371_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _359_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _364_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _368_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _372_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _380_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _387_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _358_.Y _388_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _360_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _359_.X _377_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _403_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _411_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _361_.Y _363_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _365_.Y _368_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _365_.Y _382_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _365_.Y _408_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _367_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _394_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _405_.C (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _420_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _368_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _382_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _387_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _388_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _413_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _369_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _407_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _370_.Y _371_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _370_.Y _397_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _371_.Y _372_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _371_.Y _380_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _371_.Y _414_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _373_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _383_.B1 (0.002:0.002:0.002) (0.001:0.002:0.002)) - (INTERCONNECT _372_.X _396_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _375_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _417_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _377_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _391_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _400_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _402_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _376_.Y _412_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _380_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _383_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _379_.X _396_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _399_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _379_.X _411_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _423_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _424_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _382_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _381_.X _386_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _381_.X _400_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _405_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _406_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _408_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _381_.X _414_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _392_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _390_.X _404_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT _390_.X _410_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT _390_.X _419_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _390_.X _422_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _390_.X _427_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _428_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _398_.X _410_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _403_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _408_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _411_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _414_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _402_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _406_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _408_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _418_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _403_.X _424_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _418_.X _419_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _426_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _434_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _443_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _452_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _453_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _454_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _430_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _426_.X _427_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _426_.X _428_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _426_.X _431_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _432_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _426_.X _433_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _431_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _429_.X _432_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _433_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _435_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _429_.X _436_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _429_.X _437_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _436_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _437_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _439_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _440_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _434_.X _441_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _434_.X _442_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _440_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _441_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _442_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _444_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _438_.X _445_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _446_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _443_.X _445_.B (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _443_.X _446_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _443_.X _448_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _443_.X _449_.B (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _443_.X _450_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _451_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _449_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _450_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _451_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _452_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _447_.X _453_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _447_.X _454_.A (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _233_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _459_.Q _235_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _330_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _335_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _459_.Q _340_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _221_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _231_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _234_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _246_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _460_.Q _330_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _335_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _219_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _237_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _461_.Q _238_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _263_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _461_.Q _331_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _217_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _261_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _462_.Q _269_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _462_.Q _332_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _333_.A_N (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _336_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _274_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _284_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _463_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _326_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _276_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _300_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _319_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _323_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _465_.Q _370_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _466_.Q _275_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _299_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _281_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _467_.Q _296_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _354_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _355_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _229_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _281_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _314_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _393_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _468_.Q _415_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _228_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _278_.A (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT _469_.Q _280_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT _469_.Q _353_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _469_.Q _357_.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT _469_.Q _401_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _471_.Q _472_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _472_.Q _214_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _226_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _232_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _473_.Q _255_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _474_.Q _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _474_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _475_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _475_.Q _234_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _475_.Q _246_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _476_.Q _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _476_.Q _238_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _476_.Q _263_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _217_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _261_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _269_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.015:0.015:0.015) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.011:0.011:0.011) (0.010:0.010:0.010)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.012:0.012:0.012) (0.011:0.011:0.011)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.014:0.014:0.014) (0.013:0.013:0.013)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.140:0.140:0.140)) - (IOPATH A Y (0.160:0.160:0.160) (0.076:0.076:0.076)) - (IOPATH B Y (0.109:0.109:0.109) (0.133:0.133:0.133)) - (IOPATH B Y (0.131:0.131:0.131) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.225:0.239) (0.179:0.182:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.273:0.273:0.273)) - (IOPATH A1 X (0.152:0.152:0.152) (0.265:0.265:0.265)) - (IOPATH S X (0.233:0.233:0.233) (0.350:0.350:0.350)) - (IOPATH S X (0.178:0.178:0.178) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.087) (0.112:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.170:0.170:0.170) (0.276:0.276:0.276)) - (IOPATH A1 X (0.154:0.154:0.154) (0.268:0.268:0.268)) - (IOPATH S X (0.237:0.237:0.237) (0.356:0.356:0.356)) - (IOPATH S X (0.184:0.184:0.184) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.089:0.089) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.272:0.272:0.272)) - (IOPATH A1 X (0.155:0.155:0.155) (0.269:0.269:0.269)) - (IOPATH S X (0.237:0.237:0.237) (0.356:0.356:0.356)) - (IOPATH S X (0.184:0.184:0.184) (0.284:0.284:0.284)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.090:0.091) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.276:0.276:0.276)) - (IOPATH A1 X (0.144:0.144:0.144) (0.261:0.261:0.261)) - (IOPATH S X (0.232:0.232:0.232) (0.351:0.351:0.351)) - (IOPATH S X (0.179:0.179:0.179) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.089) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.194:0.194:0.194) (0.284:0.284:0.284)) - (IOPATH A1 X (0.162:0.162:0.162) (0.276:0.276:0.276)) - (IOPATH S X (0.243:0.243:0.243) (0.363:0.363:0.363)) - (IOPATH S X (0.190:0.190:0.190) (0.291:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.097:0.097:0.098) (0.121:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.224:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.180:0.180:0.180) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.062:0.062:0.062) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.172:0.172:0.172) (0.221:0.221:0.221)) - (IOPATH B Y (0.087:0.087:0.087) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.213:0.213:0.213) (0.219:0.219:0.219)) - (IOPATH B X (0.230:0.230:0.230) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.144:0.144:0.144)) - (IOPATH A X (0.278:0.278:0.278) (0.232:0.232:0.232)) - (IOPATH B X (0.259:0.259:0.259) (0.112:0.112:0.112)) - (IOPATH B X (0.267:0.267:0.267) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.201:0.201:0.201)) - (IOPATH B X (0.173:0.173:0.173) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.214:0.214:0.214)) - (IOPATH B X (0.172:0.172:0.172) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.336:0.336:0.336)) - (IOPATH A2 X (0.210:0.212:0.215) (0.369:0.376:0.383)) - (IOPATH B1 X (0.130:0.130:0.130) (0.337:0.337:0.338)) - (IOPATH C1 X (0.129:0.129:0.129) (0.299:0.299:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.159:0.159:0.159) (0.072:0.072:0.072)) - (IOPATH B Y (0.125:0.125:0.125) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.066:0.066:0.066)) - (IOPATH B Y (0.078:0.078:0.078) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.148:0.149:0.149) (0.178:0.181:0.184)) - (IOPATH B Y (0.071:0.074:0.077) (0.062:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.196:0.196) (0.194:0.196:0.198)) - (IOPATH B X (0.195:0.196:0.197) (0.218:0.219:0.219)) - (IOPATH C X (0.198:0.199:0.199) (0.220:0.223:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.177:0.179:0.181) (0.072:0.073:0.073)) - (IOPATH A2 Y (0.192:0.192:0.193) (0.071:0.072:0.072)) - (IOPATH B1 Y (0.151:0.154:0.156) (0.045:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.154:0.155:0.155) (0.055:0.055:0.055)) - (IOPATH B Y (0.127:0.130:0.134) (0.068:0.068:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.044:0.044:0.044) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.180:0.180:0.180) (0.088:0.088:0.088)) - (IOPATH A2 Y (0.188:0.195:0.203) (0.114:0.116:0.118)) - (IOPATH B1 Y (0.150:0.151:0.151) (0.048:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.060:0.060:0.060)) - (IOPATH B Y (0.099:0.099:0.099) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.138:0.140) (0.059:0.059:0.059)) - (IOPATH B Y (0.121:0.121:0.122) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.153:0.153) (0.145:0.154:0.162)) - (IOPATH A Y (0.195:0.203:0.211) (0.107:0.107:0.108)) - (IOPATH B Y (0.145:0.145:0.145) (0.138:0.140:0.141)) - (IOPATH B Y (0.169:0.171:0.172) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.162:0.162:0.162) (0.395:0.395:0.395)) - (IOPATH A2 X (0.171:0.171:0.171) (0.384:0.385:0.386)) - (IOPATH A3 X (0.183:0.183:0.184) (0.341:0.344:0.346)) - (IOPATH B1 X (0.156:0.156:0.156) (0.199:0.199:0.199)) - (IOPATH B2 X (0.153:0.170:0.188) (0.197:0.199:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.047:0.047:0.047)) - (IOPATH B Y (0.077:0.080:0.083) (0.060:0.071:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.131:0.131) (0.146:0.147:0.147)) - (IOPATH A Y (0.167:0.167:0.168) (0.088:0.088:0.088)) - (IOPATH B Y (0.147:0.148:0.150) (0.138:0.145:0.153)) - (IOPATH B Y (0.137:0.144:0.151) (0.092:0.093:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.043:0.043:0.043) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.110:0.125:0.140) (0.173:0.177:0.181)) - (IOPATH B Y (0.057:0.057:0.057) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.073:0.073:0.073)) - (IOPATH B Y (0.078:0.078:0.078) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.178:0.178:0.178) (0.087:0.087:0.087)) - (IOPATH B Y (0.143:0.143:0.143) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.054:0.058) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.130:0.130:0.130) (0.202:0.202:0.202)) - (IOPATH B Y (0.073:0.077:0.082) (0.076:0.076:0.077)) - (IOPATH C Y (0.081:0.081:0.081) (0.077:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.166:0.166:0.166)) - (IOPATH B X (0.139:0.151:0.163) (0.189:0.193:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.117:0.117) (0.186:0.188:0.191)) - (IOPATH A2 X (0.123:0.126:0.129) (0.217:0.220:0.222)) - (IOPATH B1 X (0.089:0.089:0.089) (0.187:0.187:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.255:0.255:0.255)) - (IOPATH A2 X (0.191:0.191:0.191) (0.234:0.236:0.239)) - (IOPATH B1 X (0.191:0.191:0.191) (0.151:0.155:0.159)) - (IOPATH C1 X (0.179:0.180:0.181) (0.134:0.137:0.140)) - (IOPATH D1 X (0.165:0.165:0.166) (0.116:0.116:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.121:0.121:0.121)) - (IOPATH A X (0.227:0.227:0.227) (0.214:0.214:0.214)) - (IOPATH B X (0.214:0.214:0.214) (0.101:0.101:0.101)) - (IOPATH B X (0.224:0.224:0.224) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.042:0.045) (0.039:0.039:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.183:0.183:0.183)) - (IOPATH B X (0.143:0.143:0.143) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.178:0.178) (0.222:0.222:0.222)) - (IOPATH A2 X (0.202:0.202:0.203) (0.269:0.271:0.273)) - (IOPATH A3 X (0.200:0.201:0.201) (0.284:0.285:0.286)) - (IOPATH B1 X (0.134:0.134:0.134) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.147:0.149) (0.139:0.145:0.151)) - (IOPATH A Y (0.168:0.173:0.178) (0.100:0.102:0.104)) - (IOPATH B Y (0.119:0.120:0.121) (0.136:0.137:0.138)) - (IOPATH B Y (0.143:0.143:0.144) (0.071:0.071:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.164:0.164:0.164)) - (IOPATH B X (0.142:0.155:0.168) (0.191:0.196:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.150:0.150:0.150) (0.207:0.207:0.207)) - (IOPATH A2 X (0.143:0.143:0.143) (0.188:0.190:0.193)) - (IOPATH B1_N X (0.229:0.232:0.236) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.059:0.064) (0.060:0.060:0.061)) - (IOPATH B Y (0.064:0.065:0.066) (0.054:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.056:0.056:0.056)) - (IOPATH B Y (0.069:0.069:0.069) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.271:0.271:0.271)) - (IOPATH A2 X (0.195:0.205:0.215) (0.258:0.262:0.267)) - (IOPATH B1 X (0.194:0.196:0.197) (0.143:0.148:0.153)) - (IOPATH C1 X (0.189:0.189:0.189) (0.126:0.129:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.239:0.239:0.239) (0.411:0.414:0.417)) - (IOPATH A2 X (0.237:0.237:0.237) (0.398:0.399:0.399)) - (IOPATH A3 X (0.217:0.217:0.217) (0.356:0.358:0.359)) - (IOPATH B1 X (0.246:0.246:0.246) (0.167:0.171:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.284) (0.215:0.222:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.189:0.189) (0.206:0.206:0.206)) - (IOPATH B X (0.202:0.202:0.202) (0.235:0.235:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.194:0.194:0.194) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.159:0.159:0.159) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.224:0.224:0.224) (0.105:0.105:0.105)) - (IOPATH B Y (0.193:0.193:0.193) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.114:0.114:0.114)) - (IOPATH B Y (0.102:0.102:0.102) (0.123:0.123:0.123)) - (IOPATH C Y (0.101:0.102:0.102) (0.113:0.113:0.113)) - (IOPATH D Y (0.100:0.103:0.107) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.095:0.095) (0.089:0.089:0.089)) - (IOPATH B Y (0.096:0.096:0.096) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.131:0.131) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.223:0.223:0.223) (0.088:0.088:0.088)) - (IOPATH B Y (0.196:0.196:0.196) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.108:0.108) (0.091:0.091:0.091)) - (IOPATH B Y (0.108:0.110:0.111) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.163:0.167:0.171) (0.054:0.055:0.055)) - (IOPATH B Y (0.148:0.150:0.152) (0.059:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.251:0.251:0.251) (0.078:0.078:0.078)) - (IOPATH B Y (0.234:0.234:0.234) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.189:0.190:0.190) (0.180:0.186:0.192)) - (IOPATH B X (0.184:0.184:0.184) (0.179:0.179:0.180)) - (IOPATH C X (0.208:0.208:0.208) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.297:0.300:0.303) (0.239:0.251:0.263)) - (IOPATH B X (0.245:0.245:0.245) (0.229:0.229:0.229)) - (IOPATH C X (0.250:0.250:0.250) (0.241:0.241:0.241)) - (IOPATH D X (0.259:0.259:0.259) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.134:0.135:0.135) (0.074:0.074:0.074)) - (IOPATH A2 Y (0.099:0.103:0.107) (0.062:0.062:0.062)) - (IOPATH B1 Y (0.046:0.046:0.046) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.287:0.288:0.288) (0.233:0.233:0.233)) - (IOPATH B X (0.231:0.231:0.232) (0.215:0.218:0.221)) - (IOPATH C X (0.239:0.242:0.244) (0.238:0.241:0.244)) - (IOPATH D X (0.243:0.248:0.254) (0.246:0.247:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.194:0.194:0.194) (0.262:0.262:0.262)) - (IOPATH A2 X (0.192:0.192:0.193) (0.238:0.240:0.243)) - (IOPATH B1 X (0.181:0.181:0.181) (0.139:0.143:0.146)) - (IOPATH C1 X (0.167:0.169:0.170) (0.115:0.118:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.134:0.134:0.134) (0.216:0.217:0.217)) - (IOPATH B Y (0.096:0.097:0.098) (0.122:0.122:0.122)) - (IOPATH C Y (0.101:0.105:0.109) (0.128:0.128:0.128)) - (IOPATH D Y (0.099:0.102:0.106) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.252:0.253:0.253) (0.218:0.218:0.219)) - (IOPATH B X (0.216:0.216:0.216) (0.221:0.222:0.223)) - (IOPATH C X (0.216:0.219:0.222) (0.255:0.256:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.366:0.366:0.366)) - (IOPATH A2 X (0.187:0.187:0.187) (0.353:0.353:0.353)) - (IOPATH A3 X (0.130:0.133:0.135) (0.303:0.306:0.308)) - (IOPATH B1 X (0.150:0.150:0.150) (0.120:0.120:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.187:0.187) (0.163:0.169:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.167:0.167:0.167) (0.177:0.177:0.177)) - (IOPATH A Y (0.236:0.236:0.236) (0.122:0.122:0.122)) - (IOPATH B Y (0.169:0.170:0.170) (0.176:0.176:0.176)) - (IOPATH B Y (0.215:0.215:0.215) (0.118:0.118:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.068:0.068:0.068)) - (IOPATH B Y (0.116:0.122:0.127) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.198:0.198:0.198)) - (IOPATH B X (0.196:0.196:0.197) (0.223:0.230:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.214:0.215) (0.079:0.079:0.080)) - (IOPATH B Y (0.193:0.193:0.194) (0.064:0.064:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.223:0.224:0.225) (0.190:0.194:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.140:0.140:0.140)) - (IOPATH B Y (0.154:0.154:0.154) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.108:0.108:0.108) (0.107:0.107:0.107)) - (IOPATH B Y (0.121:0.124:0.127) (0.096:0.096:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.160:0.160:0.160) (0.173:0.173:0.173)) - (IOPATH A Y (0.230:0.230:0.230) (0.114:0.114:0.114)) - (IOPATH B Y (0.158:0.159:0.159) (0.166:0.172:0.178)) - (IOPATH B Y (0.203:0.208:0.214) (0.106:0.106:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.050) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.245:0.251:0.257)) - (IOPATH A2 X (0.170:0.170:0.171) (0.253:0.253:0.253)) - (IOPATH B1 X (0.158:0.158:0.158) (0.235:0.235:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.234:0.236:0.237) (0.288:0.292:0.296)) - (IOPATH A2 X (0.241:0.258:0.276) (0.314:0.316:0.319)) - (IOPATH A3 X (0.251:0.252:0.252) (0.313:0.314:0.314)) - (IOPATH B1 X (0.227:0.227:0.227) (0.279:0.279:0.279)) - (IOPATH B2 X (0.234:0.234:0.234) (0.297:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.084:0.085) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.192:0.211:0.230) (0.266:0.270:0.273)) - (IOPATH A2 X (0.240:0.240:0.240) (0.283:0.285:0.287)) - (IOPATH A3 X (0.215:0.216:0.216) (0.292:0.292:0.292)) - (IOPATH B1 X (0.152:0.152:0.152) (0.244:0.244:0.244)) - (IOPATH B2 X (0.194:0.194:0.194) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.154:0.154:0.154) (0.164:0.164:0.164)) - (IOPATH A Y (0.197:0.197:0.197) (0.109:0.109:0.109)) - (IOPATH B Y (0.152:0.152:0.152) (0.161:0.161:0.161)) - (IOPATH B Y (0.173:0.173:0.173) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.139:0.140) (0.169:0.169:0.169)) - (IOPATH B X (0.135:0.148:0.162) (0.186:0.191:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.125:0.125:0.126) (0.060:0.060:0.061)) - (IOPATH A2 Y (0.102:0.106:0.110) (0.047:0.054:0.062)) - (IOPATH B1 Y (0.063:0.063:0.063) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.251:0.251:0.251)) - (IOPATH A2 X (0.155:0.155:0.155) (0.227:0.227:0.227)) - (IOPATH B1 X (0.126:0.126:0.126) (0.190:0.190:0.191)) - (IOPATH B2 X (0.114:0.121:0.128) (0.167:0.171:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.159:0.160:0.162) (0.102:0.102:0.102)) - (IOPATH A2 Y (0.181:0.182:0.182) (0.086:0.087:0.087)) - (IOPATH B1 Y (0.142:0.142:0.143) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.118:0.132) (0.130:0.134:0.137)) - (IOPATH A Y (0.138:0.141:0.144) (0.064:0.076:0.087)) - (IOPATH B Y (0.115:0.116:0.116) (0.113:0.122:0.130)) - (IOPATH B Y (0.100:0.108:0.115) (0.064:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.160:0.160:0.160) (0.266:0.266:0.266)) - (IOPATH A1 X (0.126:0.137:0.147) (0.248:0.251:0.254)) - (IOPATH S X (0.224:0.224:0.224) (0.343:0.343:0.343)) - (IOPATH S X (0.168:0.168:0.168) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.087) (0.112:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.130:0.132) (0.090:0.090:0.090)) - (IOPATH A X (0.136:0.136:0.136) (0.159:0.160:0.162)) - (IOPATH B X (0.112:0.113:0.113) (0.069:0.069:0.069)) - (IOPATH B X (0.128:0.128:0.128) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.167:0.167:0.167) (0.263:0.263:0.263)) - (IOPATH A1 X (0.135:0.139:0.143) (0.246:0.251:0.255)) - (IOPATH S X (0.224:0.224:0.224) (0.343:0.343:0.343)) - (IOPATH S X (0.168:0.168:0.168) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.090) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.277:0.277:0.277)) - (IOPATH A1 X (0.208:0.208:0.208) (0.266:0.266:0.267)) - (IOPATH S X (0.246:0.246:0.246) (0.365:0.365:0.365)) - (IOPATH S X (0.197:0.197:0.197) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.230:0.230:0.230) (0.297:0.297:0.297)) - (IOPATH A2 X (0.209:0.210:0.210) (0.270:0.270:0.271)) - (IOPATH B1 X (0.198:0.198:0.199) (0.151:0.152:0.152)) - (IOPATH C1 X (0.192:0.192:0.193) (0.127:0.133:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.157:0.157:0.157)) - (IOPATH A Y (0.172:0.172:0.172) (0.100:0.100:0.100)) - (IOPATH B Y (0.110:0.110:0.110) (0.125:0.128:0.132)) - (IOPATH B Y (0.121:0.124:0.127) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.136:0.149) (0.147:0.150:0.153)) - (IOPATH A Y (0.176:0.179:0.181) (0.080:0.093:0.106)) - (IOPATH B Y (0.127:0.127:0.127) (0.144:0.144:0.145)) - (IOPATH B Y (0.152:0.152:0.153) (0.078:0.078:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.257:0.257:0.257) (0.232:0.232:0.232)) - (IOPATH A2_N X (0.232:0.236:0.241) (0.205:0.218:0.232)) - (IOPATH B1 X (0.194:0.194:0.194) (0.276:0.276:0.276)) - (IOPATH B2 X (0.159:0.159:0.159) (0.248:0.248:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.147:0.147) (0.091:0.091:0.091)) - (IOPATH B Y (0.124:0.124:0.125) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.093:0.093:0.093)) - (IOPATH A X (0.140:0.140:0.140) (0.183:0.183:0.183)) - (IOPATH B X (0.100:0.106:0.113) (0.069:0.069:0.069)) - (IOPATH B X (0.129:0.129:0.129) (0.128:0.134:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.139:0.139:0.139) (0.254:0.254:0.254)) - (IOPATH A1 X (0.135:0.139:0.143) (0.246:0.250:0.255)) - (IOPATH S X (0.223:0.223:0.223) (0.342:0.342:0.342)) - (IOPATH S X (0.167:0.167:0.167) (0.271:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.087) (0.112:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.061:0.061:0.061) (0.051:0.051:0.051)) - (IOPATH B Y (0.072:0.072:0.072) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.140:0.140:0.140) (0.215:0.215:0.215)) - (IOPATH A2 X (0.115:0.115:0.115) (0.190:0.191:0.191)) - (IOPATH B1 X (0.111:0.112:0.113) (0.106:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.212:0.212:0.212)) - (IOPATH B X (0.239:0.239:0.239) (0.244:0.244:0.244)) - (IOPATH C X (0.244:0.244:0.244) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.217:0.217:0.217)) - (IOPATH B X (0.185:0.185:0.185) (0.219:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.147:0.147:0.147) (0.220:0.220:0.220)) - (IOPATH A2 X (0.113:0.113:0.113) (0.187:0.187:0.188)) - (IOPATH B1 X (0.153:0.153:0.153) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.140:0.140:0.140) (0.190:0.190:0.190)) - (IOPATH B Y (0.063:0.064:0.064) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.268:0.268:0.268)) - (IOPATH A2 X (0.162:0.162:0.162) (0.231:0.232:0.232)) - (IOPATH B1 X (0.155:0.156:0.157) (0.119:0.121:0.123)) - (IOPATH C1 X (0.192:0.192:0.192) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.152:0.152:0.152) (0.077:0.077:0.077)) - (IOPATH A2 Y (0.162:0.162:0.162) (0.086:0.086:0.086)) - (IOPATH B1 Y (0.127:0.127:0.127) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.110:0.110:0.110)) - (IOPATH B Y (0.115:0.115:0.116) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.121:0.122:0.122) (0.060:0.060:0.060)) - (IOPATH A2 Y (0.096:0.098:0.101) (0.053:0.054:0.054)) - (IOPATH B1 Y (0.060:0.062:0.065) (0.058:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.170) (0.184:0.184:0.184)) - (IOPATH B X (0.129:0.135:0.141) (0.175:0.178:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.090:0.090) (0.110:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.138:0.138) (0.160:0.160:0.160)) - (IOPATH A Y (0.181:0.181:0.181) (0.094:0.094:0.094)) - (IOPATH B Y (0.139:0.139:0.139) (0.150:0.150:0.150)) - (IOPATH B Y (0.150:0.150:0.150) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.127:0.130:0.132) (0.061:0.061:0.062)) - (IOPATH A2 Y (0.129:0.132:0.135) (0.049:0.060:0.070)) - (IOPATH B1_N Y (0.186:0.186:0.186) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.071:0.071:0.071) (0.076:0.076:0.076)) - (IOPATH B Y (0.081:0.081:0.081) (0.086:0.086:0.086)) - (IOPATH C Y (0.077:0.080:0.083) (0.073:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.137:0.137:0.137) (0.254:0.254:0.254)) - (IOPATH A1 X (0.135:0.135:0.135) (0.256:0.256:0.256)) - (IOPATH S X (0.234:0.234:0.234) (0.353:0.353:0.353)) - (IOPATH S X (0.181:0.181:0.181) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.098:0.098:0.098) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.134:0.134:0.134) (0.251:0.251:0.251)) - (IOPATH A1 X (0.134:0.134:0.134) (0.254:0.254:0.254)) - (IOPATH S X (0.231:0.231:0.231) (0.349:0.349:0.349)) - (IOPATH S X (0.178:0.178:0.178) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.090:0.090) (0.115:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.112:0.112:0.112) (0.175:0.175:0.175)) - (IOPATH B Y (0.085:0.085:0.085) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.263:0.263) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.044:0.044:0.044)) - (IOPATH B Y (0.085:0.085:0.085) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.248:0.248:0.248) (0.123:0.123:0.123)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.096:0.100:0.103) (0.075:0.077:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.228:0.228:0.228) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.185:0.185:0.185) (0.086:0.086:0.086)) - (IOPATH B Y (0.152:0.152:0.152) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.277:0.277:0.277) (0.269:0.269:0.269)) - (IOPATH B X (0.220:0.220:0.220) (0.236:0.237:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.209:0.209:0.209)) - (IOPATH B X (0.248:0.248:0.248) (0.240:0.240:0.240)) - (IOPATH C X (0.228:0.228:0.228) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.056:0.056:0.056)) - (IOPATH B Y (0.095:0.095:0.096) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.196:0.196:0.196) (0.089:0.089:0.089)) - (IOPATH B Y (0.152:0.152:0.152) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.294:0.294:0.294) (0.128:0.128:0.128)) - (IOPATH B Y (0.245:0.250:0.255) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.230) (0.215:0.216:0.218)) - (IOPATH B X (0.286:0.286:0.286) (0.258:0.261:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.228:0.228:0.228)) - (IOPATH A2 X (0.153:0.153:0.153) (0.225:0.225:0.225)) - (IOPATH B1 X (0.154:0.154:0.155) (0.230:0.230:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.175:0.175:0.175) (0.069:0.069:0.069)) - (IOPATH B Y (0.163:0.163:0.163) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.146:0.146) (0.073:0.073:0.073)) - (IOPATH B Y (0.122:0.122:0.122) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.352:0.352:0.352)) - (IOPATH A2 X (0.182:0.182:0.182) (0.371:0.371:0.371)) - (IOPATH B1 X (0.174:0.174:0.174) (0.349:0.352:0.355)) - (IOPATH C1 X (0.157:0.157:0.157) (0.311:0.311:0.312)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.230:0.230:0.230)) - (IOPATH A2 X (0.161:0.161:0.161) (0.230:0.230:0.230)) - (IOPATH B1 X (0.187:0.187:0.187) (0.229:0.232:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.241:0.241:0.241)) - (IOPATH B X (0.249:0.249:0.249) (0.257:0.257:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.108:0.108:0.108)) - (IOPATH B Y (0.141:0.142:0.142) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.264:0.264:0.264) (0.317:0.317:0.317)) - (IOPATH A2 X (0.226:0.226:0.226) (0.277:0.277:0.277)) - (IOPATH B1 X (0.249:0.250:0.251) (0.187:0.188:0.188)) - (IOPATH C1 X (0.295:0.295:0.295) (0.162:0.165:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.229:0.229:0.229)) - (IOPATH A2 X (0.155:0.155:0.155) (0.227:0.227:0.227)) - (IOPATH B1 X (0.147:0.148:0.148) (0.220:0.223:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.213:0.213) (0.098:0.098:0.098)) - (IOPATH B Y (0.190:0.190:0.190) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.090:0.093) (0.102:0.102:0.103)) - (IOPATH B Y (0.096:0.096:0.097) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.252:0.253:0.254) (0.312:0.315:0.319)) - (IOPATH A2 X (0.252:0.253:0.254) (0.304:0.304:0.304)) - (IOPATH B1 X (0.312:0.312:0.312) (0.185:0.189:0.193)) - (IOPATH C1 X (0.239:0.240:0.242) (0.158:0.164:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.229:0.229:0.229)) - (IOPATH A2 X (0.157:0.157:0.157) (0.227:0.227:0.227)) - (IOPATH B1 X (0.152:0.152:0.153) (0.222:0.225:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.220:0.220:0.220) (0.118:0.118:0.118)) - (IOPATH A2 Y (0.200:0.206:0.211) (0.104:0.104:0.104)) - (IOPATH B1 Y (0.198:0.198:0.198) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.230:0.230:0.230)) - (IOPATH A2 X (0.157:0.157:0.157) (0.228:0.228:0.228)) - (IOPATH B1 X (0.165:0.165:0.165) (0.226:0.229:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.128:0.128:0.128)) - (IOPATH B Y (0.135:0.136:0.137) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.168:0.168:0.168) (0.227:0.227:0.227)) - (IOPATH A2 X (0.135:0.136:0.136) (0.214:0.215:0.217)) - (IOPATH B1 X (0.154:0.154:0.155) (0.131:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.191:0.191) (0.231:0.231:0.231)) - (IOPATH A2 X (0.163:0.163:0.163) (0.232:0.232:0.232)) - (IOPATH B1 X (0.126:0.126:0.127) (0.213:0.215:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.240:0.240:0.240) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.229:0.229:0.229) (0.290:0.290:0.290)) - (IOPATH A2 X (0.213:0.213:0.213) (0.300:0.300:0.300)) - (IOPATH B1 X (0.259:0.259:0.259) (0.263:0.266:0.268)) - (IOPATH B2 X (0.196:0.197:0.198) (0.273:0.277:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.239:0.240:0.240) (0.208:0.211:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.247:0.247:0.247)) - (IOPATH A2 X (0.132:0.132:0.132) (0.212:0.212:0.212)) - (IOPATH B1 X (0.155:0.156:0.156) (0.211:0.211:0.211)) - (IOPATH B2 X (0.156:0.156:0.156) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.233:0.233) (0.292:0.292:0.292)) - (IOPATH A2 X (0.214:0.214:0.214) (0.300:0.300:0.300)) - (IOPATH B1 X (0.216:0.216:0.216) (0.259:0.262:0.265)) - (IOPATH B2 X (0.187:0.187:0.187) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.101:0.101:0.101) (0.156:0.156:0.156)) - (IOPATH B Y (0.080:0.080:0.080) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.258:0.258:0.258) (0.423:0.423:0.423)) - (IOPATH A2 X (0.231:0.232:0.233) (0.397:0.399:0.401)) - (IOPATH A3 X (0.242:0.242:0.242) (0.353:0.356:0.358)) - (IOPATH B1 X (0.212:0.214:0.216) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.076:0.076:0.076)) - (IOPATH B Y (0.082:0.082:0.082) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.282:0.283:0.284) (0.318:0.319:0.319)) - (IOPATH A2 X (0.335:0.335:0.335) (0.336:0.340:0.343)) - (IOPATH A3 X (0.272:0.272:0.273) (0.318:0.322:0.326)) - (IOPATH B1 X (0.214:0.214:0.214) (0.268:0.268:0.268)) - (IOPATH B2 X (0.257:0.257:0.257) (0.308:0.308:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.160:0.160:0.160) (0.220:0.220:0.220)) - (IOPATH A2 X (0.127:0.128:0.128) (0.204:0.205:0.205)) - (IOPATH B1 X (0.186:0.187:0.187) (0.123:0.127:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.241:0.241:0.241)) - (IOPATH A2 X (0.173:0.173:0.173) (0.243:0.243:0.243)) - (IOPATH B1 X (0.133:0.134:0.135) (0.222:0.224:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.224:0.224:0.224)) - (IOPATH A2 X (0.133:0.134:0.134) (0.212:0.213:0.215)) - (IOPATH B1 X (0.152:0.152:0.153) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.222:0.222:0.222) (0.254:0.254:0.254)) - (IOPATH A2 X (0.161:0.161:0.161) (0.232:0.232:0.232)) - (IOPATH B1 X (0.124:0.125:0.125) (0.214:0.216:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.138:0.138:0.138) (0.297:0.297:0.297)) - (IOPATH A2 X (0.154:0.154:0.154) (0.335:0.335:0.335)) - (IOPATH B1 X (0.122:0.122:0.122) (0.305:0.305:0.305)) - (IOPATH C1 X (0.103:0.103:0.103) (0.263:0.263:0.263)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.135:0.135:0.135) (0.080:0.080:0.080)) - (IOPATH A2 Y (0.099:0.102:0.105) (0.061:0.061:0.061)) - (IOPATH B1 Y (0.059:0.059:0.060) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.227:0.228:0.229) (0.222:0.222:0.222)) - (IOPATH B X (0.162:0.162:0.163) (0.187:0.188:0.189)) - (IOPATH C X (0.174:0.178:0.182) (0.200:0.201:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.220:0.220:0.220) (0.286:0.286:0.286)) - (IOPATH A2 X (0.188:0.188:0.188) (0.285:0.285:0.285)) - (IOPATH B1 X (0.203:0.203:0.204) (0.253:0.256:0.259)) - (IOPATH B2 X (0.178:0.178:0.178) (0.261:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.073:0.073:0.073)) - (IOPATH B Y (0.074:0.076:0.079) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.192:0.192:0.192)) - (IOPATH B X (0.188:0.188:0.188) (0.204:0.208:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.200:0.200:0.200) (0.270:0.270:0.270)) - (IOPATH A2 X (0.170:0.170:0.170) (0.270:0.270:0.270)) - (IOPATH B1 X (0.155:0.155:0.156) (0.233:0.234:0.235)) - (IOPATH B2 X (0.164:0.164:0.164) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.184:0.185:0.185) (0.238:0.239:0.241)) - (IOPATH B Y (0.127:0.127:0.127) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116:0.116:0.116) (0.104:0.104:0.104)) - (IOPATH B Y (0.097:0.098:0.099) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.176:0.176) (0.262:0.265:0.267)) - (IOPATH A2 X (0.161:0.162:0.162) (0.247:0.248:0.249)) - (IOPATH B1 X (0.151:0.152:0.153) (0.216:0.217:0.219)) - (IOPATH B2 X (0.172:0.172:0.172) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.233:0.234:0.234) (0.214:0.215:0.215)) - (IOPATH B X (0.223:0.223:0.224) (0.237:0.237:0.237)) - (IOPATH C X (0.212:0.212:0.212) (0.232:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.214:0.214:0.214) (0.250:0.250:0.250)) - (IOPATH A2 X (0.156:0.156:0.156) (0.229:0.229:0.229)) - (IOPATH B1 X (0.134:0.134:0.134) (0.219:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.088:0.088:0.088)) - (IOPATH B Y (0.088:0.088:0.088) (0.095:0.095:0.095)) - (IOPATH C Y (0.085:0.085:0.085) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.130:0.131:0.132) (0.219:0.221:0.222)) - (IOPATH B Y (0.099:0.099:0.099) (0.106:0.106:0.106)) - (IOPATH C Y (0.110:0.110:0.110) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.195:0.196:0.196) (0.185:0.188:0.192)) - (IOPATH B X (0.179:0.179:0.179) (0.200:0.200:0.201)) - (IOPATH C X (0.187:0.190:0.193) (0.225:0.226:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.240:0.240:0.240) (0.317:0.317:0.317)) - (IOPATH A2 X (0.198:0.198:0.198) (0.273:0.273:0.273)) - (IOPATH B1 X (0.201:0.201:0.202) (0.263:0.265:0.266)) - (IOPATH B2 X (0.226:0.226:0.226) (0.253:0.253:0.253)) - (IOPATH C1 X (0.196:0.196:0.197) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.229:0.230:0.232) (0.287:0.291:0.296)) - (IOPATH A2 X (0.241:0.241:0.241) (0.307:0.308:0.309)) - (IOPATH A3 X (0.244:0.244:0.245) (0.301:0.305:0.308)) - (IOPATH B1 X (0.193:0.193:0.193) (0.257:0.257:0.257)) - (IOPATH B2 X (0.229:0.229:0.229) (0.294:0.294:0.294)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.241:0.241:0.241) (0.267:0.267:0.267)) - (IOPATH A2 X (0.191:0.191:0.191) (0.252:0.252:0.252)) - (IOPATH B1 X (0.154:0.154:0.155) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.283:0.283:0.283)) - (IOPATH A2 X (0.193:0.193:0.193) (0.288:0.288:0.288)) - (IOPATH B1 X (0.204:0.204:0.204) (0.258:0.259:0.259)) - (IOPATH B2 X (0.191:0.191:0.192) (0.276:0.276:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.255:0.255:0.255)) - (IOPATH A2 X (0.141:0.142:0.143) (0.227:0.229:0.231)) - (IOPATH B1 X (0.160:0.160:0.161) (0.218:0.221:0.223)) - (IOPATH B2 X (0.144:0.145:0.145) (0.198:0.200:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.182) (0.263:0.267:0.271)) - (IOPATH A2 X (0.180:0.181:0.181) (0.255:0.255:0.256)) - (IOPATH B1 X (0.169:0.169:0.170) (0.129:0.133:0.137)) - (IOPATH C1 X (0.155:0.155:0.156) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.225) (0.272:0.272:0.272)) - (IOPATH A2 X (0.180:0.180:0.180) (0.231:0.232:0.233)) - (IOPATH B1 X (0.192:0.193:0.194) (0.153:0.158:0.163)) - (IOPATH C1 X (0.193:0.194:0.194) (0.155:0.156:0.156)) - (IOPATH D1 X (0.167:0.167:0.167) (0.111:0.115:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.152:0.152:0.152) (0.084:0.084:0.084)) - (IOPATH A2 Y (0.137:0.137:0.137) (0.075:0.075:0.075)) - (IOPATH B1 Y (0.077:0.077:0.077) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.075:0.075:0.075)) - (IOPATH B Y (0.067:0.068:0.069) (0.054:0.060:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.232:0.233:0.233) (0.271:0.275:0.279)) - (IOPATH A2 X (0.197:0.197:0.198) (0.277:0.280:0.283)) - (IOPATH A3 X (0.203:0.203:0.203) (0.278:0.284:0.290)) - (IOPATH B1 X (0.160:0.160:0.160) (0.237:0.237:0.237)) - (IOPATH B2 X (0.191:0.191:0.191) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.371:0.371:0.371)) - (IOPATH A2 X (0.176:0.176:0.176) (0.350:0.350:0.350)) - (IOPATH A3 X (0.141:0.141:0.142) (0.305:0.306:0.307)) - (IOPATH B1 X (0.157:0.157:0.157) (0.124:0.125:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.241:0.241:0.241)) - (IOPATH A2 X (0.154:0.154:0.154) (0.226:0.226:0.226)) - (IOPATH B1 X (0.114:0.115:0.115) (0.203:0.208:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.076:0.076) (0.083:0.083:0.083)) - (IOPATH B Y (0.092:0.092:0.092) (0.098:0.098:0.098)) - (IOPATH C Y (0.082:0.083:0.083) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.263:0.264:0.265)) - (IOPATH A2 X (0.206:0.206:0.206) (0.284:0.288:0.291)) - (IOPATH A3 X (0.206:0.207:0.208) (0.288:0.292:0.296)) - (IOPATH B1 X (0.159:0.159:0.159) (0.237:0.237:0.237)) - (IOPATH B2 X (0.195:0.195:0.195) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.205:0.205:0.205) (0.242:0.242:0.242)) - (IOPATH A2 X (0.145:0.145:0.145) (0.220:0.220:0.220)) - (IOPATH B1 X (0.144:0.144:0.144) (0.208:0.211:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.274:0.274:0.274)) - (IOPATH A2 X (0.172:0.172:0.172) (0.273:0.273:0.273)) - (IOPATH B1 X (0.178:0.178:0.178) (0.236:0.236:0.237)) - (IOPATH B2 X (0.208:0.208:0.208) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.211:0.211:0.211) (0.280:0.280:0.280)) - (IOPATH A2 X (0.184:0.184:0.184) (0.282:0.282:0.282)) - (IOPATH B1 X (0.179:0.179:0.179) (0.244:0.245:0.245)) - (IOPATH B2 X (0.172:0.175:0.178) (0.266:0.267:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.097:0.097:0.097)) - (IOPATH B Y (0.126:0.126:0.126) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.271:0.272) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.078:0.078:0.078)) - (IOPATH B Y (0.111:0.111:0.111) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.152:0.152) (0.083:0.083:0.083)) - (IOPATH B Y (0.120:0.120:0.120) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.067:0.067:0.067)) - (IOPATH B Y (0.106:0.106:0.106) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.065:0.065:0.065)) - (IOPATH B Y (0.101:0.101:0.101) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.067:0.067:0.067)) - (IOPATH B Y (0.106:0.106:0.106) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.065:0.065:0.065)) - (IOPATH B Y (0.102:0.102:0.102) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.254:0.255) (0.208:0.208:0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.065:0.065:0.065)) - (IOPATH B Y (0.097:0.097:0.097) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.066:0.066:0.066)) - (IOPATH B Y (0.098:0.098:0.098) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.068:0.068:0.068)) - (IOPATH B Y (0.102:0.102:0.102) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.066:0.066:0.066)) - (IOPATH B Y (0.101:0.101:0.101) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.064:0.064:0.064)) - (IOPATH B Y (0.096:0.096:0.096) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.067:0.067:0.067)) - (IOPATH B Y (0.102:0.102:0.102) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.064:0.064:0.064)) - (IOPATH B Y (0.097:0.097:0.097) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.273:0.274:0.274) (0.218:0.218:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.066:0.066:0.066)) - (IOPATH B Y (0.106:0.106:0.106) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.068:0.068:0.068)) - (IOPATH B Y (0.110:0.110:0.110) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.067:0.067:0.067)) - (IOPATH B Y (0.108:0.108:0.108) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.226:0.226:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.069:0.069:0.069)) - (IOPATH B Y (0.102:0.102:0.102) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.153:0.153) (0.082:0.082:0.082)) - (IOPATH B Y (0.126:0.126:0.126) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.071:0.071:0.071)) - (IOPATH B Y (0.106:0.106:0.106) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.071:0.071:0.071)) - (IOPATH B Y (0.106:0.106:0.106) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.070:0.070:0.070)) - (IOPATH B Y (0.099:0.099:0.099) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.077:0.077:0.077)) - (IOPATH B Y (0.112:0.112:0.112) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.131:0.131) (0.071:0.071:0.071)) - (IOPATH B Y (0.101:0.101:0.101) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.374:0.374:0.374) (0.417:0.417:0.417)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.346:0.346:0.347)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057)) - (SETUP (negedge D) (posedge CLK) (0.103:0.104:0.104)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.373:0.373:0.373) (0.417:0.417:0.417)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.350:0.350:0.350)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.221:-0.221:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.367:0.367:0.367) (0.412:0.412:0.412)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.345:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.372:0.372:0.372) (0.416:0.416:0.416)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228:-0.228:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.033:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.056)) - (SETUP (negedge D) (posedge CLK) (0.101:0.106:0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.470:0.470:0.470) (0.484:0.484:0.484)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.345:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.034:-0.035:-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.027:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.063:0.064:0.065)) - (SETUP (negedge D) (posedge CLK) (0.097:0.100:0.102)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.436:0.436:0.436) (0.462:0.462:0.462)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228:-0.227:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.447:0.447:0.447) (0.471:0.471:0.471)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228:-0.227:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.024:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.093:0.097:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.456:0.456:0.456) (0.475:0.475:0.475)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.022:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052)) - (SETUP (negedge D) (posedge CLK) (0.093:0.095:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.421:0.421:0.421) (0.452:0.452:0.452)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.345:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.023:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.389:0.389:0.389) (0.430:0.430:0.430)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.344:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.447:0.447:0.447) (0.470:0.470:0.470)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228:-0.228:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.025:-0.028:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.098:0.101:0.104)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.390:0.390:0.390) (0.431:0.431:0.431)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.345:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.034:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.031:-0.036)) - (SETUP (posedge D) (posedge CLK) (0.054:0.063:0.072)) - (SETUP (negedge D) (posedge CLK) (0.099:0.104:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.421:0.421:0.421) (0.453:0.453:0.453)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228:-0.227:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.438:0.438:0.438) (0.464:0.464:0.464)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.344:0.345)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.485:0.485:0.485) (0.496:0.496:0.496)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.346:0.346)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.353:0.353:0.353) (0.400:0.400:0.400)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.345:0.346)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.406:0.406:0.406) (0.443:0.443:0.443)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228:-0.227:-0.227)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.389:0.389:0.389) (0.430:0.430:0.430)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.352:0.352)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.219:-0.219:-0.219)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.412:0.412:0.412) (0.446:0.446:0.446)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.397:0.397:0.397) (0.435:0.435:0.435)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.411:0.411:0.411) (0.445:0.445:0.445)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.408:0.408:0.408) (0.443:0.443:0.443)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.222:-0.222:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.412:0.412:0.412) (0.446:0.446:0.446)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.178:0.178:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.180:0.180) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.100:0.113:0.125) (0.023:0.022:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.092:0.093:0.093) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.057:0.059:0.061) (0.120:0.122:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.049:0.049:0.050) (0.088:0.088:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036:0.036:0.036) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.058:0.063:0.067) (0.121:0.127:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.046:0.046:0.047) (0.084:0.084:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036:0.036:0.036) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.064:0.064:0.065) (0.128:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.049:0.049:0.049) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.052:0.052:0.052) (0.092:0.092:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.041:0.041:0.041) (-0.000:-0.000:-0.000)) - (IOPATH TE_B Z (0.059:0.060:0.060) (0.122:0.123:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.002:0.002:0.002)) - (IOPATH TE_B Z (0.048:0.048:0.048) (0.087:0.087:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.027:0.027:0.027) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.003:0.003:0.003)) - (IOPATH TE_B Z (0.053:0.053:0.054) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.047:0.048:0.048) (0.086:0.086:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.044:0.044:0.044) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.138:0.138) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.054:0.054:0.054) (0.095:0.095:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.053:0.053:0.054) (0.094:0.094:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004)) - (IOPATH TE_B Z (0.053:0.053:0.054) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.051:0.051:0.051) (0.091:0.091:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004)) - (IOPATH TE_B Z (0.053:0.054:0.054) (0.115:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.047:0.048:0.048) (0.086:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.058:0.059:0.059) (0.121:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.045:0.046:0.046) (0.084:0.084:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.030:0.030:0.030) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.059:0.059:0.060) (0.122:0.122:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.048:0.048:0.049) (0.087:0.087:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.064:0.064:0.064) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.064:0.064:0.064) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.341:0.341:0.341)) - (IOPATH B X (0.149:0.150:0.150) (0.308:0.308:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.086) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.001:-0.001:-0.001) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.058:0.058:0.058) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.050:0.050:0.050) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.104:0.104:0.104) (0.025:0.025:0.025)) - ) - ) - ) -) diff --git a/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ff.sdf b/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ff.sdf deleted file mode 100644 index 3cfd86c8..00000000 --- a/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ff.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:45 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.106:0.106:0.106) (0.046:0.046:0.046)) - (INTERCONNECT dco _349_.A (0.107:0.107:0.107) (0.046:0.046:0.046)) - (INTERCONNECT dco _358_.A (0.107:0.107:0.107) (0.046:0.046:0.046)) - (INTERCONNECT dco _361_.B (0.108:0.108:0.108) (0.047:0.047:0.047)) - (INTERCONNECT dco _374_.B1 (0.107:0.107:0.107) (0.046:0.046:0.046)) - (INTERCONNECT dco _379_.A (0.107:0.107:0.107) (0.047:0.047:0.047)) - (INTERCONNECT dco _447_.A (0.107:0.107:0.107) (0.046:0.046:0.046)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.107:0.107:0.107) (0.046:0.046:0.046)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.107:0.107:0.107) (0.047:0.047:0.047)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.107:0.107:0.107) (0.046:0.046:0.046)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.108:0.108:0.108) (0.047:0.047:0.047)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.106:0.106:0.106) (0.045:0.045:0.045)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.107:0.107:0.107) (0.046:0.046:0.046)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.107:0.107:0.107) (0.046:0.046:0.046)) - (INTERCONNECT div[0] _257_.A_N (0.039:0.039:0.039) (0.016:0.016:0.016)) - (INTERCONNECT div[0] _287_.B1 (0.039:0.039:0.039) (0.016:0.016:0.016)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.039:0.039:0.039) (0.016:0.016:0.016)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.039:0.039:0.039) (0.016:0.016:0.016)) - (INTERCONNECT div[1] _252_.A (0.034:0.034:0.034) (0.014:0.014:0.014)) - (INTERCONNECT div[1] _258_.A (0.034:0.034:0.034) (0.014:0.014:0.014)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.034:0.034:0.034) (0.014:0.014:0.014)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.034:0.034:0.034) (0.014:0.014:0.014)) - (INTERCONNECT div[2] _244_.A (0.019:0.019:0.019) (0.006:0.006:0.006)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.019:0.019:0.019) (0.006:0.006:0.006)) - (INTERCONNECT div[3] _243_.A (0.050:0.050:0.050) (0.020:0.020:0.020)) - (INTERCONNECT div[3] _260_.A1 (0.050:0.050:0.050) (0.020:0.020:0.020)) - (INTERCONNECT div[3] _267_.A1 (0.050:0.050:0.050) (0.020:0.020:0.020)) - (INTERCONNECT div[3] _289_.A1 (0.050:0.050:0.050) (0.020:0.020:0.020)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.049:0.049:0.049) (0.020:0.020:0.020)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.050:0.050:0.050) (0.020:0.020:0.020)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.050:0.050:0.050) (0.020:0.020:0.020)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.050:0.050:0.050) (0.020:0.020:0.020)) - (INTERCONNECT div[4] _266_.A (0.026:0.026:0.026) (0.010:0.010:0.010)) - (INTERCONNECT div[4] _270_.A1 (0.026:0.026:0.026) (0.010:0.010:0.010)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.026:0.026:0.026) (0.010:0.010:0.010)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.026:0.026:0.026) (0.010:0.010:0.010)) - (INTERCONNECT enable _425_.A (0.024:0.024:0.024) (0.009:0.009:0.009)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[0] _350_.A (0.023:0.023:0.023) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.023:0.023:0.023) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.017:0.017:0.017) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.017:0.017:0.017) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.017:0.017:0.017) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.017:0.017:0.017) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.025:0.025:0.025) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.025:0.025:0.025) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.033:0.033:0.033) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.033:0.033:0.033) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.033:0.033:0.033) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.033:0.033:0.033) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.011:0.011:0.011) (0.003:0.003:0.003)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.011:0.011:0.011) (0.003:0.003:0.003)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.033:0.033:0.033) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.033:0.033:0.033) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.033:0.033:0.033) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.033:0.033:0.033) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.026:0.026:0.026) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.026:0.026:0.026) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.018:0.018:0.018) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.018:0.018:0.018) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.027:0.027:0.027) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.027:0.027:0.027) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.040:0.040:0.040) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.040:0.040:0.040) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.013:0.013:0.013) (0.004:0.004:0.004)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.043:0.043:0.043) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.043:0.043:0.043) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.039:0.039:0.039) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.039:0.039:0.039) (0.016:0.016:0.016)) - (INTERCONNECT osc _470_.D (0.010:0.010:0.010) (0.003:0.003:0.003)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.010:0.010:0.010) (0.003:0.003:0.003)) - (INTERCONNECT resetb _425_.B (0.023:0.023:0.023) (0.008:0.008:0.008)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.023:0.023:0.023) (0.008:0.008:0.008)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _219_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _221_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _223_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _226_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _343_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _345_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _332_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _334_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _338_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _341_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _342_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _330_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _311_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _394_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _405_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _416_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _420_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _423_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _353_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _355_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _362_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _366_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _397_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _236_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _251_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _287_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _236_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _245_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _251_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _264_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _249_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _260_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _267_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.Y _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _249_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _250_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271_.X _272_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _285_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _295_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _296_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _292_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _294_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _305_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.X _307_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _319_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _295_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _317_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _366_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _376_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _393_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _278_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _277_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _279_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _374_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _408_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _412_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _420_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.Y _277_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _279_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _302_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _320_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.Y _418_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _278_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _385_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _361_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _367_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _393_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _306_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _401_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _283_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _385_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _412_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _303_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _319_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _311_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _314_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _317_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _323_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _326_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _316_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _301_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _377_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _382_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _393_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _402_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _406_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _300_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _370_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _388_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _415_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _418_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _301_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _381_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _402_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _412_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _322_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _307_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _312_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _316_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _398_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _342_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _363_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _385_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _387_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _409_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _417_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _421_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _350_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _352_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _362_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _390_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _429_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _438_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _360_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _369_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _373_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _389_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _376_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _395_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _356_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _365_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _371_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _359_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _368_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _380_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _387_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _388_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _360_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _377_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _391_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _403_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _368_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _408_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _367_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _405_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _420_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _368_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _372_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _387_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _388_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _413_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _407_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _371_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _397_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _380_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _414_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _373_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _383_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _396_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _375_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _377_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _391_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _400_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _402_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _412_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _383_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _399_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _411_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _423_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _382_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _386_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _400_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _405_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _406_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _408_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _414_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _392_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _404_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _410_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _419_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _422_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _427_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _428_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _403_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _408_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _411_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _414_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _406_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _418_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _424_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.X _419_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _426_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _434_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _443_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _452_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _453_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _454_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.X _430_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _427_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _428_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _431_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.X _432_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _433_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _431_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _432_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _433_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _435_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _436_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _437_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _436_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _437_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _439_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _440_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _441_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _442_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _440_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _441_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _442_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _444_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _445_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _446_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _445_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _446_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _448_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _449_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _450_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _451_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _449_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _450_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _451_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _452_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _453_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _454_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _233_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _235_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _330_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _221_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _231_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _234_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _330_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _219_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _237_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _238_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _269_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _332_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _333_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _336_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _284_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _329_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _276_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _319_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _323_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _370_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _275_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _281_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _354_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _355_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _281_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _314_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _415_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _278_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _280_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _353_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _357_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _401_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _214_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _230_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _246_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _263_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.074) (0.094:0.094:0.094)) - (IOPATH A Y (0.112:0.112:0.112) (0.043:0.043:0.043)) - (IOPATH B Y (0.069:0.069:0.069) (0.084:0.084:0.084)) - (IOPATH B Y (0.091:0.091:0.091) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.151:0.159) (0.116:0.118:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.162:0.162) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.106:0.106:0.106) (0.148:0.148:0.148)) - (IOPATH A1 X (0.094:0.094:0.094) (0.143:0.143:0.143)) - (IOPATH S X (0.147:0.147:0.147) (0.203:0.203:0.203)) - (IOPATH S X (0.108:0.108:0.108) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.055:0.055:0.055) (0.070:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.105:0.105:0.105) (0.149:0.149:0.149)) - (IOPATH A1 X (0.095:0.095:0.095) (0.144:0.144:0.144)) - (IOPATH S X (0.150:0.150:0.150) (0.205:0.205:0.205)) - (IOPATH S X (0.111:0.111:0.111) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.056:0.056:0.057) (0.071:0.071:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.102:0.102:0.102) (0.147:0.147:0.147)) - (IOPATH A1 X (0.096:0.096:0.096) (0.145:0.145:0.145)) - (IOPATH S X (0.150:0.150:0.150) (0.205:0.205:0.205)) - (IOPATH S X (0.111:0.111:0.111) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.057:0.058:0.058) (0.072:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.108:0.108:0.108) (0.150:0.150:0.150)) - (IOPATH A1 X (0.090:0.090:0.090) (0.141:0.141:0.141)) - (IOPATH S X (0.148:0.148:0.148) (0.203:0.203:0.203)) - (IOPATH S X (0.109:0.109:0.109) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.056:0.056:0.057) (0.071:0.071:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.118:0.118:0.118) (0.154:0.154:0.154)) - (IOPATH A1 X (0.100:0.100:0.100) (0.149:0.149:0.149)) - (IOPATH S X (0.154:0.154:0.154) (0.209:0.209:0.209)) - (IOPATH S X (0.115:0.115:0.115) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.061:0.061:0.062) (0.075:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.043:0.043:0.043) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.108:0.108:0.108) (0.136:0.136:0.136)) - (IOPATH B Y (0.061:0.061:0.061) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.140:0.140:0.140)) - (IOPATH B X (0.148:0.148:0.148) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.211:0.211) (0.086:0.086:0.086)) - (IOPATH A X (0.180:0.180:0.180) (0.149:0.149:0.149)) - (IOPATH B X (0.180:0.180:0.180) (0.067:0.067:0.067)) - (IOPATH B X (0.180:0.180:0.180) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.125:0.125:0.125)) - (IOPATH B X (0.107:0.107:0.107) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.121:0.121:0.121) (0.133:0.133:0.133)) - (IOPATH B X (0.107:0.107:0.107) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.107:0.107:0.107) (0.205:0.206:0.206)) - (IOPATH A2 X (0.119:0.120:0.121) (0.228:0.232:0.235)) - (IOPATH B1 X (0.082:0.082:0.082) (0.159:0.159:0.160)) - (IOPATH C1 X (0.080:0.080:0.081) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.107:0.107:0.107) (0.037:0.037:0.037)) - (IOPATH B Y (0.085:0.085:0.085) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.032:0.032:0.032)) - (IOPATH B Y (0.054:0.054:0.054) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.092:0.093:0.093) (0.111:0.113:0.115)) - (IOPATH B Y (0.050:0.052:0.054) (0.037:0.037:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.115:0.116:0.116) (0.119:0.120:0.122)) - (IOPATH B X (0.117:0.117:0.117) (0.134:0.135:0.137)) - (IOPATH C X (0.118:0.118:0.119) (0.137:0.139:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.117:0.119:0.121) (0.041:0.041:0.041)) - (IOPATH A2 Y (0.127:0.128:0.130) (0.042:0.043:0.043)) - (IOPATH B1 Y (0.072:0.074:0.076) (0.027:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.105) (0.033:0.033:0.033)) - (IOPATH B Y (0.085:0.087:0.089) (0.032:0.033:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.123:0.123:0.123) (0.046:0.046:0.046)) - (IOPATH A2 Y (0.128:0.132:0.136) (0.055:0.055:0.056)) - (IOPATH B1 Y (0.074:0.075:0.075) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.029:0.029:0.029)) - (IOPATH B Y (0.067:0.067:0.067) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.095:0.097) (0.035:0.035:0.035)) - (IOPATH B Y (0.083:0.083:0.084) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.092:0.094) (0.096:0.102:0.108)) - (IOPATH A Y (0.135:0.141:0.147) (0.056:0.058:0.060)) - (IOPATH B Y (0.088:0.088:0.088) (0.088:0.089:0.090)) - (IOPATH B Y (0.118:0.118:0.119) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.087:0.087:0.087) (0.228:0.228:0.228)) - (IOPATH A2 X (0.094:0.094:0.094) (0.220:0.221:0.221)) - (IOPATH A3 X (0.097:0.099:0.102) (0.191:0.193:0.195)) - (IOPATH B1 X (0.079:0.079:0.079) (0.119:0.119:0.119)) - (IOPATH B2 X (0.076:0.082:0.087) (0.116:0.118:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.037:0.037:0.037) (0.028:0.028:0.028)) - (IOPATH B Y (0.053:0.055:0.057) (0.036:0.039:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.097:0.097:0.097)) - (IOPATH A Y (0.115:0.115:0.116) (0.047:0.047:0.047)) - (IOPATH B Y (0.077:0.077:0.077) (0.087:0.092:0.096)) - (IOPATH B Y (0.094:0.098:0.102) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.072:0.080:0.087) (0.107:0.110:0.113)) - (IOPATH B Y (0.040:0.040:0.040) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.054:0.054:0.054) (0.032:0.032:0.032)) - (IOPATH B Y (0.055:0.055:0.055) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.043:0.043:0.043)) - (IOPATH B Y (0.098:0.098:0.098) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.038:0.042) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.084:0.084:0.084) (0.124:0.124:0.124)) - (IOPATH B Y (0.051:0.054:0.057) (0.043:0.044:0.044)) - (IOPATH C Y (0.058:0.058:0.058) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.088) (0.103:0.103:0.103)) - (IOPATH B X (0.087:0.094:0.100) (0.117:0.120:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.071:0.072:0.072) (0.115:0.117:0.119)) - (IOPATH A2 X (0.076:0.078:0.080) (0.135:0.137:0.139)) - (IOPATH B1 X (0.054:0.054:0.054) (0.084:0.084:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.115) (0.158:0.158:0.158)) - (IOPATH A2 X (0.105:0.105:0.105) (0.145:0.147:0.149)) - (IOPATH B1 X (0.087:0.087:0.087) (0.095:0.098:0.101)) - (IOPATH C1 X (0.083:0.084:0.085) (0.084:0.087:0.090)) - (IOPATH D1 X (0.076:0.076:0.076) (0.070:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.072:0.072:0.072)) - (IOPATH A X (0.147:0.147:0.147) (0.139:0.139:0.139)) - (IOPATH B X (0.150:0.150:0.150) (0.059:0.059:0.059)) - (IOPATH B X (0.149:0.149:0.149) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.027:0.029:0.031) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.093:0.093:0.093) (0.113:0.113:0.113)) - (IOPATH B X (0.087:0.087:0.087) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.110:0.110:0.110) (0.142:0.142:0.142)) - (IOPATH A2 X (0.123:0.124:0.124) (0.171:0.172:0.174)) - (IOPATH A3 X (0.123:0.123:0.123) (0.180:0.182:0.184)) - (IOPATH B1 X (0.085:0.085:0.085) (0.096:0.096:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.093:0.096:0.100)) - (IOPATH A Y (0.116:0.119:0.123) (0.052:0.052:0.052)) - (IOPATH B Y (0.074:0.074:0.075) (0.084:0.086:0.088)) - (IOPATH B Y (0.096:0.098:0.100) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.086) (0.102:0.102:0.102)) - (IOPATH B X (0.088:0.096:0.103) (0.118:0.122:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.089:0.089:0.089) (0.126:0.126:0.126)) - (IOPATH A2 X (0.083:0.083:0.083) (0.114:0.116:0.118)) - (IOPATH B1_N X (0.127:0.130:0.134) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036:0.040:0.043) (0.024:0.023:0.023)) - (IOPATH B Y (0.042:0.045:0.047) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.047:0.047:0.047) (0.024:0.024:0.024)) - (IOPATH B Y (0.049:0.049:0.049) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.123:0.123:0.123) (0.168:0.168:0.168)) - (IOPATH A2 X (0.117:0.122:0.126) (0.159:0.162:0.165)) - (IOPATH B1 X (0.102:0.102:0.103) (0.090:0.093:0.096)) - (IOPATH C1 X (0.098:0.099:0.099) (0.079:0.081:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.155:0.155:0.156) (0.253:0.255:0.257)) - (IOPATH A2 X (0.155:0.155:0.156) (0.245:0.245:0.246)) - (IOPATH A3 X (0.143:0.143:0.143) (0.217:0.218:0.219)) - (IOPATH B1 X (0.137:0.137:0.137) (0.102:0.105:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.189:0.189) (0.137:0.142:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.118:0.118:0.118) (0.130:0.130:0.130)) - (IOPATH B X (0.126:0.126:0.126) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.147:0.147:0.147) (0.052:0.052:0.052)) - (IOPATH B Y (0.128:0.128:0.128) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.067:0.067) (0.057:0.057:0.057)) - (IOPATH B Y (0.069:0.069:0.069) (0.066:0.066:0.066)) - (IOPATH C Y (0.070:0.070:0.071) (0.060:0.060:0.060)) - (IOPATH D Y (0.071:0.073:0.075) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.062:0.062:0.062) (0.040:0.040:0.040)) - (IOPATH B Y (0.066:0.066:0.066) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.091:0.091) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.150:0.150:0.150) (0.049:0.049:0.049)) - (IOPATH B Y (0.131:0.131:0.131) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.052:0.052:0.052)) - (IOPATH B Y (0.075:0.076:0.078) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.112:0.114) (0.034:0.034:0.035)) - (IOPATH B Y (0.097:0.099:0.100) (0.033:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.165:0.165:0.165) (0.047:0.047:0.047)) - (IOPATH B Y (0.154:0.154:0.154) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.107:0.113:0.118)) - (IOPATH B X (0.107:0.107:0.107) (0.111:0.112:0.112)) - (IOPATH C X (0.115:0.115:0.115) (0.126:0.126:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.174:0.176:0.178) (0.145:0.150:0.155)) - (IOPATH B X (0.138:0.138:0.138) (0.140:0.140:0.140)) - (IOPATH C X (0.140:0.140:0.140) (0.148:0.148:0.148)) - (IOPATH D X (0.146:0.146:0.146) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.090:0.090:0.090) (0.038:0.038:0.038)) - (IOPATH A2 Y (0.067:0.070:0.073) (0.029:0.029:0.029)) - (IOPATH B1 Y (0.030:0.030:0.030) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.171:0.171:0.172) (0.142:0.142:0.142)) - (IOPATH B X (0.131:0.132:0.133) (0.131:0.133:0.135)) - (IOPATH C X (0.136:0.138:0.139) (0.145:0.147:0.149)) - (IOPATH D X (0.138:0.141:0.144) (0.152:0.154:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.113:0.113:0.113) (0.161:0.161:0.161)) - (IOPATH A2 X (0.111:0.111:0.111) (0.147:0.148:0.150)) - (IOPATH B1 X (0.091:0.092:0.092) (0.086:0.090:0.093)) - (IOPATH C1 X (0.085:0.086:0.087) (0.072:0.075:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.086:0.086:0.086) (0.131:0.131:0.132)) - (IOPATH B Y (0.064:0.065:0.066) (0.065:0.065:0.065)) - (IOPATH C Y (0.068:0.071:0.074) (0.068:0.068:0.068)) - (IOPATH D Y (0.069:0.072:0.075) (0.070:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.154:0.155:0.155) (0.135:0.135:0.135)) - (IOPATH B X (0.126:0.126:0.126) (0.136:0.137:0.138)) - (IOPATH C X (0.127:0.129:0.131) (0.153:0.154:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.107:0.107:0.107) (0.223:0.223:0.223)) - (IOPATH A2 X (0.107:0.107:0.107) (0.213:0.213:0.213)) - (IOPATH A3 X (0.081:0.083:0.084) (0.178:0.180:0.183)) - (IOPATH B1 X (0.071:0.071:0.071) (0.075:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.128) (0.105:0.110:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.118:0.118:0.118)) - (IOPATH A Y (0.165:0.165:0.165) (0.068:0.068:0.068)) - (IOPATH B Y (0.098:0.098:0.098) (0.113:0.113:0.113)) - (IOPATH B Y (0.150:0.150:0.150) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.091:0.091) (0.033:0.033:0.033)) - (IOPATH B Y (0.073:0.079:0.084) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.109:0.109:0.109) (0.124:0.124:0.124)) - (IOPATH B X (0.121:0.121:0.121) (0.136:0.142:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.047:0.047:0.047)) - (IOPATH B Y (0.133:0.134:0.134) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.148:0.149) (0.121:0.123:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.075:0.075:0.075)) - (IOPATH B Y (0.103:0.103:0.103) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.071:0.071:0.071) (0.052:0.052:0.052)) - (IOPATH B Y (0.078:0.080:0.082) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.114:0.114:0.114)) - (IOPATH A Y (0.161:0.161:0.161) (0.065:0.065:0.065)) - (IOPATH B Y (0.096:0.096:0.096) (0.102:0.108:0.113)) - (IOPATH B Y (0.139:0.144:0.149) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.033:0.033:0.034) (0.011:0.011:0.011)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.128:0.128:0.128) (0.153:0.158:0.163)) - (IOPATH A2 X (0.110:0.111:0.111) (0.162:0.162:0.162)) - (IOPATH B1 X (0.098:0.098:0.098) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.140:0.141) (0.150:0.153:0.156)) - (IOPATH A2 X (0.145:0.153:0.161) (0.167:0.169:0.171)) - (IOPATH A3 X (0.149:0.150:0.150) (0.164:0.165:0.167)) - (IOPATH B1 X (0.128:0.128:0.128) (0.122:0.122:0.122)) - (IOPATH B2 X (0.132:0.132:0.132) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.058:0.059:0.060) (0.040:0.040:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.109:0.117:0.125) (0.135:0.138:0.140)) - (IOPATH A2 X (0.131:0.131:0.131) (0.147:0.148:0.150)) - (IOPATH A3 X (0.122:0.122:0.122) (0.147:0.149:0.150)) - (IOPATH B1 X (0.088:0.088:0.088) (0.101:0.101:0.101)) - (IOPATH B2 X (0.103:0.103:0.103) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.089:0.089:0.089) (0.108:0.108:0.108)) - (IOPATH A Y (0.136:0.136:0.136) (0.056:0.056:0.056)) - (IOPATH B Y (0.084:0.084:0.084) (0.103:0.103:0.103)) - (IOPATH B Y (0.120:0.120:0.120) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.083:0.083:0.084) (0.101:0.102:0.103)) - (IOPATH B X (0.083:0.091:0.099) (0.114:0.117:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.081:0.083:0.084) (0.034:0.035:0.035)) - (IOPATH A2 Y (0.069:0.072:0.075) (0.027:0.028:0.029)) - (IOPATH B1 Y (0.043:0.043:0.043) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.095:0.095:0.095) (0.148:0.148:0.148)) - (IOPATH A2 X (0.083:0.083:0.083) (0.132:0.132:0.132)) - (IOPATH B1 X (0.063:0.064:0.064) (0.114:0.114:0.115)) - (IOPATH B2 X (0.055:0.059:0.063) (0.099:0.100:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.110:0.111:0.112) (0.047:0.047:0.047)) - (IOPATH A2 Y (0.120:0.122:0.124) (0.048:0.048:0.048)) - (IOPATH B1 Y (0.070:0.071:0.071) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.070:0.074) (0.085:0.088:0.091)) - (IOPATH A Y (0.095:0.097:0.099) (0.037:0.039:0.041)) - (IOPATH B Y (0.064:0.065:0.067) (0.071:0.077:0.082)) - (IOPATH B Y (0.069:0.074:0.079) (0.031:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.100:0.100:0.100) (0.144:0.144:0.144)) - (IOPATH A1 X (0.080:0.086:0.093) (0.132:0.135:0.137)) - (IOPATH S X (0.143:0.143:0.143) (0.200:0.200:0.200)) - (IOPATH S X (0.104:0.104:0.104) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.055:0.055:0.056) (0.070:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.090:0.091:0.093) (0.043:0.043:0.043)) - (IOPATH A X (0.075:0.075:0.075) (0.102:0.103:0.105)) - (IOPATH B X (0.073:0.075:0.076) (0.035:0.035:0.035)) - (IOPATH B X (0.077:0.077:0.077) (0.085:0.087:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.105:0.105:0.105) (0.142:0.142:0.142)) - (IOPATH A1 X (0.086:0.088:0.091) (0.132:0.135:0.138)) - (IOPATH S X (0.143:0.143:0.143) (0.200:0.200:0.200)) - (IOPATH S X (0.104:0.104:0.104) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.057:0.057:0.057) (0.071:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.105:0.105:0.105) (0.149:0.149:0.149)) - (IOPATH A1 X (0.118:0.118:0.118) (0.142:0.143:0.144)) - (IOPATH S X (0.156:0.156:0.156) (0.207:0.207:0.207)) - (IOPATH S X (0.117:0.117:0.117) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.134:0.134:0.134) (0.185:0.185:0.185)) - (IOPATH A2 X (0.124:0.124:0.124) (0.165:0.166:0.168)) - (IOPATH B1 X (0.103:0.103:0.104) (0.095:0.095:0.096)) - (IOPATH C1 X (0.100:0.100:0.101) (0.079:0.084:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.101:0.101:0.101)) - (IOPATH A Y (0.115:0.115:0.115) (0.047:0.047:0.047)) - (IOPATH B Y (0.068:0.068:0.068) (0.077:0.080:0.083)) - (IOPATH B Y (0.081:0.084:0.086) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.081:0.085) (0.095:0.097:0.099)) - (IOPATH A Y (0.118:0.120:0.122) (0.046:0.050:0.054)) - (IOPATH B Y (0.076:0.076:0.076) (0.088:0.090:0.091)) - (IOPATH B Y (0.100:0.101:0.102) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.144:0.144:0.144) (0.136:0.136:0.136)) - (IOPATH A2_N X (0.126:0.129:0.133) (0.121:0.127:0.134)) - (IOPATH B1 X (0.116:0.116:0.116) (0.164:0.164:0.164)) - (IOPATH B2 X (0.095:0.095:0.095) (0.147:0.147:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.101:0.101) (0.039:0.039:0.039)) - (IOPATH B Y (0.085:0.085:0.086) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106:0.106:0.106) (0.044:0.044:0.044)) - (IOPATH A X (0.076:0.076:0.076) (0.117:0.117:0.117)) - (IOPATH B X (0.069:0.073:0.077) (0.035:0.035:0.035)) - (IOPATH B X (0.078:0.078:0.078) (0.081:0.084:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.088:0.088:0.088) (0.137:0.137:0.137)) - (IOPATH A1 X (0.086:0.088:0.091) (0.131:0.135:0.138)) - (IOPATH S X (0.142:0.142:0.142) (0.200:0.200:0.200)) - (IOPATH S X (0.103:0.103:0.103) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.055:0.055:0.056) (0.070:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.024:0.024:0.024)) - (IOPATH B Y (0.050:0.050:0.050) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.083:0.083:0.083) (0.134:0.134:0.134)) - (IOPATH A2 X (0.069:0.069:0.069) (0.117:0.117:0.118)) - (IOPATH B1 X (0.054:0.055:0.055) (0.066:0.066:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.132:0.132:0.132)) - (IOPATH B X (0.143:0.143:0.143) (0.152:0.152:0.152)) - (IOPATH C X (0.143:0.143:0.143) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.136:0.136:0.136)) - (IOPATH B X (0.116:0.116:0.116) (0.138:0.139:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.087:0.087:0.087) (0.137:0.137:0.137)) - (IOPATH A2 X (0.068:0.068:0.068) (0.116:0.116:0.117)) - (IOPATH B1 X (0.069:0.069:0.069) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.085:0.085:0.085) (0.117:0.117:0.117)) - (IOPATH B Y (0.044:0.044:0.045) (0.030:0.030:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.105:0.105:0.105) (0.165:0.165:0.165)) - (IOPATH A2 X (0.093:0.093:0.093) (0.142:0.142:0.143)) - (IOPATH B1 X (0.077:0.078:0.078) (0.075:0.077:0.078)) - (IOPATH C1 X (0.095:0.095:0.095) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.102:0.102:0.102) (0.036:0.036:0.036)) - (IOPATH A2 Y (0.110:0.110:0.110) (0.042:0.042:0.042)) - (IOPATH B1 Y (0.064:0.064:0.064) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.063:0.063:0.063)) - (IOPATH B Y (0.082:0.082:0.082) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.081:0.082:0.082) (0.034:0.034:0.034)) - (IOPATH A2 Y (0.063:0.066:0.068) (0.027:0.027:0.027)) - (IOPATH B1 Y (0.038:0.041:0.043) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.112:0.112:0.112)) - (IOPATH B X (0.077:0.082:0.086) (0.108:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.057:0.058:0.058) (0.069:0.069:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.105:0.105:0.105)) - (IOPATH A Y (0.125:0.125:0.125) (0.050:0.050:0.050)) - (IOPATH B Y (0.078:0.078:0.078) (0.096:0.096:0.096)) - (IOPATH B Y (0.104:0.104:0.104) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.083:0.085:0.087) (0.031:0.031:0.031)) - (IOPATH A2 Y (0.086:0.089:0.091) (0.029:0.033:0.037)) - (IOPATH B1_N Y (0.095:0.095:0.095) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.034:0.034:0.034)) - (IOPATH B Y (0.054:0.054:0.054) (0.043:0.043:0.043)) - (IOPATH C Y (0.052:0.054:0.056) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.086:0.086:0.086) (0.137:0.137:0.137)) - (IOPATH A1 X (0.085:0.085:0.085) (0.137:0.137:0.137)) - (IOPATH S X (0.148:0.148:0.148) (0.203:0.203:0.203)) - (IOPATH S X (0.110:0.110:0.110) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.062:0.062:0.063) (0.076:0.076:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.085:0.085) (0.135:0.135:0.135)) - (IOPATH A1 X (0.084:0.084:0.084) (0.136:0.136:0.136)) - (IOPATH S X (0.147:0.147:0.147) (0.202:0.202:0.202)) - (IOPATH S X (0.108:0.108:0.108) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.057:0.057:0.058) (0.072:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.071:0.071:0.071) (0.108:0.108:0.108)) - (IOPATH B Y (0.055:0.055:0.055) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.025:0.025:0.025)) - (IOPATH B Y (0.058:0.058:0.058) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.167:0.167:0.167) (0.069:0.069:0.069)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.069:0.072:0.074) (0.037:0.038:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.043:0.043:0.043)) - (IOPATH B Y (0.103:0.103:0.103) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.177:0.177:0.177) (0.163:0.163:0.163)) - (IOPATH B X (0.137:0.137:0.137) (0.150:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.131:0.131:0.131)) - (IOPATH B X (0.146:0.146:0.146) (0.151:0.151:0.151)) - (IOPATH C X (0.135:0.135:0.135) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.029:0.029:0.029)) - (IOPATH B Y (0.064:0.064:0.065) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.045:0.045:0.045)) - (IOPATH B Y (0.104:0.104:0.104) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.194:0.194:0.194) (0.069:0.069:0.069)) - (IOPATH B Y (0.165:0.168:0.172) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.136:0.137:0.138)) - (IOPATH B X (0.168:0.168:0.168) (0.164:0.166:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.114:0.114:0.114) (0.144:0.144:0.144)) - (IOPATH A2 X (0.100:0.100:0.100) (0.145:0.145:0.145)) - (IOPATH B1 X (0.092:0.092:0.092) (0.111:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.117:0.117) (0.039:0.039:0.039)) - (IOPATH B Y (0.105:0.105:0.105) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.098:0.098:0.098) (0.034:0.034:0.034)) - (IOPATH B Y (0.080:0.080:0.080) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.126:0.126:0.126) (0.217:0.217:0.217)) - (IOPATH A2 X (0.114:0.114:0.114) (0.230:0.230:0.230)) - (IOPATH B1 X (0.105:0.105:0.105) (0.168:0.170:0.172)) - (IOPATH C1 X (0.097:0.097:0.097) (0.149:0.150:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.146:0.146:0.146)) - (IOPATH A2 X (0.105:0.105:0.105) (0.149:0.149:0.149)) - (IOPATH B1 X (0.097:0.097:0.097) (0.114:0.116:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.058:0.058:0.058) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.161:0.161:0.161) (0.154:0.154:0.154)) - (IOPATH B X (0.158:0.158:0.158) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.090:0.090) (0.062:0.062:0.062)) - (IOPATH B Y (0.097:0.098:0.098) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.150:0.150:0.150) (0.197:0.197:0.197)) - (IOPATH A2 X (0.134:0.134:0.134) (0.172:0.172:0.172)) - (IOPATH B1 X (0.131:0.131:0.132) (0.115:0.115:0.115)) - (IOPATH C1 X (0.143:0.143:0.143) (0.102:0.104:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.115) (0.145:0.145:0.145)) - (IOPATH A2 X (0.101:0.101:0.101) (0.146:0.146:0.146)) - (IOPATH B1 X (0.089:0.090:0.090) (0.103:0.107:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.051:0.051:0.051)) - (IOPATH B Y (0.123:0.123:0.123) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.062:0.064) (0.051:0.051:0.051)) - (IOPATH B Y (0.066:0.066:0.067) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.151:0.151:0.152) (0.194:0.197:0.199)) - (IOPATH A2 X (0.150:0.151:0.151) (0.187:0.188:0.188)) - (IOPATH B1 X (0.157:0.157:0.157) (0.118:0.120:0.122)) - (IOPATH C1 X (0.130:0.130:0.131) (0.097:0.101:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.115) (0.145:0.145:0.145)) - (IOPATH A2 X (0.102:0.102:0.102) (0.147:0.147:0.147)) - (IOPATH B1 X (0.091:0.091:0.091) (0.105:0.108:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.141:0.141:0.141) (0.055:0.055:0.055)) - (IOPATH A2 Y (0.132:0.136:0.140) (0.057:0.057:0.057)) - (IOPATH B1 Y (0.096:0.096:0.096) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.146:0.146:0.146)) - (IOPATH A2 X (0.103:0.103:0.103) (0.147:0.147:0.147)) - (IOPATH B1 X (0.092:0.094:0.096) (0.109:0.111:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.092:0.092) (0.071:0.071:0.071)) - (IOPATH B Y (0.094:0.094:0.095) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.096:0.096:0.096) (0.140:0.140:0.140)) - (IOPATH A2 X (0.078:0.079:0.079) (0.129:0.130:0.131)) - (IOPATH B1 X (0.072:0.072:0.072) (0.080:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.117:0.117:0.117) (0.147:0.147:0.147)) - (IOPATH A2 X (0.106:0.106:0.106) (0.150:0.150:0.150)) - (IOPATH B1 X (0.083:0.083:0.083) (0.100:0.101:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.135:0.135:0.135) (0.155:0.155:0.155)) - (IOPATH A2 X (0.127:0.127:0.127) (0.157:0.157:0.157)) - (IOPATH B1 X (0.136:0.136:0.136) (0.134:0.136:0.137)) - (IOPATH B2 X (0.119:0.119:0.120) (0.135:0.138:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.157) (0.130:0.132:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.094:0.094:0.094) (0.145:0.145:0.145)) - (IOPATH A2 X (0.071:0.071:0.071) (0.123:0.123:0.123)) - (IOPATH B1 X (0.078:0.078:0.079) (0.125:0.126:0.126)) - (IOPATH B2 X (0.072:0.072:0.072) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.136:0.136:0.136) (0.156:0.156:0.156)) - (IOPATH A2 X (0.128:0.128:0.128) (0.157:0.157:0.157)) - (IOPATH B1 X (0.125:0.125:0.125) (0.127:0.130:0.133)) - (IOPATH B2 X (0.113:0.113:0.114) (0.131:0.131:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.065:0.065:0.065) (0.095:0.095:0.095)) - (IOPATH B Y (0.053:0.053:0.053) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.159:0.159:0.159) (0.258:0.258:0.258)) - (IOPATH A2 X (0.146:0.147:0.147) (0.241:0.242:0.244)) - (IOPATH A3 X (0.147:0.147:0.147) (0.215:0.216:0.218)) - (IOPATH B1 X (0.115:0.116:0.117) (0.095:0.095:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.036:0.036:0.036)) - (IOPATH B Y (0.056:0.057:0.057) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.166:0.167:0.167) (0.166:0.167:0.167)) - (IOPATH A2 X (0.186:0.186:0.186) (0.182:0.184:0.186)) - (IOPATH A3 X (0.163:0.163:0.163) (0.167:0.170:0.172)) - (IOPATH B1 X (0.131:0.131:0.131) (0.109:0.109:0.109)) - (IOPATH B2 X (0.151:0.151:0.151) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.092:0.092:0.092) (0.136:0.136:0.136)) - (IOPATH A2 X (0.075:0.075:0.075) (0.124:0.125:0.125)) - (IOPATH B1 X (0.074:0.074:0.074) (0.079:0.081:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.119:0.119:0.119) (0.151:0.151:0.151)) - (IOPATH A2 X (0.108:0.108:0.108) (0.153:0.153:0.153)) - (IOPATH B1 X (0.083:0.084:0.084) (0.103:0.104:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.095:0.095:0.095) (0.138:0.138:0.138)) - (IOPATH A2 X (0.077:0.078:0.078) (0.128:0.129:0.130)) - (IOPATH B1 X (0.070:0.071:0.071) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.122:0.122:0.122) (0.158:0.158:0.158)) - (IOPATH A2 X (0.102:0.102:0.102) (0.149:0.149:0.149)) - (IOPATH B1 X (0.080:0.080:0.080) (0.099:0.101:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.079:0.079:0.079) (0.182:0.182:0.182)) - (IOPATH A2 X (0.088:0.088:0.088) (0.205:0.205:0.205)) - (IOPATH B1 X (0.069:0.069:0.069) (0.142:0.142:0.142)) - (IOPATH C1 X (0.060:0.060:0.060) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.091:0.091:0.091) (0.036:0.036:0.036)) - (IOPATH A2 Y (0.068:0.069:0.071) (0.024:0.024:0.024)) - (IOPATH B1 Y (0.040:0.040:0.040) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.139:0.140:0.140) (0.130:0.130:0.130)) - (IOPATH B X (0.094:0.095:0.095) (0.114:0.116:0.117)) - (IOPATH C X (0.100:0.103:0.106) (0.123:0.125:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.127:0.127:0.127) (0.152:0.152:0.152)) - (IOPATH A2 X (0.113:0.113:0.113) (0.148:0.148:0.148)) - (IOPATH B1 X (0.116:0.116:0.116) (0.123:0.126:0.129)) - (IOPATH B2 X (0.106:0.106:0.106) (0.127:0.128:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.032:0.032:0.032)) - (IOPATH B Y (0.050:0.052:0.054) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.098:0.098:0.098) (0.119:0.119:0.119)) - (IOPATH B X (0.112:0.112:0.112) (0.127:0.129:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.115) (0.142:0.142:0.142)) - (IOPATH A2 X (0.101:0.101:0.101) (0.139:0.139:0.139)) - (IOPATH B1 X (0.092:0.092:0.092) (0.113:0.114:0.115)) - (IOPATH B2 X (0.097:0.097:0.097) (0.121:0.121:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.115:0.115:0.116) (0.144:0.145:0.146)) - (IOPATH B Y (0.085:0.085:0.085) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.052:0.052:0.052)) - (IOPATH B Y (0.068:0.069:0.070) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.093:0.093:0.094) (0.153:0.155:0.157)) - (IOPATH A2 X (0.086:0.087:0.087) (0.143:0.144:0.145)) - (IOPATH B1 X (0.079:0.080:0.080) (0.130:0.131:0.131)) - (IOPATH B2 X (0.080:0.080:0.080) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.132:0.132:0.132)) - (IOPATH B X (0.131:0.132:0.132) (0.145:0.145:0.146)) - (IOPATH C X (0.125:0.125:0.125) (0.145:0.145:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.118:0.118:0.118) (0.155:0.155:0.155)) - (IOPATH A2 X (0.099:0.099:0.099) (0.146:0.146:0.146)) - (IOPATH B1 X (0.083:0.083:0.083) (0.104:0.104:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.039:0.039:0.039)) - (IOPATH B Y (0.058:0.058:0.058) (0.048:0.048:0.048)) - (IOPATH C Y (0.058:0.058:0.058) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.085:0.085:0.086) (0.135:0.136:0.136)) - (IOPATH B Y (0.067:0.067:0.067) (0.055:0.055:0.055)) - (IOPATH C Y (0.075:0.075:0.075) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.112:0.112:0.113) (0.113:0.116:0.119)) - (IOPATH B X (0.105:0.105:0.106) (0.124:0.124:0.125)) - (IOPATH C X (0.111:0.112:0.114) (0.139:0.140:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.124:0.124:0.124) (0.188:0.188:0.188)) - (IOPATH A2 X (0.105:0.105:0.105) (0.162:0.162:0.162)) - (IOPATH B1 X (0.104:0.104:0.104) (0.160:0.161:0.161)) - (IOPATH B2 X (0.116:0.116:0.116) (0.152:0.152:0.152)) - (IOPATH C1 X (0.089:0.089:0.090) (0.087:0.088:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.135:0.136:0.137) (0.148:0.150:0.153)) - (IOPATH A2 X (0.142:0.142:0.142) (0.162:0.163:0.164)) - (IOPATH A3 X (0.143:0.143:0.144) (0.156:0.159:0.162)) - (IOPATH B1 X (0.115:0.115:0.115) (0.104:0.104:0.104)) - (IOPATH B2 X (0.132:0.132:0.132) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.134:0.134:0.134) (0.165:0.165:0.165)) - (IOPATH A2 X (0.120:0.120:0.120) (0.160:0.160:0.160)) - (IOPATH B1 X (0.097:0.097:0.097) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.125:0.125:0.125) (0.150:0.150:0.150)) - (IOPATH A2 X (0.115:0.115:0.115) (0.150:0.150:0.150)) - (IOPATH B1 X (0.116:0.116:0.116) (0.128:0.129:0.129)) - (IOPATH B2 X (0.112:0.113:0.113) (0.136:0.137:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.097:0.097:0.097) (0.149:0.149:0.149)) - (IOPATH A2 X (0.076:0.076:0.077) (0.131:0.132:0.134)) - (IOPATH B1 X (0.079:0.080:0.080) (0.129:0.130:0.132)) - (IOPATH B2 X (0.068:0.069:0.069) (0.116:0.117:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.104:0.104:0.105) (0.161:0.164:0.166)) - (IOPATH A2 X (0.103:0.103:0.104) (0.154:0.154:0.155)) - (IOPATH B1 X (0.084:0.085:0.085) (0.082:0.084:0.087)) - (IOPATH C1 X (0.076:0.076:0.077) (0.069:0.069:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.122:0.122:0.122) (0.169:0.169:0.169)) - (IOPATH A2 X (0.100:0.100:0.100) (0.143:0.144:0.145)) - (IOPATH B1 X (0.088:0.089:0.089) (0.096:0.100:0.103)) - (IOPATH C1 X (0.090:0.090:0.091) (0.096:0.097:0.097)) - (IOPATH D1 X (0.076:0.076:0.077) (0.069:0.071:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.102:0.102:0.102) (0.045:0.045:0.045)) - (IOPATH A2 Y (0.092:0.092:0.092) (0.035:0.035:0.035)) - (IOPATH B1 Y (0.051:0.051:0.051) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.031:0.031:0.031)) - (IOPATH B Y (0.045:0.046:0.047) (0.030:0.032:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.123:0.126:0.130) (0.139:0.141:0.144)) - (IOPATH A2 X (0.114:0.114:0.114) (0.143:0.146:0.148)) - (IOPATH A3 X (0.118:0.118:0.118) (0.142:0.146:0.150)) - (IOPATH B1 X (0.093:0.093:0.093) (0.093:0.093:0.093)) - (IOPATH B2 X (0.108:0.108:0.108) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.110:0.110:0.110) (0.223:0.223:0.223)) - (IOPATH A2 X (0.105:0.105:0.105) (0.210:0.210:0.210)) - (IOPATH A3 X (0.086:0.086:0.087) (0.181:0.182:0.182)) - (IOPATH B1 X (0.074:0.074:0.074) (0.077:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.111:0.111:0.111) (0.150:0.150:0.150)) - (IOPATH A2 X (0.097:0.097:0.097) (0.144:0.144:0.144)) - (IOPATH B1 X (0.072:0.073:0.073) (0.093:0.097:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.036:0.036:0.036)) - (IOPATH B Y (0.059:0.059:0.059) (0.045:0.045:0.045)) - (IOPATH C Y (0.056:0.057:0.057) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.115) (0.134:0.135:0.136)) - (IOPATH A2 X (0.119:0.119:0.120) (0.147:0.150:0.153)) - (IOPATH A3 X (0.120:0.121:0.122) (0.148:0.151:0.154)) - (IOPATH B1 X (0.094:0.094:0.094) (0.094:0.094:0.094)) - (IOPATH B2 X (0.110:0.110:0.110) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.112:0.112:0.112) (0.150:0.150:0.150)) - (IOPATH A2 X (0.093:0.093:0.093) (0.140:0.140:0.140)) - (IOPATH B1 X (0.083:0.083:0.083) (0.098:0.100:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.117:0.117:0.117) (0.144:0.144:0.144)) - (IOPATH A2 X (0.103:0.103:0.103) (0.140:0.140:0.140)) - (IOPATH B1 X (0.102:0.102:0.102) (0.114:0.115:0.116)) - (IOPATH B2 X (0.117:0.117:0.117) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.122:0.122:0.122) (0.147:0.147:0.147)) - (IOPATH A2 X (0.110:0.110:0.110) (0.146:0.146:0.146)) - (IOPATH B1 X (0.104:0.104:0.104) (0.119:0.120:0.120)) - (IOPATH B2 X (0.102:0.105:0.107) (0.130:0.131:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.059:0.059:0.059)) - (IOPATH B Y (0.090:0.090:0.090) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.182) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.091:0.091) (0.028:0.028:0.028)) - (IOPATH B Y (0.073:0.073:0.073) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.097:0.097:0.097) (0.031:0.031:0.031)) - (IOPATH B Y (0.079:0.079:0.079) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.028:0.028:0.028)) - (IOPATH B Y (0.071:0.071:0.071) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.027:0.027:0.027)) - (IOPATH B Y (0.068:0.068:0.068) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.028:0.028:0.028)) - (IOPATH B Y (0.070:0.070:0.070) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.027:0.027:0.027)) - (IOPATH B Y (0.068:0.068:0.068) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.170:0.170:0.171) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.027:0.027:0.027)) - (IOPATH B Y (0.065:0.065:0.065) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.028:0.028:0.028)) - (IOPATH B Y (0.066:0.066:0.066) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.029:0.029:0.029)) - (IOPATH B Y (0.069:0.069:0.069) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.172) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.029:0.029:0.029)) - (IOPATH B Y (0.068:0.068:0.068) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.027:0.027:0.027)) - (IOPATH B Y (0.065:0.065:0.065) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.029:0.029:0.029)) - (IOPATH B Y (0.069:0.069:0.069) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.027:0.027:0.027)) - (IOPATH B Y (0.065:0.065:0.065) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.183:0.183) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.028:0.028:0.028)) - (IOPATH B Y (0.070:0.070:0.070) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.030:0.030:0.030)) - (IOPATH B Y (0.073:0.073:0.073) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.029:0.029:0.029)) - (IOPATH B Y (0.071:0.071:0.071) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.194) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.026:0.026:0.026)) - (IOPATH B Y (0.068:0.068:0.068) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.033:0.033:0.033)) - (IOPATH B Y (0.082:0.082:0.082) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.027:0.027:0.027)) - (IOPATH B Y (0.070:0.070:0.070) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.027:0.027:0.027)) - (IOPATH B Y (0.070:0.070:0.070) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.026:0.026:0.026)) - (IOPATH B Y (0.064:0.064:0.064) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.092:0.092) (0.030:0.030:0.030)) - (IOPATH B Y (0.071:0.071:0.071) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.027:0.027:0.027)) - (IOPATH B Y (0.065:0.065:0.065) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.232:0.232:0.232) (0.259:0.259:0.259)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.025:-0.026:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.232:0.232:0.232) (0.258:0.258:0.258)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.212:0.212:0.212)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131:-0.131:-0.131)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.227:0.227:0.227) (0.255:0.255:0.255)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.230:0.230:0.230) (0.258:0.258:0.258)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.208:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.027:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.068:0.071:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.300:0.300:0.300) (0.305:0.305:0.305)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.036:0.037:0.038)) - (SETUP (negedge D) (posedge CLK) (0.066:0.068:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.276:0.276:0.276) (0.290:0.290:0.290)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.208:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.282:0.282:0.282) (0.294:0.294:0.294)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.208:0.208)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.023:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.067:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.290:0.290:0.290) (0.299:0.299:0.299)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.022:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.065:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.265:0.265:0.265) (0.283:0.283:0.283)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.022:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.066:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.243:0.243:0.243) (0.267:0.267:0.267)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.281:0.281:0.281) (0.293:0.293:0.293)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.208:0.208)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.024:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.066:0.068:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.242:0.242:0.242) (0.266:0.266:0.266)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.021:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.026:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.035:0.037:0.040)) - (SETUP (negedge D) (posedge CLK) (0.067:0.070:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.264:0.264:0.264) (0.282:0.282:0.282)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.208:0.208)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.277:0.277:0.277) (0.291:0.291:0.291)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.307:0.307:0.307) (0.310:0.310:0.310)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.067:0.067:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.218:0.218:0.218) (0.247:0.247:0.247)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.061:0.061:0.061)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.254:0.254:0.254) (0.276:0.276:0.276)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.242:0.242:0.242) (0.267:0.267:0.267)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.213:0.213:0.213)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130:-0.130:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.259:0.259:0.259) (0.279:0.279:0.279)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.249:0.249:0.249) (0.271:0.271:0.271)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.258:0.258:0.258) (0.279:0.279:0.279)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.208:0.208)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.257:0.257:0.257) (0.278:0.278:0.278)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.211:0.211:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.260:0.260:0.260) (0.280:0.280:0.280)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.105:0.105:0.105) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.093:0.093:0.093) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.078:0.078:0.078) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.057:0.061:0.066) (0.004:-0.002:-0.009)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.055:0.055:0.055) (0.006:0.006:0.006)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.033:0.037:0.041) (0.071:0.075:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.030:0.031:0.032) (0.054:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.075:0.075:0.075) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.036:0.040:0.044) (0.074:0.079:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.028:0.029:0.030) (0.052:0.053:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.078:0.078:0.078) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.039:0.040:0.041) (0.077:0.079:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (-0.006:-0.006:-0.006)) - (IOPATH TE_B Z (0.029:0.030:0.030) (0.054:0.054:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.078:0.078:0.078) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.031:0.032:0.033) (0.056:0.057:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.026:0.026:0.026) (-0.015:-0.015:-0.015)) - (IOPATH TE_B Z (0.038:0.040:0.041) (0.076:0.078:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.025:0.025:0.025) (-0.014:-0.014:-0.014)) - (IOPATH TE_B Z (0.029:0.029:0.030) (0.053:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.025:0.025:0.025) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.111:0.111:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.014:0.014:0.014) (0.005:0.005:0.005)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.012:-0.012:-0.012)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.010:-0.010:-0.010)) - (IOPATH TE_B Z (0.029:0.030:0.032) (0.054:0.055:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.079:0.079:0.079) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.010:-0.010:-0.010)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.031:0.033:0.034) (0.056:0.058:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.032:0.033:0.035) (0.057:0.059:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.024:0.024:0.024) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.012:0.012:0.012) (0.001:0.001:0.001)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.010:-0.010:-0.010)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.031:0.032:0.032) (0.056:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.073:0.073:0.073) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.010:-0.010:-0.010)) - (IOPATH TE_B Z (0.034:0.036:0.037) (0.072:0.074:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.027:0.029:0.030) (0.052:0.053:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.073:0.073:0.073) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.037:0.038:0.039) (0.075:0.076:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.027:0.029:0.030) (0.052:0.053:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.022:0.022:0.022) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.038:0.038:0.039) (0.076:0.076:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.028:0.029:0.030) (0.052:0.054:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.025:0.025:0.025) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.074) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.114:0.114) (0.201:0.201:0.201)) - (IOPATH B X (0.096:0.096:0.096) (0.178:0.179:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.058:0.058:0.058) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.005:-0.005:-0.005) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.039:0.039:0.039) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.001:-0.001:-0.001) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.031:0.031:0.032) (0.055:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.052:0.052:0.052) (-0.006:-0.006:-0.006)) - ) - ) - ) -) diff --git a/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ss.sdf b/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ss.sdf deleted file mode 100644 index 7e8df661..00000000 --- a/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ss.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:45 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.228:0.228:0.228) (0.133:0.133:0.133)) - (INTERCONNECT dco _349_.A (0.229:0.229:0.229) (0.133:0.133:0.133)) - (INTERCONNECT dco _358_.A (0.228:0.228:0.228) (0.133:0.133:0.133)) - (INTERCONNECT dco _361_.B (0.229:0.229:0.229) (0.134:0.134:0.134)) - (INTERCONNECT dco _374_.B1 (0.229:0.229:0.229) (0.133:0.133:0.133)) - (INTERCONNECT dco _379_.A (0.229:0.229:0.229) (0.134:0.134:0.134)) - (INTERCONNECT dco _447_.A (0.229:0.229:0.229) (0.133:0.133:0.133)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.229:0.229:0.229) (0.133:0.133:0.133)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.229:0.229:0.229) (0.134:0.134:0.134)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.229:0.229:0.229) (0.134:0.134:0.134)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.229:0.229:0.229) (0.134:0.134:0.134)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.228:0.228:0.228) (0.132:0.132:0.132)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.229:0.229:0.229) (0.133:0.133:0.133)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.229:0.229:0.229) (0.133:0.133:0.133)) - (INTERCONNECT div[0] _257_.A_N (0.082:0.082:0.082) (0.048:0.048:0.048)) - (INTERCONNECT div[0] _287_.B1 (0.082:0.082:0.082) (0.048:0.048:0.048)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.082:0.082:0.082) (0.048:0.048:0.048)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.082:0.082:0.082) (0.048:0.048:0.048)) - (INTERCONNECT div[1] _252_.A (0.072:0.072:0.072) (0.042:0.042:0.042)) - (INTERCONNECT div[1] _258_.A (0.072:0.072:0.072) (0.042:0.042:0.042)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.072:0.072:0.072) (0.042:0.042:0.042)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.072:0.072:0.072) (0.042:0.042:0.042)) - (INTERCONNECT div[2] _244_.A (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT div[3] _243_.A (0.107:0.107:0.107) (0.061:0.061:0.061)) - (INTERCONNECT div[3] _260_.A1 (0.107:0.107:0.107) (0.062:0.062:0.062)) - (INTERCONNECT div[3] _267_.A1 (0.107:0.107:0.107) (0.062:0.062:0.062)) - (INTERCONNECT div[3] _289_.A1 (0.107:0.107:0.107) (0.061:0.061:0.061)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.107:0.107:0.107) (0.061:0.061:0.061)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.107:0.107:0.107) (0.062:0.062:0.062)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.107:0.107:0.107) (0.061:0.061:0.061)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.107:0.107:0.107) (0.062:0.062:0.062)) - (INTERCONNECT div[4] _266_.A (0.056:0.056:0.056) (0.032:0.032:0.032)) - (INTERCONNECT div[4] _270_.A1 (0.056:0.056:0.056) (0.032:0.032:0.032)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.056:0.056:0.056) (0.032:0.032:0.032)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.055:0.055:0.055) (0.032:0.032:0.032)) - (INTERCONNECT enable _425_.A (0.052:0.052:0.052) (0.030:0.030:0.030)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.052:0.052:0.052) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[0] _350_.A (0.048:0.048:0.048) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.048:0.048:0.048) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.036:0.036:0.036) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.036:0.036:0.036) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.036:0.036:0.036) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.036:0.036:0.036) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.041:0.041:0.041) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.041:0.041:0.041) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.046:0.046:0.046) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.046:0.046:0.046) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.052:0.052:0.052) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.052:0.052:0.052) (0.031:0.031:0.031)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.069:0.069:0.069) (0.041:0.041:0.041)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.070:0.070:0.070) (0.041:0.041:0.041)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.069:0.069:0.069) (0.041:0.041:0.041)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.069:0.069:0.069) (0.041:0.041:0.041)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.025:0.025:0.025) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.025:0.025:0.025) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.069:0.069:0.069) (0.041:0.041:0.041)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.069:0.069:0.069) (0.041:0.041:0.041)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.069:0.069:0.069) (0.041:0.041:0.041)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.069:0.069:0.069) (0.041:0.041:0.041)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.054:0.054:0.054) (0.032:0.032:0.032)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.054:0.054:0.054) (0.032:0.032:0.032)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.038:0.038:0.038) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.038:0.038:0.038) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.044:0.044:0.044) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.044:0.044:0.044) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.058:0.058:0.058) (0.034:0.034:0.034)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.058:0.058:0.058) (0.034:0.034:0.034)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.083:0.083:0.083) (0.049:0.049:0.049)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.083:0.083:0.083) (0.049:0.049:0.049)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.029:0.029:0.029) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.029:0.029:0.029) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.033:0.033:0.033) (0.019:0.019:0.019)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.033:0.033:0.033) (0.019:0.019:0.019)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.032:0.032:0.032) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.032:0.032:0.032) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.089:0.089:0.089) (0.053:0.053:0.053)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.089:0.089:0.089) (0.053:0.053:0.053)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.082:0.082:0.082) (0.049:0.049:0.049)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.082:0.082:0.082) (0.049:0.049:0.049)) - (INTERCONNECT osc _470_.D (0.022:0.022:0.022) (0.013:0.013:0.013)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.022:0.022:0.022) (0.013:0.013:0.013)) - (INTERCONNECT resetb _425_.B (0.049:0.049:0.049) (0.028:0.028:0.028)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.049:0.049:0.049) (0.028:0.028:0.028)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _219_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _221_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _223_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _226_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _343_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _345_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _332_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _334_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _338_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _341_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _342_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _330_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _311_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _394_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _405_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _416_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _420_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _423_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _353_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _355_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _362_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _366_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _397_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _236_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _251_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _287_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _236_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _245_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _251_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _264_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _249_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _260_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _267_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.Y _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _249_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _250_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271_.X _272_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _285_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _295_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _296_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _292_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _294_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _305_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.X _307_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _319_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _295_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _317_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _366_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _376_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _393_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _278_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _277_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _279_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _374_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _408_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _412_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _420_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.Y _277_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _279_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _302_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _320_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.Y _418_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _278_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _385_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _361_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _367_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _393_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _306_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _401_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _283_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _385_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _412_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _303_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _319_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _311_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _314_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _317_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _323_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _326_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _316_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _301_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _377_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _382_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _393_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _402_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _406_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _300_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _370_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _388_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _415_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _418_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _301_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _381_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _402_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _412_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _322_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _307_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _312_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _316_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _398_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _342_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _363_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _385_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _387_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _409_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _417_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _421_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _350_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _352_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _362_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _390_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _429_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _438_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _360_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _369_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _373_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _389_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _376_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _395_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _356_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _365_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _371_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _359_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _368_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _380_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _387_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _388_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _360_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _377_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _391_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _403_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _368_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _408_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _367_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _405_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _420_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _368_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _372_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _387_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _388_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _413_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _407_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _371_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _397_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _380_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _414_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _373_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _383_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _396_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _375_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _377_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _391_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _400_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _402_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _412_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _383_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _399_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _411_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _423_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _382_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _386_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _400_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _405_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _406_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _408_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _414_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _392_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _404_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _410_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _419_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _422_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _427_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _428_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _403_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _408_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _411_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _414_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _406_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _418_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _424_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.X _419_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _426_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _434_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _443_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _452_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _453_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _454_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.X _430_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _427_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _428_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _431_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.X _432_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _433_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _431_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _432_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _433_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _435_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _436_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _437_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _436_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _437_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _439_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _440_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _441_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _442_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _440_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _441_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _442_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _444_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _445_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _446_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _445_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _446_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _448_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _449_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _450_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _451_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _449_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _450_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _451_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _452_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _453_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _454_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _233_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _235_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _330_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _221_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _231_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _234_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _330_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _219_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _237_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _238_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _269_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _332_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _333_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _336_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _284_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _329_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _276_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _319_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _370_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _275_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _281_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _354_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _355_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _281_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _314_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _415_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _278_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _280_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _353_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _357_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _401_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _214_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _230_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _246_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _263_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.234:0.234:0.234) (0.259:0.259:0.259)) - (IOPATH A Y (0.266:0.266:0.266) (0.166:0.166:0.166)) - (IOPATH B Y (0.213:0.213:0.213) (0.249:0.249:0.249)) - (IOPATH B Y (0.216:0.216:0.216) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.349:0.377:0.404) (0.345:0.350:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.434:0.434:0.434) (0.384:0.384:0.384)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.337:0.337:0.337) (0.570:0.570:0.570)) - (IOPATH A1 X (0.306:0.306:0.306) (0.561:0.561:0.561)) - (IOPATH S X (0.458:0.458:0.458) (0.733:0.733:0.733)) - (IOPATH S X (0.351:0.351:0.351) (0.573:0.573:0.573)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.161:0.163:0.164) (0.226:0.227:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.334:0.334:0.334) (0.572:0.572:0.572)) - (IOPATH A1 X (0.307:0.307:0.307) (0.563:0.563:0.563)) - (IOPATH S X (0.467:0.467:0.467) (0.744:0.744:0.744)) - (IOPATH S X (0.361:0.361:0.361) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.166:0.167) (0.229:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.325:0.325:0.325) (0.567:0.567:0.567)) - (IOPATH A1 X (0.309:0.309:0.309) (0.565:0.565:0.565)) - (IOPATH S X (0.467:0.467:0.467) (0.744:0.744:0.744)) - (IOPATH S X (0.361:0.361:0.361) (0.582:0.582:0.582)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.168:0.170) (0.231:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.347:0.347:0.347) (0.576:0.576:0.576)) - (IOPATH A1 X (0.292:0.292:0.292) (0.551:0.551:0.551)) - (IOPATH S X (0.461:0.461:0.461) (0.737:0.737:0.737)) - (IOPATH S X (0.355:0.355:0.355) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.166:0.167) (0.229:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.387:0.387:0.387) (0.369:0.369:0.369)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.380:0.380:0.380) (0.587:0.587:0.587)) - (IOPATH A1 X (0.321:0.321:0.321) (0.576:0.576:0.576)) - (IOPATH S X (0.476:0.476:0.476) (0.755:0.755:0.755)) - (IOPATH S X (0.371:0.371:0.371) (0.593:0.593:0.593)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.178:0.180) (0.240:0.240:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.484:0.484:0.484) (0.430:0.430:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.289:0.289:0.289) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.299:0.299:0.299) (0.449:0.449:0.449)) - (IOPATH B Y (0.131:0.131:0.131) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.403:0.403:0.403) (0.460:0.460:0.460)) - (IOPATH B X (0.439:0.439:0.439) (0.529:0.529:0.529)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.494:0.494:0.494) (0.300:0.300:0.300)) - (IOPATH A X (0.488:0.488:0.488) (0.425:0.425:0.425)) - (IOPATH B X (0.421:0.421:0.421) (0.236:0.236:0.236)) - (IOPATH B X (0.449:0.449:0.449) (0.347:0.347:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.353:0.353:0.353) (0.423:0.423:0.423)) - (IOPATH B X (0.341:0.341:0.341) (0.458:0.458:0.458)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.389:0.389:0.389) (0.446:0.446:0.446)) - (IOPATH B X (0.338:0.338:0.338) (0.455:0.455:0.455)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.359:0.359:0.359) (0.649:0.650:0.650)) - (IOPATH A2 X (0.424:0.428:0.433) (0.693:0.710:0.726)) - (IOPATH B1 X (0.235:0.235:0.235) (0.640:0.640:0.641)) - (IOPATH C1 X (0.233:0.233:0.234) (0.574:0.575:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.269:0.269:0.269) (0.149:0.149:0.149)) - (IOPATH B Y (0.213:0.213:0.213) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.150:0.150:0.150)) - (IOPATH B Y (0.136:0.136:0.136) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.265:0.265:0.265) (0.367:0.370:0.374)) - (IOPATH B Y (0.115:0.119:0.123) (0.125:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.396:0.397:0.397) (0.415:0.419:0.423)) - (IOPATH B X (0.403:0.405:0.408) (0.459:0.459:0.460)) - (IOPATH C X (0.411:0.412:0.412) (0.472:0.477:0.482)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.298:0.302:0.306) (0.149:0.149:0.150)) - (IOPATH A2 Y (0.319:0.319:0.320) (0.151:0.153:0.155)) - (IOPATH B1 Y (0.253:0.258:0.262) (0.083:0.084:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.258:0.260:0.261) (0.109:0.110:0.110)) - (IOPATH B Y (0.208:0.217:0.227) (0.147:0.149:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.310:0.311:0.312) (0.193:0.193:0.193)) - (IOPATH A2 Y (0.320:0.338:0.357) (0.253:0.257:0.261)) - (IOPATH B1 Y (0.257:0.258:0.259) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.225:0.225:0.225) (0.128:0.128:0.128)) - (IOPATH B Y (0.176:0.176:0.176) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.229:0.232:0.235) (0.117:0.117:0.118)) - (IOPATH B Y (0.210:0.210:0.211) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.297:0.298:0.300) (0.263:0.279:0.295)) - (IOPATH A Y (0.312:0.326:0.341) (0.230:0.232:0.233)) - (IOPATH B Y (0.278:0.278:0.278) (0.258:0.260:0.262)) - (IOPATH B Y (0.270:0.271:0.273) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.324:0.324:0.324) (0.737:0.737:0.737)) - (IOPATH A2 X (0.345:0.345:0.345) (0.723:0.725:0.726)) - (IOPATH A3 X (0.368:0.370:0.371) (0.640:0.649:0.658)) - (IOPATH B1 X (0.311:0.311:0.311) (0.387:0.387:0.387)) - (IOPATH B2 X (0.299:0.334:0.369) (0.392:0.396:0.400)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.097:0.097:0.097)) - (IOPATH B Y (0.135:0.139:0.144) (0.125:0.153:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.261:0.261:0.261) (0.268:0.269:0.270)) - (IOPATH A Y (0.274:0.275:0.276) (0.192:0.192:0.192)) - (IOPATH B Y (0.305:0.309:0.313) (0.255:0.273:0.292)) - (IOPATH B Y (0.221:0.238:0.255) (0.212:0.216:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073:0.073:0.073) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.193:0.220:0.248) (0.361:0.366:0.371)) - (IOPATH B Y (0.087:0.087:0.087) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.173:0.173:0.173)) - (IOPATH B Y (0.139:0.139:0.139) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.305:0.305:0.305) (0.186:0.186:0.186)) - (IOPATH B Y (0.248:0.248:0.248) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.087:0.093) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.231:0.231:0.231) (0.437:0.437:0.437)) - (IOPATH B Y (0.120:0.127:0.135) (0.171:0.171:0.171)) - (IOPATH C Y (0.129:0.129:0.129) (0.172:0.172:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.356:0.356:0.356)) - (IOPATH B X (0.277:0.299:0.321) (0.407:0.412:0.417)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.236:0.236:0.237) (0.354:0.359:0.365)) - (IOPATH A2 X (0.251:0.256:0.261) (0.409:0.414:0.418)) - (IOPATH B1 X (0.164:0.164:0.164) (0.350:0.350:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.482:0.482:0.482) (0.481:0.481:0.481)) - (IOPATH A2 X (0.445:0.445:0.445) (0.441:0.444:0.447)) - (IOPATH B1 X (0.446:0.446:0.447) (0.283:0.292:0.301)) - (IOPATH C1 X (0.416:0.419:0.422) (0.255:0.258:0.262)) - (IOPATH D1 X (0.383:0.385:0.386) (0.223:0.224:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.417:0.417:0.417) (0.256:0.256:0.256)) - (IOPATH A X (0.410:0.410:0.410) (0.398:0.398:0.398)) - (IOPATH B X (0.361:0.361:0.361) (0.215:0.215:0.215)) - (IOPATH B X (0.392:0.392:0.392) (0.334:0.334:0.334)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.064:0.067:0.071) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.391:0.391:0.391)) - (IOPATH B X (0.292:0.292:0.292) (0.417:0.417:0.417)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.370:0.370:0.371) (0.418:0.418:0.418)) - (IOPATH A2 X (0.418:0.419:0.419) (0.502:0.506:0.510)) - (IOPATH A3 X (0.422:0.424:0.426) (0.525:0.525:0.526)) - (IOPATH B1 X (0.242:0.242:0.242) (0.450:0.450:0.451)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.296:0.300:0.304) (0.254:0.268:0.282)) - (IOPATH A Y (0.273:0.285:0.298) (0.226:0.230:0.234)) - (IOPATH B Y (0.230:0.233:0.236) (0.256:0.257:0.258)) - (IOPATH B Y (0.233:0.234:0.235) (0.150:0.153:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.352:0.352:0.352)) - (IOPATH B X (0.284:0.309:0.334) (0.413:0.420:0.428)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.311:0.311:0.311) (0.395:0.395:0.395)) - (IOPATH A2 X (0.301:0.301:0.301) (0.358:0.361:0.364)) - (IOPATH B1_N X (0.478:0.487:0.496) (0.299:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.105:0.117) (0.149:0.152:0.156)) - (IOPATH B Y (0.111:0.112:0.113) (0.117:0.120:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.135:0.135:0.135)) - (IOPATH B Y (0.125:0.125:0.125) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.441:0.441:0.441) (0.511:0.511:0.511)) - (IOPATH A2 X (0.411:0.434:0.457) (0.491:0.498:0.504)) - (IOPATH B1 X (0.414:0.416:0.418) (0.265:0.274:0.282)) - (IOPATH C1 X (0.400:0.400:0.400) (0.235:0.240:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.451:0.452:0.452) (0.782:0.786:0.791)) - (IOPATH A2 X (0.439:0.439:0.439) (0.762:0.762:0.763)) - (IOPATH A3 X (0.399:0.400:0.401) (0.684:0.686:0.688)) - (IOPATH B1 X (0.456:0.457:0.457) (0.308:0.314:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.494:0.495:0.495) (0.412:0.423:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.371:0.371:0.371) (0.363:0.363:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.361:0.361:0.361) (0.431:0.431:0.431)) - (IOPATH B X (0.389:0.389:0.389) (0.496:0.496:0.496)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.304:0.304:0.304) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.260:0.260:0.260) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.372:0.372:0.372) (0.214:0.214:0.214)) - (IOPATH B Y (0.312:0.312:0.312) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.180:0.180:0.180) (0.241:0.241:0.241)) - (IOPATH B Y (0.174:0.174:0.174) (0.267:0.267:0.267)) - (IOPATH C Y (0.171:0.172:0.173) (0.256:0.257:0.257)) - (IOPATH D Y (0.152:0.158:0.163) (0.313:0.313:0.313)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.164:0.164:0.164) (0.198:0.198:0.198)) - (IOPATH B Y (0.155:0.155:0.155) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.224:0.224:0.224) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.373:0.373:0.373) (0.173:0.173:0.173)) - (IOPATH B Y (0.328:0.328:0.328) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.169:0.169) (0.182:0.182:0.182)) - (IOPATH B Y (0.170:0.172:0.174) (0.251:0.251:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.267:0.273:0.279) (0.102:0.102:0.103)) - (IOPATH B Y (0.248:0.251:0.253) (0.112:0.113:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.399:0.399:0.399) (0.144:0.144:0.144)) - (IOPATH B Y (0.376:0.376:0.376) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.393:0.394:0.395) (0.383:0.394:0.405)) - (IOPATH B X (0.387:0.387:0.387) (0.383:0.384:0.384)) - (IOPATH C X (0.446:0.446:0.446) (0.432:0.433:0.433)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.616:0.622:0.627) (0.498:0.524:0.549)) - (IOPATH B X (0.510:0.510:0.510) (0.482:0.482:0.482)) - (IOPATH C X (0.522:0.522:0.522) (0.504:0.504:0.504)) - (IOPATH D X (0.541:0.541:0.541) (0.542:0.542:0.542)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.234:0.235:0.235) (0.166:0.166:0.166)) - (IOPATH A2 Y (0.165:0.170:0.175) (0.145:0.145:0.145)) - (IOPATH B1 Y (0.078:0.078:0.078) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.607:0.608:0.608) (0.496:0.496:0.496)) - (IOPATH B X (0.490:0.491:0.491) (0.462:0.467:0.473)) - (IOPATH C X (0.510:0.514:0.518) (0.516:0.521:0.527)) - (IOPATH D X (0.516:0.529:0.542) (0.515:0.517:0.520)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.431:0.431:0.431) (0.502:0.502:0.502)) - (IOPATH A2 X (0.426:0.426:0.426) (0.457:0.460:0.463)) - (IOPATH B1 X (0.398:0.399:0.400) (0.265:0.274:0.283)) - (IOPATH C1 X (0.367:0.371:0.374) (0.222:0.225:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.242:0.242:0.242) (0.460:0.460:0.461)) - (IOPATH B Y (0.159:0.160:0.162) (0.271:0.272:0.272)) - (IOPATH C Y (0.162:0.169:0.175) (0.289:0.289:0.289)) - (IOPATH D Y (0.155:0.161:0.167) (0.290:0.291:0.291)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.514:0.515:0.516) (0.460:0.460:0.461)) - (IOPATH B X (0.438:0.438:0.439) (0.459:0.461:0.462)) - (IOPATH C X (0.440:0.446:0.452) (0.545:0.547:0.548)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.372:0.372:0.372) (0.708:0.708:0.708)) - (IOPATH A2 X (0.385:0.385:0.385) (0.690:0.690:0.690)) - (IOPATH A3 X (0.265:0.268:0.272) (0.606:0.608:0.610)) - (IOPATH B1 X (0.304:0.304:0.304) (0.227:0.228:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.321:0.323:0.324) (0.317:0.328:0.339)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.326:0.326:0.326) (0.328:0.328:0.328)) - (IOPATH A Y (0.389:0.389:0.389) (0.262:0.262:0.262)) - (IOPATH B Y (0.341:0.341:0.341) (0.340:0.340:0.340)) - (IOPATH B Y (0.362:0.362:0.362) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.233:0.233:0.233) (0.147:0.147:0.147)) - (IOPATH B Y (0.196:0.206:0.215) (0.135:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.346:0.346:0.346) (0.421:0.421:0.421)) - (IOPATH B X (0.386:0.387:0.388) (0.469:0.481:0.492)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.353:0.353:0.354) (0.152:0.153:0.154)) - (IOPATH B Y (0.326:0.327:0.327) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.369:0.369:0.370) (0.357:0.364:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.379:0.379:0.379) (0.364:0.364:0.364)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.232:0.232) (0.275:0.275:0.275)) - (IOPATH B Y (0.246:0.246:0.246) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.177:0.177:0.177) (0.213:0.213:0.213)) - (IOPATH B Y (0.202:0.205:0.207) (0.188:0.189:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.311:0.311:0.311) (0.314:0.314:0.314)) - (IOPATH A Y (0.375:0.375:0.375) (0.246:0.246:0.246)) - (IOPATH B Y (0.312:0.312:0.313) (0.313:0.324:0.334)) - (IOPATH B Y (0.336:0.346:0.355) (0.232:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.406:0.406:0.407) (0.462:0.472:0.481)) - (IOPATH A2 X (0.325:0.325:0.325) (0.461:0.461:0.461)) - (IOPATH B1 X (0.280:0.280:0.281) (0.440:0.441:0.442)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.472:0.473:0.475) (0.538:0.542:0.547)) - (IOPATH A2 X (0.493:0.530:0.566) (0.583:0.587:0.591)) - (IOPATH A3 X (0.514:0.516:0.517) (0.571:0.572:0.572)) - (IOPATH B1 X (0.427:0.427:0.427) (0.521:0.521:0.521)) - (IOPATH B2 X (0.452:0.452:0.452) (0.551:0.551:0.551)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.131:0.133) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.398:0.435:0.473) (0.497:0.502:0.507)) - (IOPATH A2 X (0.503:0.503:0.503) (0.514:0.516:0.518)) - (IOPATH A3 X (0.454:0.455:0.457) (0.527:0.528:0.528)) - (IOPATH B1 X (0.286:0.286:0.286) (0.446:0.446:0.446)) - (IOPATH B2 X (0.381:0.381:0.381) (0.504:0.504:0.504)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.309:0.309:0.309) (0.303:0.303:0.303)) - (IOPATH A Y (0.329:0.329:0.329) (0.239:0.239:0.239)) - (IOPATH B Y (0.310:0.310:0.310) (0.306:0.306:0.306)) - (IOPATH B Y (0.292:0.292:0.292) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.279:0.281) (0.359:0.359:0.360)) - (IOPATH B X (0.270:0.298:0.326) (0.404:0.411:0.418)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.215:0.215:0.216) (0.130:0.132:0.135)) - (IOPATH A2 Y (0.179:0.185:0.191) (0.099:0.122:0.146)) - (IOPATH B1 Y (0.110:0.110:0.110) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.384:0.384:0.384) (0.463:0.463:0.463)) - (IOPATH A2 X (0.319:0.319:0.319) (0.417:0.417:0.417)) - (IOPATH B1 X (0.262:0.262:0.262) (0.377:0.378:0.379)) - (IOPATH B2 X (0.233:0.247:0.261) (0.336:0.342:0.347)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.271:0.273:0.276) (0.233:0.233:0.233)) - (IOPATH A2 Y (0.311:0.312:0.313) (0.186:0.188:0.190)) - (IOPATH B1 Y (0.246:0.246:0.247) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.209:0.241:0.273) (0.244:0.249:0.254)) - (IOPATH A Y (0.237:0.241:0.246) (0.140:0.170:0.201)) - (IOPATH B Y (0.239:0.240:0.242) (0.211:0.226:0.242)) - (IOPATH B Y (0.165:0.178:0.192) (0.151:0.153:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.319:0.319:0.319) (0.559:0.559:0.559)) - (IOPATH A1 X (0.254:0.273:0.292) (0.524:0.529:0.534)) - (IOPATH S X (0.446:0.446:0.446) (0.720:0.720:0.720)) - (IOPATH S X (0.336:0.336:0.336) (0.562:0.562:0.562)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.161:0.163:0.164) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.216:0.218) (0.207:0.207:0.207)) - (IOPATH A X (0.280:0.280:0.280) (0.295:0.297:0.299)) - (IOPATH B X (0.193:0.194:0.194) (0.150:0.152:0.154)) - (IOPATH B X (0.243:0.245:0.247) (0.267:0.267:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.332:0.332:0.332) (0.551:0.551:0.551)) - (IOPATH A1 X (0.272:0.278:0.284) (0.520:0.527:0.534)) - (IOPATH S X (0.445:0.445:0.445) (0.719:0.719:0.719)) - (IOPATH S X (0.336:0.336:0.336) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.167:0.169) (0.231:0.231:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.336:0.336:0.336) (0.574:0.574:0.574)) - (IOPATH A1 X (0.406:0.406:0.406) (0.553:0.554:0.554)) - (IOPATH S X (0.488:0.488:0.488) (0.770:0.770:0.770)) - (IOPATH S X (0.392:0.392:0.392) (0.602:0.602:0.602)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.496:0.496:0.496) (0.555:0.555:0.555)) - (IOPATH A2 X (0.443:0.445:0.446) (0.512:0.512:0.513)) - (IOPATH B1 X (0.425:0.426:0.428) (0.285:0.285:0.286)) - (IOPATH C1 X (0.409:0.410:0.411) (0.239:0.250:0.260)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.291:0.291:0.291) (0.284:0.284:0.284)) - (IOPATH A Y (0.283:0.283:0.283) (0.221:0.221:0.221)) - (IOPATH B Y (0.214:0.214:0.215) (0.230:0.236:0.242)) - (IOPATH B Y (0.193:0.198:0.203) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.266:0.300) (0.272:0.276:0.280)) - (IOPATH A Y (0.288:0.292:0.296) (0.166:0.200:0.233)) - (IOPATH B Y (0.237:0.238:0.240) (0.265:0.266:0.266)) - (IOPATH B Y (0.242:0.242:0.243) (0.159:0.160:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.501:0.501:0.501) (0.480:0.480:0.480)) - (IOPATH A2_N X (0.458:0.465:0.472) (0.419:0.446:0.473)) - (IOPATH B1 X (0.387:0.387:0.387) (0.563:0.563:0.563)) - (IOPATH B2 X (0.321:0.321:0.321) (0.511:0.512:0.513)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.250:0.250:0.250) (0.203:0.203:0.203)) - (IOPATH B Y (0.218:0.218:0.219) (0.112:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.266:0.266:0.266) (0.209:0.209:0.209)) - (IOPATH A X (0.283:0.283:0.283) (0.345:0.345:0.345)) - (IOPATH B X (0.167:0.175:0.184) (0.152:0.152:0.152)) - (IOPATH B X (0.246:0.246:0.246) (0.242:0.249:0.257)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.281:0.281:0.281) (0.535:0.535:0.535)) - (IOPATH A1 X (0.272:0.278:0.284) (0.519:0.526:0.533)) - (IOPATH S X (0.444:0.444:0.444) (0.718:0.718:0.718)) - (IOPATH S X (0.335:0.335:0.335) (0.560:0.560:0.560)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.163:0.164) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.110:0.110:0.110) (0.113:0.113:0.113)) - (IOPATH B Y (0.125:0.125:0.125) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.289:0.289:0.289) (0.410:0.410:0.410)) - (IOPATH A2 X (0.241:0.241:0.241) (0.362:0.363:0.365)) - (IOPATH B1 X (0.229:0.231:0.232) (0.199:0.200:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.448:0.448:0.448) (0.446:0.446:0.446)) - (IOPATH B X (0.484:0.484:0.484) (0.509:0.509:0.509)) - (IOPATH C X (0.503:0.503:0.503) (0.533:0.533:0.533)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.391:0.391:0.391) (0.451:0.451:0.451)) - (IOPATH B X (0.361:0.361:0.361) (0.462:0.464:0.465)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.310:0.310:0.310) (0.418:0.418:0.418)) - (IOPATH A2 X (0.238:0.238:0.238) (0.361:0.362:0.363)) - (IOPATH B1 X (0.309:0.309:0.309) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.262:0.262:0.262) (0.394:0.394:0.394)) - (IOPATH B Y (0.109:0.110:0.111) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.419:0.419:0.419) (0.512:0.512:0.512)) - (IOPATH A2 X (0.363:0.363:0.363) (0.446:0.447:0.448)) - (IOPATH B1 X (0.347:0.348:0.350) (0.225:0.230:0.235)) - (IOPATH C1 X (0.408:0.408:0.408) (0.244:0.244:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.266:0.266:0.266) (0.180:0.180:0.180)) - (IOPATH A2 Y (0.284:0.284:0.284) (0.197:0.197:0.197)) - (IOPATH B1 Y (0.221:0.221:0.221) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.213:0.213) (0.231:0.231:0.231)) - (IOPATH B Y (0.192:0.193:0.194) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.208:0.210:0.211) (0.132:0.132:0.132)) - (IOPATH A2 Y (0.159:0.165:0.171) (0.117:0.119:0.120)) - (IOPATH B1 Y (0.111:0.113:0.114) (0.122:0.124:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.332:0.332:0.332) (0.387:0.387:0.387)) - (IOPATH B X (0.259:0.270:0.282) (0.378:0.383:0.387)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.167:0.167) (0.221:0.222:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.281:0.281:0.281) (0.295:0.295:0.295)) - (IOPATH A Y (0.306:0.306:0.306) (0.211:0.211:0.211)) - (IOPATH B Y (0.285:0.285:0.285) (0.286:0.286:0.286)) - (IOPATH B Y (0.257:0.257:0.257) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.226:0.228:0.230) (0.129:0.131:0.132)) - (IOPATH A2 Y (0.220:0.225:0.230) (0.106:0.129:0.153)) - (IOPATH B1_N Y (0.339:0.339:0.339) (0.351:0.351:0.351)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.178:0.178:0.178)) - (IOPATH B Y (0.138:0.138:0.138) (0.194:0.194:0.194)) - (IOPATH C Y (0.138:0.140:0.142) (0.166:0.168:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.276:0.276:0.276) (0.535:0.535:0.535)) - (IOPATH A1 X (0.273:0.273:0.273) (0.539:0.539:0.539)) - (IOPATH S X (0.462:0.462:0.462) (0.738:0.738:0.739)) - (IOPATH S X (0.357:0.357:0.357) (0.577:0.577:0.577)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.180:0.181) (0.242:0.242:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.271:0.271:0.271) (0.530:0.530:0.530)) - (IOPATH A1 X (0.272:0.272:0.272) (0.537:0.537:0.537)) - (IOPATH S X (0.458:0.458:0.458) (0.734:0.734:0.734)) - (IOPATH S X (0.352:0.353:0.353) (0.572:0.572:0.572)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.167:0.169) (0.231:0.231:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.199:0.199:0.199) (0.361:0.361:0.361)) - (IOPATH B Y (0.139:0.139:0.139) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.456:0.456:0.456) (0.395:0.395:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.461:0.461:0.461) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.089:0.089:0.089)) - (IOPATH B Y (0.147:0.147:0.147) (0.170:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.426:0.426:0.426) (0.261:0.261:0.261)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.161:0.165:0.168) (0.163:0.167:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.399:0.399:0.399) (0.363:0.363:0.363)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.311:0.311:0.311) (0.180:0.180:0.180)) - (IOPATH B Y (0.252:0.252:0.252) (0.160:0.160:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.546:0.546:0.546) (0.565:0.565:0.565)) - (IOPATH B X (0.424:0.424:0.424) (0.491:0.492:0.493)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.437:0.437:0.437) (0.429:0.429:0.429)) - (IOPATH B X (0.494:0.494:0.494) (0.498:0.498:0.498)) - (IOPATH C X (0.465:0.465:0.465) (0.520:0.520:0.520)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.215:0.215:0.215) (0.114:0.114:0.114)) - (IOPATH B Y (0.163:0.164:0.165) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.333:0.333:0.333) (0.187:0.187:0.187)) - (IOPATH B Y (0.249:0.249:0.249) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.476:0.476:0.476) (0.241:0.241:0.241)) - (IOPATH B Y (0.387:0.394:0.400) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.418:0.418:0.418) (0.437:0.440:0.442)) - (IOPATH B X (0.538:0.538:0.538) (0.523:0.527:0.531)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.365:0.365:0.365) (0.432:0.432:0.432)) - (IOPATH A2 X (0.302:0.302:0.302) (0.415:0.415:0.415)) - (IOPATH B1 X (0.286:0.286:0.287) (0.428:0.429:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.278:0.278:0.278) (0.130:0.130:0.130)) - (IOPATH B Y (0.270:0.270:0.270) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.247:0.247:0.247) (0.159:0.159:0.159)) - (IOPATH B Y (0.207:0.207:0.207) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.411:0.411:0.411) (0.682:0.682:0.682)) - (IOPATH A2 X (0.357:0.357:0.357) (0.701:0.701:0.701)) - (IOPATH B1 X (0.320:0.320:0.320) (0.661:0.666:0.671)) - (IOPATH C1 X (0.288:0.288:0.289) (0.597:0.597:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.370:0.370:0.370) (0.435:0.435:0.435)) - (IOPATH A2 X (0.316:0.316:0.316) (0.425:0.425:0.425)) - (IOPATH B1 X (0.370:0.370:0.370) (0.423:0.426:0.429)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.483:0.483:0.483) (0.495:0.495:0.495)) - (IOPATH B X (0.468:0.468:0.468) (0.533:0.533:0.533)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.202:0.202:0.202) (0.217:0.217:0.217)) - (IOPATH B Y (0.232:0.233:0.234) (0.251:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.544:0.544:0.544) (0.590:0.590:0.590)) - (IOPATH A2 X (0.457:0.457:0.457) (0.514:0.514:0.514)) - (IOPATH B1 X (0.500:0.502:0.503) (0.350:0.350:0.350)) - (IOPATH C1 X (0.591:0.591:0.591) (0.291:0.294:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.367:0.367:0.367) (0.433:0.433:0.433)) - (IOPATH A2 X (0.306:0.306:0.306) (0.418:0.418:0.418)) - (IOPATH B1 X (0.267:0.267:0.268) (0.409:0.415:0.420)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.347:0.347:0.347) (0.195:0.195:0.195)) - (IOPATH B Y (0.308:0.308:0.308) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.135:0.139:0.143) (0.209:0.209:0.209)) - (IOPATH B Y (0.156:0.158:0.159) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.517:0.519:0.520) (0.587:0.591:0.595)) - (IOPATH A2 X (0.512:0.513:0.515) (0.579:0.579:0.579)) - (IOPATH B1 X (0.629:0.629:0.629) (0.338:0.342:0.346)) - (IOPATH C1 X (0.480:0.482:0.485) (0.299:0.305:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.367:0.367:0.367) (0.433:0.433:0.433)) - (IOPATH A2 X (0.309:0.309:0.309) (0.420:0.420:0.420)) - (IOPATH B1 X (0.279:0.279:0.280) (0.414:0.419:0.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.358:0.358:0.358) (0.247:0.247:0.247)) - (IOPATH A2 Y (0.319:0.327:0.334) (0.223:0.223:0.223)) - (IOPATH B1 Y (0.322:0.322:0.322) (0.179:0.179:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.369:0.369:0.369) (0.435:0.435:0.435)) - (IOPATH A2 X (0.310:0.310:0.310) (0.421:0.421:0.421)) - (IOPATH B1 X (0.308:0.309:0.310) (0.415:0.423:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.219:0.219:0.219) (0.254:0.254:0.254)) - (IOPATH B Y (0.205:0.206:0.207) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.341:0.341:0.341) (0.426:0.426:0.426)) - (IOPATH A2 X (0.271:0.273:0.274) (0.407:0.408:0.409)) - (IOPATH B1 X (0.306:0.307:0.307) (0.248:0.249:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.373:0.373:0.373) (0.438:0.438:0.438)) - (IOPATH A2 X (0.319:0.319:0.319) (0.428:0.428:0.428)) - (IOPATH B1 X (0.224:0.224:0.225) (0.398:0.400:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.420:0.420:0.420) (0.377:0.377:0.377)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.450:0.450:0.450) (0.537:0.537:0.537)) - (IOPATH A2 X (0.419:0.419:0.419) (0.554:0.554:0.554)) - (IOPATH B1 X (0.522:0.522:0.523) (0.491:0.494:0.497)) - (IOPATH B2 X (0.389:0.391:0.393) (0.513:0.518:0.522)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.405:0.407:0.409) (0.400:0.402:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.372:0.372:0.372) (0.455:0.455:0.455)) - (IOPATH A2 X (0.272:0.272:0.272) (0.387:0.387:0.387)) - (IOPATH B1 X (0.312:0.314:0.316) (0.423:0.423:0.423)) - (IOPATH B2 X (0.318:0.318:0.318) (0.382:0.382:0.382)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.454:0.454:0.454) (0.539:0.539:0.539)) - (IOPATH A2 X (0.419:0.419:0.419) (0.554:0.554:0.554)) - (IOPATH B1 X (0.423:0.423:0.423) (0.486:0.492:0.497)) - (IOPATH B2 X (0.372:0.373:0.373) (0.500:0.500:0.500)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.177:0.177:0.177) (0.325:0.325:0.325)) - (IOPATH B Y (0.136:0.136:0.136) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.492:0.492:0.492) (0.807:0.807:0.807)) - (IOPATH A2 X (0.431:0.432:0.434) (0.765:0.767:0.769)) - (IOPATH A3 X (0.462:0.462:0.462) (0.676:0.680:0.684)) - (IOPATH B1 X (0.396:0.399:0.402) (0.287:0.290:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.172:0.172:0.172)) - (IOPATH B Y (0.144:0.144:0.144) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.538:0.540:0.542) (0.589:0.589:0.589)) - (IOPATH A2 X (0.656:0.656:0.656) (0.602:0.606:0.609)) - (IOPATH A3 X (0.530:0.531:0.532) (0.573:0.578:0.584)) - (IOPATH B1 X (0.377:0.377:0.377) (0.489:0.489:0.489)) - (IOPATH B2 X (0.465:0.465:0.465) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.332:0.332:0.332) (0.417:0.417:0.417)) - (IOPATH A2 X (0.261:0.263:0.264) (0.397:0.397:0.397)) - (IOPATH B1 X (0.390:0.390:0.390) (0.230:0.234:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.373:0.373:0.373) (0.447:0.447:0.447)) - (IOPATH A2 X (0.320:0.320:0.320) (0.437:0.437:0.437)) - (IOPATH B1 X (0.220:0.222:0.223) (0.405:0.407:0.410)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.527:0.527:0.527) (0.437:0.437:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.338:0.338:0.338) (0.423:0.423:0.423)) - (IOPATH A2 X (0.268:0.270:0.271) (0.405:0.406:0.407)) - (IOPATH B1 X (0.303:0.304:0.304) (0.246:0.247:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.433:0.433:0.433) (0.476:0.476:0.476)) - (IOPATH A2 X (0.309:0.309:0.309) (0.423:0.423:0.423)) - (IOPATH B1 X (0.215:0.216:0.217) (0.395:0.397:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.287:0.287:0.287) (0.581:0.581:0.581)) - (IOPATH A2 X (0.319:0.319:0.319) (0.637:0.637:0.637)) - (IOPATH B1 X (0.235:0.235:0.235) (0.586:0.586:0.586)) - (IOPATH C1 X (0.194:0.194:0.194) (0.507:0.507:0.507)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.239:0.239:0.239) (0.188:0.188:0.188)) - (IOPATH A2 Y (0.163:0.168:0.172) (0.154:0.154:0.154)) - (IOPATH B1 Y (0.104:0.105:0.106) (0.145:0.145:0.145)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.466:0.467:0.468) (0.480:0.480:0.480)) - (IOPATH B X (0.342:0.343:0.345) (0.402:0.403:0.403)) - (IOPATH C X (0.367:0.377:0.386) (0.424:0.427:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.431:0.431:0.431) (0.523:0.523:0.523)) - (IOPATH A2 X (0.374:0.374:0.374) (0.522:0.522:0.522)) - (IOPATH B1 X (0.399:0.399:0.399) (0.470:0.476:0.481)) - (IOPATH B2 X (0.359:0.359:0.359) (0.483:0.484:0.485)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.135:0.135:0.135) (0.164:0.164:0.164)) - (IOPATH B Y (0.114:0.117:0.121) (0.177:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.394:0.394:0.394)) - (IOPATH B X (0.363:0.363:0.363) (0.424:0.430:0.437)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.400:0.400:0.400) (0.497:0.497:0.497)) - (IOPATH A2 X (0.346:0.346:0.346) (0.497:0.497:0.497)) - (IOPATH B1 X (0.314:0.314:0.315) (0.434:0.436:0.439)) - (IOPATH B2 X (0.336:0.336:0.336) (0.464:0.465:0.466)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.307:0.309:0.311) (0.480:0.481:0.482)) - (IOPATH B Y (0.202:0.202:0.202) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.197:0.197:0.197) (0.214:0.214:0.214)) - (IOPATH B Y (0.152:0.154:0.156) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.353:0.355:0.356) (0.485:0.487:0.490)) - (IOPATH A2 X (0.322:0.323:0.325) (0.459:0.460:0.461)) - (IOPATH B1 X (0.306:0.306:0.306) (0.425:0.427:0.428)) - (IOPATH B2 X (0.341:0.341:0.341) (0.408:0.408:0.408)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.458:0.458:0.458) (0.444:0.445:0.446)) - (IOPATH B X (0.439:0.440:0.441) (0.489:0.491:0.493)) - (IOPATH C X (0.429:0.429:0.430) (0.488:0.488:0.488)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.423:0.423:0.423) (0.467:0.467:0.467)) - (IOPATH A2 X (0.302:0.302:0.302) (0.417:0.417:0.417)) - (IOPATH B1 X (0.242:0.243:0.243) (0.405:0.406:0.408)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.197:0.197:0.197)) - (IOPATH B Y (0.149:0.149:0.149) (0.211:0.211:0.211)) - (IOPATH C Y (0.143:0.144:0.145) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.235:0.235:0.235) (0.469:0.471:0.473)) - (IOPATH B Y (0.167:0.167:0.167) (0.237:0.237:0.237)) - (IOPATH C Y (0.184:0.184:0.184) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.400:0.401:0.401) (0.393:0.399:0.406)) - (IOPATH B X (0.376:0.376:0.377) (0.430:0.430:0.430)) - (IOPATH C X (0.394:0.399:0.404) (0.485:0.489:0.493)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.531:0.531:0.531) (0.595:0.595:0.595)) - (IOPATH A2 X (0.433:0.433:0.433) (0.511:0.511:0.511)) - (IOPATH B1 X (0.441:0.441:0.441) (0.513:0.514:0.516)) - (IOPATH B2 X (0.483:0.483:0.483) (0.496:0.496:0.496)) - (IOPATH C1 X (0.419:0.420:0.421) (0.277:0.279:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.455:0.456:0.458) (0.531:0.536:0.542)) - (IOPATH A2 X (0.488:0.489:0.489) (0.561:0.563:0.564)) - (IOPATH A3 X (0.496:0.496:0.496) (0.547:0.554:0.562)) - (IOPATH B1 X (0.346:0.346:0.346) (0.473:0.473:0.473)) - (IOPATH B2 X (0.425:0.425:0.425) (0.535:0.535:0.535)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.462:0.462:0.462) (0.499:0.499:0.499)) - (IOPATH A2 X (0.355:0.355:0.355) (0.459:0.459:0.459)) - (IOPATH B1 X (0.263:0.263:0.264) (0.433:0.433:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.425:0.425:0.425) (0.518:0.518:0.518)) - (IOPATH A2 X (0.383:0.383:0.383) (0.528:0.528:0.528)) - (IOPATH B1 X (0.401:0.401:0.402) (0.481:0.482:0.483)) - (IOPATH B2 X (0.375:0.376:0.377) (0.509:0.511:0.512)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.393:0.393:0.393) (0.469:0.469:0.469)) - (IOPATH A2 X (0.288:0.289:0.291) (0.422:0.424:0.427)) - (IOPATH B1 X (0.318:0.319:0.321) (0.432:0.435:0.437)) - (IOPATH B2 X (0.285:0.287:0.288) (0.397:0.398:0.398)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.399:0.401:0.402) (0.501:0.505:0.509)) - (IOPATH A2 X (0.394:0.395:0.397) (0.493:0.493:0.493)) - (IOPATH B1 X (0.372:0.373:0.374) (0.244:0.250:0.256)) - (IOPATH C1 X (0.344:0.344:0.345) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.522:0.522:0.522) (0.511:0.511:0.511)) - (IOPATH A2 X (0.417:0.418:0.418) (0.434:0.436:0.438)) - (IOPATH B1 X (0.447:0.449:0.451) (0.289:0.294:0.299)) - (IOPATH C1 X (0.439:0.440:0.441) (0.291:0.293:0.295)) - (IOPATH D1 X (0.391:0.391:0.391) (0.215:0.221:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.265:0.265:0.265) (0.187:0.187:0.187)) - (IOPATH A2 Y (0.239:0.239:0.239) (0.174:0.174:0.174)) - (IOPATH B1 Y (0.135:0.135:0.135) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.172:0.172:0.172)) - (IOPATH B Y (0.107:0.109:0.112) (0.110:0.125:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.472:0.473:0.475) (0.494:0.502:0.511)) - (IOPATH A2 X (0.420:0.421:0.422) (0.505:0.509:0.514)) - (IOPATH A3 X (0.425:0.427:0.428) (0.505:0.514:0.523)) - (IOPATH B1 X (0.300:0.300:0.300) (0.438:0.438:0.438)) - (IOPATH B2 X (0.369:0.369:0.369) (0.495:0.495:0.495)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.380:0.380:0.380) (0.715:0.715:0.715)) - (IOPATH A2 X (0.351:0.351:0.351) (0.670:0.670:0.670)) - (IOPATH A3 X (0.280:0.280:0.280) (0.595:0.596:0.598)) - (IOPATH B1 X (0.313:0.313:0.314) (0.232:0.234:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.409:0.409:0.409) (0.455:0.455:0.455)) - (IOPATH A2 X (0.302:0.302:0.302) (0.415:0.415:0.415)) - (IOPATH B1 X (0.205:0.207:0.208) (0.378:0.386:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.190:0.190:0.190)) - (IOPATH B Y (0.160:0.160:0.160) (0.221:0.221:0.221)) - (IOPATH C Y (0.140:0.141:0.142) (0.196:0.196:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.415:0.415:0.415) (0.487:0.488:0.490)) - (IOPATH A2 X (0.436:0.436:0.436) (0.518:0.526:0.533)) - (IOPATH A3 X (0.433:0.434:0.435) (0.524:0.530:0.536)) - (IOPATH B1 X (0.298:0.298:0.298) (0.438:0.438:0.438)) - (IOPATH B2 X (0.376:0.376:0.376) (0.499:0.499:0.499)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.410:0.410:0.410) (0.456:0.456:0.456)) - (IOPATH A2 X (0.286:0.286:0.286) (0.404:0.404:0.404)) - (IOPATH B1 X (0.269:0.269:0.269) (0.382:0.387:0.392)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.405:0.405:0.405) (0.501:0.501:0.501)) - (IOPATH A2 X (0.350:0.350:0.350) (0.501:0.501:0.501)) - (IOPATH B1 X (0.359:0.360:0.360) (0.435:0.436:0.436)) - (IOPATH B2 X (0.423:0.423:0.423) (0.507:0.507:0.507)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.416:0.416:0.416) (0.511:0.511:0.511)) - (IOPATH A2 X (0.369:0.369:0.369) (0.516:0.516:0.516)) - (IOPATH B1 X (0.359:0.360:0.360) (0.456:0.457:0.458)) - (IOPATH B2 X (0.345:0.350:0.356) (0.496:0.499:0.502)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.189:0.189:0.189) (0.200:0.200:0.200)) - (IOPATH B Y (0.200:0.200:0.200) (0.210:0.210:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.459:0.461:0.463) (0.427:0.427:0.428)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.243:0.243:0.243) (0.180:0.180:0.180)) - (IOPATH B Y (0.189:0.189:0.189) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.257:0.257:0.257) (0.187:0.187:0.187)) - (IOPATH B Y (0.203:0.203:0.203) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.466:0.466:0.466) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.218:0.218) (0.156:0.156:0.156)) - (IOPATH B Y (0.184:0.184:0.184) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.210:0.210:0.210) (0.152:0.152:0.152)) - (IOPATH B Y (0.176:0.176:0.176) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.155:0.155:0.155)) - (IOPATH B Y (0.182:0.182:0.182) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.211:0.211) (0.153:0.153:0.153)) - (IOPATH B Y (0.177:0.177:0.177) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.437:0.439:0.441) (0.413:0.413:0.413)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.212:0.212:0.212) (0.153:0.153:0.153)) - (IOPATH B Y (0.170:0.170:0.170) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.213:0.213) (0.154:0.154:0.154)) - (IOPATH B Y (0.172:0.172:0.172) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.219:0.219:0.219) (0.157:0.157:0.157)) - (IOPATH B Y (0.178:0.178:0.178) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.459:0.459:0.459) (0.395:0.395:0.395)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.154:0.154:0.154)) - (IOPATH B Y (0.177:0.177:0.177) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.208:0.208:0.208) (0.149:0.149:0.149)) - (IOPATH B Y (0.168:0.168:0.168) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.218:0.218) (0.154:0.154:0.154)) - (IOPATH B Y (0.178:0.178:0.178) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.209:0.209:0.209) (0.150:0.150:0.150)) - (IOPATH B Y (0.170:0.170:0.170) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.464:0.466:0.468) (0.429:0.429:0.429)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.214:0.214) (0.153:0.153:0.153)) - (IOPATH B Y (0.183:0.183:0.183) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.221:0.221:0.221) (0.156:0.156:0.156)) - (IOPATH B Y (0.190:0.190:0.190) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.154:0.154:0.154)) - (IOPATH B Y (0.186:0.186:0.186) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.522:0.522:0.522) (0.433:0.433:0.433)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.223:0.223:0.223) (0.165:0.165:0.165)) - (IOPATH B Y (0.178:0.178:0.178) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.256:0.256:0.256) (0.181:0.181:0.181)) - (IOPATH B Y (0.212:0.212:0.212) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.228:0.228:0.228) (0.168:0.168:0.168)) - (IOPATH B Y (0.184:0.184:0.184) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.228:0.228:0.228) (0.168:0.168:0.168)) - (IOPATH B Y (0.183:0.183:0.183) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.224:0.224:0.224) (0.166:0.166:0.166)) - (IOPATH B Y (0.181:0.181:0.181) (0.107:0.109:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.241:0.241:0.241) (0.174:0.174:0.174)) - (IOPATH B Y (0.199:0.199:0.199) (0.115:0.116:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.226:0.226:0.226) (0.167:0.167:0.167)) - (IOPATH B Y (0.184:0.184:0.184) (0.109:0.110:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.740:0.740:0.740) (0.855:0.855:0.855)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.442:-0.442:-0.441)) - (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.067)) - (HOLD (negedge D) (posedge CLK) (-0.075:-0.077:-0.079)) - (SETUP (posedge D) (posedge CLK) (0.125:0.127:0.128)) - (SETUP (negedge D) (posedge CLK) (0.260:0.263:0.265)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.741:0.741:0.741) (0.855:0.855:0.855)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.701:0.701:0.701)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.435:-0.435:-0.434)) - (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (HOLD (negedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (SETUP (posedge D) (posedge CLK) (0.121:0.121:0.121)) - (SETUP (negedge D) (posedge CLK) (0.248:0.248:0.248)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.729:0.729:0.729) (0.845:0.845:0.845)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.695:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.444:-0.443:-0.442)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (HOLD (negedge D) (posedge CLK) (-0.067:-0.067:-0.067)) - (SETUP (posedge D) (posedge CLK) (0.124:0.124:0.124)) - (SETUP (negedge D) (posedge CLK) (0.251:0.251:0.251)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.737:0.737:0.737) (0.854:0.854:0.854)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.691:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.448:-0.446:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.067)) - (HOLD (negedge D) (posedge CLK) (-0.078:-0.083:-0.088)) - (SETUP (posedge D) (posedge CLK) (0.126:0.127:0.128)) - (SETUP (negedge D) (posedge CLK) (0.264:0.270:0.276)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.899:0.899:0.899) (0.980:0.980:0.980)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.446:-0.445:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.078:-0.079:-0.081)) - (HOLD (negedge D) (posedge CLK) (-0.072:-0.074:-0.076)) - (SETUP (posedge D) (posedge CLK) (0.140:0.142:0.144)) - (SETUP (negedge D) (posedge CLK) (0.257:0.260:0.262)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.843:0.843:0.843) (0.941:0.941:0.941)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.692:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.248:0.248:0.248)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.860:0.860:0.860) (0.954:0.954:0.954)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.692:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.067:-0.067:-0.067)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.068:-0.072)) - (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.128)) - (SETUP (negedge D) (posedge CLK) (0.247:0.252:0.258)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.878:0.878:0.878) (0.965:0.965:0.965)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.446:-0.445:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.062)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.065:-0.068)) - (SETUP (posedge D) (posedge CLK) (0.121:0.122:0.122)) - (SETUP (negedge D) (posedge CLK) (0.246:0.249:0.252)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.822:0.822:0.822) (0.925:0.925:0.925)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.444:-0.444:-0.443)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.063:-0.063)) - (HOLD (negedge D) (posedge CLK) (-0.064:-0.066:-0.069)) - (SETUP (posedge D) (posedge CLK) (0.122:0.123:0.123)) - (SETUP (negedge D) (posedge CLK) (0.248:0.251:0.254)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.766:0.766:0.766) (0.877:0.877:0.877)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.445:-0.444:-0.443)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.247:0.247:0.247)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.859:0.859:0.859) (0.951:0.951:0.951)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.691:0.692:0.693)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.448:-0.447:-0.446)) - (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.067)) - (HOLD (negedge D) (posedge CLK) (-0.070:-0.074:-0.079)) - (SETUP (posedge D) (posedge CLK) (0.127:0.127:0.128)) - (SETUP (negedge D) (posedge CLK) (0.255:0.260:0.265)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.765:0.765:0.765) (0.876:0.876:0.876)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.443:-0.442:-0.441)) - (HOLD (posedge D) (posedge CLK) (-0.065:-0.077:-0.089)) - (HOLD (negedge D) (posedge CLK) (-0.073:-0.079:-0.084)) - (SETUP (posedge D) (posedge CLK) (0.125:0.139:0.152)) - (SETUP (negedge D) (posedge CLK) (0.258:0.265:0.271)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.817:0.817:0.817) (0.920:0.920:0.920)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.692:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (HOLD (negedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (SETUP (posedge D) (posedge CLK) (0.121:0.121:0.121)) - (SETUP (negedge D) (posedge CLK) (0.248:0.248:0.248)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.850:0.850:0.850) (0.945:0.945:0.945)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.446:-0.445:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.247:0.247:0.247)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.917:0.917:0.917) (0.993:0.993:0.993)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.443:-0.442:-0.441)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.063:-0.064)) - (HOLD (negedge D) (posedge CLK) (-0.073:-0.073:-0.073)) - (SETUP (posedge D) (posedge CLK) (0.123:0.124:0.124)) - (SETUP (negedge D) (posedge CLK) (0.258:0.258:0.258)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.704:0.704:0.704) (0.822:0.822:0.822)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.445:-0.444:-0.443)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.239:0.239:0.239)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.794:0.794:0.794) (0.901:0.901:0.901)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.692:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.066)) - (HOLD (negedge D) (posedge CLK) (-0.075:-0.075:-0.075)) - (SETUP (posedge D) (posedge CLK) (0.127:0.127:0.127)) - (SETUP (negedge D) (posedge CLK) (0.260:0.260:0.260)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.767:0.767:0.767) (0.879:0.879:0.879)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.703:0.704:0.704)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.431:-0.431:-0.430)) - (HOLD (posedge D) (posedge CLK) (-0.084:-0.084:-0.084)) - (HOLD (negedge D) (posedge CLK) (-0.095:-0.095:-0.095)) - (SETUP (posedge D) (posedge CLK) (0.147:0.147:0.147)) - (SETUP (negedge D) (posedge CLK) (0.283:0.283:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.808:0.808:0.808) (0.910:0.910:0.910)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.446:-0.444:-0.443)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (HOLD (negedge D) (posedge CLK) (-0.065:-0.065:-0.065)) - (SETUP (posedge D) (posedge CLK) (0.122:0.122:0.122)) - (SETUP (negedge D) (posedge CLK) (0.249:0.249:0.249)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.782:0.782:0.782) (0.890:0.890:0.890)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.695:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.444:-0.443:-0.442)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.247:0.247:0.247)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.805:0.805:0.805) (0.909:0.909:0.909)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.692:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.445:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (SETUP (posedge D) (posedge CLK) (0.121:0.121:0.121)) - (SETUP (negedge D) (posedge CLK) (0.248:0.248:0.248)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.800:0.800:0.800) (0.904:0.904:0.904)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.698:0.698:0.699)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.439:-0.438:-0.437)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.247:0.247:0.247)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.811:0.811:0.811) (0.914:0.914:0.914)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.445:-0.444:-0.443)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.247:0.247:0.247)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.306:0.306:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.304:0.304:0.304) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.195:0.230:0.264) (0.071:0.085:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.174:0.177:0.179) (0.060:0.061:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.070:0.070:0.070) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.112:0.114:0.115) (0.241:0.242:0.244)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.088:0.088:0.089) (0.167:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.239:0.239:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.042:0.042:0.042) (0.032:0.032:0.032)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.042:0.042:0.042)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.046:0.047:0.047)) - (IOPATH TE_B Z (0.103:0.112:0.121) (0.230:0.241:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.081:0.082:0.082) (0.158:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.030:0.030:0.030)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.067:0.067:0.067) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.112:0.113:0.113) (0.241:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.065:0.065:0.065) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.086:0.087:0.087) (0.165:0.165:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.264:0.264:0.264) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.029:0.029:0.029)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.094:0.095:0.095) (0.218:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.094:0.094:0.094) (0.174:0.175:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.354:0.354:0.354) (0.320:0.320:0.320)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.042:0.042:0.042) (0.032:0.032:0.032)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.078:0.078:0.078) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.109:0.110:0.111) (0.237:0.238:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.074:0.074:0.074) (0.052:0.052:0.052)) - (IOPATH TE_B Z (0.085:0.085:0.086) (0.163:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.327:0.327:0.327) (0.297:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.256:0.256:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.048:0.048:0.048) (0.038:0.038:0.038)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.075:0.075:0.075) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.096:0.096:0.097) (0.220:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.072:0.072:0.072) (0.051:0.051:0.051)) - (IOPATH TE_B Z (0.085:0.085:0.086) (0.163:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.067:0.067:0.067) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.308:0.308:0.308) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.028:0.028:0.028)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.071:0.071:0.071) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.095:0.095:0.096) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.096:0.096:0.097) (0.177:0.178:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.041:0.041:0.041) (0.030:0.030:0.030)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.095:0.095:0.096) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.094:0.095:0.095) (0.175:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.294:0.294:0.294) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.041:0.041:0.041) (0.029:0.029:0.029)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.042:0.042:0.042) (0.048:0.048:0.048)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.073:0.073:0.073) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.095:0.096:0.096) (0.220:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.070:0.070:0.070) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.092:0.092:0.093) (0.172:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.315:0.315:0.315) (0.286:0.286:0.286)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.029:0.029:0.029)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.042:0.042:0.042)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.073:0.073:0.073) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.097:0.097:0.098) (0.221:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.070:0.070:0.070) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.084:0.085:0.085) (0.162:0.163:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.028:0.028:0.028)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.104:0.105:0.105) (0.231:0.231:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.081:0.082:0.082) (0.158:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.042:0.042:0.042) (0.031:0.031:0.031)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.105:0.106:0.106) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.086:0.086:0.087) (0.164:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.054:0.054:0.054) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.175:0.175:0.175) (0.172:0.172:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.349:0.349:0.349) (0.771:0.771:0.771)) - (IOPATH B X (0.263:0.265:0.267) (0.699:0.700:0.700)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.028:0.028:0.028)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.042:0.042:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.008:0.008:0.008) (0.011:0.011:0.011)) - (IOPATH TE_B Z (0.113:0.113:0.113) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.013:0.013:0.013)) - (IOPATH TE_B Z (0.089:0.090:0.090) (0.169:0.170:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.223:0.223:0.223) (0.102:0.102:0.102)) - ) - ) - ) -) diff --git a/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.tt.sdf b/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.tt.sdf deleted file mode 100644 index ac54b9de..00000000 --- a/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.tt.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:45 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.144:0.144:0.144) (0.068:0.068:0.068)) - (INTERCONNECT dco _349_.A (0.144:0.144:0.144) (0.068:0.068:0.068)) - (INTERCONNECT dco _358_.A (0.144:0.144:0.144) (0.068:0.068:0.068)) - (INTERCONNECT dco _361_.B (0.145:0.145:0.145) (0.069:0.069:0.069)) - (INTERCONNECT dco _374_.B1 (0.144:0.144:0.144) (0.068:0.068:0.068)) - (INTERCONNECT dco _379_.A (0.145:0.145:0.145) (0.069:0.069:0.069)) - (INTERCONNECT dco _447_.A (0.144:0.144:0.144) (0.068:0.068:0.068)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.144:0.144:0.144) (0.068:0.068:0.068)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.145:0.145:0.145) (0.069:0.069:0.069)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.144:0.144:0.144) (0.069:0.069:0.069)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.145:0.145:0.145) (0.069:0.069:0.069)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.143:0.143:0.143) (0.067:0.067:0.067)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.144:0.144:0.144) (0.068:0.068:0.068)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.144:0.144:0.144) (0.068:0.068:0.068)) - (INTERCONNECT div[0] _257_.A_N (0.052:0.052:0.052) (0.025:0.025:0.025)) - (INTERCONNECT div[0] _287_.B1 (0.052:0.052:0.052) (0.025:0.025:0.025)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.052:0.052:0.052) (0.025:0.025:0.025)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.052:0.052:0.052) (0.025:0.025:0.025)) - (INTERCONNECT div[1] _252_.A (0.046:0.046:0.046) (0.022:0.022:0.022)) - (INTERCONNECT div[1] _258_.A (0.046:0.046:0.046) (0.022:0.022:0.022)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT div[2] _244_.A (0.026:0.026:0.026) (0.011:0.011:0.011)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.026:0.026:0.026) (0.011:0.011:0.011)) - (INTERCONNECT div[3] _243_.A (0.068:0.068:0.068) (0.031:0.031:0.031)) - (INTERCONNECT div[3] _260_.A1 (0.068:0.068:0.068) (0.031:0.031:0.031)) - (INTERCONNECT div[3] _267_.A1 (0.068:0.068:0.068) (0.031:0.031:0.031)) - (INTERCONNECT div[3] _289_.A1 (0.068:0.068:0.068) (0.031:0.031:0.031)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.068:0.068:0.068) (0.031:0.031:0.031)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.068:0.068:0.068) (0.031:0.031:0.031)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.068:0.068:0.068) (0.031:0.031:0.031)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.068:0.068:0.068) (0.031:0.031:0.031)) - (INTERCONNECT div[4] _266_.A (0.036:0.036:0.036) (0.016:0.016:0.016)) - (INTERCONNECT div[4] _270_.A1 (0.036:0.036:0.036) (0.016:0.016:0.016)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.036:0.036:0.036) (0.016:0.016:0.016)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.036:0.036:0.036) (0.016:0.016:0.016)) - (INTERCONNECT enable _425_.A (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[0] _350_.A (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.023:0.023:0.023) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.023:0.023:0.023) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.034:0.034:0.034) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.034:0.034:0.034) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.045:0.045:0.045) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.045:0.045:0.045) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.044:0.044:0.044) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.045:0.045:0.045) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.016:0.016:0.016) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.045:0.045:0.045) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.045:0.045:0.045) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.044:0.044:0.044) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.045:0.045:0.045) (0.021:0.021:0.021)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.035:0.035:0.035) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.035:0.035:0.035) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.025:0.025:0.025) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.024:0.024:0.024) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.037:0.037:0.037) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.053:0.053:0.053) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.053:0.053:0.053) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.018:0.018:0.018) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.020:0.020:0.020) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.020:0.020:0.020) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.057:0.057:0.057) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.057:0.057:0.057) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.053:0.053:0.053) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.053:0.053:0.053) (0.025:0.025:0.025)) - (INTERCONNECT osc _470_.D (0.015:0.015:0.015) (0.006:0.006:0.006)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006)) - (INTERCONNECT resetb _425_.B (0.032:0.032:0.032) (0.014:0.014:0.014)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.032:0.032:0.032) (0.014:0.014:0.014)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _219_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _221_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _223_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _226_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _343_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _345_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _332_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _334_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _338_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _341_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _216_.X _342_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _330_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _311_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _394_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _405_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _416_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _420_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _423_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _353_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _355_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _362_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _366_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _397_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _236_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _251_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _287_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _236_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _245_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _233_.X _251_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _264_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _249_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _260_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _267_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.Y _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _249_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _250_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271_.X _272_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _285_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _295_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _296_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _292_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _294_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _305_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _272_.X _307_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _319_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _295_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _317_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _366_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _376_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _393_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _273_.X _415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _278_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _277_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _279_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _374_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _408_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _275_.Y _412_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _420_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.Y _277_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _279_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _302_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _320_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _276_.Y _418_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _278_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _385_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _361_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _367_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _393_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _306_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _401_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _283_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _385_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _412_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _303_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _319_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _311_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _314_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _317_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _323_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _326_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _316_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _301_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _377_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _382_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _393_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _402_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _406_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _300_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _370_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _388_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _415_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _299_.X _418_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _301_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _381_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _402_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _412_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _322_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _307_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _312_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _316_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _398_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _342_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _363_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _385_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _387_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _409_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _417_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _421_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _350_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _352_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _362_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _390_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _429_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _438_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _360_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _369_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _373_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _352_.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _389_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _376_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _395_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _356_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _365_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _371_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _359_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _368_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _380_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _387_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _388_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _360_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _377_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _391_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _403_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _368_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _408_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _367_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _405_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _420_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _368_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _372_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _387_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _388_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _413_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _368_.X _407_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _371_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _397_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _380_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _414_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _373_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _383_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _396_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _375_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _377_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _391_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _400_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _402_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _412_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _383_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _399_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _411_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _423_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _382_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _386_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _400_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _405_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _406_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _408_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _381_.X _414_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _392_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _404_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _410_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _419_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _422_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _427_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _428_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _403_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _408_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _411_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _414_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _406_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _418_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _424_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.X _419_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _426_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _434_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _443_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _452_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _453_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _454_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.X _430_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _427_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _428_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _431_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _426_.X _432_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _433_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _431_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _432_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _433_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _435_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _436_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _437_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _436_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _437_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _439_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _440_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _441_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _442_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _440_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _441_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _442_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _444_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _445_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _446_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _445_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _446_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _448_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _449_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _450_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _451_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _449_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _450_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _451_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _452_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _453_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _454_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _233_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _235_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _330_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _221_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _231_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _234_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _330_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _460_.Q _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _219_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _237_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _238_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _461_.Q _334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _217_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _269_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _332_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _333_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _462_.Q _336_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _284_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _463_.Q _329_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _276_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _319_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _323_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _370_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _275_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _281_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _354_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _355_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _281_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _314_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _468_.Q _393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _415_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _278_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _280_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _353_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _357_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _401_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _214_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _230_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _246_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _263_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.001:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.117:0.117) (0.138:0.138:0.138)) - (IOPATH A Y (0.157:0.157:0.157) (0.074:0.074:0.074)) - (IOPATH B Y (0.108:0.108:0.108) (0.131:0.131:0.131)) - (IOPATH B Y (0.128:0.128:0.128) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.217:0.231) (0.176:0.179:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.241:0.241:0.241) (0.197:0.197:0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.167:0.167:0.167) (0.271:0.271:0.271)) - (IOPATH A1 X (0.150:0.150:0.150) (0.263:0.263:0.263)) - (IOPATH S X (0.229:0.229:0.229) (0.347:0.347:0.347)) - (IOPATH S X (0.173:0.173:0.173) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.085:0.086:0.086) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.166:0.166:0.166) (0.272:0.272:0.272)) - (IOPATH A1 X (0.151:0.151:0.151) (0.266:0.266:0.266)) - (IOPATH S X (0.234:0.234:0.234) (0.353:0.353:0.353)) - (IOPATH S X (0.179:0.179:0.179) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.087:0.087:0.088) (0.113:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.162:0.162:0.162) (0.269:0.269:0.269)) - (IOPATH A1 X (0.152:0.152:0.152) (0.266:0.266:0.266)) - (IOPATH S X (0.234:0.234:0.234) (0.353:0.353:0.353)) - (IOPATH S X (0.179:0.179:0.179) (0.281:0.281:0.281)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.171:0.171:0.171) (0.274:0.274:0.274)) - (IOPATH A1 X (0.143:0.143:0.143) (0.260:0.260:0.260)) - (IOPATH S X (0.231:0.231:0.231) (0.349:0.349:0.349)) - (IOPATH S X (0.176:0.176:0.176) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.087:0.087:0.088) (0.113:0.113:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.221:0.221:0.221) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.190:0.190:0.190) (0.280:0.280:0.280)) - (IOPATH A1 X (0.159:0.159:0.159) (0.273:0.273:0.273)) - (IOPATH S X (0.240:0.240:0.240) (0.359:0.359:0.359)) - (IOPATH S X (0.185:0.185:0.185) (0.287:0.287:0.287)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.094:0.095:0.096) (0.119:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.268:0.268) (0.221:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.171:0.171:0.171) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.060:0.060) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.167:0.167:0.167) (0.217:0.217:0.217)) - (IOPATH B Y (0.084:0.084:0.084) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.218:0.218:0.218)) - (IOPATH B X (0.227:0.227:0.227) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.297:0.297:0.297) (0.141:0.141:0.141)) - (IOPATH A X (0.271:0.271:0.271) (0.229:0.229:0.229)) - (IOPATH B X (0.253:0.253:0.253) (0.110:0.110:0.110)) - (IOPATH B X (0.261:0.261:0.261) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.198:0.198:0.198)) - (IOPATH B X (0.170:0.170:0.170) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.211:0.211:0.211)) - (IOPATH B X (0.169:0.169:0.169) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.176:0.176) (0.332:0.333:0.333)) - (IOPATH A2 X (0.205:0.208:0.210) (0.366:0.372:0.379)) - (IOPATH B1 X (0.127:0.127:0.127) (0.334:0.334:0.335)) - (IOPATH C1 X (0.126:0.126:0.126) (0.296:0.296:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.154:0.154:0.154) (0.070:0.070:0.070)) - (IOPATH B Y (0.121:0.121:0.121) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.065:0.065:0.065)) - (IOPATH B Y (0.076:0.076:0.076) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.146:0.146:0.146) (0.177:0.179:0.182)) - (IOPATH B Y (0.070:0.073:0.075) (0.061:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.192:0.193:0.193) (0.191:0.194:0.196)) - (IOPATH B X (0.193:0.194:0.195) (0.216:0.217:0.217)) - (IOPATH C X (0.197:0.197:0.198) (0.218:0.221:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.171:0.173:0.175) (0.069:0.070:0.070)) - (IOPATH A2 Y (0.186:0.187:0.187) (0.069:0.070:0.070)) - (IOPATH B1 Y (0.147:0.149:0.151) (0.044:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.149:0.150:0.150) (0.053:0.053:0.053)) - (IOPATH B Y (0.122:0.125:0.128) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.043:0.043:0.043) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.177:0.178:0.178) (0.087:0.087:0.087)) - (IOPATH A2 Y (0.186:0.193:0.200) (0.112:0.114:0.116)) - (IOPATH B1 Y (0.148:0.149:0.149) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.059:0.059:0.059)) - (IOPATH B Y (0.097:0.097:0.097) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.135:0.137:0.139) (0.058:0.058:0.058)) - (IOPATH B Y (0.120:0.120:0.120) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.150:0.150:0.151) (0.143:0.151:0.160)) - (IOPATH A Y (0.190:0.198:0.206) (0.104:0.105:0.105)) - (IOPATH B Y (0.142:0.142:0.142) (0.136:0.137:0.139)) - (IOPATH B Y (0.164:0.165:0.167) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.159:0.159:0.159) (0.392:0.392:0.392)) - (IOPATH A2 X (0.168:0.168:0.168) (0.381:0.382:0.383)) - (IOPATH A3 X (0.179:0.179:0.180) (0.338:0.340:0.343)) - (IOPATH B1 X (0.153:0.153:0.153) (0.196:0.196:0.196)) - (IOPATH B2 X (0.149:0.166:0.184) (0.194:0.196:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.046:0.046:0.046)) - (IOPATH B Y (0.075:0.078:0.081) (0.059:0.069:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.144:0.144:0.145)) - (IOPATH A Y (0.163:0.163:0.164) (0.085:0.085:0.085)) - (IOPATH B Y (0.144:0.145:0.147) (0.136:0.143:0.150)) - (IOPATH B Y (0.133:0.139:0.146) (0.089:0.090:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.108:0.123:0.138) (0.172:0.175:0.179)) - (IOPATH B Y (0.055:0.055:0.055) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.072:0.072:0.072)) - (IOPATH B Y (0.077:0.077:0.077) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.173:0.173:0.173) (0.084:0.084:0.084)) - (IOPATH B Y (0.140:0.140:0.140) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.052:0.057) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.126:0.126:0.126) (0.199:0.199:0.199)) - (IOPATH B Y (0.071:0.075:0.080) (0.074:0.075:0.075)) - (IOPATH C Y (0.079:0.079:0.079) (0.075:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.164:0.164:0.164)) - (IOPATH B X (0.138:0.149:0.161) (0.187:0.191:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.114:0.114:0.115) (0.184:0.186:0.189)) - (IOPATH A2 X (0.120:0.123:0.126) (0.215:0.217:0.220)) - (IOPATH B1 X (0.087:0.087:0.087) (0.185:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.253:0.253:0.253)) - (IOPATH A2 X (0.190:0.190:0.190) (0.233:0.235:0.237)) - (IOPATH B1 X (0.189:0.189:0.190) (0.150:0.154:0.157)) - (IOPATH C1 X (0.177:0.179:0.180) (0.133:0.136:0.139)) - (IOPATH D1 X (0.163:0.164:0.165) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.249:0.249:0.249) (0.119:0.119:0.119)) - (IOPATH A X (0.225:0.225:0.225) (0.212:0.212:0.212)) - (IOPATH B X (0.212:0.212:0.212) (0.100:0.100:0.100)) - (IOPATH B X (0.222:0.222:0.222) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.040:0.043) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.181:0.181:0.181)) - (IOPATH B X (0.142:0.142:0.142) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.176:0.177:0.177) (0.221:0.221:0.221)) - (IOPATH A2 X (0.200:0.200:0.200) (0.267:0.269:0.271)) - (IOPATH A3 X (0.199:0.199:0.200) (0.283:0.283:0.284)) - (IOPATH B1 X (0.133:0.133:0.133) (0.240:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.145:0.147) (0.138:0.144:0.150)) - (IOPATH A Y (0.164:0.169:0.174) (0.099:0.100:0.102)) - (IOPATH B Y (0.118:0.118:0.119) (0.135:0.135:0.136)) - (IOPATH B Y (0.139:0.140:0.141) (0.069:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.138:0.138) (0.162:0.162:0.162)) - (IOPATH B X (0.141:0.153:0.165) (0.189:0.194:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.147:0.147:0.147) (0.205:0.205:0.205)) - (IOPATH A2 X (0.140:0.140:0.141) (0.186:0.189:0.191)) - (IOPATH B1_N X (0.226:0.230:0.234) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.058:0.063) (0.058:0.059:0.060)) - (IOPATH B Y (0.063:0.064:0.065) (0.053:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.055:0.055:0.055)) - (IOPATH B Y (0.068:0.068:0.068) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.268:0.268:0.268)) - (IOPATH A2 X (0.191:0.201:0.212) (0.256:0.260:0.264)) - (IOPATH B1 X (0.191:0.192:0.194) (0.141:0.146:0.151)) - (IOPATH C1 X (0.185:0.186:0.186) (0.124:0.127:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.233:0.234) (0.407:0.410:0.413)) - (IOPATH A2 X (0.231:0.231:0.231) (0.395:0.395:0.395)) - (IOPATH A3 X (0.211:0.211:0.212) (0.353:0.354:0.356)) - (IOPATH B1 X (0.239:0.239:0.239) (0.164:0.167:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.276:0.276:0.277) (0.211:0.218:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.182:0.182:0.182)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.185) (0.203:0.203:0.203)) - (IOPATH B X (0.198:0.198:0.198) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.183:0.183:0.183) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.152:0.152) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.213:0.213) (0.100:0.100:0.100)) - (IOPATH B Y (0.183:0.183:0.183) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.099:0.099:0.099) (0.107:0.107:0.107)) - (IOPATH B Y (0.098:0.098:0.098) (0.118:0.118:0.118)) - (IOPATH C Y (0.098:0.098:0.099) (0.108:0.108:0.108)) - (IOPATH D Y (0.095:0.099:0.102) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.090:0.090) (0.085:0.085:0.085)) - (IOPATH B Y (0.092:0.092:0.092) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.085:0.085:0.085)) - (IOPATH B Y (0.188:0.188:0.188) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.087:0.087:0.087)) - (IOPATH B Y (0.103:0.104:0.106) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.159:0.163) (0.052:0.053:0.054)) - (IOPATH B Y (0.140:0.142:0.144) (0.056:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.236:0.236:0.236) (0.074:0.074:0.074)) - (IOPATH B Y (0.219:0.219:0.219) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.187:0.187) (0.178:0.184:0.190)) - (IOPATH B X (0.182:0.182:0.182) (0.177:0.178:0.179)) - (IOPATH C X (0.204:0.204:0.204) (0.201:0.201:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.288:0.291:0.294) (0.233:0.245:0.257)) - (IOPATH B X (0.237:0.237:0.237) (0.223:0.223:0.223)) - (IOPATH C X (0.242:0.242:0.242) (0.235:0.235:0.235)) - (IOPATH D X (0.250:0.250:0.250) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.131:0.132:0.132) (0.072:0.072:0.072)) - (IOPATH A2 Y (0.096:0.100:0.104) (0.060:0.060:0.060)) - (IOPATH B1 Y (0.044:0.044:0.044) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.283:0.284:0.284) (0.230:0.230:0.230)) - (IOPATH B X (0.226:0.227:0.228) (0.211:0.215:0.218)) - (IOPATH C X (0.235:0.237:0.240) (0.235:0.238:0.241)) - (IOPATH D X (0.238:0.244:0.249) (0.242:0.244:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.260:0.260:0.260)) - (IOPATH A2 X (0.190:0.190:0.190) (0.237:0.239:0.242)) - (IOPATH B1 X (0.179:0.179:0.179) (0.138:0.141:0.145)) - (IOPATH C1 X (0.166:0.167:0.169) (0.114:0.117:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.130:0.130:0.130) (0.210:0.211:0.211)) - (IOPATH B Y (0.091:0.092:0.093) (0.115:0.115:0.115)) - (IOPATH C Y (0.096:0.100:0.104) (0.122:0.122:0.122)) - (IOPATH D Y (0.095:0.098:0.102) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.248:0.249:0.249) (0.215:0.216:0.216)) - (IOPATH B X (0.209:0.209:0.209) (0.216:0.217:0.218)) - (IOPATH C X (0.211:0.214:0.218) (0.249:0.250:0.251)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.363:0.363:0.363)) - (IOPATH A2 X (0.183:0.183:0.183) (0.350:0.350:0.350)) - (IOPATH A3 X (0.128:0.131:0.133) (0.300:0.302:0.305)) - (IOPATH B1 X (0.147:0.147:0.147) (0.118:0.118:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.183:0.183:0.184) (0.161:0.167:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.164:0.164:0.164) (0.175:0.175:0.175)) - (IOPATH A Y (0.233:0.233:0.233) (0.119:0.119:0.119)) - (IOPATH B Y (0.168:0.168:0.168) (0.174:0.174:0.174)) - (IOPATH B Y (0.212:0.212:0.212) (0.116:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.132:0.132:0.132) (0.066:0.066:0.066)) - (IOPATH B Y (0.111:0.117:0.122) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.196:0.196:0.196)) - (IOPATH B X (0.193:0.193:0.194) (0.221:0.227:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.210:0.211:0.211) (0.077:0.078:0.078)) - (IOPATH B Y (0.190:0.190:0.190) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.212:0.213) (0.183:0.187:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.129:0.129:0.129)) - (IOPATH B Y (0.143:0.143:0.143) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.101:0.101) (0.098:0.098:0.098)) - (IOPATH B Y (0.111:0.114:0.117) (0.089:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.158:0.158:0.158) (0.169:0.169:0.169)) - (IOPATH A Y (0.226:0.226:0.226) (0.112:0.112:0.112)) - (IOPATH B Y (0.157:0.157:0.157) (0.163:0.169:0.175)) - (IOPATH B Y (0.200:0.206:0.211) (0.104:0.104:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.047:0.048:0.048) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.241:0.247:0.252)) - (IOPATH A2 X (0.166:0.166:0.166) (0.249:0.249:0.249)) - (IOPATH B1 X (0.153:0.153:0.153) (0.232:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.230:0.231:0.232) (0.285:0.289:0.292)) - (IOPATH A2 X (0.239:0.257:0.275) (0.312:0.315:0.317)) - (IOPATH A3 X (0.248:0.248:0.248) (0.311:0.311:0.312)) - (IOPATH B1 X (0.220:0.220:0.220) (0.276:0.276:0.276)) - (IOPATH B2 X (0.230:0.230:0.230) (0.295:0.295:0.295)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.079:0.081) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.208:0.227) (0.264:0.267:0.270)) - (IOPATH A2 X (0.236:0.236:0.236) (0.281:0.282:0.284)) - (IOPATH A3 X (0.212:0.212:0.212) (0.289:0.289:0.290)) - (IOPATH B1 X (0.148:0.148:0.148) (0.241:0.241:0.241)) - (IOPATH B2 X (0.189:0.189:0.189) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.152:0.152) (0.161:0.161:0.161)) - (IOPATH A Y (0.193:0.193:0.193) (0.106:0.106:0.106)) - (IOPATH B Y (0.150:0.150:0.150) (0.159:0.159:0.159)) - (IOPATH B Y (0.170:0.170:0.170) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.137:0.138) (0.167:0.167:0.168)) - (IOPATH B X (0.133:0.147:0.160) (0.185:0.189:0.193)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.123:0.124:0.124) (0.059:0.059:0.060)) - (IOPATH A2 Y (0.101:0.104:0.108) (0.046:0.054:0.061)) - (IOPATH B1 Y (0.061:0.061:0.061) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.181:0.181:0.181) (0.248:0.248:0.248)) - (IOPATH A2 X (0.152:0.152:0.152) (0.224:0.224:0.224)) - (IOPATH B1 X (0.124:0.124:0.124) (0.188:0.189:0.189)) - (IOPATH B2 X (0.112:0.119:0.126) (0.166:0.170:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.158:0.159:0.161) (0.101:0.101:0.101)) - (IOPATH A2 Y (0.180:0.181:0.181) (0.085:0.086:0.086)) - (IOPATH B1 Y (0.141:0.142:0.142) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.118:0.131) (0.129:0.133:0.136)) - (IOPATH A Y (0.137:0.140:0.143) (0.064:0.075:0.086)) - (IOPATH B Y (0.114:0.115:0.115) (0.113:0.121:0.129)) - (IOPATH B Y (0.099:0.106:0.114) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.158:0.158:0.158) (0.264:0.264:0.264)) - (IOPATH A1 X (0.125:0.136:0.146) (0.247:0.250:0.254)) - (IOPATH S X (0.223:0.223:0.223) (0.342:0.342:0.342)) - (IOPATH S X (0.166:0.166:0.166) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.085:0.086:0.086) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.129:0.131) (0.089:0.089:0.089)) - (IOPATH A X (0.135:0.135:0.135) (0.158:0.159:0.161)) - (IOPATH B X (0.111:0.111:0.112) (0.068:0.068:0.068)) - (IOPATH B X (0.127:0.127:0.127) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.165:0.165:0.165) (0.261:0.261:0.261)) - (IOPATH A1 X (0.135:0.138:0.142) (0.246:0.250:0.254)) - (IOPATH S X (0.222:0.222:0.222) (0.342:0.342:0.342)) - (IOPATH S X (0.166:0.166:0.166) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.089) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.167:0.167:0.167) (0.273:0.273:0.273)) - (IOPATH A1 X (0.200:0.200:0.200) (0.263:0.264:0.264)) - (IOPATH S X (0.243:0.243:0.243) (0.362:0.362:0.362)) - (IOPATH S X (0.193:0.193:0.193) (0.289:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.227:0.227:0.227) (0.293:0.293:0.293)) - (IOPATH A2 X (0.206:0.207:0.207) (0.268:0.268:0.268)) - (IOPATH B1 X (0.196:0.196:0.197) (0.150:0.151:0.151)) - (IOPATH C1 X (0.190:0.190:0.191) (0.126:0.132:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.152:0.152:0.152)) - (IOPATH A Y (0.165:0.165:0.165) (0.096:0.096:0.096)) - (IOPATH B Y (0.107:0.107:0.107) (0.123:0.126:0.129)) - (IOPATH B Y (0.117:0.119:0.122) (0.061:0.061:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.133:0.147) (0.144:0.146:0.149)) - (IOPATH A Y (0.169:0.172:0.174) (0.077:0.090:0.103)) - (IOPATH B Y (0.122:0.122:0.123) (0.140:0.141:0.141)) - (IOPATH B Y (0.144:0.144:0.145) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.254:0.254:0.254) (0.229:0.229:0.229)) - (IOPATH A2_N X (0.229:0.233:0.237) (0.202:0.215:0.228)) - (IOPATH B1 X (0.189:0.189:0.189) (0.272:0.272:0.272)) - (IOPATH B2 X (0.156:0.156:0.156) (0.245:0.245:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.145:0.146) (0.088:0.088:0.088)) - (IOPATH B Y (0.122:0.123:0.123) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.091:0.091:0.091)) - (IOPATH A X (0.137:0.137:0.137) (0.181:0.181:0.181)) - (IOPATH B X (0.099:0.104:0.110) (0.068:0.068:0.068)) - (IOPATH B X (0.128:0.128:0.128) (0.127:0.133:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.253:0.253:0.253)) - (IOPATH A1 X (0.135:0.139:0.143) (0.245:0.250:0.254)) - (IOPATH S X (0.222:0.222:0.222) (0.341:0.341:0.341)) - (IOPATH S X (0.165:0.165:0.165) (0.269:0.269:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.085:0.086:0.086) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.059:0.059) (0.049:0.049:0.049)) - (IOPATH B Y (0.070:0.070:0.070) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.137:0.137:0.137) (0.213:0.213:0.213)) - (IOPATH A2 X (0.113:0.113:0.113) (0.188:0.189:0.189)) - (IOPATH B1 X (0.110:0.111:0.112) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.209:0.209:0.209)) - (IOPATH B X (0.236:0.236:0.236) (0.241:0.241:0.241)) - (IOPATH C X (0.240:0.240:0.240) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.201:0.201:0.201) (0.214:0.214:0.214)) - (IOPATH B X (0.183:0.183:0.183) (0.218:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.145:0.145:0.145) (0.218:0.218:0.218)) - (IOPATH A2 X (0.112:0.112:0.112) (0.187:0.187:0.187)) - (IOPATH B1 X (0.149:0.149:0.149) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.138:0.138:0.138) (0.188:0.188:0.188)) - (IOPATH B Y (0.062:0.063:0.063) (0.055:0.055:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.266:0.266:0.266)) - (IOPATH A2 X (0.161:0.161:0.161) (0.230:0.231:0.232)) - (IOPATH B1 X (0.155:0.155:0.156) (0.118:0.121:0.123)) - (IOPATH C1 X (0.188:0.188:0.188) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.149:0.149:0.149) (0.076:0.076:0.076)) - (IOPATH A2 Y (0.160:0.160:0.160) (0.084:0.084:0.084)) - (IOPATH B1 Y (0.125:0.125:0.125) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.107:0.107:0.107)) - (IOPATH B Y (0.112:0.113:0.113) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.118:0.119:0.120) (0.058:0.059:0.059)) - (IOPATH A2 Y (0.094:0.096:0.098) (0.052:0.052:0.053)) - (IOPATH B1 Y (0.058:0.060:0.062) (0.056:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.180:0.180:0.180)) - (IOPATH B X (0.127:0.133:0.139) (0.173:0.176:0.178)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.089) (0.109:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.137:0.137:0.137) (0.158:0.158:0.158)) - (IOPATH A Y (0.179:0.179:0.179) (0.093:0.093:0.093)) - (IOPATH B Y (0.137:0.137:0.137) (0.148:0.148:0.148)) - (IOPATH B Y (0.148:0.148:0.148) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.124:0.126:0.128) (0.059:0.059:0.060)) - (IOPATH A2 Y (0.126:0.129:0.133) (0.048:0.059:0.069)) - (IOPATH B1_N Y (0.181:0.181:0.181) (0.166:0.166:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.075:0.075:0.075)) - (IOPATH B Y (0.078:0.078:0.078) (0.084:0.084:0.084)) - (IOPATH C Y (0.075:0.078:0.080) (0.072:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.135:0.135:0.135) (0.253:0.253:0.253)) - (IOPATH A1 X (0.134:0.134:0.134) (0.255:0.255:0.255)) - (IOPATH S X (0.232:0.232:0.232) (0.350:0.350:0.350)) - (IOPATH S X (0.177:0.177:0.177) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.095:0.096:0.096) (0.120:0.120:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.133:0.133) (0.250:0.250:0.250)) - (IOPATH A1 X (0.133:0.133:0.133) (0.253:0.253:0.253)) - (IOPATH S X (0.229:0.229:0.229) (0.347:0.347:0.347)) - (IOPATH S X (0.174:0.174:0.174) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.089:0.089) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.109:0.109:0.109) (0.172:0.172:0.172)) - (IOPATH B Y (0.080:0.080:0.080) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.043:0.043:0.043)) - (IOPATH B Y (0.083:0.083:0.083) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.243:0.243:0.243) (0.119:0.119:0.119)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.095:0.098:0.101) (0.074:0.077:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.179:0.179:0.179) (0.082:0.082:0.082)) - (IOPATH B Y (0.146:0.146:0.146) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.272:0.272:0.272) (0.264:0.264:0.264)) - (IOPATH B X (0.215:0.215:0.215) (0.232:0.233:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.216:0.216:0.216) (0.206:0.206:0.206)) - (IOPATH B X (0.242:0.242:0.242) (0.237:0.237:0.237)) - (IOPATH C X (0.224:0.224:0.224) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.054:0.054:0.054)) - (IOPATH B Y (0.092:0.092:0.093) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.191:0.191:0.191) (0.085:0.085:0.085)) - (IOPATH B Y (0.147:0.147:0.147) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.277:0.277:0.277) (0.120:0.120:0.120)) - (IOPATH B Y (0.230:0.235:0.239) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.219:0.219:0.219) (0.209:0.210:0.212)) - (IOPATH B X (0.273:0.273:0.273) (0.250:0.253:0.256)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.226:0.226:0.226)) - (IOPATH A2 X (0.152:0.152:0.152) (0.225:0.225:0.225)) - (IOPATH B1 X (0.152:0.152:0.152) (0.227:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.165:0.165:0.165) (0.066:0.066:0.066)) - (IOPATH B Y (0.151:0.151:0.151) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.141:0.141:0.141) (0.069:0.069:0.069)) - (IOPATH B Y (0.116:0.116:0.116) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.203:0.203:0.203) (0.348:0.348:0.348)) - (IOPATH A2 X (0.177:0.177:0.177) (0.368:0.368:0.368)) - (IOPATH B1 X (0.170:0.170:0.170) (0.346:0.349:0.352)) - (IOPATH C1 X (0.154:0.154:0.154) (0.309:0.309:0.310)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.228:0.228:0.228)) - (IOPATH A2 X (0.160:0.160:0.160) (0.229:0.229:0.229)) - (IOPATH B1 X (0.184:0.184:0.184) (0.227:0.229:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.252:0.252:0.252) (0.237:0.237:0.237)) - (IOPATH B X (0.243:0.243:0.243) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.103:0.103:0.103)) - (IOPATH B Y (0.135:0.135:0.136) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.251:0.251:0.251) (0.309:0.309:0.309)) - (IOPATH A2 X (0.216:0.216:0.216) (0.271:0.271:0.271)) - (IOPATH B1 X (0.237:0.238:0.239) (0.180:0.180:0.180)) - (IOPATH C1 X (0.282:0.282:0.282) (0.155:0.158:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.227:0.227:0.227)) - (IOPATH A2 X (0.155:0.155:0.155) (0.226:0.226:0.226)) - (IOPATH B1 X (0.143:0.143:0.144) (0.218:0.221:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.200:0.200:0.200) (0.092:0.092:0.092)) - (IOPATH B Y (0.177:0.177:0.177) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.084:0.086) (0.095:0.095:0.095)) - (IOPATH B Y (0.091:0.092:0.093) (0.077:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.243:0.244:0.245) (0.305:0.308:0.312)) - (IOPATH A2 X (0.243:0.244:0.244) (0.297:0.297:0.297)) - (IOPATH B1 X (0.300:0.300:0.300) (0.179:0.182:0.185)) - (IOPATH C1 X (0.230:0.231:0.232) (0.153:0.158:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.184:0.184:0.184) (0.227:0.227:0.227)) - (IOPATH A2 X (0.156:0.156:0.156) (0.227:0.227:0.227)) - (IOPATH B1 X (0.150:0.150:0.150) (0.220:0.223:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.204:0.204:0.204) (0.109:0.109:0.109)) - (IOPATH A2 Y (0.188:0.193:0.198) (0.099:0.099:0.099)) - (IOPATH B1 Y (0.183:0.183:0.183) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.227:0.227:0.227)) - (IOPATH A2 X (0.157:0.157:0.157) (0.227:0.227:0.227)) - (IOPATH B1 X (0.161:0.161:0.161) (0.223:0.227:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.120:0.120:0.120)) - (IOPATH B Y (0.126:0.127:0.128) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.162:0.162:0.162) (0.223:0.223:0.223)) - (IOPATH A2 X (0.131:0.131:0.132) (0.208:0.209:0.211)) - (IOPATH B1 X (0.149:0.149:0.149) (0.127:0.127:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.229:0.229:0.229)) - (IOPATH A2 X (0.161:0.161:0.161) (0.231:0.231:0.231)) - (IOPATH B1 X (0.124:0.125:0.125) (0.212:0.214:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.223:0.223:0.223) (0.286:0.286:0.286)) - (IOPATH A2 X (0.206:0.206:0.206) (0.295:0.295:0.295)) - (IOPATH B1 X (0.252:0.252:0.253) (0.259:0.261:0.264)) - (IOPATH B2 X (0.192:0.193:0.194) (0.270:0.274:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.230:0.231) (0.200:0.203:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.175:0.175:0.175) (0.244:0.244:0.244)) - (IOPATH A2 X (0.130:0.130:0.130) (0.211:0.211:0.211)) - (IOPATH B1 X (0.151:0.152:0.153) (0.208:0.208:0.208)) - (IOPATH B2 X (0.153:0.153:0.153) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.225:0.225:0.225) (0.288:0.288:0.288)) - (IOPATH A2 X (0.207:0.207:0.207) (0.295:0.295:0.295)) - (IOPATH B1 X (0.209:0.209:0.210) (0.255:0.258:0.261)) - (IOPATH B2 X (0.183:0.183:0.183) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.099:0.099:0.099) (0.154:0.154:0.154)) - (IOPATH B Y (0.077:0.077:0.077) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.248:0.248:0.248) (0.415:0.415:0.415)) - (IOPATH A2 X (0.223:0.224:0.224) (0.390:0.392:0.394)) - (IOPATH A3 X (0.233:0.233:0.233) (0.347:0.349:0.352)) - (IOPATH B1 X (0.205:0.207:0.209) (0.151:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.073:0.073:0.073)) - (IOPATH B Y (0.080:0.080:0.080) (0.067:0.067:0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.271:0.272:0.273) (0.311:0.311:0.311)) - (IOPATH A2 X (0.321:0.322:0.322) (0.329:0.332:0.335)) - (IOPATH A3 X (0.262:0.262:0.263) (0.313:0.316:0.320)) - (IOPATH B1 X (0.204:0.204:0.204) (0.262:0.262:0.262)) - (IOPATH B2 X (0.245:0.245:0.245) (0.301:0.301:0.301)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.156:0.156:0.156) (0.217:0.217:0.217)) - (IOPATH A2 X (0.125:0.125:0.126) (0.202:0.202:0.202)) - (IOPATH B1 X (0.183:0.183:0.183) (0.120:0.123:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.189:0.189:0.189) (0.234:0.234:0.234)) - (IOPATH A2 X (0.163:0.163:0.163) (0.236:0.236:0.236)) - (IOPATH B1 X (0.124:0.125:0.126) (0.217:0.218:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.160:0.160:0.160) (0.221:0.221:0.221)) - (IOPATH A2 X (0.129:0.130:0.130) (0.206:0.208:0.209)) - (IOPATH B1 X (0.147:0.147:0.148) (0.125:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.211:0.211:0.211) (0.247:0.247:0.247)) - (IOPATH A2 X (0.156:0.156:0.156) (0.229:0.229:0.229)) - (IOPATH B1 X (0.120:0.121:0.121) (0.212:0.213:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.135:0.135:0.135) (0.295:0.295:0.295)) - (IOPATH A2 X (0.149:0.149:0.149) (0.332:0.332:0.332)) - (IOPATH B1 X (0.120:0.120:0.120) (0.303:0.303:0.303)) - (IOPATH C1 X (0.102:0.102:0.102) (0.261:0.261:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.133:0.133:0.133) (0.078:0.078:0.078)) - (IOPATH A2 Y (0.096:0.099:0.102) (0.060:0.060:0.060)) - (IOPATH B1 Y (0.057:0.057:0.058) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.224:0.225:0.226) (0.219:0.219:0.219)) - (IOPATH B X (0.160:0.161:0.161) (0.186:0.187:0.187)) - (IOPATH C X (0.172:0.176:0.180) (0.198:0.199:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.212:0.212:0.212) (0.281:0.281:0.281)) - (IOPATH A2 X (0.183:0.183:0.183) (0.281:0.281:0.281)) - (IOPATH B1 X (0.196:0.196:0.197) (0.248:0.251:0.254)) - (IOPATH B2 X (0.174:0.174:0.174) (0.258:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076:0.076:0.076) (0.068:0.068:0.068)) - (IOPATH B Y (0.069:0.071:0.074) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.187:0.187:0.187)) - (IOPATH B X (0.181:0.181:0.181) (0.199:0.203:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.268:0.268:0.268)) - (IOPATH A2 X (0.168:0.168:0.168) (0.268:0.268:0.268)) - (IOPATH B1 X (0.153:0.154:0.154) (0.231:0.232:0.234)) - (IOPATH B2 X (0.162:0.162:0.162) (0.249:0.249:0.249)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.175:0.176:0.177) (0.229:0.230:0.232)) - (IOPATH B Y (0.120:0.120:0.120) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.112:0.112:0.112) (0.098:0.098:0.098)) - (IOPATH B Y (0.092:0.094:0.095) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.169:0.170:0.171) (0.256:0.258:0.261)) - (IOPATH A2 X (0.156:0.156:0.157) (0.241:0.242:0.244)) - (IOPATH B1 X (0.147:0.148:0.149) (0.213:0.214:0.215)) - (IOPATH B2 X (0.164:0.164:0.164) (0.201:0.201:0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.225:0.225) (0.208:0.209:0.209)) - (IOPATH B X (0.216:0.216:0.217) (0.231:0.231:0.231)) - (IOPATH C X (0.207:0.207:0.207) (0.228:0.229:0.229)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.243:0.243:0.243)) - (IOPATH A2 X (0.152:0.152:0.152) (0.226:0.226:0.226)) - (IOPATH B1 X (0.131:0.131:0.131) (0.216:0.217:0.218)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.084:0.084:0.084)) - (IOPATH B Y (0.084:0.084:0.084) (0.091:0.091:0.091)) - (IOPATH C Y (0.082:0.082:0.082) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.127:0.128:0.129) (0.215:0.217:0.218)) - (IOPATH B Y (0.095:0.095:0.095) (0.102:0.102:0.102)) - (IOPATH C Y (0.106:0.106:0.106) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.190:0.190:0.190) (0.181:0.185:0.188)) - (IOPATH B X (0.177:0.177:0.177) (0.198:0.199:0.199)) - (IOPATH C X (0.185:0.188:0.191) (0.222:0.223:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.236:0.236:0.236) (0.312:0.312:0.312)) - (IOPATH A2 X (0.196:0.196:0.196) (0.271:0.271:0.271)) - (IOPATH B1 X (0.198:0.198:0.199) (0.261:0.262:0.263)) - (IOPATH B2 X (0.222:0.222:0.222) (0.250:0.250:0.250)) - (IOPATH C1 X (0.192:0.192:0.193) (0.141:0.141:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.222:0.223:0.224) (0.282:0.286:0.290)) - (IOPATH A2 X (0.234:0.234:0.234) (0.303:0.304:0.305)) - (IOPATH A3 X (0.237:0.237:0.237) (0.297:0.301:0.305)) - (IOPATH B1 X (0.184:0.184:0.184) (0.252:0.252:0.252)) - (IOPATH B2 X (0.219:0.219:0.219) (0.288:0.288:0.288)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.228:0.228:0.228) (0.258:0.258:0.258)) - (IOPATH A2 X (0.182:0.182:0.182) (0.246:0.246:0.246)) - (IOPATH B1 X (0.147:0.147:0.147) (0.229:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.209:0.209:0.209) (0.279:0.279:0.279)) - (IOPATH A2 X (0.187:0.187:0.187) (0.284:0.284:0.284)) - (IOPATH B1 X (0.197:0.197:0.197) (0.254:0.254:0.254)) - (IOPATH B2 X (0.185:0.186:0.186) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.250:0.250:0.250)) - (IOPATH A2 X (0.138:0.139:0.140) (0.223:0.225:0.227)) - (IOPATH B1 X (0.154:0.155:0.156) (0.213:0.215:0.217)) - (IOPATH B2 X (0.139:0.140:0.141) (0.193:0.194:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.178:0.179) (0.260:0.263:0.266)) - (IOPATH A2 X (0.177:0.178:0.178) (0.251:0.251:0.252)) - (IOPATH B1 X (0.167:0.167:0.168) (0.128:0.131:0.135)) - (IOPATH C1 X (0.153:0.153:0.154) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.222:0.222:0.222) (0.270:0.270:0.270)) - (IOPATH A2 X (0.178:0.178:0.178) (0.230:0.231:0.232)) - (IOPATH B1 X (0.191:0.191:0.192) (0.151:0.156:0.160)) - (IOPATH C1 X (0.190:0.191:0.191) (0.152:0.152:0.152)) - (IOPATH D1 X (0.166:0.166:0.166) (0.110:0.114:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.150:0.150:0.150) (0.083:0.083:0.083)) - (IOPATH A2 Y (0.134:0.134:0.134) (0.073:0.073:0.073)) - (IOPATH B1 Y (0.074:0.074:0.074) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.071:0.071:0.071)) - (IOPATH B Y (0.064:0.065:0.066) (0.052:0.058:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.227:0.227:0.228) (0.269:0.272:0.275)) - (IOPATH A2 X (0.196:0.197:0.197) (0.276:0.279:0.282)) - (IOPATH A3 X (0.201:0.201:0.201) (0.276:0.282:0.288)) - (IOPATH B1 X (0.157:0.157:0.157) (0.235:0.235:0.235)) - (IOPATH B2 X (0.187:0.187:0.187) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.182:0.182:0.182) (0.366:0.366:0.366)) - (IOPATH A2 X (0.170:0.170:0.170) (0.344:0.344:0.344)) - (IOPATH A3 X (0.136:0.136:0.137) (0.300:0.301:0.302)) - (IOPATH B1 X (0.152:0.152:0.152) (0.121:0.121:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.236:0.236:0.236)) - (IOPATH A2 X (0.151:0.151:0.151) (0.224:0.224:0.224)) - (IOPATH B1 X (0.113:0.113:0.114) (0.202:0.207:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073:0.073:0.073) (0.080:0.080:0.080)) - (IOPATH B Y (0.087:0.087:0.087) (0.094:0.094:0.094)) - (IOPATH C Y (0.080:0.080:0.081) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.196:0.196:0.196) (0.262:0.263:0.264)) - (IOPATH A2 X (0.204:0.205:0.205) (0.283:0.287:0.290)) - (IOPATH A3 X (0.204:0.205:0.206) (0.286:0.290:0.294)) - (IOPATH B1 X (0.156:0.156:0.156) (0.236:0.236:0.236)) - (IOPATH B2 X (0.190:0.190:0.190) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.237:0.237:0.237)) - (IOPATH A2 X (0.143:0.143:0.143) (0.219:0.219:0.219)) - (IOPATH B1 X (0.141:0.141:0.141) (0.207:0.209:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.198:0.198:0.198) (0.270:0.270:0.270)) - (IOPATH A2 X (0.170:0.170:0.170) (0.271:0.271:0.271)) - (IOPATH B1 X (0.175:0.175:0.175) (0.233:0.234:0.235)) - (IOPATH B2 X (0.204:0.204:0.204) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.204:0.204:0.204) (0.276:0.276:0.276)) - (IOPATH A2 X (0.180:0.180:0.180) (0.279:0.279:0.279)) - (IOPATH B1 X (0.175:0.175:0.175) (0.241:0.242:0.243)) - (IOPATH B2 X (0.169:0.172:0.175) (0.263:0.264:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.094:0.094:0.094)) - (IOPATH B Y (0.122:0.122:0.122) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.263:0.264) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.136:0.136) (0.073:0.073:0.073)) - (IOPATH B Y (0.106:0.106:0.106) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.078:0.078:0.078)) - (IOPATH B Y (0.114:0.114:0.114) (0.061:0.061:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.066:0.066:0.066)) - (IOPATH B Y (0.103:0.103:0.103) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.064:0.064:0.064)) - (IOPATH B Y (0.099:0.099:0.099) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.065:0.065:0.065)) - (IOPATH B Y (0.102:0.102:0.102) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.064:0.064:0.064)) - (IOPATH B Y (0.099:0.099:0.099) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.249:0.249:0.250) (0.205:0.205:0.205)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.064:0.064:0.064)) - (IOPATH B Y (0.096:0.096:0.096) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.064:0.064:0.064)) - (IOPATH B Y (0.097:0.097:0.097) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.066:0.066:0.066)) - (IOPATH B Y (0.101:0.101:0.101) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.065:0.065:0.065)) - (IOPATH B Y (0.100:0.100:0.100) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.063:0.063:0.063)) - (IOPATH B Y (0.095:0.095:0.095) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.065:0.065:0.065)) - (IOPATH B Y (0.101:0.101:0.101) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.063:0.063:0.063)) - (IOPATH B Y (0.096:0.096:0.096) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.266:0.267) (0.214:0.214:0.214)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.064:0.064:0.064)) - (IOPATH B Y (0.102:0.102:0.102) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.066:0.066:0.066)) - (IOPATH B Y (0.106:0.106:0.106) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.065:0.065:0.065)) - (IOPATH B Y (0.104:0.104:0.104) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.291:0.291:0.291) (0.219:0.219:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.068:0.068:0.068)) - (IOPATH B Y (0.100:0.100:0.100) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.145:0.145:0.145) (0.078:0.078:0.078)) - (IOPATH B Y (0.119:0.119:0.119) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.069:0.069:0.069)) - (IOPATH B Y (0.103:0.103:0.103) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.069:0.069:0.069)) - (IOPATH B Y (0.102:0.102:0.102) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.068:0.068:0.068)) - (IOPATH B Y (0.097:0.097:0.097) (0.046:0.046:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.136:0.136) (0.073:0.073:0.073)) - (IOPATH B Y (0.107:0.107:0.107) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.069:0.069:0.069)) - (IOPATH B Y (0.099:0.099:0.099) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.368:0.368:0.368) (0.412:0.412:0.412)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.222:-0.222)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.058)) - (SETUP (negedge D) (posedge CLK) (0.104:0.105:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.368:0.368:0.368) (0.412:0.412:0.412)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.346:0.346)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.219:-0.219)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.361:0.361:0.361) (0.407:0.407:0.407)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.366:0.366:0.366) (0.411:0.411:0.411)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.340:0.341)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.030:-0.034:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057)) - (SETUP (negedge D) (posedge CLK) (0.103:0.108:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.463:0.463:0.463) (0.479:0.479:0.479)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.036:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.028:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.064:0.065:0.066)) - (SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.428:0.428:0.428) (0.456:0.456:0.456)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.341:0.341)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.438:0.438:0.438) (0.464:0.464:0.464)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.341:0.341)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.026:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.095:0.099:0.102)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.450:0.450:0.450) (0.470:0.470:0.470)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.341)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.414:0.414:0.414) (0.447:0.447:0.447)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.025:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054)) - (SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.384:0.384:0.384) (0.425:0.425:0.425)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.438:0.438:0.438) (0.462:0.462:0.462)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.340:0.340)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.029:-0.032)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.100:0.102:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.383:0.383:0.383) (0.424:0.424:0.424)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.035:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.032:-0.037)) - (SETUP (posedge D) (posedge CLK) (0.055:0.064:0.072)) - (SETUP (negedge D) (posedge CLK) (0.100:0.105:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.412:0.412:0.412) (0.445:0.445:0.445)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.340:0.341)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.431:0.431:0.431) (0.458:0.458:0.458)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.474:0.474:0.474) (0.487:0.487:0.487)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.222:-0.222)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028)) - (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.348:0.348:0.348) (0.396:0.396:0.396)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.400:0.400:0.400) (0.438:0.438:0.438)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.341:0.341)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.383:0.383:0.383) (0.425:0.425:0.425)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.218:-0.218:-0.218)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.407:0.407:0.407) (0.441:0.441:0.441)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.393:0.393:0.393) (0.432:0.432:0.432)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.406:0.406:0.406) (0.441:0.441:0.441)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.341:0.341)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.403:0.403:0.403) (0.439:0.439:0.439)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.221:-0.221:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.408:0.408:0.408) (0.442:0.442:0.442)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.100:0.112:0.124) (0.023:0.022:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.090:0.091:0.091) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.057:0.058:0.060) (0.119:0.121:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.048:0.048:0.049) (0.087:0.087:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.056:0.061:0.066) (0.119:0.125:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.045:0.046:0.046) (0.084:0.084:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.062:0.062:0.063) (0.126:0.126:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.049:0.049:0.049) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.052:0.052:0.053) (0.113:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.051:0.051:0.051) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.210:0.210:0.210) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.138:0.138) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.001:0.001:0.001)) - (IOPATH TE_B Z (0.059:0.059:0.060) (0.122:0.122:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.003:0.003:0.003)) - (IOPATH TE_B Z (0.048:0.048:0.048) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.026:0.026:0.026) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004)) - (IOPATH TE_B Z (0.053:0.053:0.053) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.047:0.047:0.048) (0.085:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.181) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.093:0.093:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.051:0.052:0.052) (0.092:0.092:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.033:0.033:0.033) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.053:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.050:0.050:0.051) (0.090:0.090:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.053:0.053:0.054) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.047:0.048:0.048) (0.086:0.086:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.058:0.058:0.058) (0.120:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.045:0.046:0.046) (0.083:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.030:0.030:0.030) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.058:0.058:0.059) (0.121:0.121:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.048:0.048:0.048) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.034) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.339:0.339:0.339)) - (IOPATH B X (0.145:0.145:0.146) (0.305:0.306:0.306)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.084:0.084:0.084) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.001:-0.001:-0.001) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.058:0.058:0.058) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.049:0.050:0.050) (0.089:0.089:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.102:0.102:0.102) (0.025:0.025:0.025)) - ) - ) - ) -) diff --git a/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ff.sdf b/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ff.sdf deleted file mode 100644 index 75cb0dc1..00000000 --- a/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ff.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:52 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.111:0.111:0.111) (0.048:0.048:0.048)) - (INTERCONNECT dco _349_.A (0.112:0.112:0.112) (0.048:0.048:0.048)) - (INTERCONNECT dco _358_.A (0.112:0.112:0.112) (0.048:0.048:0.048)) - (INTERCONNECT dco _361_.B (0.113:0.113:0.113) (0.050:0.050:0.050)) - (INTERCONNECT dco _374_.B1 (0.112:0.112:0.112) (0.049:0.049:0.049)) - (INTERCONNECT dco _379_.A (0.113:0.113:0.113) (0.049:0.049:0.049)) - (INTERCONNECT dco _447_.A (0.112:0.112:0.112) (0.048:0.048:0.048)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.112:0.112:0.112) (0.048:0.048:0.048)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.113:0.113:0.113) (0.049:0.049:0.049)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.113:0.113:0.113) (0.049:0.049:0.049)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.113:0.113:0.113) (0.050:0.050:0.050)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.111:0.111:0.111) (0.047:0.047:0.047)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.112:0.112:0.112) (0.049:0.049:0.049)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.112:0.112:0.112) (0.048:0.048:0.048)) - (INTERCONNECT div[0] _257_.A_N (0.041:0.041:0.041) (0.017:0.017:0.017)) - (INTERCONNECT div[0] _287_.B1 (0.041:0.041:0.041) (0.017:0.017:0.017)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.041:0.041:0.041) (0.017:0.017:0.017)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.041:0.041:0.041) (0.017:0.017:0.017)) - (INTERCONNECT div[1] _252_.A (0.036:0.036:0.036) (0.014:0.014:0.014)) - (INTERCONNECT div[1] _258_.A (0.036:0.036:0.036) (0.014:0.014:0.014)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.036:0.036:0.036) (0.014:0.014:0.014)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.036:0.036:0.036) (0.014:0.014:0.014)) - (INTERCONNECT div[2] _244_.A (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT div[3] _243_.A (0.051:0.051:0.051) (0.021:0.021:0.021)) - (INTERCONNECT div[3] _260_.A1 (0.051:0.051:0.051) (0.021:0.021:0.021)) - (INTERCONNECT div[3] _267_.A1 (0.051:0.051:0.051) (0.021:0.021:0.021)) - (INTERCONNECT div[3] _289_.A1 (0.050:0.050:0.050) (0.020:0.020:0.020)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.050:0.050:0.050) (0.020:0.020:0.020)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.051:0.051:0.051) (0.021:0.021:0.021)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.051:0.051:0.051) (0.021:0.021:0.021)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.051:0.051:0.051) (0.021:0.021:0.021)) - (INTERCONNECT div[4] _266_.A (0.028:0.028:0.028) (0.011:0.011:0.011)) - (INTERCONNECT div[4] _270_.A1 (0.028:0.028:0.028) (0.011:0.011:0.011)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.028:0.028:0.028) (0.011:0.011:0.011)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.027:0.027:0.027) (0.010:0.010:0.010)) - (INTERCONNECT enable _425_.A (0.026:0.026:0.026) (0.010:0.010:0.010)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.025:0.025:0.025) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[0] _350_.A (0.023:0.023:0.023) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.020:0.020:0.020) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.020:0.020:0.020) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.018:0.018:0.018) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.018:0.018:0.018) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.018:0.018:0.018) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.018:0.018:0.018) (0.006:0.006:0.006)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.021:0.021:0.021) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.021:0.021:0.021) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.023:0.023:0.023) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.026:0.026:0.026) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.027:0.027:0.027) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.036:0.036:0.036) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.036:0.036:0.036) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.035:0.035:0.035) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.035:0.035:0.035) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.011:0.011:0.011) (0.003:0.003:0.003)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.011:0.011:0.011) (0.003:0.003:0.003)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.036:0.036:0.036) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.036:0.036:0.036) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.036:0.036:0.036) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.036:0.036:0.036) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.028:0.028:0.028) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.028:0.028:0.028) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.022:0.022:0.022) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.029:0.029:0.029) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.029:0.029:0.029) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.043:0.043:0.043) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.043:0.043:0.043) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.014:0.014:0.014) (0.004:0.004:0.004)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.014:0.014:0.014) (0.004:0.004:0.004)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.016:0.016:0.016) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.016:0.016:0.016) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.021:0.021:0.021) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.047:0.047:0.047) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.047:0.047:0.047) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.043:0.043:0.043) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.043:0.043:0.043) (0.018:0.018:0.018)) - (INTERCONNECT osc _470_.D (0.011:0.011:0.011) (0.003:0.003:0.003)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.011:0.011:0.011) (0.003:0.003:0.003)) - (INTERCONNECT resetb _425_.B (0.024:0.024:0.024) (0.009:0.009:0.009)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _219_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _221_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _223_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _226_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _343_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _345_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _332_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _334_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _338_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _341_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _342_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _255_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _330_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _394_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _405_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _416_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _420_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _423_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _292_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _294_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _353_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _355_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _362_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _366_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _397_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _236_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _236_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _245_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _251_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _264_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _249_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _260_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _267_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.Y _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _249_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _250_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271_.X _272_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _285_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _295_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _296_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _292_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _294_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _305_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _307_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _308_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _319_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _278_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _295_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _317_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _366_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _376_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _393_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _415_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _278_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _277_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _279_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _321_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _374_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _408_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _412_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _420_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _277_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _279_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _302_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _418_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _385_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _361_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _367_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _393_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _306_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _401_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _283_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _385_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _412_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _319_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _314_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _317_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _323_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _326_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _316_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _301_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _305_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _377_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _382_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _298_.X _393_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _402_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _298_.X _406_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _299_.X _300_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _368_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _370_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _388_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _415_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _418_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _301_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _381_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _402_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _412_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _322_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _307_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _316_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _398_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.Y _341_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.Y _342_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _363_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _385_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _387_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _409_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _417_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _421_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _350_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _352_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _362_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _384_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _390_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _429_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _438_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _360_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _364_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _369_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _375_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _389_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _376_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _395_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _356_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _365_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _371_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _359_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _364_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _368_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _372_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _380_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _387_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _388_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _360_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _377_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _403_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _368_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _408_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _367_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _405_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _420_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _368_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _387_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _388_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _413_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _369_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _407_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _371_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _397_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _380_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _414_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _373_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _383_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _396_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _375_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _377_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _391_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _400_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _402_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _412_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _380_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _383_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _396_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _399_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _411_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _423_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _382_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _386_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _400_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _405_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _406_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _408_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _414_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _392_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _404_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _410_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _419_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _422_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _427_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _428_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _403_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _408_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _411_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _414_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _406_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _418_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _424_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.X _419_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _426_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _434_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _443_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _452_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _453_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _454_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _430_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _427_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _428_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _431_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _432_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _433_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _431_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _432_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _433_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _435_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _436_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _437_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _436_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _437_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _439_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _440_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _441_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _442_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _440_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _441_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _442_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _444_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _445_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _446_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _445_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _446_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _448_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _449_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _450_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _451_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _449_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _450_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _451_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _452_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _453_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _454_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _233_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _235_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _330_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _335_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _221_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _231_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _234_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _330_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _335_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _219_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _237_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _238_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _331_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _217_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _269_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _332_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _333_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _274_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _276_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _319_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _323_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _370_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _275_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _281_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _296_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _354_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _355_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _281_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _314_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _415_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _278_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _280_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _353_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _357_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _401_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _214_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _230_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _246_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _263_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _261_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _269_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.094:0.094:0.094)) - (IOPATH A Y (0.113:0.113:0.113) (0.044:0.044:0.044)) - (IOPATH B Y (0.069:0.069:0.069) (0.085:0.085:0.085)) - (IOPATH B Y (0.092:0.092:0.092) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.146:0.154:0.161) (0.117:0.119:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.165:0.165) (0.131:0.131:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.106:0.106:0.106) (0.149:0.149:0.149)) - (IOPATH A1 X (0.095:0.095:0.095) (0.143:0.143:0.143)) - (IOPATH S X (0.148:0.148:0.148) (0.203:0.203:0.203)) - (IOPATH S X (0.109:0.109:0.109) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.055:0.056:0.056) (0.070:0.070:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.106:0.106:0.106) (0.150:0.150:0.150)) - (IOPATH A1 X (0.096:0.096:0.096) (0.145:0.145:0.145)) - (IOPATH S X (0.151:0.151:0.151) (0.206:0.206:0.206)) - (IOPATH S X (0.112:0.112:0.112) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.057:0.057:0.057) (0.071:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.104:0.104:0.104) (0.148:0.148:0.148)) - (IOPATH A1 X (0.097:0.097:0.097) (0.145:0.145:0.145)) - (IOPATH S X (0.151:0.151:0.151) (0.206:0.206:0.206)) - (IOPATH S X (0.112:0.112:0.112) (0.171:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.058:0.058:0.058) (0.072:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.109:0.109:0.109) (0.151:0.151:0.151)) - (IOPATH A1 X (0.091:0.091:0.091) (0.141:0.141:0.141)) - (IOPATH S X (0.149:0.149:0.149) (0.203:0.203:0.203)) - (IOPATH S X (0.110:0.110:0.110) (0.169:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.057:0.057:0.057) (0.071:0.071:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.119:0.119:0.119) (0.156:0.156:0.156)) - (IOPATH A1 X (0.101:0.101:0.101) (0.150:0.150:0.150)) - (IOPATH S X (0.156:0.156:0.156) (0.210:0.210:0.210)) - (IOPATH S X (0.117:0.117:0.117) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.062:0.062:0.063) (0.076:0.076:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.044:0.044:0.044) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.109:0.109:0.109) (0.138:0.138:0.138)) - (IOPATH B Y (0.062:0.062:0.062) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.138:0.138:0.138) (0.141:0.141:0.141)) - (IOPATH B X (0.149:0.149:0.149) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.214:0.214) (0.087:0.087:0.087)) - (IOPATH A X (0.182:0.182:0.182) (0.151:0.151:0.151)) - (IOPATH B X (0.183:0.183:0.183) (0.068:0.068:0.068)) - (IOPATH B X (0.183:0.183:0.183) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.111:0.111:0.111) (0.126:0.126:0.126)) - (IOPATH B X (0.108:0.108:0.108) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.122:0.122:0.122) (0.134:0.134:0.134)) - (IOPATH B X (0.108:0.108:0.108) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.109:0.109:0.109) (0.206:0.207:0.207)) - (IOPATH A2 X (0.120:0.121:0.122) (0.229:0.233:0.236)) - (IOPATH B1 X (0.083:0.083:0.083) (0.160:0.160:0.161)) - (IOPATH C1 X (0.081:0.081:0.082) (0.141:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.109:0.109:0.109) (0.038:0.038:0.038)) - (IOPATH B Y (0.086:0.086:0.086) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.054:0.054:0.054) (0.033:0.033:0.033)) - (IOPATH B Y (0.055:0.055:0.055) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.093:0.093:0.093) (0.112:0.114:0.116)) - (IOPATH B Y (0.051:0.053:0.055) (0.037:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.116:0.116:0.117) (0.119:0.121:0.123)) - (IOPATH B X (0.117:0.118:0.118) (0.134:0.136:0.137)) - (IOPATH C X (0.118:0.119:0.119) (0.138:0.140:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.120:0.122:0.123) (0.041:0.042:0.042)) - (IOPATH A2 Y (0.129:0.130:0.132) (0.043:0.044:0.044)) - (IOPATH B1 Y (0.074:0.075:0.077) (0.028:0.028:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.106:0.106:0.107) (0.033:0.033:0.033)) - (IOPATH B Y (0.087:0.089:0.091) (0.033:0.034:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.030:0.030:0.030) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.033:0.033:0.033) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.123:0.124:0.124) (0.046:0.046:0.046)) - (IOPATH A2 Y (0.129:0.133:0.137) (0.056:0.056:0.056)) - (IOPATH B1 Y (0.075:0.075:0.075) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.030:0.030:0.030)) - (IOPATH B Y (0.068:0.068:0.068) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095:0.096:0.098) (0.035:0.035:0.035)) - (IOPATH B Y (0.084:0.084:0.084) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.091:0.093:0.095) (0.096:0.103:0.109)) - (IOPATH A Y (0.137:0.143:0.149) (0.057:0.059:0.061)) - (IOPATH B Y (0.089:0.089:0.089) (0.088:0.089:0.090)) - (IOPATH B Y (0.120:0.121:0.121) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.088:0.088:0.088) (0.229:0.229:0.229)) - (IOPATH A2 X (0.095:0.095:0.095) (0.221:0.222:0.222)) - (IOPATH A3 X (0.098:0.101:0.104) (0.192:0.194:0.196)) - (IOPATH B1 X (0.080:0.080:0.080) (0.120:0.120:0.120)) - (IOPATH B2 X (0.078:0.083:0.088) (0.117:0.119:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.038:0.038:0.038) (0.028:0.028:0.028)) - (IOPATH B Y (0.053:0.056:0.058) (0.036:0.039:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.097:0.098:0.098)) - (IOPATH A Y (0.117:0.117:0.117) (0.048:0.048:0.048)) - (IOPATH B Y (0.078:0.078:0.078) (0.088:0.092:0.097)) - (IOPATH B Y (0.095:0.100:0.104) (0.040:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.029:0.029:0.029) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.072:0.080:0.088) (0.107:0.110:0.114)) - (IOPATH B Y (0.041:0.041:0.041) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.032:0.032:0.032)) - (IOPATH B Y (0.055:0.055:0.055) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.043:0.043:0.043)) - (IOPATH B Y (0.099:0.099:0.099) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.039:0.042) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.085:0.085:0.085) (0.125:0.125:0.125)) - (IOPATH B Y (0.052:0.055:0.058) (0.044:0.044:0.044)) - (IOPATH C Y (0.058:0.058:0.058) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.103:0.103:0.103)) - (IOPATH B X (0.087:0.094:0.101) (0.118:0.121:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.072:0.072:0.073) (0.115:0.117:0.120)) - (IOPATH A2 X (0.077:0.079:0.081) (0.136:0.138:0.140)) - (IOPATH B1 X (0.055:0.055:0.055) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.158:0.158:0.158)) - (IOPATH A2 X (0.105:0.105:0.105) (0.145:0.147:0.149)) - (IOPATH B1 X (0.087:0.087:0.088) (0.095:0.098:0.102)) - (IOPATH C1 X (0.083:0.084:0.085) (0.084:0.087:0.091)) - (IOPATH D1 X (0.076:0.076:0.076) (0.071:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.073:0.073:0.073)) - (IOPATH A X (0.147:0.147:0.147) (0.139:0.139:0.139)) - (IOPATH B X (0.151:0.151:0.151) (0.060:0.060:0.060)) - (IOPATH B X (0.149:0.149:0.149) (0.113:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.028:0.030:0.031) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.095:0.095:0.095) (0.114:0.114:0.114)) - (IOPATH B X (0.087:0.087:0.087) (0.119:0.119:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.110:0.110:0.111) (0.142:0.142:0.142)) - (IOPATH A2 X (0.124:0.124:0.125) (0.171:0.173:0.175)) - (IOPATH A3 X (0.123:0.124:0.124) (0.181:0.182:0.184)) - (IOPATH B1 X (0.085:0.085:0.086) (0.096:0.096:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.086:0.086) (0.093:0.097:0.101)) - (IOPATH A Y (0.118:0.121:0.124) (0.053:0.053:0.053)) - (IOPATH B Y (0.075:0.075:0.075) (0.084:0.087:0.089)) - (IOPATH B Y (0.098:0.100:0.101) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.087:0.087:0.087) (0.102:0.102:0.102)) - (IOPATH B X (0.089:0.096:0.104) (0.119:0.122:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.090:0.090:0.090) (0.127:0.127:0.127)) - (IOPATH A2 X (0.084:0.084:0.084) (0.115:0.117:0.119)) - (IOPATH B1_N X (0.128:0.131:0.134) (0.094:0.094:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.037:0.040:0.044) (0.024:0.023:0.023)) - (IOPATH B Y (0.042:0.045:0.047) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.047:0.047:0.047) (0.025:0.025:0.025)) - (IOPATH B Y (0.049:0.049:0.049) (0.029:0.029:0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.124:0.124:0.124) (0.169:0.169:0.169)) - (IOPATH A2 X (0.118:0.123:0.128) (0.160:0.163:0.166)) - (IOPATH B1 X (0.103:0.104:0.104) (0.091:0.094:0.097)) - (IOPATH C1 X (0.099:0.100:0.100) (0.080:0.082:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.158) (0.254:0.256:0.259)) - (IOPATH A2 X (0.158:0.158:0.158) (0.246:0.247:0.247)) - (IOPATH A3 X (0.145:0.146:0.146) (0.219:0.220:0.221)) - (IOPATH B1 X (0.140:0.140:0.140) (0.103:0.106:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.191:0.191:0.191) (0.138:0.144:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.119:0.119:0.119) (0.131:0.131:0.131)) - (IOPATH B X (0.128:0.128:0.128) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.138:0.138) (0.071:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.113:0.113) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.151:0.151:0.151) (0.053:0.053:0.053)) - (IOPATH B Y (0.132:0.132:0.132) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.059:0.059:0.059)) - (IOPATH B Y (0.071:0.071:0.071) (0.068:0.068:0.068)) - (IOPATH C Y (0.071:0.072:0.072) (0.061:0.061:0.061)) - (IOPATH D Y (0.073:0.075:0.077) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.063:0.063:0.063) (0.040:0.040:0.040)) - (IOPATH B Y (0.067:0.067:0.067) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.153:0.153) (0.050:0.050:0.050)) - (IOPATH B Y (0.134:0.134:0.134) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.054:0.054:0.054)) - (IOPATH B Y (0.077:0.079:0.080) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113:0.115:0.117) (0.034:0.035:0.036)) - (IOPATH B Y (0.101:0.102:0.104) (0.034:0.034:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.171:0.171:0.171) (0.049:0.049:0.049)) - (IOPATH B Y (0.160:0.160:0.160) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.111:0.111) (0.108:0.114:0.119)) - (IOPATH B X (0.108:0.108:0.108) (0.112:0.112:0.113)) - (IOPATH C X (0.116:0.116:0.116) (0.126:0.127:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.176:0.178:0.180) (0.147:0.152:0.157)) - (IOPATH B X (0.141:0.141:0.141) (0.142:0.142:0.142)) - (IOPATH C X (0.143:0.143:0.143) (0.150:0.150:0.150)) - (IOPATH D X (0.148:0.148:0.148) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.091:0.091:0.091) (0.038:0.038:0.038)) - (IOPATH A2 Y (0.068:0.071:0.074) (0.029:0.029:0.029)) - (IOPATH B1 Y (0.031:0.031:0.031) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.172:0.172:0.173) (0.143:0.143:0.143)) - (IOPATH B X (0.133:0.133:0.134) (0.132:0.134:0.136)) - (IOPATH C X (0.137:0.139:0.140) (0.146:0.148:0.150)) - (IOPATH D X (0.139:0.142:0.145) (0.153:0.155:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.113:0.113:0.113) (0.162:0.162:0.162)) - (IOPATH A2 X (0.111:0.111:0.111) (0.147:0.149:0.151)) - (IOPATH B1 X (0.092:0.092:0.092) (0.087:0.090:0.093)) - (IOPATH C1 X (0.085:0.086:0.087) (0.072:0.075:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.088:0.088:0.088) (0.133:0.134:0.134)) - (IOPATH B Y (0.066:0.067:0.068) (0.068:0.068:0.068)) - (IOPATH C Y (0.070:0.073:0.076) (0.070:0.071:0.071)) - (IOPATH D Y (0.071:0.074:0.077) (0.072:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.155:0.156:0.156) (0.136:0.136:0.136)) - (IOPATH B X (0.127:0.127:0.128) (0.138:0.139:0.140)) - (IOPATH C X (0.128:0.131:0.133) (0.155:0.156:0.157)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.108:0.108:0.108) (0.224:0.224:0.224)) - (IOPATH A2 X (0.108:0.108:0.108) (0.214:0.214:0.214)) - (IOPATH A3 X (0.082:0.084:0.085) (0.179:0.181:0.184)) - (IOPATH B1 X (0.072:0.072:0.072) (0.075:0.076:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.129:0.130) (0.106:0.110:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.119:0.119:0.119)) - (IOPATH A Y (0.167:0.167:0.167) (0.068:0.068:0.068)) - (IOPATH B Y (0.098:0.098:0.098) (0.113:0.113:0.113)) - (IOPATH B Y (0.151:0.151:0.151) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.034:0.034:0.034)) - (IOPATH B Y (0.075:0.080:0.086) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.124:0.124:0.124)) - (IOPATH B X (0.122:0.122:0.122) (0.137:0.142:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.149:0.150:0.150) (0.047:0.048:0.048)) - (IOPATH B Y (0.135:0.135:0.135) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.153:0.154) (0.124:0.126:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.101:0.101) (0.078:0.078:0.078)) - (IOPATH B Y (0.107:0.107:0.107) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073:0.073:0.073) (0.054:0.054:0.054)) - (IOPATH B Y (0.081:0.083:0.085) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.101:0.101) (0.115:0.115:0.115)) - (IOPATH A Y (0.162:0.162:0.162) (0.066:0.066:0.066)) - (IOPATH B Y (0.097:0.097:0.097) (0.103:0.108:0.114)) - (IOPATH B Y (0.140:0.145:0.150) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.034:0.034:0.035) (0.011:0.011:0.011)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.130:0.130:0.130) (0.154:0.159:0.164)) - (IOPATH A2 X (0.112:0.113:0.113) (0.164:0.164:0.164)) - (IOPATH B1 X (0.100:0.100:0.100) (0.115:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.141:0.142:0.142) (0.152:0.155:0.157)) - (IOPATH A2 X (0.146:0.154:0.162) (0.167:0.169:0.172)) - (IOPATH A3 X (0.151:0.151:0.151) (0.165:0.166:0.168)) - (IOPATH B1 X (0.129:0.129:0.129) (0.123:0.123:0.123)) - (IOPATH B2 X (0.134:0.134:0.134) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059:0.061:0.062) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.111:0.119:0.126) (0.136:0.139:0.141)) - (IOPATH A2 X (0.132:0.132:0.132) (0.148:0.149:0.151)) - (IOPATH A3 X (0.123:0.124:0.124) (0.148:0.150:0.152)) - (IOPATH B1 X (0.089:0.089:0.089) (0.103:0.103:0.103)) - (IOPATH B2 X (0.104:0.104:0.104) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090:0.090:0.090) (0.109:0.109:0.109)) - (IOPATH A Y (0.138:0.138:0.138) (0.056:0.056:0.056)) - (IOPATH B Y (0.085:0.085:0.085) (0.103:0.103:0.103)) - (IOPATH B Y (0.121:0.121:0.121) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.084:0.084:0.084) (0.101:0.103:0.104)) - (IOPATH B X (0.083:0.091:0.099) (0.115:0.118:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.082:0.083:0.084) (0.035:0.035:0.035)) - (IOPATH A2 Y (0.069:0.072:0.075) (0.027:0.028:0.029)) - (IOPATH B1 Y (0.043:0.043:0.043) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.096:0.096:0.096) (0.149:0.149:0.149)) - (IOPATH A2 X (0.083:0.083:0.083) (0.133:0.133:0.133)) - (IOPATH B1 X (0.064:0.064:0.064) (0.114:0.115:0.115)) - (IOPATH B2 X (0.055:0.060:0.064) (0.099:0.101:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.110:0.111:0.113) (0.048:0.048:0.048)) - (IOPATH A2 Y (0.121:0.123:0.124) (0.049:0.049:0.049)) - (IOPATH B1 Y (0.071:0.071:0.071) (0.028:0.028:0.028)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.066:0.070:0.074) (0.086:0.088:0.091)) - (IOPATH A Y (0.095:0.098:0.100) (0.037:0.039:0.041)) - (IOPATH B Y (0.064:0.066:0.067) (0.071:0.077:0.083)) - (IOPATH B Y (0.069:0.074:0.079) (0.031:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.101:0.101:0.101) (0.145:0.145:0.145)) - (IOPATH A1 X (0.080:0.087:0.093) (0.132:0.135:0.138)) - (IOPATH S X (0.144:0.144:0.144) (0.201:0.201:0.201)) - (IOPATH S X (0.104:0.104:0.104) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.055:0.056:0.056) (0.070:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.091:0.092:0.093) (0.043:0.043:0.043)) - (IOPATH A X (0.075:0.075:0.075) (0.102:0.104:0.105)) - (IOPATH B X (0.073:0.075:0.076) (0.035:0.035:0.035)) - (IOPATH B X (0.077:0.077:0.077) (0.086:0.087:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.106:0.106:0.106) (0.142:0.142:0.142)) - (IOPATH A1 X (0.086:0.088:0.091) (0.132:0.135:0.138)) - (IOPATH S X (0.143:0.143:0.143) (0.200:0.200:0.200)) - (IOPATH S X (0.104:0.104:0.104) (0.163:0.163:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.057:0.058:0.058) (0.072:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.107:0.107:0.107) (0.150:0.150:0.150)) - (IOPATH A1 X (0.120:0.120:0.120) (0.143:0.144:0.145)) - (IOPATH S X (0.156:0.156:0.156) (0.207:0.207:0.207)) - (IOPATH S X (0.117:0.117:0.117) (0.175:0.175:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.135:0.135:0.135) (0.186:0.186:0.186)) - (IOPATH A2 X (0.125:0.125:0.125) (0.166:0.167:0.169)) - (IOPATH B1 X (0.104:0.104:0.104) (0.095:0.096:0.096)) - (IOPATH C1 X (0.101:0.101:0.102) (0.079:0.084:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.080:0.080) (0.102:0.102:0.102)) - (IOPATH A Y (0.117:0.117:0.117) (0.048:0.048:0.048)) - (IOPATH B Y (0.068:0.068:0.068) (0.078:0.081:0.084)) - (IOPATH B Y (0.083:0.085:0.088) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.083:0.087) (0.097:0.099:0.101)) - (IOPATH A Y (0.122:0.124:0.126) (0.047:0.051:0.055)) - (IOPATH B Y (0.077:0.077:0.078) (0.090:0.091:0.093)) - (IOPATH B Y (0.104:0.105:0.106) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.145:0.145:0.145) (0.137:0.137:0.137)) - (IOPATH A2_N X (0.127:0.130:0.134) (0.122:0.128:0.135)) - (IOPATH B1 X (0.117:0.117:0.117) (0.165:0.165:0.165)) - (IOPATH B2 X (0.096:0.096:0.096) (0.147:0.148:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.102:0.103) (0.039:0.039:0.039)) - (IOPATH B Y (0.085:0.086:0.086) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.107:0.107:0.107) (0.044:0.044:0.044)) - (IOPATH A X (0.076:0.076:0.076) (0.118:0.118:0.118)) - (IOPATH B X (0.069:0.073:0.077) (0.035:0.035:0.035)) - (IOPATH B X (0.078:0.078:0.078) (0.081:0.085:0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.088:0.088:0.088) (0.137:0.137:0.137)) - (IOPATH A1 X (0.086:0.088:0.091) (0.131:0.135:0.138)) - (IOPATH S X (0.143:0.143:0.143) (0.200:0.200:0.200)) - (IOPATH S X (0.104:0.104:0.104) (0.163:0.163:0.163)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.056:0.056:0.056) (0.070:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.024:0.024:0.024)) - (IOPATH B Y (0.050:0.050:0.050) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.084:0.084:0.084) (0.134:0.134:0.134)) - (IOPATH A2 X (0.070:0.070:0.070) (0.117:0.118:0.119)) - (IOPATH B1 X (0.054:0.055:0.056) (0.066:0.067:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.133:0.133:0.133)) - (IOPATH B X (0.143:0.143:0.143) (0.153:0.153:0.153)) - (IOPATH C X (0.144:0.144:0.144) (0.161:0.161:0.161)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.137:0.137:0.137)) - (IOPATH B X (0.117:0.117:0.117) (0.139:0.139:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.087:0.087:0.087) (0.137:0.137:0.137)) - (IOPATH A2 X (0.068:0.068:0.068) (0.116:0.117:0.117)) - (IOPATH B1 X (0.070:0.070:0.070) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.086:0.086:0.086) (0.117:0.117:0.117)) - (IOPATH B Y (0.044:0.045:0.045) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.106:0.106:0.106) (0.166:0.166:0.166)) - (IOPATH A2 X (0.094:0.094:0.094) (0.142:0.142:0.143)) - (IOPATH B1 X (0.077:0.078:0.078) (0.075:0.077:0.078)) - (IOPATH C1 X (0.095:0.095:0.095) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.102:0.102:0.102) (0.037:0.037:0.037)) - (IOPATH A2 Y (0.111:0.111:0.111) (0.042:0.042:0.042)) - (IOPATH B1 Y (0.064:0.064:0.064) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.064:0.064:0.064)) - (IOPATH B Y (0.083:0.083:0.083) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.082:0.083:0.083) (0.034:0.034:0.034)) - (IOPATH A2 Y (0.064:0.066:0.069) (0.027:0.028:0.028)) - (IOPATH B1 Y (0.039:0.041:0.043) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.101:0.101:0.101) (0.113:0.113:0.113)) - (IOPATH B X (0.078:0.082:0.086) (0.108:0.109:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.058:0.058:0.058) (0.069:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.106:0.106:0.106)) - (IOPATH A Y (0.125:0.125:0.125) (0.050:0.050:0.050)) - (IOPATH B Y (0.078:0.078:0.078) (0.096:0.096:0.096)) - (IOPATH B Y (0.105:0.105:0.105) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.084:0.086:0.089) (0.031:0.031:0.031)) - (IOPATH A2 Y (0.087:0.090:0.092) (0.029:0.033:0.037)) - (IOPATH B1_N Y (0.096:0.096:0.096) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.034:0.034:0.034)) - (IOPATH B Y (0.055:0.055:0.055) (0.043:0.043:0.043)) - (IOPATH C Y (0.052:0.054:0.057) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.087:0.087:0.087) (0.137:0.137:0.137)) - (IOPATH A1 X (0.085:0.085:0.085) (0.138:0.138:0.138)) - (IOPATH S X (0.149:0.149:0.149) (0.204:0.204:0.204)) - (IOPATH S X (0.110:0.110:0.110) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.063:0.063:0.063) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.085:0.085:0.085) (0.136:0.136:0.136)) - (IOPATH A1 X (0.085:0.085:0.085) (0.137:0.137:0.137)) - (IOPATH S X (0.148:0.148:0.148) (0.202:0.202:0.202)) - (IOPATH S X (0.109:0.109:0.109) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.058:0.058:0.058) (0.072:0.072:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.072:0.072:0.072) (0.109:0.109:0.109)) - (IOPATH B Y (0.057:0.057:0.057) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.171:0.171:0.171) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.026:0.026:0.026)) - (IOPATH B Y (0.059:0.059:0.059) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.169:0.169:0.169) (0.070:0.070:0.070)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.069:0.072:0.075) (0.037:0.039:0.040)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.044:0.044:0.044)) - (IOPATH B Y (0.106:0.106:0.106) (0.037:0.037:0.037)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.179:0.179:0.179) (0.165:0.165:0.165)) - (IOPATH B X (0.139:0.139:0.139) (0.151:0.152:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.132:0.132:0.132)) - (IOPATH B X (0.148:0.148:0.148) (0.153:0.153:0.153)) - (IOPATH C X (0.136:0.136:0.136) (0.156:0.156:0.156)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.085:0.085) (0.029:0.029:0.029)) - (IOPATH B Y (0.065:0.066:0.066) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134:0.134:0.134) (0.046:0.046:0.046)) - (IOPATH B Y (0.106:0.106:0.106) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.201:0.201:0.201) (0.071:0.071:0.071)) - (IOPATH B Y (0.171:0.174:0.178) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.138:0.139:0.140)) - (IOPATH B X (0.173:0.173:0.174) (0.167:0.169:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.115) (0.145:0.145:0.145)) - (IOPATH A2 X (0.100:0.100:0.100) (0.145:0.145:0.145)) - (IOPATH B1 X (0.093:0.093:0.093) (0.112:0.113:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.040:0.040:0.040)) - (IOPATH B Y (0.110:0.110:0.110) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.034:0.034:0.034)) - (IOPATH B Y (0.082:0.082:0.082) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.126:0.126:0.126) (0.218:0.218:0.218)) - (IOPATH A2 X (0.115:0.115:0.115) (0.232:0.232:0.232)) - (IOPATH B1 X (0.106:0.106:0.106) (0.169:0.171:0.173)) - (IOPATH C1 X (0.098:0.098:0.098) (0.150:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.117:0.117:0.117) (0.147:0.147:0.147)) - (IOPATH A2 X (0.105:0.105:0.105) (0.149:0.149:0.149)) - (IOPATH B1 X (0.098:0.098:0.098) (0.115:0.117:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.060:0.060) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.164:0.164:0.164) (0.156:0.156:0.156)) - (IOPATH B X (0.161:0.161:0.161) (0.164:0.164:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.064:0.064:0.064)) - (IOPATH B Y (0.100:0.100:0.100) (0.072:0.072:0.072)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.155:0.155:0.155) (0.200:0.200:0.200)) - (IOPATH A2 X (0.138:0.138:0.138) (0.175:0.175:0.175)) - (IOPATH B1 X (0.135:0.136:0.137) (0.117:0.118:0.118)) - (IOPATH C1 X (0.147:0.147:0.147) (0.105:0.107:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.146:0.146:0.146)) - (IOPATH A2 X (0.102:0.102:0.102) (0.146:0.146:0.146)) - (IOPATH B1 X (0.090:0.090:0.090) (0.104:0.107:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.052:0.052:0.052)) - (IOPATH B Y (0.128:0.128:0.128) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.062:0.064:0.066) (0.052:0.052:0.052)) - (IOPATH B Y (0.067:0.068:0.069) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.154:0.155:0.155) (0.197:0.200:0.202)) - (IOPATH A2 X (0.154:0.155:0.155) (0.190:0.190:0.191)) - (IOPATH B1 X (0.161:0.161:0.161) (0.121:0.123:0.125)) - (IOPATH C1 X (0.133:0.134:0.135) (0.099:0.103:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.146:0.146:0.146)) - (IOPATH A2 X (0.102:0.102:0.102) (0.147:0.147:0.147)) - (IOPATH B1 X (0.092:0.092:0.092) (0.106:0.109:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.147:0.147:0.147) (0.057:0.057:0.057)) - (IOPATH A2 Y (0.136:0.140:0.144) (0.059:0.059:0.059)) - (IOPATH B1 Y (0.101:0.101:0.101) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.117:0.117:0.117) (0.147:0.147:0.147)) - (IOPATH A2 X (0.103:0.103:0.103) (0.147:0.147:0.147)) - (IOPATH B1 X (0.093:0.095:0.096) (0.109:0.112:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.096:0.096:0.096) (0.074:0.074:0.074)) - (IOPATH B Y (0.097:0.098:0.099) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.097:0.097:0.097) (0.141:0.141:0.141)) - (IOPATH A2 X (0.080:0.080:0.081) (0.131:0.132:0.133)) - (IOPATH B1 X (0.073:0.073:0.073) (0.082:0.082:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.119:0.119:0.119) (0.148:0.148:0.148)) - (IOPATH A2 X (0.107:0.107:0.107) (0.150:0.150:0.150)) - (IOPATH B1 X (0.083:0.083:0.084) (0.100:0.101:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.137:0.137:0.137) (0.156:0.156:0.156)) - (IOPATH A2 X (0.130:0.130:0.130) (0.159:0.159:0.159)) - (IOPATH B1 X (0.138:0.138:0.138) (0.135:0.137:0.139)) - (IOPATH B2 X (0.120:0.121:0.122) (0.136:0.139:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.160:0.160) (0.133:0.135:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.095:0.095:0.095) (0.146:0.146:0.146)) - (IOPATH A2 X (0.072:0.072:0.072) (0.124:0.124:0.124)) - (IOPATH B1 X (0.078:0.079:0.079) (0.126:0.127:0.127)) - (IOPATH B2 X (0.072:0.072:0.072) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.139:0.139) (0.158:0.158:0.158)) - (IOPATH A2 X (0.131:0.131:0.131) (0.159:0.159:0.159)) - (IOPATH B1 X (0.127:0.128:0.128) (0.129:0.132:0.134)) - (IOPATH B2 X (0.115:0.115:0.115) (0.132:0.132:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.065:0.065:0.065) (0.096:0.096:0.096)) - (IOPATH B Y (0.054:0.054:0.054) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.163:0.163:0.163) (0.261:0.261:0.261)) - (IOPATH A2 X (0.150:0.151:0.151) (0.244:0.245:0.247)) - (IOPATH A3 X (0.151:0.151:0.151) (0.217:0.219:0.221)) - (IOPATH B1 X (0.118:0.119:0.121) (0.096:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.036:0.036:0.036)) - (IOPATH B Y (0.057:0.058:0.058) (0.038:0.038:0.038)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.171:0.171:0.172) (0.169:0.169:0.170)) - (IOPATH A2 X (0.191:0.191:0.191) (0.185:0.187:0.189)) - (IOPATH A3 X (0.167:0.167:0.168) (0.169:0.172:0.175)) - (IOPATH B1 X (0.135:0.135:0.135) (0.111:0.111:0.111)) - (IOPATH B2 X (0.155:0.155:0.155) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.093:0.093:0.093) (0.137:0.137:0.137)) - (IOPATH A2 X (0.075:0.076:0.076) (0.125:0.126:0.126)) - (IOPATH B1 X (0.075:0.075:0.075) (0.080:0.083:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.123:0.123:0.123) (0.153:0.153:0.153)) - (IOPATH A2 X (0.112:0.112:0.112) (0.156:0.156:0.156)) - (IOPATH B1 X (0.087:0.087:0.088) (0.104:0.106:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.206:0.206) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.095:0.095:0.095) (0.140:0.140:0.140)) - (IOPATH A2 X (0.079:0.079:0.079) (0.130:0.131:0.132)) - (IOPATH B1 X (0.072:0.072:0.072) (0.081:0.081:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.124:0.124:0.124) (0.161:0.161:0.161)) - (IOPATH A2 X (0.104:0.104:0.104) (0.150:0.150:0.150)) - (IOPATH B1 X (0.081:0.081:0.082) (0.100:0.101:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.080:0.080:0.080) (0.183:0.183:0.183)) - (IOPATH A2 X (0.089:0.089:0.089) (0.207:0.207:0.207)) - (IOPATH B1 X (0.070:0.070:0.070) (0.143:0.143:0.143)) - (IOPATH C1 X (0.061:0.061:0.061) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.091:0.091:0.091) (0.036:0.036:0.036)) - (IOPATH A2 Y (0.068:0.070:0.072) (0.024:0.024:0.024)) - (IOPATH B1 Y (0.040:0.040:0.041) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.140:0.141:0.141) (0.131:0.131:0.131)) - (IOPATH B X (0.095:0.095:0.095) (0.115:0.116:0.117)) - (IOPATH C X (0.101:0.104:0.107) (0.124:0.126:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.130:0.130:0.130) (0.153:0.153:0.153)) - (IOPATH A2 X (0.115:0.115:0.115) (0.149:0.149:0.149)) - (IOPATH B1 X (0.118:0.118:0.119) (0.125:0.128:0.130)) - (IOPATH B2 X (0.107:0.107:0.107) (0.128:0.129:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.033:0.033:0.033)) - (IOPATH B Y (0.052:0.054:0.056) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.100:0.100:0.100) (0.121:0.121:0.121)) - (IOPATH B X (0.114:0.114:0.114) (0.128:0.131:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.116:0.116:0.116) (0.143:0.143:0.143)) - (IOPATH A2 X (0.102:0.102:0.102) (0.139:0.139:0.139)) - (IOPATH B1 X (0.092:0.092:0.093) (0.114:0.114:0.115)) - (IOPATH B2 X (0.097:0.097:0.098) (0.121:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.118:0.119:0.119) (0.146:0.148:0.149)) - (IOPATH B Y (0.088:0.088:0.088) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.054:0.054:0.054)) - (IOPATH B Y (0.069:0.070:0.071) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.095:0.095:0.096) (0.155:0.157:0.159)) - (IOPATH A2 X (0.088:0.088:0.089) (0.145:0.146:0.147)) - (IOPATH B1 X (0.080:0.081:0.081) (0.131:0.132:0.133)) - (IOPATH B2 X (0.081:0.081:0.081) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.134:0.134:0.134)) - (IOPATH B X (0.133:0.134:0.134) (0.147:0.147:0.148)) - (IOPATH C X (0.127:0.127:0.127) (0.146:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.119:0.119:0.119) (0.157:0.157:0.157)) - (IOPATH A2 X (0.100:0.100:0.100) (0.147:0.147:0.147)) - (IOPATH B1 X (0.084:0.084:0.084) (0.105:0.105:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.040:0.040:0.040)) - (IOPATH B Y (0.059:0.059:0.059) (0.048:0.048:0.048)) - (IOPATH C Y (0.059:0.059:0.059) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.086:0.086:0.086) (0.136:0.137:0.138)) - (IOPATH B Y (0.068:0.068:0.068) (0.056:0.056:0.056)) - (IOPATH C Y (0.076:0.076:0.076) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.114:0.115:0.115) (0.114:0.117:0.120)) - (IOPATH B X (0.106:0.106:0.106) (0.124:0.125:0.126)) - (IOPATH C X (0.111:0.113:0.115) (0.140:0.141:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.125:0.125:0.125) (0.189:0.189:0.189)) - (IOPATH A2 X (0.106:0.106:0.106) (0.163:0.163:0.163)) - (IOPATH B1 X (0.105:0.105:0.105) (0.161:0.162:0.163)) - (IOPATH B2 X (0.116:0.116:0.116) (0.153:0.153:0.153)) - (IOPATH C1 X (0.090:0.090:0.091) (0.088:0.089:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.139:0.140) (0.149:0.152:0.155)) - (IOPATH A2 X (0.145:0.145:0.145) (0.164:0.165:0.166)) - (IOPATH A3 X (0.146:0.147:0.147) (0.157:0.161:0.164)) - (IOPATH B1 X (0.119:0.119:0.119) (0.105:0.105:0.105)) - (IOPATH B2 X (0.135:0.135:0.135) (0.134:0.134:0.134)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.138:0.138:0.138) (0.169:0.169:0.169)) - (IOPATH A2 X (0.125:0.125:0.125) (0.163:0.163:0.163)) - (IOPATH B1 X (0.100:0.101:0.101) (0.113:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.128:0.128:0.128) (0.152:0.152:0.152)) - (IOPATH A2 X (0.117:0.117:0.117) (0.151:0.151:0.151)) - (IOPATH B1 X (0.118:0.119:0.119) (0.130:0.130:0.131)) - (IOPATH B2 X (0.114:0.115:0.115) (0.138:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.098:0.098:0.098) (0.150:0.150:0.150)) - (IOPATH A2 X (0.077:0.077:0.077) (0.132:0.133:0.135)) - (IOPATH B1 X (0.081:0.081:0.082) (0.130:0.132:0.134)) - (IOPATH B2 X (0.069:0.070:0.070) (0.117:0.119:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.105:0.105:0.106) (0.163:0.165:0.168)) - (IOPATH A2 X (0.104:0.104:0.105) (0.155:0.156:0.156)) - (IOPATH B1 X (0.085:0.086:0.086) (0.082:0.085:0.087)) - (IOPATH C1 X (0.077:0.077:0.077) (0.069:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.123:0.123:0.123) (0.170:0.170:0.170)) - (IOPATH A2 X (0.100:0.100:0.100) (0.143:0.144:0.145)) - (IOPATH B1 X (0.089:0.089:0.090) (0.097:0.101:0.104)) - (IOPATH C1 X (0.091:0.091:0.091) (0.097:0.098:0.099)) - (IOPATH D1 X (0.077:0.077:0.077) (0.069:0.072:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.103:0.103:0.103) (0.045:0.045:0.045)) - (IOPATH A2 Y (0.093:0.093:0.093) (0.035:0.035:0.035)) - (IOPATH B1 Y (0.052:0.052:0.052) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.032:0.032:0.032)) - (IOPATH B Y (0.046:0.047:0.048) (0.031:0.033:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.124:0.127:0.130) (0.140:0.142:0.145)) - (IOPATH A2 X (0.114:0.114:0.115) (0.143:0.146:0.148)) - (IOPATH A3 X (0.118:0.119:0.119) (0.142:0.147:0.151)) - (IOPATH B1 X (0.095:0.095:0.095) (0.094:0.094:0.094)) - (IOPATH B2 X (0.108:0.108:0.108) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.112:0.112:0.112) (0.225:0.225:0.225)) - (IOPATH A2 X (0.107:0.107:0.107) (0.212:0.212:0.212)) - (IOPATH A3 X (0.088:0.088:0.088) (0.183:0.184:0.184)) - (IOPATH B1 X (0.076:0.076:0.076) (0.078:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.112:0.112:0.112) (0.152:0.152:0.152)) - (IOPATH A2 X (0.098:0.098:0.098) (0.145:0.145:0.145)) - (IOPATH B1 X (0.073:0.073:0.074) (0.093:0.097:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.037:0.037:0.037)) - (IOPATH B Y (0.060:0.060:0.060) (0.045:0.045:0.045)) - (IOPATH C Y (0.057:0.057:0.058) (0.042:0.042:0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.115) (0.135:0.135:0.136)) - (IOPATH A2 X (0.120:0.120:0.120) (0.147:0.150:0.154)) - (IOPATH A3 X (0.121:0.121:0.122) (0.149:0.152:0.154)) - (IOPATH B1 X (0.095:0.095:0.095) (0.094:0.094:0.094)) - (IOPATH B2 X (0.111:0.111:0.111) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.112:0.112:0.112) (0.152:0.152:0.152)) - (IOPATH A2 X (0.093:0.093:0.093) (0.141:0.141:0.141)) - (IOPATH B1 X (0.084:0.084:0.084) (0.098:0.101:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.118:0.118:0.118) (0.145:0.145:0.145)) - (IOPATH A2 X (0.104:0.104:0.104) (0.141:0.141:0.141)) - (IOPATH B1 X (0.103:0.103:0.103) (0.115:0.116:0.116)) - (IOPATH B2 X (0.117:0.117:0.117) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.124:0.124:0.124) (0.149:0.149:0.149)) - (IOPATH A2 X (0.111:0.111:0.111) (0.147:0.147:0.147)) - (IOPATH B1 X (0.105:0.105:0.105) (0.120:0.121:0.121)) - (IOPATH B2 X (0.104:0.106:0.108) (0.131:0.132:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.060:0.060:0.060)) - (IOPATH B Y (0.092:0.092:0.092) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.184:0.185:0.185) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.094:0.094) (0.028:0.028:0.028)) - (IOPATH B Y (0.075:0.075:0.075) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.100:0.100:0.100) (0.032:0.032:0.032)) - (IOPATH B Y (0.081:0.081:0.081) (0.023:0.023:0.023)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.029:0.029:0.029)) - (IOPATH B Y (0.072:0.072:0.072) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.027:0.027:0.027)) - (IOPATH B Y (0.069:0.069:0.069) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.028:0.028:0.028)) - (IOPATH B Y (0.072:0.072:0.072) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.027:0.027:0.027)) - (IOPATH B Y (0.069:0.069:0.069) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.172:0.172:0.173) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.082:0.082) (0.027:0.027:0.027)) - (IOPATH B Y (0.066:0.066:0.066) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083:0.083:0.083) (0.028:0.028:0.028)) - (IOPATH B Y (0.067:0.067:0.067) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.029:0.029:0.029)) - (IOPATH B Y (0.070:0.070:0.070) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.174:0.174:0.174) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.029:0.029:0.029)) - (IOPATH B Y (0.069:0.069:0.069) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.027:0.027:0.027)) - (IOPATH B Y (0.065:0.065:0.065) (0.017:0.017:0.017)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.029:0.029:0.029)) - (IOPATH B Y (0.070:0.070:0.070) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.027:0.027:0.027)) - (IOPATH B Y (0.066:0.066:0.066) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.186:0.186) (0.140:0.140:0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.028:0.028:0.028)) - (IOPATH B Y (0.071:0.071:0.071) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.030:0.030:0.030)) - (IOPATH B Y (0.075:0.075:0.075) (0.019:0.019:0.019)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.029:0.029:0.029)) - (IOPATH B Y (0.073:0.073:0.073) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.085:0.085) (0.026:0.026:0.026)) - (IOPATH B Y (0.069:0.069:0.069) (0.016:0.016:0.016)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.101:0.101:0.101) (0.034:0.034:0.034)) - (IOPATH B Y (0.085:0.085:0.085) (0.025:0.025:0.025)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.027:0.027:0.027)) - (IOPATH B Y (0.072:0.072:0.072) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.088:0.088:0.088) (0.027:0.027:0.027)) - (IOPATH B Y (0.072:0.072:0.072) (0.018:0.018:0.018)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086:0.086:0.086) (0.026:0.026:0.026)) - (IOPATH B Y (0.065:0.065:0.065) (0.020:0.020:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094:0.094:0.094) (0.031:0.031:0.031)) - (IOPATH B Y (0.073:0.073:0.073) (0.024:0.024:0.024)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.027:0.027:0.027)) - (IOPATH B Y (0.066:0.066:0.066) (0.021:0.021:0.021)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.234:0.234:0.234) (0.260:0.260:0.260)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.211:0.211:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.025:-0.026:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036)) - (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.233:0.233:0.233) (0.260:0.260:0.260)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.213:0.213:0.213)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131:-0.131:-0.130)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.229:0.229:0.229) (0.256:0.256:0.256)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.232:0.232:0.232) (0.259:0.259:0.259)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.027:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035)) - (SETUP (negedge D) (posedge CLK) (0.068:0.071:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.302:0.302:0.302) (0.306:0.306:0.306)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.036:0.037:0.038)) - (SETUP (negedge D) (posedge CLK) (0.066:0.068:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.278:0.278:0.278) (0.292:0.292:0.292)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.286:0.286:0.286) (0.297:0.297:0.297)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.022:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.066:0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.292:0.292:0.292) (0.300:0.300:0.300)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.021:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.065:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.267:0.267:0.267) (0.285:0.285:0.285)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.020:-0.022:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.066:0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.244:0.244:0.244) (0.268:0.268:0.268)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.284:0.284:0.284) (0.295:0.295:0.295)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.208:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035)) - (SETUP (negedge D) (posedge CLK) (0.066:0.068:0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.244:0.244:0.244) (0.268:0.268:0.268)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.019:-0.021:-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.026:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.035:0.037:0.040)) - (SETUP (negedge D) (posedge CLK) (0.067:0.070:0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.266:0.266:0.266) (0.284:0.284:0.284)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.279:0.279:0.279) (0.292:0.292:0.292)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.311:0.311:0.311) (0.312:0.312:0.312)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.211:0.211)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133:-0.133:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.067:0.067:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.219:0.219:0.219) (0.248:0.248:0.248)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.210:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.061:0.061:0.061)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.256:0.256:0.256) (0.278:0.278:0.278)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.243:0.243:0.243) (0.268:0.268:0.268)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.214:0.214:0.214)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130:-0.129:-0.129)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038)) - (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.261:0.261:0.261) (0.281:0.281:0.281)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.250:0.250:0.250) (0.272:0.272:0.272)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.210:0.210)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.133:-0.133)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.260:0.260:0.260) (0.280:0.280:0.280)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.258:0.258:0.258) (0.279:0.279:0.279)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.211:0.211:0.212)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132:-0.132:-0.132)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.261:0.261:0.261) (0.281:0.281:0.281)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.209:0.209:0.209)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134:-0.134:-0.134)) - (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) - (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.105:0.105:0.105) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.093:0.093:0.093) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.079:0.079:0.079) (0.083:0.083:0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.057:0.061:0.066) (0.004:-0.003:-0.009)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.055:0.056:0.056) (0.006:0.005:0.005)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.033:0.037:0.041) (0.071:0.076:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.030:0.031:0.033) (0.055:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.075:0.075:0.075) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.012:0.012) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.037:0.040:0.044) (0.075:0.080:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.028:0.029:0.030) (0.052:0.053:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.040:0.041:0.042) (0.078:0.080:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.029:0.030:0.031) (0.054:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.079:0.079:0.079) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.032:0.032:0.033) (0.057:0.057:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.026:0.026:0.026) (-0.016:-0.016:-0.016)) - (IOPATH TE_B Z (0.038:0.040:0.041) (0.076:0.078:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.026:0.026:0.026) (-0.015:-0.015:-0.015)) - (IOPATH TE_B Z (0.029:0.029:0.030) (0.053:0.054:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.015:0.015:0.015) (0.005:0.005:0.005)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.025:0.025:0.025) (-0.012:-0.012:-0.012)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.011:-0.011:-0.011)) - (IOPATH TE_B Z (0.029:0.031:0.032) (0.054:0.055:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.033:0.033:0.033) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.080:0.080:0.080) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.002:0.002:0.002)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.010:-0.010:-0.010)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.032:0.033:0.035) (0.057:0.058:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.033:0.034:0.035) (0.058:0.059:0.061)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.025:0.025:0.025) (0.033:0.033:0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.091:0.091:0.091) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.012:0.012:0.012) (0.000:0.000:0.000)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.011:-0.011:-0.011)) - (IOPATH TE_B Z (0.034:0.035:0.037) (0.072:0.073:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.031:0.032:0.032) (0.056:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.108:0.108:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.073:0.073:0.073) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.004)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (-0.011:-0.011:-0.011)) - (IOPATH TE_B Z (0.034:0.036:0.037) (0.072:0.074:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.028:0.029:0.030) (0.052:0.053:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.073:0.073:0.073) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.038:0.038:0.039) (0.076:0.076:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.028:0.029:0.030) (0.052:0.053:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.022:0.022:0.022) (0.030:0.030:0.030)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.077:0.077:0.077) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009)) - (IOPATH TE_B Z (0.038:0.039:0.039) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007)) - (IOPATH TE_B Z (0.028:0.029:0.031) (0.053:0.054:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.026:0.026:0.026) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074:0.074:0.074) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.026:0.026:0.026)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.115:0.115:0.115) (0.202:0.202:0.202)) - (IOPATH B X (0.097:0.097:0.097) (0.179:0.180:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.059:0.059:0.059) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.005:-0.005:-0.005) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.039:0.039:0.039) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.001:-0.001:-0.001) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.031:0.031:0.032) (0.055:0.056:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.052:0.052:0.052) (-0.007:-0.007:-0.007)) - ) - ) - ) -) diff --git a/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ss.sdf b/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ss.sdf deleted file mode 100644 index 52142c5c..00000000 --- a/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ss.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:52 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.239:0.239:0.239) (0.139:0.139:0.139)) - (INTERCONNECT dco _349_.A (0.239:0.239:0.239) (0.140:0.140:0.140)) - (INTERCONNECT dco _358_.A (0.239:0.239:0.239) (0.140:0.140:0.140)) - (INTERCONNECT dco _361_.B (0.241:0.241:0.241) (0.141:0.141:0.141)) - (INTERCONNECT dco _374_.B1 (0.240:0.240:0.240) (0.140:0.140:0.140)) - (INTERCONNECT dco _379_.A (0.241:0.241:0.241) (0.141:0.141:0.141)) - (INTERCONNECT dco _447_.A (0.239:0.239:0.239) (0.140:0.140:0.140)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.239:0.239:0.239) (0.140:0.140:0.140)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.240:0.240:0.240) (0.141:0.141:0.141)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.240:0.240:0.240) (0.140:0.140:0.140)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.241:0.241:0.241) (0.141:0.141:0.141)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.238:0.238:0.238) (0.139:0.139:0.139)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.240:0.240:0.240) (0.140:0.140:0.140)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.239:0.239:0.239) (0.140:0.140:0.140)) - (INTERCONNECT div[0] _257_.A_N (0.086:0.086:0.086) (0.050:0.050:0.050)) - (INTERCONNECT div[0] _287_.B1 (0.086:0.086:0.086) (0.050:0.050:0.050)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.086:0.086:0.086) (0.051:0.051:0.051)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.086:0.086:0.086) (0.050:0.050:0.050)) - (INTERCONNECT div[1] _252_.A (0.076:0.076:0.076) (0.044:0.044:0.044)) - (INTERCONNECT div[1] _258_.A (0.076:0.076:0.076) (0.044:0.044:0.044)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.076:0.076:0.076) (0.044:0.044:0.044)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.076:0.076:0.076) (0.044:0.044:0.044)) - (INTERCONNECT div[2] _244_.A (0.041:0.041:0.041) (0.023:0.023:0.023)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.041:0.041:0.041) (0.023:0.023:0.023)) - (INTERCONNECT div[3] _243_.A (0.109:0.109:0.109) (0.063:0.063:0.063)) - (INTERCONNECT div[3] _260_.A1 (0.109:0.109:0.109) (0.063:0.063:0.063)) - (INTERCONNECT div[3] _267_.A1 (0.109:0.109:0.109) (0.063:0.063:0.063)) - (INTERCONNECT div[3] _289_.A1 (0.109:0.109:0.109) (0.063:0.063:0.063)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.109:0.109:0.109) (0.063:0.063:0.063)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.109:0.109:0.109) (0.063:0.063:0.063)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.109:0.109:0.109) (0.063:0.063:0.063)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.109:0.109:0.109) (0.063:0.063:0.063)) - (INTERCONNECT div[4] _266_.A (0.058:0.058:0.058) (0.034:0.034:0.034)) - (INTERCONNECT div[4] _270_.A1 (0.058:0.058:0.058) (0.034:0.034:0.034)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.058:0.058:0.058) (0.034:0.034:0.034)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.058:0.058:0.058) (0.034:0.034:0.034)) - (INTERCONNECT enable _425_.A (0.054:0.054:0.054) (0.032:0.032:0.032)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.054:0.054:0.054) (0.031:0.031:0.031)) - (INTERCONNECT ext_trim[0] _350_.A (0.050:0.050:0.050) (0.029:0.029:0.029)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.050:0.050:0.050) (0.029:0.029:0.029)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.042:0.042:0.042) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.043:0.043:0.043) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.038:0.038:0.038) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.038:0.038:0.038) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.045:0.045:0.045) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.045:0.045:0.045) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.038:0.038:0.038) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.038:0.038:0.038) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.044:0.044:0.044) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.044:0.044:0.044) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.048:0.048:0.048) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.049:0.049:0.049) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.045:0.045:0.045) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.045:0.045:0.045) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.056:0.056:0.056) (0.033:0.033:0.033)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.056:0.056:0.056) (0.033:0.033:0.033)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.076:0.076:0.076) (0.045:0.045:0.045)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.076:0.076:0.076) (0.045:0.045:0.045)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.074:0.074:0.074) (0.043:0.043:0.043)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.074:0.074:0.074) (0.043:0.043:0.043)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.025:0.025:0.025) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.025:0.025:0.025) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.076:0.076:0.076) (0.045:0.045:0.045)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.076:0.076:0.076) (0.045:0.045:0.045)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.075:0.075:0.075) (0.045:0.045:0.045)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.076:0.076:0.076) (0.045:0.045:0.045)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.058:0.058:0.058) (0.034:0.034:0.034)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.058:0.058:0.058) (0.034:0.034:0.034)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.046:0.046:0.046) (0.027:0.027:0.027)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.046:0.046:0.046) (0.027:0.027:0.027)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.061:0.061:0.061) (0.036:0.036:0.036)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.061:0.061:0.061) (0.036:0.036:0.036)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.091:0.091:0.091) (0.054:0.054:0.054)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.091:0.091:0.091) (0.054:0.054:0.054)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.044:0.044:0.044) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.044:0.044:0.044) (0.025:0.025:0.025)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.030:0.030:0.030) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.030:0.030:0.030) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.035:0.035:0.035) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.034:0.034:0.034) (0.020:0.020:0.020)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.033:0.033:0.033) (0.019:0.019:0.019)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.033:0.033:0.033) (0.019:0.019:0.019)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.044:0.044:0.044) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.044:0.044:0.044) (0.026:0.026:0.026)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.098:0.098:0.098) (0.058:0.058:0.058)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.098:0.098:0.098) (0.058:0.058:0.058)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.090:0.090:0.090) (0.054:0.054:0.054)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.090:0.090:0.090) (0.054:0.054:0.054)) - (INTERCONNECT osc _470_.D (0.023:0.023:0.023) (0.013:0.013:0.013)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.023:0.023:0.023) (0.013:0.013:0.013)) - (INTERCONNECT resetb _425_.B (0.051:0.051:0.051) (0.029:0.029:0.029)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.051:0.051:0.051) (0.029:0.029:0.029)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _219_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _221_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _223_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _226_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _343_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _345_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _332_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _334_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _338_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _341_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _342_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _255_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _330_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _394_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _405_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _416_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _420_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _423_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _292_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _294_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _353_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _355_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _362_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _366_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _397_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _236_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _236_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _245_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _251_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _264_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _249_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _260_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _267_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.Y _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _249_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _250_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271_.X _272_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _285_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _295_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _296_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _292_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _294_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _305_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _307_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _308_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _319_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _278_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _295_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _317_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _366_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _376_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _393_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _415_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _278_.C (0.001:0.001:0.001) (0.000:0.001:0.001)) - (INTERCONNECT _274_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _277_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _279_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _321_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _374_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _408_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _412_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _420_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _277_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _279_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _302_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _418_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _385_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _361_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _367_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _393_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _306_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _401_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _283_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _385_.A2 (0.000:0.000:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _412_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _319_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _314_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _317_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _323_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _326_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _316_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _301_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _305_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _377_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _382_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _298_.X _393_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _402_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _298_.X _406_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _299_.X _300_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _368_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _370_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _388_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _415_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _418_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _301_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _381_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _402_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _412_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _322_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _307_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _316_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _398_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.Y _341_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.Y _342_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _363_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _385_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _387_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _409_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _417_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _421_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _350_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _352_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _362_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _384_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _390_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _429_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _438_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _360_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _364_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _369_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _375_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _389_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _376_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _395_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _356_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _365_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _371_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _359_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _364_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _368_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _372_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _380_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _387_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _388_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _360_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _377_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _403_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _368_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _408_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _367_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _405_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _420_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _368_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _387_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _388_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _413_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _369_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _407_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _371_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _397_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _380_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _414_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _373_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _383_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _396_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _375_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _377_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _391_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _400_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _402_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _412_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _380_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _383_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _396_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _399_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _411_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _423_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _382_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _386_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _400_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _405_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _406_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _408_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _414_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _392_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _404_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _410_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _419_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _422_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _427_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _428_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _403_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _408_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _411_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _414_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _406_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _418_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _424_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.X _419_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.001)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _426_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _434_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _443_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _452_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _453_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _454_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _430_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _427_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _428_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _431_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _432_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _433_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _431_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _432_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _433_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _435_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _436_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _437_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _436_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _437_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _439_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _440_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _441_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _442_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _440_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _441_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _442_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _444_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _445_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _446_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _445_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _446_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _448_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _449_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _450_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _451_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _449_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _450_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _451_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _452_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _453_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _454_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _233_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _235_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _330_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _335_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _221_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _231_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _234_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _330_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _335_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _219_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _237_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _238_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _331_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _217_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _269_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _332_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _333_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _274_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _276_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _319_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _323_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _370_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _275_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _281_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _354_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _355_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _281_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _314_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _415_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _278_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _280_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _353_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _357_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _401_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _214_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _230_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _246_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _263_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _261_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _269_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.236:0.236:0.236) (0.261:0.261:0.261)) - (IOPATH A Y (0.269:0.269:0.269) (0.167:0.167:0.167)) - (IOPATH B Y (0.214:0.214:0.214) (0.250:0.250:0.250)) - (IOPATH B Y (0.218:0.218:0.218) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.355:0.382:0.409) (0.348:0.354:0.359)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.444:0.444:0.444) (0.390:0.390:0.390)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.339:0.339:0.339) (0.572:0.572:0.572)) - (IOPATH A1 X (0.308:0.308:0.308) (0.562:0.562:0.562)) - (IOPATH S X (0.461:0.461:0.461) (0.737:0.737:0.737)) - (IOPATH S X (0.355:0.355:0.355) (0.576:0.576:0.576)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.163:0.164) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.338:0.338:0.338) (0.575:0.575:0.575)) - (IOPATH A1 X (0.310:0.310:0.310) (0.565:0.565:0.565)) - (IOPATH S X (0.470:0.470:0.470) (0.748:0.748:0.748)) - (IOPATH S X (0.366:0.366:0.366) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.167:0.168) (0.230:0.230:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.329:0.329:0.329) (0.569:0.569:0.569)) - (IOPATH A1 X (0.312:0.312:0.312) (0.567:0.567:0.567)) - (IOPATH S X (0.470:0.470:0.470) (0.748:0.748:0.748)) - (IOPATH S X (0.366:0.366:0.366) (0.586:0.586:0.586)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.169:0.170:0.171) (0.232:0.233:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.350:0.350:0.350) (0.577:0.577:0.577)) - (IOPATH A1 X (0.293:0.293:0.293) (0.552:0.552:0.552)) - (IOPATH S X (0.463:0.463:0.463) (0.740:0.740:0.740)) - (IOPATH S X (0.359:0.359:0.359) (0.578:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.165:0.166:0.167) (0.229:0.230:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.393:0.393:0.393) (0.373:0.373:0.373)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.386:0.386:0.386) (0.592:0.592:0.592)) - (IOPATH A1 X (0.325:0.325:0.325) (0.581:0.581:0.581)) - (IOPATH S X (0.481:0.481:0.481) (0.760:0.760:0.760)) - (IOPATH S X (0.377:0.377:0.377) (0.598:0.598:0.598)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.180:0.181:0.183) (0.242:0.242:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.493:0.493:0.493) (0.436:0.436:0.436)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.297:0.297:0.297) (0.231:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.111:0.111:0.111) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.304:0.304:0.304) (0.453:0.453:0.453)) - (IOPATH B Y (0.134:0.134:0.134) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.406:0.406:0.406) (0.462:0.462:0.462)) - (IOPATH B X (0.443:0.443:0.443) (0.532:0.532:0.532)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.501:0.501:0.501) (0.304:0.304:0.304)) - (IOPATH A X (0.495:0.495:0.495) (0.428:0.428:0.428)) - (IOPATH B X (0.427:0.427:0.427) (0.239:0.239:0.239)) - (IOPATH B X (0.455:0.455:0.455) (0.349:0.349:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.357:0.357:0.357) (0.426:0.426:0.426)) - (IOPATH B X (0.344:0.344:0.344) (0.461:0.461:0.461)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.393:0.393:0.393) (0.450:0.450:0.450)) - (IOPATH B X (0.341:0.341:0.341) (0.457:0.457:0.457)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.362:0.362:0.362) (0.651:0.652:0.653)) - (IOPATH A2 X (0.428:0.433:0.438) (0.696:0.713:0.730)) - (IOPATH B1 X (0.237:0.237:0.237) (0.642:0.643:0.643)) - (IOPATH C1 X (0.236:0.236:0.237) (0.577:0.578:0.578)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.274:0.274:0.274) (0.153:0.153:0.153)) - (IOPATH B Y (0.218:0.218:0.218) (0.112:0.112:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.152:0.152:0.152)) - (IOPATH B Y (0.138:0.138:0.138) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.267:0.267:0.268) (0.368:0.372:0.375)) - (IOPATH B Y (0.117:0.121:0.125) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.399:0.399:0.400) (0.417:0.421:0.426)) - (IOPATH B X (0.405:0.407:0.409) (0.460:0.461:0.462)) - (IOPATH C X (0.413:0.413:0.414) (0.473:0.478:0.483)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.304:0.308:0.312) (0.152:0.153:0.153)) - (IOPATH A2 Y (0.324:0.325:0.325) (0.154:0.156:0.158)) - (IOPATH B1 Y (0.258:0.262:0.267) (0.085:0.085:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.263:0.264:0.266) (0.111:0.111:0.111)) - (IOPATH B Y (0.213:0.222:0.232) (0.149:0.150:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.084:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.312:0.313:0.314) (0.195:0.195:0.195)) - (IOPATH A2 Y (0.322:0.341:0.359) (0.256:0.260:0.265)) - (IOPATH B1 Y (0.259:0.259:0.260) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.227:0.227:0.227) (0.131:0.131:0.131)) - (IOPATH B Y (0.178:0.178:0.178) (0.100:0.100:0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.231:0.234:0.237) (0.118:0.118:0.119)) - (IOPATH B Y (0.211:0.212:0.213) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.299:0.301:0.302) (0.266:0.282:0.298)) - (IOPATH A Y (0.316:0.331:0.346) (0.233:0.234:0.236)) - (IOPATH B Y (0.281:0.281:0.281) (0.260:0.262:0.265)) - (IOPATH B Y (0.274:0.276:0.278) (0.202:0.202:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.327:0.327:0.327) (0.740:0.740:0.740)) - (IOPATH A2 X (0.347:0.347:0.348) (0.726:0.728:0.729)) - (IOPATH A3 X (0.370:0.371:0.373) (0.643:0.652:0.661)) - (IOPATH B1 X (0.313:0.313:0.313) (0.390:0.390:0.390)) - (IOPATH B2 X (0.303:0.338:0.374) (0.395:0.399:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087:0.087:0.087) (0.099:0.099:0.099)) - (IOPATH B Y (0.137:0.142:0.146) (0.127:0.156:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.263:0.263:0.263) (0.270:0.271:0.272)) - (IOPATH A Y (0.277:0.278:0.279) (0.194:0.194:0.195)) - (IOPATH B Y (0.308:0.312:0.316) (0.257:0.276:0.295)) - (IOPATH B Y (0.224:0.242:0.259) (0.216:0.219:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.195:0.222:0.250) (0.363:0.368:0.373)) - (IOPATH B Y (0.088:0.088:0.088) (0.102:0.102:0.102)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.176:0.176:0.176)) - (IOPATH B Y (0.141:0.141:0.141) (0.141:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.309:0.309:0.309) (0.189:0.189:0.189)) - (IOPATH B Y (0.251:0.251:0.251) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.082:0.088:0.094) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.235:0.235:0.235) (0.441:0.441:0.441)) - (IOPATH B Y (0.121:0.129:0.137) (0.173:0.173:0.173)) - (IOPATH C Y (0.130:0.130:0.130) (0.174:0.174:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.287:0.287:0.287) (0.358:0.358:0.358)) - (IOPATH B X (0.279:0.301:0.322) (0.408:0.413:0.418)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.238:0.239:0.239) (0.357:0.362:0.367)) - (IOPATH A2 X (0.253:0.258:0.263) (0.412:0.416:0.421)) - (IOPATH B1 X (0.166:0.166:0.166) (0.352:0.352:0.353)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.483:0.483:0.483) (0.482:0.482:0.482)) - (IOPATH A2 X (0.448:0.448:0.448) (0.442:0.445:0.448)) - (IOPATH B1 X (0.447:0.448:0.448) (0.284:0.293:0.302)) - (IOPATH C1 X (0.417:0.420:0.423) (0.256:0.259:0.263)) - (IOPATH D1 X (0.384:0.386:0.387) (0.224:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.419:0.419:0.419) (0.258:0.258:0.258)) - (IOPATH A X (0.412:0.412:0.412) (0.399:0.399:0.399)) - (IOPATH B X (0.362:0.362:0.362) (0.216:0.216:0.216)) - (IOPATH B X (0.394:0.394:0.394) (0.335:0.335:0.335)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.069:0.072) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.394:0.394:0.394)) - (IOPATH B X (0.293:0.293:0.293) (0.419:0.419:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.371:0.371:0.372) (0.419:0.419:0.419)) - (IOPATH A2 X (0.420:0.421:0.421) (0.504:0.508:0.512)) - (IOPATH A3 X (0.423:0.425:0.428) (0.526:0.527:0.527)) - (IOPATH B1 X (0.243:0.243:0.243) (0.450:0.451:0.452)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.295:0.299:0.303) (0.256:0.270:0.284)) - (IOPATH A Y (0.276:0.288:0.301) (0.225:0.229:0.233)) - (IOPATH B Y (0.231:0.235:0.238) (0.257:0.259:0.260)) - (IOPATH B Y (0.237:0.238:0.239) (0.152:0.155:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.280:0.280) (0.354:0.354:0.354)) - (IOPATH B X (0.286:0.310:0.335) (0.415:0.422:0.430)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.313:0.313:0.313) (0.396:0.396:0.396)) - (IOPATH A2 X (0.304:0.304:0.304) (0.359:0.362:0.365)) - (IOPATH B1_N X (0.480:0.489:0.498) (0.301:0.302:0.302)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.106:0.118) (0.149:0.151:0.154)) - (IOPATH B Y (0.112:0.113:0.114) (0.118:0.121:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.136:0.136:0.136)) - (IOPATH B Y (0.126:0.126:0.126) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.445:0.445:0.445) (0.514:0.514:0.514)) - (IOPATH A2 X (0.415:0.437:0.460) (0.494:0.500:0.507)) - (IOPATH B1 X (0.417:0.419:0.421) (0.267:0.275:0.284)) - (IOPATH C1 X (0.403:0.403:0.403) (0.237:0.242:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.457:0.457:0.458) (0.786:0.790:0.795)) - (IOPATH A2 X (0.445:0.445:0.445) (0.766:0.767:0.767)) - (IOPATH A3 X (0.405:0.406:0.407) (0.688:0.690:0.692)) - (IOPATH B1 X (0.463:0.464:0.464) (0.312:0.318:0.325)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.502:0.503:0.504) (0.417:0.428:0.439)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.376:0.376:0.376) (0.365:0.365:0.365)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.365:0.365:0.365) (0.434:0.434:0.434)) - (IOPATH B X (0.393:0.393:0.393) (0.499:0.499:0.499)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.314:0.314:0.314) (0.212:0.212:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.267:0.267:0.267) (0.217:0.217:0.217)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.381:0.381:0.381) (0.220:0.220:0.220)) - (IOPATH B Y (0.321:0.321:0.321) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.185:0.185:0.185) (0.250:0.250:0.250)) - (IOPATH B Y (0.178:0.178:0.178) (0.274:0.274:0.274)) - (IOPATH C Y (0.175:0.176:0.177) (0.263:0.263:0.263)) - (IOPATH D Y (0.157:0.162:0.167) (0.321:0.321:0.321)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.169:0.169:0.169) (0.203:0.203:0.203)) - (IOPATH B Y (0.159:0.159:0.159) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.229:0.229:0.229) (0.211:0.211:0.211)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.380:0.380:0.380) (0.176:0.176:0.176)) - (IOPATH B Y (0.333:0.333:0.333) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.175:0.175:0.175) (0.188:0.188:0.188)) - (IOPATH B Y (0.175:0.177:0.179) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.275:0.281:0.287) (0.105:0.105:0.105)) - (IOPATH B Y (0.258:0.260:0.263) (0.116:0.118:0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.412:0.412:0.412) (0.148:0.148:0.148)) - (IOPATH B Y (0.389:0.389:0.389) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.396:0.397:0.398) (0.386:0.397:0.408)) - (IOPATH B X (0.391:0.391:0.391) (0.385:0.386:0.386)) - (IOPATH C X (0.452:0.452:0.452) (0.435:0.435:0.435)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.623:0.629:0.634) (0.503:0.528:0.553)) - (IOPATH B X (0.517:0.517:0.517) (0.487:0.487:0.487)) - (IOPATH C X (0.530:0.530:0.530) (0.510:0.510:0.510)) - (IOPATH D X (0.548:0.548:0.548) (0.547:0.547:0.547)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.236:0.237:0.238) (0.168:0.168:0.168)) - (IOPATH A2 Y (0.167:0.172:0.178) (0.145:0.145:0.145)) - (IOPATH B1 Y (0.081:0.081:0.081) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.610:0.611:0.611) (0.498:0.498:0.498)) - (IOPATH B X (0.493:0.494:0.495) (0.464:0.470:0.476)) - (IOPATH C X (0.513:0.517:0.522) (0.518:0.524:0.529)) - (IOPATH D X (0.519:0.532:0.546) (0.517:0.520:0.522)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.432:0.432:0.432) (0.504:0.504:0.504)) - (IOPATH A2 X (0.428:0.428:0.428) (0.458:0.461:0.464)) - (IOPATH B1 X (0.400:0.400:0.401) (0.266:0.275:0.284)) - (IOPATH C1 X (0.369:0.372:0.375) (0.223:0.226:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.247:0.247:0.247) (0.468:0.469:0.470)) - (IOPATH B Y (0.164:0.165:0.167) (0.280:0.281:0.281)) - (IOPATH C Y (0.167:0.174:0.180) (0.298:0.298:0.299)) - (IOPATH D Y (0.159:0.165:0.172) (0.299:0.299:0.299)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.516:0.517:0.519) (0.462:0.462:0.463)) - (IOPATH B X (0.443:0.443:0.444) (0.463:0.465:0.466)) - (IOPATH C X (0.444:0.450:0.457) (0.552:0.554:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.377:0.377:0.377) (0.712:0.712:0.712)) - (IOPATH A2 X (0.389:0.389:0.389) (0.693:0.693:0.693)) - (IOPATH A3 X (0.267:0.271:0.275) (0.609:0.611:0.614)) - (IOPATH B1 X (0.306:0.306:0.306) (0.228:0.230:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.325:0.326:0.328) (0.319:0.330:0.341)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.330:0.330:0.330) (0.331:0.331:0.331)) - (IOPATH A Y (0.393:0.393:0.393) (0.266:0.266:0.266)) - (IOPATH B Y (0.344:0.344:0.344) (0.343:0.343:0.343)) - (IOPATH B Y (0.366:0.366:0.366) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.237:0.237:0.237) (0.149:0.149:0.149)) - (IOPATH B Y (0.201:0.210:0.219) (0.138:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.349:0.349:0.349) (0.422:0.422:0.422)) - (IOPATH B X (0.389:0.390:0.391) (0.472:0.483:0.494)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.357:0.358:0.358) (0.155:0.156:0.156)) - (IOPATH B Y (0.329:0.330:0.331) (0.127:0.127:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.380:0.380:0.381) (0.364:0.371:0.378)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.390:0.390:0.390) (0.371:0.371:0.371)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.242:0.242:0.242) (0.288:0.288:0.288)) - (IOPATH B Y (0.255:0.255:0.255) (0.268:0.268:0.268)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.184:0.184:0.184) (0.222:0.222:0.222)) - (IOPATH B Y (0.211:0.214:0.217) (0.196:0.197:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.314:0.314:0.314) (0.317:0.317:0.317)) - (IOPATH A Y (0.379:0.379:0.379) (0.249:0.249:0.249)) - (IOPATH B Y (0.314:0.315:0.316) (0.316:0.326:0.336)) - (IOPATH B Y (0.339:0.348:0.357) (0.235:0.236:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.085) (0.139:0.139:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.413:0.414:0.415) (0.466:0.476:0.486)) - (IOPATH A2 X (0.330:0.330:0.330) (0.465:0.465:0.465)) - (IOPATH B1 X (0.286:0.286:0.286) (0.444:0.445:0.445)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.476:0.478:0.479) (0.542:0.546:0.551)) - (IOPATH A2 X (0.496:0.530:0.565) (0.584:0.589:0.593)) - (IOPATH A3 X (0.519:0.520:0.522) (0.574:0.574:0.575)) - (IOPATH B1 X (0.435:0.435:0.435) (0.526:0.526:0.526)) - (IOPATH B2 X (0.457:0.457:0.457) (0.555:0.555:0.555)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.135:0.137) (0.189:0.189:0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.401:0.439:0.476) (0.499:0.505:0.510)) - (IOPATH A2 X (0.508:0.508:0.508) (0.516:0.518:0.521)) - (IOPATH A3 X (0.458:0.460:0.461) (0.530:0.530:0.531)) - (IOPATH B1 X (0.290:0.290:0.291) (0.450:0.450:0.450)) - (IOPATH B2 X (0.386:0.386:0.386) (0.508:0.508:0.508)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.312:0.312:0.312) (0.306:0.306:0.306)) - (IOPATH A Y (0.333:0.333:0.333) (0.242:0.242:0.242)) - (IOPATH B Y (0.313:0.313:0.313) (0.309:0.309:0.309)) - (IOPATH B Y (0.296:0.296:0.296) (0.225:0.225:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.278:0.281:0.283) (0.360:0.361:0.361)) - (IOPATH B X (0.272:0.298:0.325) (0.405:0.412:0.419)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.216:0.217:0.217) (0.132:0.134:0.136)) - (IOPATH A2 Y (0.180:0.186:0.193) (0.099:0.122:0.145)) - (IOPATH B1 Y (0.111:0.111:0.111) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.388:0.388:0.388) (0.466:0.466:0.466)) - (IOPATH A2 X (0.322:0.322:0.322) (0.420:0.420:0.420)) - (IOPATH B1 X (0.264:0.264:0.264) (0.378:0.379:0.380)) - (IOPATH B2 X (0.234:0.248:0.262) (0.337:0.343:0.349)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.272:0.275:0.277) (0.235:0.235:0.235)) - (IOPATH A2 Y (0.313:0.313:0.314) (0.187:0.189:0.191)) - (IOPATH B1 Y (0.246:0.247:0.248) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.209:0.242:0.274) (0.245:0.250:0.255)) - (IOPATH A Y (0.238:0.243:0.248) (0.141:0.171:0.202)) - (IOPATH B Y (0.237:0.239:0.240) (0.211:0.227:0.243)) - (IOPATH B Y (0.166:0.180:0.194) (0.150:0.151:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.322:0.322:0.322) (0.561:0.561:0.561)) - (IOPATH A1 X (0.255:0.274:0.293) (0.524:0.530:0.535)) - (IOPATH S X (0.447:0.447:0.447) (0.722:0.722:0.722)) - (IOPATH S X (0.338:0.338:0.338) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.163:0.164) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.214:0.217:0.219) (0.209:0.209:0.209)) - (IOPATH A X (0.282:0.282:0.282) (0.296:0.298:0.300)) - (IOPATH B X (0.194:0.195:0.195) (0.152:0.154:0.156)) - (IOPATH B X (0.244:0.246:0.248) (0.267:0.268:0.269)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.334:0.334:0.334) (0.553:0.553:0.553)) - (IOPATH A1 X (0.272:0.278:0.285) (0.521:0.528:0.535)) - (IOPATH S X (0.447:0.447:0.447) (0.721:0.721:0.721)) - (IOPATH S X (0.338:0.338:0.338) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.166:0.168:0.169) (0.231:0.232:0.232)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.340:0.340:0.340) (0.576:0.576:0.576)) - (IOPATH A1 X (0.414:0.414:0.414) (0.556:0.556:0.557)) - (IOPATH S X (0.491:0.491:0.491) (0.773:0.773:0.773)) - (IOPATH S X (0.396:0.396:0.396) (0.604:0.604:0.605)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.500:0.500:0.500) (0.558:0.558:0.558)) - (IOPATH A2 X (0.447:0.448:0.450) (0.514:0.514:0.515)) - (IOPATH B1 X (0.426:0.428:0.429) (0.286:0.287:0.287)) - (IOPATH C1 X (0.411:0.412:0.413) (0.240:0.251:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.296:0.296:0.296) (0.289:0.289:0.289)) - (IOPATH A Y (0.289:0.289:0.289) (0.226:0.226:0.226)) - (IOPATH B Y (0.216:0.216:0.217) (0.232:0.238:0.244)) - (IOPATH B Y (0.196:0.201:0.206) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.236:0.268:0.300) (0.275:0.280:0.285)) - (IOPATH A Y (0.296:0.300:0.304) (0.170:0.202:0.234)) - (IOPATH B Y (0.242:0.244:0.246) (0.270:0.271:0.271)) - (IOPATH B Y (0.250:0.251:0.251) (0.165:0.166:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.504:0.504:0.504) (0.483:0.483:0.483)) - (IOPATH A2_N X (0.462:0.468:0.475) (0.421:0.449:0.478)) - (IOPATH B1 X (0.391:0.391:0.391) (0.567:0.567:0.567)) - (IOPATH B2 X (0.323:0.323:0.323) (0.513:0.515:0.516)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.253:0.253:0.253) (0.208:0.208:0.208)) - (IOPATH B Y (0.219:0.220:0.221) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.269:0.269:0.269) (0.212:0.212:0.212)) - (IOPATH A X (0.286:0.286:0.286) (0.347:0.347:0.347)) - (IOPATH B X (0.168:0.177:0.186) (0.153:0.153:0.153)) - (IOPATH B X (0.247:0.247:0.247) (0.242:0.250:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.282:0.282:0.282) (0.536:0.536:0.536)) - (IOPATH A1 X (0.272:0.279:0.285) (0.520:0.527:0.534)) - (IOPATH S X (0.446:0.446:0.446) (0.720:0.720:0.720)) - (IOPATH S X (0.336:0.336:0.336) (0.561:0.561:0.561)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.162:0.163:0.164) (0.227:0.227:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.111:0.111:0.111) (0.115:0.115:0.115)) - (IOPATH B Y (0.126:0.126:0.126) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.292:0.292:0.292) (0.411:0.411:0.411)) - (IOPATH A2 X (0.243:0.243:0.243) (0.364:0.365:0.366)) - (IOPATH B1 X (0.230:0.232:0.233) (0.200:0.201:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.452:0.452:0.452) (0.448:0.448:0.448)) - (IOPATH B X (0.487:0.487:0.487) (0.512:0.512:0.512)) - (IOPATH C X (0.507:0.507:0.507) (0.536:0.536:0.536)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.396:0.396:0.396) (0.454:0.454:0.454)) - (IOPATH B X (0.363:0.363:0.363) (0.463:0.465:0.467)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.311:0.311:0.311) (0.419:0.419:0.419)) - (IOPATH A2 X (0.239:0.239:0.239) (0.362:0.362:0.363)) - (IOPATH B1 X (0.313:0.313:0.313) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.264:0.264:0.264) (0.396:0.396:0.396)) - (IOPATH B Y (0.110:0.111:0.112) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.422:0.422:0.422) (0.514:0.514:0.514)) - (IOPATH A2 X (0.364:0.364:0.364) (0.446:0.448:0.449)) - (IOPATH B1 X (0.348:0.349:0.350) (0.226:0.231:0.236)) - (IOPATH C1 X (0.412:0.412:0.412) (0.246:0.246:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.267:0.267:0.267) (0.182:0.182:0.182)) - (IOPATH A2 Y (0.287:0.287:0.287) (0.200:0.200:0.200)) - (IOPATH B1 Y (0.223:0.223:0.223) (0.110:0.110:0.110)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.235:0.235:0.235)) - (IOPATH B Y (0.194:0.195:0.196) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.210:0.212:0.213) (0.133:0.133:0.133)) - (IOPATH A2 Y (0.161:0.167:0.173) (0.116:0.119:0.121)) - (IOPATH B1 Y (0.113:0.115:0.117) (0.124:0.126:0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.337:0.337:0.337) (0.391:0.391:0.391)) - (IOPATH B X (0.261:0.272:0.283) (0.380:0.384:0.389)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.222:0.223:0.224)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.283:0.283:0.283) (0.297:0.297:0.297)) - (IOPATH A Y (0.308:0.308:0.308) (0.213:0.213:0.213)) - (IOPATH B Y (0.288:0.288:0.288) (0.288:0.288:0.288)) - (IOPATH B Y (0.259:0.259:0.259) (0.199:0.199:0.199)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.229:0.232:0.234) (0.131:0.133:0.134)) - (IOPATH A2 Y (0.223:0.228:0.233) (0.107:0.130:0.153)) - (IOPATH B1_N Y (0.345:0.345:0.345) (0.355:0.355:0.355)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.181:0.181:0.181)) - (IOPATH B Y (0.141:0.141:0.141) (0.197:0.197:0.197)) - (IOPATH C Y (0.139:0.141:0.144) (0.168:0.169:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.277:0.277:0.277) (0.536:0.536:0.536)) - (IOPATH A1 X (0.274:0.274:0.274) (0.541:0.541:0.541)) - (IOPATH S X (0.465:0.465:0.465) (0.742:0.742:0.742)) - (IOPATH S X (0.361:0.361:0.361) (0.580:0.580:0.580)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.182:0.183) (0.244:0.244:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.272:0.272:0.272) (0.531:0.531:0.531)) - (IOPATH A1 X (0.273:0.273:0.273) (0.538:0.538:0.538)) - (IOPATH S X (0.461:0.461:0.461) (0.737:0.737:0.737)) - (IOPATH S X (0.356:0.356:0.356) (0.575:0.575:0.575)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.167:0.169:0.170) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.201:0.201:0.201) (0.364:0.364:0.364)) - (IOPATH B Y (0.143:0.143:0.143) (0.169:0.169:0.169)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.471:0.471:0.471) (0.405:0.405:0.405)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.475:0.475:0.475) (0.408:0.408:0.408)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.090:0.090:0.090)) - (IOPATH B Y (0.150:0.150:0.150) (0.173:0.173:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.431:0.431:0.431) (0.267:0.267:0.267)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.162:0.166:0.170) (0.164:0.168:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.410:0.410:0.410) (0.370:0.370:0.370)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.319:0.319:0.319) (0.185:0.185:0.185)) - (IOPATH B Y (0.259:0.259:0.259) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.549:0.549:0.549) (0.571:0.571:0.571)) - (IOPATH B X (0.430:0.430:0.430) (0.496:0.497:0.498)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.441:0.441:0.441) (0.432:0.432:0.432)) - (IOPATH B X (0.500:0.500:0.500) (0.503:0.503:0.503)) - (IOPATH C X (0.469:0.469:0.469) (0.521:0.521:0.521)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.117:0.117:0.117)) - (IOPATH B Y (0.166:0.167:0.168) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.340:0.340:0.340) (0.192:0.192:0.192)) - (IOPATH B Y (0.254:0.254:0.254) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.493:0.493:0.493) (0.251:0.251:0.251)) - (IOPATH B Y (0.401:0.407:0.414) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.428:0.429:0.429) (0.444:0.446:0.448)) - (IOPATH B X (0.553:0.553:0.554) (0.531:0.535:0.540)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.369:0.369:0.369) (0.434:0.434:0.434)) - (IOPATH A2 X (0.303:0.303:0.303) (0.415:0.415:0.415)) - (IOPATH B1 X (0.291:0.291:0.291) (0.431:0.432:0.432)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.286:0.286:0.286) (0.134:0.134:0.134)) - (IOPATH B Y (0.281:0.281:0.281) (0.183:0.183:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.252:0.252:0.252) (0.162:0.162:0.162)) - (IOPATH B Y (0.212:0.212:0.212) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.418:0.418:0.418) (0.686:0.686:0.686)) - (IOPATH A2 X (0.363:0.363:0.363) (0.705:0.705:0.705)) - (IOPATH B1 X (0.324:0.324:0.324) (0.664:0.669:0.674)) - (IOPATH C1 X (0.288:0.289:0.289) (0.599:0.599:0.600)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.374:0.374:0.374) (0.438:0.438:0.438)) - (IOPATH A2 X (0.318:0.318:0.318) (0.426:0.426:0.426)) - (IOPATH B1 X (0.376:0.377:0.377) (0.425:0.429:0.433)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.491:0.491:0.491) (0.501:0.501:0.501)) - (IOPATH B X (0.474:0.474:0.474) (0.538:0.538:0.538)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.208:0.208:0.208) (0.223:0.223:0.223)) - (IOPATH B Y (0.238:0.239:0.240) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.560:0.560:0.560) (0.602:0.602:0.602)) - (IOPATH A2 X (0.470:0.470:0.470) (0.523:0.523:0.523)) - (IOPATH B1 X (0.514:0.515:0.517) (0.360:0.360:0.360)) - (IOPATH C1 X (0.610:0.610:0.610) (0.299:0.304:0.308)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.371:0.371:0.371) (0.435:0.435:0.435)) - (IOPATH A2 X (0.307:0.307:0.307) (0.418:0.418:0.418)) - (IOPATH B1 X (0.273:0.273:0.274) (0.412:0.418:0.423)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.360:0.360:0.360) (0.202:0.202:0.202)) - (IOPATH B Y (0.320:0.320:0.320) (0.167:0.167:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.144:0.148) (0.217:0.217:0.217)) - (IOPATH B Y (0.160:0.161:0.163) (0.171:0.171:0.172)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.526:0.527:0.529) (0.594:0.598:0.603)) - (IOPATH A2 X (0.521:0.523:0.524) (0.586:0.587:0.587)) - (IOPATH B1 X (0.643:0.643:0.643) (0.344:0.348:0.353)) - (IOPATH C1 X (0.489:0.491:0.493) (0.305:0.311:0.317)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.371:0.371:0.371) (0.436:0.436:0.436)) - (IOPATH A2 X (0.310:0.310:0.310) (0.420:0.420:0.420)) - (IOPATH B1 X (0.284:0.284:0.284) (0.416:0.421:0.427)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.372:0.372:0.372) (0.256:0.256:0.256)) - (IOPATH A2 Y (0.329:0.337:0.345) (0.226:0.226:0.226)) - (IOPATH B1 Y (0.335:0.335:0.335) (0.186:0.186:0.186)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.373:0.373:0.373) (0.437:0.437:0.437)) - (IOPATH A2 X (0.311:0.311:0.311) (0.422:0.422:0.422)) - (IOPATH B1 X (0.313:0.314:0.315) (0.418:0.426:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.227:0.227:0.227) (0.264:0.264:0.264)) - (IOPATH B Y (0.213:0.214:0.215) (0.264:0.264:0.264)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.348:0.348:0.348) (0.431:0.431:0.431)) - (IOPATH A2 X (0.276:0.278:0.279) (0.414:0.415:0.416)) - (IOPATH B1 X (0.313:0.313:0.313) (0.252:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.378:0.378:0.378) (0.441:0.441:0.441)) - (IOPATH A2 X (0.322:0.322:0.322) (0.430:0.430:0.430)) - (IOPATH B1 X (0.225:0.226:0.227) (0.399:0.401:0.403)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.433:0.433:0.433) (0.385:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.458:0.458:0.458) (0.541:0.541:0.541)) - (IOPATH A2 X (0.426:0.426:0.426) (0.559:0.559:0.559)) - (IOPATH B1 X (0.533:0.533:0.533) (0.494:0.498:0.502)) - (IOPATH B2 X (0.394:0.396:0.398) (0.517:0.522:0.526)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.416:0.418:0.420) (0.409:0.412:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.378:0.378:0.378) (0.459:0.459:0.459)) - (IOPATH A2 X (0.274:0.274:0.274) (0.389:0.389:0.389)) - (IOPATH B1 X (0.315:0.317:0.319) (0.427:0.427:0.427)) - (IOPATH B2 X (0.322:0.322:0.322) (0.385:0.385:0.385)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.462:0.462:0.462) (0.544:0.544:0.544)) - (IOPATH A2 X (0.426:0.426:0.426) (0.559:0.559:0.559)) - (IOPATH B1 X (0.430:0.430:0.431) (0.490:0.496:0.501)) - (IOPATH B2 X (0.376:0.377:0.377) (0.502:0.502:0.502)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.179:0.179:0.179) (0.326:0.326:0.326)) - (IOPATH B Y (0.139:0.139:0.139) (0.162:0.162:0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.504:0.504:0.504) (0.815:0.815:0.815)) - (IOPATH A2 X (0.440:0.442:0.443) (0.772:0.775:0.777)) - (IOPATH A3 X (0.473:0.473:0.473) (0.682:0.687:0.691)) - (IOPATH B1 X (0.404:0.407:0.410) (0.292:0.294:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.146:0.146) (0.176:0.176:0.176)) - (IOPATH B Y (0.144:0.144:0.144) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.549:0.551:0.553) (0.596:0.597:0.597)) - (IOPATH A2 X (0.671:0.671:0.671) (0.608:0.613:0.617)) - (IOPATH A3 X (0.539:0.540:0.541) (0.579:0.584:0.590)) - (IOPATH B1 X (0.386:0.386:0.386) (0.494:0.494:0.494)) - (IOPATH B2 X (0.478:0.478:0.478) (0.563:0.563:0.563)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.337:0.337:0.337) (0.421:0.421:0.421)) - (IOPATH A2 X (0.264:0.265:0.267) (0.400:0.400:0.400)) - (IOPATH B1 X (0.398:0.398:0.398) (0.232:0.236:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.385:0.385:0.385) (0.456:0.456:0.456)) - (IOPATH A2 X (0.330:0.330:0.330) (0.445:0.445:0.445)) - (IOPATH B1 X (0.228:0.230:0.231) (0.412:0.415:0.417)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.546:0.546:0.546) (0.449:0.449:0.449)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.344:0.344:0.344) (0.427:0.427:0.427)) - (IOPATH A2 X (0.273:0.275:0.276) (0.411:0.412:0.413)) - (IOPATH B1 X (0.310:0.310:0.310) (0.250:0.251:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.445:0.445:0.445) (0.483:0.483:0.483)) - (IOPATH A2 X (0.313:0.313:0.313) (0.427:0.427:0.427)) - (IOPATH B1 X (0.219:0.220:0.221) (0.398:0.400:0.402)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.290:0.290:0.290) (0.583:0.583:0.583)) - (IOPATH A2 X (0.325:0.325:0.325) (0.641:0.641:0.641)) - (IOPATH B1 X (0.237:0.237:0.237) (0.588:0.588:0.588)) - (IOPATH C1 X (0.196:0.196:0.196) (0.509:0.509:0.509)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.241:0.241:0.241) (0.190:0.190:0.190)) - (IOPATH A2 Y (0.165:0.170:0.175) (0.156:0.156:0.156)) - (IOPATH B1 Y (0.106:0.107:0.108) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.469:0.470:0.470) (0.483:0.483:0.483)) - (IOPATH B X (0.343:0.345:0.347) (0.404:0.404:0.404)) - (IOPATH C X (0.369:0.378:0.387) (0.425:0.428:0.431)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.440:0.440:0.440) (0.529:0.529:0.529)) - (IOPATH A2 X (0.380:0.380:0.380) (0.527:0.527:0.527)) - (IOPATH B1 X (0.407:0.408:0.408) (0.475:0.481:0.486)) - (IOPATH B2 X (0.364:0.364:0.364) (0.487:0.488:0.489)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.170:0.170:0.170)) - (IOPATH B Y (0.118:0.122:0.125) (0.183:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.313:0.313:0.313) (0.398:0.398:0.398)) - (IOPATH B X (0.369:0.369:0.369) (0.428:0.435:0.442)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.405:0.405:0.405) (0.500:0.500:0.500)) - (IOPATH A2 X (0.348:0.348:0.348) (0.499:0.499:0.499)) - (IOPATH B1 X (0.316:0.316:0.317) (0.436:0.438:0.441)) - (IOPATH B2 X (0.337:0.337:0.337) (0.465:0.466:0.467)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.317:0.319:0.321) (0.491:0.492:0.493)) - (IOPATH B Y (0.209:0.209:0.209) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.203:0.203:0.203) (0.220:0.220:0.220)) - (IOPATH B Y (0.156:0.158:0.160) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.360:0.361:0.363) (0.493:0.495:0.498)) - (IOPATH A2 X (0.328:0.330:0.331) (0.466:0.467:0.468)) - (IOPATH B1 X (0.309:0.309:0.309) (0.429:0.431:0.433)) - (IOPATH B2 X (0.349:0.349:0.349) (0.414:0.414:0.414)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.467:0.467:0.467) (0.449:0.450:0.451)) - (IOPATH B X (0.445:0.446:0.447) (0.495:0.497:0.499)) - (IOPATH C X (0.433:0.433:0.434) (0.492:0.492:0.492)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.433:0.433:0.433) (0.474:0.474:0.474)) - (IOPATH A2 X (0.305:0.305:0.305) (0.419:0.419:0.419)) - (IOPATH B1 X (0.246:0.246:0.246) (0.408:0.409:0.411)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.144:0.144) (0.201:0.201:0.201)) - (IOPATH B Y (0.153:0.153:0.153) (0.215:0.215:0.215)) - (IOPATH C Y (0.145:0.146:0.147) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.237:0.237:0.238) (0.473:0.475:0.477)) - (IOPATH B Y (0.170:0.170:0.170) (0.241:0.241:0.241)) - (IOPATH C Y (0.189:0.189:0.189) (0.254:0.254:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.407:0.407:0.407) (0.397:0.404:0.411)) - (IOPATH B X (0.378:0.378:0.379) (0.432:0.432:0.433)) - (IOPATH C X (0.396:0.401:0.406) (0.488:0.491:0.495)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.536:0.536:0.536) (0.599:0.599:0.599)) - (IOPATH A2 X (0.436:0.436:0.436) (0.513:0.513:0.513)) - (IOPATH B1 X (0.443:0.443:0.443) (0.515:0.517:0.519)) - (IOPATH B2 X (0.487:0.487:0.487) (0.499:0.499:0.499)) - (IOPATH C1 X (0.422:0.423:0.424) (0.281:0.283:0.285)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.463:0.464:0.465) (0.535:0.541:0.548)) - (IOPATH A2 X (0.496:0.496:0.496) (0.565:0.567:0.568)) - (IOPATH A3 X (0.503:0.503:0.503) (0.551:0.558:0.566)) - (IOPATH B1 X (0.354:0.354:0.354) (0.478:0.478:0.478)) - (IOPATH B2 X (0.436:0.436:0.436) (0.541:0.541:0.541)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.477:0.477:0.477) (0.508:0.508:0.508)) - (IOPATH A2 X (0.366:0.366:0.366) (0.466:0.466:0.466)) - (IOPATH B1 X (0.272:0.272:0.272) (0.438:0.438:0.439)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.433:0.433:0.433) (0.524:0.524:0.524)) - (IOPATH A2 X (0.389:0.389:0.389) (0.532:0.532:0.532)) - (IOPATH B1 X (0.410:0.411:0.411) (0.487:0.487:0.488)) - (IOPATH B2 X (0.382:0.383:0.384) (0.515:0.516:0.518)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.398:0.398:0.398) (0.473:0.473:0.473)) - (IOPATH A2 X (0.291:0.292:0.294) (0.425:0.428:0.431)) - (IOPATH B1 X (0.323:0.325:0.327) (0.439:0.441:0.444)) - (IOPATH B2 X (0.291:0.292:0.294) (0.403:0.403:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.402:0.404:0.405) (0.505:0.509:0.514)) - (IOPATH A2 X (0.398:0.399:0.401) (0.497:0.497:0.497)) - (IOPATH B1 X (0.374:0.375:0.376) (0.246:0.252:0.258)) - (IOPATH C1 X (0.346:0.346:0.347) (0.212:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.525:0.525:0.525) (0.514:0.514:0.514)) - (IOPATH A2 X (0.419:0.419:0.419) (0.435:0.437:0.439)) - (IOPATH B1 X (0.449:0.451:0.453) (0.291:0.297:0.302)) - (IOPATH C1 X (0.442:0.443:0.443) (0.295:0.297:0.299)) - (IOPATH D1 X (0.392:0.392:0.392) (0.216:0.222:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.267:0.267:0.267) (0.189:0.189:0.189)) - (IOPATH A2 Y (0.243:0.243:0.243) (0.177:0.177:0.177)) - (IOPATH B1 Y (0.137:0.137:0.137) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.177:0.177:0.177)) - (IOPATH B Y (0.110:0.112:0.114) (0.112:0.127:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.478:0.479:0.480) (0.497:0.506:0.515)) - (IOPATH A2 X (0.421:0.422:0.423) (0.505:0.510:0.514)) - (IOPATH A3 X (0.427:0.428:0.430) (0.507:0.516:0.525)) - (IOPATH B1 X (0.304:0.304:0.304) (0.441:0.441:0.441)) - (IOPATH B2 X (0.374:0.374:0.374) (0.498:0.498:0.498)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.388:0.388:0.388) (0.721:0.721:0.721)) - (IOPATH A2 X (0.357:0.357:0.357) (0.675:0.675:0.675)) - (IOPATH A3 X (0.284:0.284:0.284) (0.599:0.601:0.602)) - (IOPATH B1 X (0.318:0.318:0.318) (0.236:0.237:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.417:0.417:0.417) (0.460:0.460:0.460)) - (IOPATH A2 X (0.306:0.306:0.306) (0.417:0.417:0.417)) - (IOPATH B1 X (0.207:0.208:0.210) (0.379:0.387:0.396)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.193:0.193:0.193)) - (IOPATH B Y (0.164:0.164:0.164) (0.226:0.226:0.226)) - (IOPATH C Y (0.142:0.143:0.144) (0.198:0.198:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.416:0.416:0.416) (0.488:0.489:0.491)) - (IOPATH A2 X (0.437:0.437:0.437) (0.519:0.527:0.534)) - (IOPATH A3 X (0.434:0.436:0.437) (0.526:0.532:0.538)) - (IOPATH B1 X (0.301:0.301:0.301) (0.440:0.440:0.440)) - (IOPATH B2 X (0.381:0.381:0.381) (0.503:0.503:0.503)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.418:0.418:0.418) (0.461:0.461:0.461)) - (IOPATH A2 X (0.288:0.288:0.288) (0.405:0.405:0.405)) - (IOPATH B1 X (0.273:0.273:0.273) (0.384:0.389:0.394)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.411:0.411:0.411) (0.504:0.504:0.504)) - (IOPATH A2 X (0.352:0.352:0.352) (0.502:0.502:0.502)) - (IOPATH B1 X (0.360:0.360:0.361) (0.437:0.437:0.438)) - (IOPATH B2 X (0.427:0.427:0.427) (0.509:0.509:0.509)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.423:0.423:0.423) (0.515:0.515:0.515)) - (IOPATH A2 X (0.373:0.373:0.373) (0.519:0.519:0.519)) - (IOPATH B1 X (0.364:0.364:0.364) (0.459:0.460:0.461)) - (IOPATH B2 X (0.348:0.353:0.359) (0.499:0.502:0.505)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.192:0.192:0.192) (0.205:0.205:0.205)) - (IOPATH B Y (0.204:0.204:0.204) (0.215:0.215:0.215)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.469:0.471:0.473) (0.434:0.434:0.434)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.249:0.249:0.249) (0.187:0.187:0.187)) - (IOPATH B Y (0.193:0.193:0.193) (0.146:0.146:0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.264:0.264:0.264) (0.194:0.194:0.194)) - (IOPATH B Y (0.208:0.208:0.208) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.475:0.475:0.475) (0.404:0.404:0.404)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.221:0.221:0.221) (0.158:0.158:0.158)) - (IOPATH B Y (0.188:0.188:0.188) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.212:0.212:0.212) (0.154:0.154:0.154)) - (IOPATH B Y (0.179:0.179:0.179) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.219:0.219:0.219) (0.157:0.157:0.157)) - (IOPATH B Y (0.186:0.186:0.186) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.213:0.213) (0.154:0.154:0.154)) - (IOPATH B Y (0.180:0.180:0.180) (0.137:0.137:0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.442:0.444:0.446) (0.417:0.417:0.417)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.214:0.214:0.214) (0.155:0.155:0.155)) - (IOPATH B Y (0.172:0.172:0.172) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.156:0.156:0.156)) - (IOPATH B Y (0.174:0.174:0.174) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.222:0.222:0.222) (0.159:0.159:0.159)) - (IOPATH B Y (0.180:0.180:0.180) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.467:0.467:0.467) (0.399:0.399:0.399)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.218:0.218:0.218) (0.156:0.156:0.156)) - (IOPATH B Y (0.179:0.179:0.179) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.209:0.209:0.209) (0.151:0.151:0.151)) - (IOPATH B Y (0.170:0.170:0.170) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.220:0.220:0.220) (0.156:0.156:0.156)) - (IOPATH B Y (0.181:0.181:0.181) (0.136:0.136:0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.211:0.211:0.211) (0.152:0.152:0.152)) - (IOPATH B Y (0.171:0.171:0.171) (0.130:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.473:0.475:0.477) (0.435:0.435:0.435)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.216:0.216:0.216) (0.154:0.154:0.154)) - (IOPATH B Y (0.186:0.186:0.186) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.224:0.224:0.224) (0.158:0.158:0.158)) - (IOPATH B Y (0.194:0.194:0.194) (0.147:0.147:0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.219:0.219:0.219) (0.156:0.156:0.156)) - (IOPATH B Y (0.189:0.189:0.189) (0.144:0.144:0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.536:0.536:0.536) (0.442:0.442:0.442)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.226:0.226:0.226) (0.169:0.169:0.169)) - (IOPATH B Y (0.181:0.181:0.181) (0.138:0.138:0.138)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.262:0.262:0.262) (0.186:0.186:0.186)) - (IOPATH B Y (0.217:0.217:0.217) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.232:0.232) (0.172:0.172:0.172)) - (IOPATH B Y (0.187:0.187:0.187) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.232:0.232:0.232) (0.172:0.172:0.172)) - (IOPATH B Y (0.186:0.186:0.186) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.227:0.227:0.227) (0.169:0.169:0.169)) - (IOPATH B Y (0.183:0.184:0.184) (0.109:0.110:0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.247:0.247:0.247) (0.179:0.179:0.179)) - (IOPATH B Y (0.204:0.204:0.204) (0.118:0.119:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.230:0.230:0.230) (0.171:0.171:0.171)) - (IOPATH B Y (0.187:0.187:0.187) (0.111:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.743:0.743:0.743) (0.859:0.859:0.859)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.698:0.698:0.699)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.443:-0.442:-0.441)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.066:-0.067)) - (HOLD (negedge D) (posedge CLK) (-0.074:-0.077:-0.079)) - (SETUP (posedge D) (posedge CLK) (0.125:0.126:0.128)) - (SETUP (negedge D) (posedge CLK) (0.260:0.262:0.265)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.745:0.745:0.745) (0.859:0.859:0.859)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.703:0.704:0.704)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.435:-0.434:-0.434)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.247:0.247:0.247)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.734:0.734:0.734) (0.850:0.850:0.850)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.446:-0.445:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (HOLD (negedge D) (posedge CLK) (-0.066:-0.066:-0.066)) - (SETUP (posedge D) (posedge CLK) (0.123:0.123:0.123)) - (SETUP (negedge D) (posedge CLK) (0.250:0.250:0.250)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.742:0.742:0.742) (0.858:0.858:0.858)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.692:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.450:-0.449:-0.447)) - (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.066)) - (HOLD (negedge D) (posedge CLK) (-0.077:-0.083:-0.088)) - (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.127)) - (SETUP (negedge D) (posedge CLK) (0.263:0.269:0.275)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.905:0.905:0.905) (0.984:0.984:0.984)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.695:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.077:-0.079:-0.081)) - (HOLD (negedge D) (posedge CLK) (-0.072:-0.073:-0.075)) - (SETUP (posedge D) (posedge CLK) (0.139:0.141:0.143)) - (SETUP (negedge D) (posedge CLK) (0.257:0.259:0.261)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.850:0.850:0.850) (0.946:0.946:0.946)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.450:-0.448:-0.447)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.246:0.246:0.246)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.868:0.868:0.868) (0.960:0.960:0.960)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.694:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.450:-0.449:-0.447)) - (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.066)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.066:-0.071)) - (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.127)) - (SETUP (negedge D) (posedge CLK) (0.245:0.251:0.256)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.882:0.882:0.882) (0.969:0.969:0.969)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.449:-0.448:-0.447)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.061:-0.061)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.064:-0.067)) - (SETUP (posedge D) (posedge CLK) (0.120:0.121:0.121)) - (SETUP (negedge D) (posedge CLK) (0.245:0.248:0.251)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.828:0.828:0.828) (0.931:0.931:0.931)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.696:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.445:-0.445:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.065:-0.068)) - (SETUP (posedge D) (posedge CLK) (0.122:0.122:0.123)) - (SETUP (negedge D) (posedge CLK) (0.247:0.250:0.253)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.771:0.771:0.771) (0.881:0.881:0.881)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.446:-0.445:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.246:0.246:0.246)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.866:0.866:0.866) (0.957:0.957:0.957)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.692:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.451:-0.449:-0.448)) - (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.067)) - (HOLD (negedge D) (posedge CLK) (-0.069:-0.074:-0.078)) - (SETUP (posedge D) (posedge CLK) (0.127:0.127:0.127)) - (SETUP (negedge D) (posedge CLK) (0.254:0.259:0.264)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.770:0.770:0.770) (0.881:0.881:0.881)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.696:0.697:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.445:-0.444:-0.443)) - (HOLD (posedge D) (posedge CLK) (-0.064:-0.076:-0.089)) - (HOLD (negedge D) (posedge CLK) (-0.072:-0.078:-0.084)) - (SETUP (posedge D) (posedge CLK) (0.125:0.138:0.152)) - (SETUP (negedge D) (posedge CLK) (0.258:0.264:0.271)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.825:0.825:0.825) (0.928:0.928:0.928)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.693:0.694)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.450:-0.449:-0.447)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.247:0.247:0.247)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.856:0.856:0.856) (0.950:0.950:0.950)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.695:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.246:0.246:0.246)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.926:0.926:0.926) (1.001:1.001:1.001)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.697:0.698:0.699)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.443:-0.442:-0.441)) - (HOLD (posedge D) (posedge CLK) (-0.062:-0.063:-0.063)) - (HOLD (negedge D) (posedge CLK) (-0.072:-0.072:-0.072)) - (SETUP (posedge D) (posedge CLK) (0.123:0.123:0.123)) - (SETUP (negedge D) (posedge CLK) (0.257:0.257:0.257)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.708:0.708:0.708) (0.825:0.825:0.825)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.697)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.446:-0.445:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058)) - (HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055)) - (SETUP (posedge D) (posedge CLK) (0.118:0.118:0.118)) - (SETUP (negedge D) (posedge CLK) (0.238:0.238:0.238)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.799:0.799:0.799) (0.906:0.906:0.906)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.450:-0.448:-0.447)) - (HOLD (posedge D) (posedge CLK) (-0.065:-0.065:-0.065)) - (HOLD (negedge D) (posedge CLK) (-0.074:-0.074:-0.074)) - (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.126)) - (SETUP (negedge D) (posedge CLK) (0.259:0.259:0.259)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.771:0.771:0.771) (0.883:0.883:0.883)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.706:0.707:0.707)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.431:-0.430:-0.430)) - (HOLD (posedge D) (posedge CLK) (-0.084:-0.084:-0.084)) - (HOLD (negedge D) (posedge CLK) (-0.095:-0.095:-0.095)) - (SETUP (posedge D) (posedge CLK) (0.147:0.147:0.147)) - (SETUP (negedge D) (posedge CLK) (0.283:0.283:0.283)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.813:0.813:0.813) (0.916:0.916:0.916)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.696:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.445:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (HOLD (negedge D) (posedge CLK) (-0.064:-0.064:-0.064)) - (SETUP (posedge D) (posedge CLK) (0.122:0.122:0.122)) - (SETUP (negedge D) (posedge CLK) (0.248:0.248:0.248)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.785:0.785:0.785) (0.892:0.892:0.892)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.695:0.695:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.447:-0.446:-0.444)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.246:0.246:0.246)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.810:0.810:0.810) (0.913:0.913:0.913)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.693:0.694:0.695)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.449:-0.448:-0.446)) - (HOLD (posedge D) (posedge CLK) (-0.060:-0.060:-0.060)) - (HOLD (negedge D) (posedge CLK) (-0.063:-0.063:-0.063)) - (SETUP (posedge D) (posedge CLK) (0.120:0.120:0.120)) - (SETUP (negedge D) (posedge CLK) (0.247:0.247:0.247)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.805:0.805:0.805) (0.908:0.908:0.908)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.700:0.701:0.702)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.439:-0.438:-0.437)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.246:0.246:0.246)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.815:0.815:0.815) (0.918:0.918:0.918)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.694:0.695:0.696)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.448:-0.446:-0.445)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062)) - (SETUP (posedge D) (posedge CLK) (0.119:0.119:0.119)) - (SETUP (negedge D) (posedge CLK) (0.246:0.246:0.246)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.309:0.309:0.309)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.265:0.265:0.265)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.248:0.248:0.248)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.196:0.230:0.265) (0.071:0.085:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.176:0.179:0.181) (0.061:0.062:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.070:0.070:0.070) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.113:0.114:0.116) (0.242:0.243:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.089:0.090:0.090) (0.169:0.169:0.170)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.299:0.299:0.299) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.240:0.240:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.043:0.043:0.043) (0.032:0.033:0.033)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.069:0.069:0.069) (0.046:0.047:0.047)) - (IOPATH TE_B Z (0.105:0.114:0.123) (0.232:0.243:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.082:0.082:0.082) (0.159:0.159:0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.099:0.099:0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.295:0.295:0.295) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.263:0.263) (0.243:0.243:0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.030:0.030:0.030)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.114:0.115:0.115) (0.243:0.244:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.065:0.065:0.065) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.087:0.087:0.088) (0.165:0.166:0.167)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.302:0.302:0.302) (0.276:0.276:0.276)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.266:0.266:0.266) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.029:0.029:0.029)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.070:0.070:0.070) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.095:0.095:0.095) (0.219:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.095:0.095:0.096) (0.176:0.177:0.177)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.362:0.362:0.362) (0.327:0.327:0.327)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.288:0.288:0.288) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.043:0.043:0.043) (0.032:0.032:0.032)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.079:0.079:0.079) (0.048:0.048:0.048)) - (IOPATH TE_B Z (0.110:0.111:0.111) (0.238:0.239:0.240)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.075:0.075:0.075) (0.052:0.052:0.052)) - (IOPATH TE_B Z (0.085:0.086:0.086) (0.163:0.164:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.098:0.098:0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.331:0.331:0.331) (0.300:0.300:0.300)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.259:0.259:0.259)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.050:0.050:0.050) (0.039:0.039:0.039)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.076:0.076:0.076) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.096:0.096:0.097) (0.221:0.221:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.072:0.072:0.072) (0.051:0.051:0.051)) - (IOPATH TE_B Z (0.086:0.086:0.087) (0.164:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.310:0.310:0.310) (0.282:0.282:0.282)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.253:0.253:0.253)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.028:0.028:0.028)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.045:0.045:0.045)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.072:0.072:0.072) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.095:0.095:0.096) (0.219:0.220:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.069:0.069:0.069) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.097:0.098:0.098) (0.179:0.180:0.181)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.242:0.242:0.242)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.041:0.041:0.041) (0.031:0.031:0.031)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.068:0.068:0.068) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.095:0.096:0.096) (0.219:0.220:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.096:0.096:0.097) (0.178:0.178:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.053:0.053) (0.093:0.093:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.289:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.300:0.300:0.300) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.030:0.030:0.030)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.043:0.043:0.043) (0.049:0.049:0.049)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.074:0.074:0.074) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.096:0.096:0.097) (0.220:0.221:0.221)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.071:0.071:0.071) (0.051:0.051:0.051)) - (IOPATH TE_B Z (0.093:0.093:0.094) (0.174:0.174:0.175)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.318:0.318:0.318) (0.289:0.289:0.289)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.260:0.260:0.260) (0.247:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.030:0.030:0.030)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.074:0.074:0.074) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.097:0.098:0.098) (0.222:0.222:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.071:0.071:0.071) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.085:0.085:0.086) (0.163:0.163:0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.090:0.090:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.274:0.274:0.274)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.028:0.028:0.028)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046)) - (IOPATH TE_B Z (0.105:0.106:0.106) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.081:0.082:0.082) (0.158:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.048:0.048) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.303:0.303:0.303) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.263:0.263:0.263) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.042:0.042:0.042) (0.032:0.032:0.032)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.070:0.070:0.070) (0.047:0.047:0.047)) - (IOPATH TE_B Z (0.106:0.107:0.107) (0.233:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050)) - (IOPATH TE_B Z (0.086:0.087:0.087) (0.165:0.165:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.096:0.096:0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.177:0.177:0.177) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.093:0.093:0.093) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.353:0.353:0.353) (0.774:0.774:0.774)) - (IOPATH B X (0.267:0.269:0.271) (0.700:0.701:0.701)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.149:0.149:0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.039:0.039:0.039) (0.028:0.028:0.028)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.008:0.008:0.008) (0.011:0.011:0.011)) - (IOPATH TE_B Z (0.111:0.111:0.111) (0.238:0.238:0.239)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.011:0.011:0.011) (0.013:0.013:0.013)) - (IOPATH TE_B Z (0.090:0.091:0.091) (0.170:0.171:0.171)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.086:0.086:0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.226:0.226:0.226) (0.104:0.104:0.104)) - ) - ) - ) -) diff --git a/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.tt.sdf b/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.tt.sdf deleted file mode 100644 index 7eb0dbd8..00000000 --- a/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.tt.sdf +++ /dev/null @@ -1,4825 +0,0 @@ -(DELAYFILE - (SDFVERSION "3.0") - (DESIGN "digital_pll") - (DATE "Tue Oct 18 13:52:52 2022") - (VENDOR "Parallax") - (PROGRAM "STA") - (VERSION "2.3.1") - (DIVIDER .) - (VOLTAGE 1.600::1.600) - (PROCESS "1.000::1.000") - (TEMPERATURE 100.000::100.000) - (TIMESCALE 1ns) - (CELL - (CELLTYPE "digital_pll") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT dco _348_.A (0.150:0.150:0.150) (0.071:0.071:0.071)) - (INTERCONNECT dco _349_.A (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco _358_.A (0.150:0.150:0.150) (0.071:0.071:0.071)) - (INTERCONNECT dco _361_.B (0.152:0.152:0.152) (0.073:0.073:0.073)) - (INTERCONNECT dco _374_.B1 (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco _379_.A (0.152:0.152:0.152) (0.073:0.073:0.073)) - (INTERCONNECT dco _447_.A (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco ANTENNA__447__A.DIODE (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco ANTENNA__379__A.DIODE (0.152:0.152:0.152) (0.073:0.073:0.073)) - (INTERCONNECT dco ANTENNA__374__B1.DIODE (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco ANTENNA__361__B.DIODE (0.152:0.152:0.152) (0.073:0.073:0.073)) - (INTERCONNECT dco ANTENNA__358__A.DIODE (0.149:0.149:0.149) (0.071:0.071:0.071)) - (INTERCONNECT dco ANTENNA__349__A.DIODE (0.151:0.151:0.151) (0.072:0.072:0.072)) - (INTERCONNECT dco ANTENNA__348__A.DIODE (0.150:0.150:0.150) (0.072:0.072:0.072)) - (INTERCONNECT div[0] _257_.A_N (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT div[0] _287_.B1 (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT div[0] ANTENNA__287__B1.DIODE (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT div[0] ANTENNA__257__A_N.DIODE (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT div[1] _252_.A (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT div[1] _258_.A (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT div[1] ANTENNA__258__A.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT div[1] ANTENNA__252__A.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT div[2] _244_.A (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT div[2] ANTENNA__244__A.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT div[3] _243_.A (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] _260_.A1 (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] _267_.A1 (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] _289_.A1 (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__289__A1.DIODE (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__267__A1.DIODE (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__260__A1.DIODE (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[3] ANTENNA__243__A.DIODE (0.069:0.069:0.069) (0.032:0.032:0.032)) - (INTERCONNECT div[4] _266_.A (0.038:0.038:0.038) (0.017:0.017:0.017)) - (INTERCONNECT div[4] _270_.A1 (0.038:0.038:0.038) (0.017:0.017:0.017)) - (INTERCONNECT div[4] ANTENNA__270__A1.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017)) - (INTERCONNECT div[4] ANTENNA__266__A.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017)) - (INTERCONNECT enable _425_.A (0.035:0.035:0.035) (0.016:0.016:0.016)) - (INTERCONNECT enable ANTENNA__425__A.DIODE (0.035:0.035:0.035) (0.016:0.016:0.016)) - (INTERCONNECT ext_trim[0] _350_.A (0.032:0.032:0.032) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[0] ANTENNA__350__A.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015)) - (INTERCONNECT ext_trim[10] _384_.A_N (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[10] ANTENNA__384__A_N.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[11] _387_.B1 (0.024:0.024:0.024) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[11] ANTENNA__387__B1.DIODE (0.024:0.024:0.024) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[12] _389_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[12] ANTENNA__389__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[13] _392_.A2 (0.024:0.024:0.024) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[13] ANTENNA__392__A2.DIODE (0.024:0.024:0.024) (0.011:0.011:0.011)) - (INTERCONNECT ext_trim[14] _396_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[14] ANTENNA__396__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[15] _399_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[15] ANTENNA__399__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[16] _404_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[16] ANTENNA__404__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[17] _409_.B1 (0.036:0.036:0.036) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[17] ANTENNA__409__B1.DIODE (0.036:0.036:0.036) (0.017:0.017:0.017)) - (INTERCONNECT ext_trim[18] _410_.A2 (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[18] ANTENNA__410__A2.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[19] _411_.A2 (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[19] ANTENNA__411__A2.DIODE (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT ext_trim[1] _360_.A2 (0.016:0.016:0.016) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[1] ANTENNA__360__A2.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007)) - (INTERCONNECT ext_trim[20] _417_.B1 (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[20] ANTENNA__417__B1.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[21] _419_.A2 (0.048:0.048:0.048) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[21] ANTENNA__419__A2.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023)) - (INTERCONNECT ext_trim[22] _421_.B1 (0.038:0.038:0.038) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[22] ANTENNA__421__B1.DIODE (0.038:0.038:0.038) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[23] _422_.A2 (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[23] ANTENNA__422__A2.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT ext_trim[24] _423_.A2 (0.030:0.030:0.030) (0.014:0.014:0.014)) - (INTERCONNECT ext_trim[24] ANTENNA__423__A2.DIODE (0.030:0.030:0.030) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[25] _424_.A2 (0.039:0.039:0.039) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[25] ANTENNA__424__A2.DIODE (0.039:0.039:0.039) (0.018:0.018:0.018)) - (INTERCONNECT ext_trim[2] _363_.A2 (0.058:0.058:0.058) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[2] ANTENNA__363__A2.DIODE (0.058:0.058:0.058) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[3] _364_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[3] ANTENNA__364__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[4] _369_.A2 (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[4] ANTENNA__369__A2.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT ext_trim[5] _373_.A2 (0.022:0.022:0.022) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[5] ANTENNA__373__A2.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010)) - (INTERCONNECT ext_trim[6] _375_.A2 (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[6] ANTENNA__375__A2.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009)) - (INTERCONNECT ext_trim[7] _378_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[7] ANTENNA__378__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT ext_trim[8] _380_.A2 (0.063:0.063:0.063) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[8] ANTENNA__380__A2.DIODE (0.063:0.063:0.063) (0.030:0.030:0.030)) - (INTERCONNECT ext_trim[9] _383_.A2 (0.058:0.058:0.058) (0.028:0.028:0.028)) - (INTERCONNECT ext_trim[9] ANTENNA__383__A2.DIODE (0.058:0.058:0.058) (0.028:0.028:0.028)) - (INTERCONNECT osc _470_.D (0.015:0.015:0.015) (0.006:0.006:0.006)) - (INTERCONNECT osc ANTENNA__470__D.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006)) - (INTERCONNECT resetb _425_.B (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT resetb ANTENNA__425__B.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015)) - (INTERCONNECT _214_.Y _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _214_.Y _286_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _215_.X _216_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _219_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _221_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _223_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _226_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _215_.X _343_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _215_.X _345_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _217_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _332_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _334_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _338_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _341_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _342_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _216_.X _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _217_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _218_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _220_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _222_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _223_.X _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _224_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _225_.X _226_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _255_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _330_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _225_.X _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _227_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _394_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _405_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _416_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _420_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _228_.X _423_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _292_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _294_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _353_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _355_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _362_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _229_.Y _366_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _229_.Y _397_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _230_.Y _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _231_.Y _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _236_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _232_.X _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _232_.X _287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _236_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _245_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _233_.X _251_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _234_.X _236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _234_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _235_.X _245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _236_.X _264_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _239_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _237_.Y _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _238_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _240_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _239_.Y _241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _240_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _241_.Y _249_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _260_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _267_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _242_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _243_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _244_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _245_.Y _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _246_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _247_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _249_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _248_.Y _250_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _267_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _249_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _250_.Y _289_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _253_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _251_.Y _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _252_.Y _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _253_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _254_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _255_.Y _287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _256_.Y _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _257_.Y _288_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _258_.X _288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _259_.X _260_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _260_.X _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _261_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _262_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _263_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _264_.X _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _265_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _266_.X _290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _267_.X _271_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _268_.Y _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _269_.Y _270_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _270_.X _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _271_.X _272_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _285_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _295_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _296_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _271_.X _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _292_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _294_.B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _305_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _307_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _308_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _272_.X _319_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _272_.X _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _278_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _295_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _317_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _366_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _376_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _393_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _273_.X _415_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _274_.X _278_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _274_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _277_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _279_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _321_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _374_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _408_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _275_.Y _412_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _275_.Y _420_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _277_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _279_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _302_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _276_.Y _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _276_.Y _418_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _277_.Y _278_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _385_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _277_.Y _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _278_.Y _292_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _283_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _279_.Y _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _361_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _367_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _280_.Y _393_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _306_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _281_.Y _401_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _283_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _385_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _282_.Y _412_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _285_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _283_.Y _351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _285_.C (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _284_.Y _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _319_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _284_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _285_.X _291_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _286_.X _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _287_.Y _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _288_.X _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _289_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _290_.Y _291_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _323_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _291_.X _329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _292_.X _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _293_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _314_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _317_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _323_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _326_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _293_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _294_.Y _307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _294_.Y _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _295_.Y _297_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _297_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _296_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _297_.Y _316_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _298_.X _301_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _305_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _377_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _382_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _298_.X _393_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _298_.X _402_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _298_.X _406_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _299_.X _300_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _368_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _370_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _388_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _391_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _415_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _299_.X _418_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _301_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _300_.Y _381_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _402_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _300_.Y _412_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _301_.Y _305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _301_.Y _413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _302_.Y _322_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _303_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _305_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _304_.X _322_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _305_.X _307_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _312_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _305_.X _316_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _306_.Y _398_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _307_.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _309_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _308_.Y _310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _309_.X _311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _310_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _311_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _312_.Y _313_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _313_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _314_.X _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _315_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _316_.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _318_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _319_.X _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _320_.X _321_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _321_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _322_.Y _323_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _323_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _324_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _325_.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _327_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _328_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _329_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _330_.X _337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _332_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _331_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _332_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _333_.Y _334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _334_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _335_.Y _337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _336_.Y _337_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.Y _341_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _336_.Y _342_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _337_.Y _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _338_.X _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _339_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _340_.Y _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _341_.Y _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _342_.Y _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _344_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _346_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _347_.Y _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _351_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _363_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _385_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _348_.X _387_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _409_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _348_.X _417_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _348_.X _421_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _349_.X _350_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _352_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _362_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _384_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _349_.X _390_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _429_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _349_.X _438_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _350_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _351_.Y ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _360_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _364_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _369_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _373_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _375_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _378_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _352_.X _389_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _376_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _353_.Y _395_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _356_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _365_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _354_.X _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _355_.X _371_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _356_.Y _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _357_.Y _374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _358_.Y _359_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _364_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _368_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _372_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _380_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _387_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _358_.Y _388_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _360_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _377_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _359_.X _403_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _359_.X _411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _360_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _361_.Y _422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _363_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _362_.Y _423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _363_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _364_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _368_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _365_.Y _408_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _367_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _366_.X _405_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _366_.X _420_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _368_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _372_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _367_.Y _387_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _388_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _367_.Y _413_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _369_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _368_.X _407_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _369_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _371_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _370_.Y _397_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _372_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _380_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _371_.Y _414_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _373_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _372_.X _383_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _372_.X _396_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _373_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _374_.Y _375_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _374_.Y _417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _375_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _376_.Y _377_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _391_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _400_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _402_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _376_.Y _412_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _377_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _378_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _380_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _383_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _396_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _399_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _411_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _379_.X _423_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _379_.X _424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _380_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _382_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _386_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _400_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _405_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _406_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _408_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _381_.X _414_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _382_.X _383_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _383_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _384_.Y _385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _385_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _386_.Y _387_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _386_.Y _413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _387_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _388_.X _389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _389_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _389_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _390_.X _392_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _404_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _410_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _390_.X _419_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X _422_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _427_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X _428_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__428__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__427__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__422__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__419__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _390_.X ANTENNA__410__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__404__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _390_.X ANTENNA__392__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT _391_.X _392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _392_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _393_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _394_.Y _395_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _395_.X _396_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _396_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _397_.Y _399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _399_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _398_.X _410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _399_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _403_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _408_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _400_.Y _411_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _400_.Y _414_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _401_.Y _402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _406_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _401_.Y _418_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _403_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _402_.X _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _403_.X _424_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _404_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _405_.Y _409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _407_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _406_.Y _424_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _407_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _409_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _408_.X _421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _409_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _410_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _411_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _412_.X _413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _413_.X _414_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _414_.X _417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _415_.Y _416_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _416_.Y _417_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _417_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _418_.X _419_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _419_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _420_.Y _421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _421_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _422_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _423_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _424_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _426_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _434_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _443_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _452_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _425_.Y _453_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _425_.Y _454_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _430_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _427_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _428_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X ringosc\.iss\.ctrlen0.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X ringosc\.iss\.reseten0.TE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _431_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _426_.X _432_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _426_.X _433_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _427_.Y _455_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _428_.Y _456_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _429_.X _430_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _431_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _432_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _433_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _435_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _436_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _429_.X _437_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _430_.Y _457_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _431_.Y _458_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _432_.Y _459_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _433_.Y _460_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _435_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _436_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _434_.X _437_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _439_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _440_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _441_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _434_.X _442_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _435_.Y _461_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _436_.Y _462_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _437_.Y _463_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _438_.X _439_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _440_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _441_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _442_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _438_.X _444_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _445_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _438_.X _446_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _439_.Y _464_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _440_.Y _465_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _441_.Y _466_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _442_.Y _467_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _443_.X _444_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _445_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _446_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _448_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _449_.B (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _443_.X _450_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _443_.X _451_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _444_.Y _468_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _445_.Y _469_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _446_.Y _470_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _447_.X _448_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _449_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _450_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _451_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _452_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _447_.X _453_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _447_.X _454_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _448_.Y _471_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _449_.Y _472_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _450_.Y _473_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _451_.Y _474_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _452_.Y _475_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _453_.Y _476_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _454_.Y _477_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _286_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _455_.Q _347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _456_.Q _345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _286_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _457_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _458_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _459_.Q _223_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _233_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _235_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _330_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _335_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _459_.Q _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _221_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _231_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _234_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _330_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _460_.Q _335_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _219_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _237_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _238_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _331_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _461_.Q _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _217_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _269_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _332_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _333_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _462_.Q _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _274_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _463_.Q _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _464_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _464_.Q _326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _465_.Q _276_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _300_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _319_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _323_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _465_.Q _370_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _466_.Q _275_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _466_.Q _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _281_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _296_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _467_.Q _354_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _467_.Q _355_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _281_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _314_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _393_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _468_.Q _415_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _469_.Q _278_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _280_.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT _469_.Q _353_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _357_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _469_.Q _401_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _470_.Q _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _471_.Q _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _472_.Q _214_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _254_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _473_.Q _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _474_.Q _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _221_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _230_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _475_.Q _246_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _476_.Q _263_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _477_.Q _261_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _477_.Q _269_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_0.X clockp[0] (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clockp_buffer_1.X clockp[1] (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.ibufp01.Y _455_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _456_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _457_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _458_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _459_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _460_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _461_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _462_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _463_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _464_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _465_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _466_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _467_.CLK (0.006:0.006:0.006) (0.005:0.005:0.005)) - (INTERCONNECT ringosc\.ibufp01.Y _468_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _469_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006)) - (INTERCONNECT ringosc\.ibufp01.Y _470_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _471_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _472_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT ringosc\.ibufp01.Y _473_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.ibufp01.Y _474_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp01.Y _475_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y _476_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp01.Y _477_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004)) - (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.ibufp00_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT ringosc\.iss\.reseten0.Z ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _214_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.139:0.139:0.139)) - (IOPATH A Y (0.159:0.159:0.159) (0.075:0.075:0.075)) - (IOPATH B Y (0.108:0.108:0.108) (0.132:0.132:0.132)) - (IOPATH B Y (0.129:0.129:0.129) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _215_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.206:0.221:0.235) (0.178:0.181:0.183)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _216_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.246:0.246:0.246) (0.200:0.200:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _217_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.272:0.272:0.272)) - (IOPATH A1 X (0.151:0.151:0.151) (0.264:0.264:0.264)) - (IOPATH S X (0.231:0.231:0.231) (0.349:0.349:0.349)) - (IOPATH S X (0.176:0.176:0.176) (0.278:0.278:0.278)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _218_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.086) (0.112:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _219_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.168:0.168:0.168) (0.274:0.274:0.274)) - (IOPATH A1 X (0.153:0.153:0.153) (0.267:0.267:0.267)) - (IOPATH S X (0.236:0.236:0.236) (0.354:0.354:0.354)) - (IOPATH S X (0.182:0.182:0.182) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _220_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.088:0.088) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _221_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.164:0.164:0.164) (0.271:0.271:0.271)) - (IOPATH A1 X (0.154:0.154:0.154) (0.268:0.268:0.268)) - (IOPATH S X (0.236:0.236:0.236) (0.354:0.354:0.354)) - (IOPATH S X (0.182:0.182:0.182) (0.283:0.283:0.283)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.090:0.090) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.173:0.173:0.173) (0.275:0.275:0.275)) - (IOPATH A1 X (0.144:0.144:0.144) (0.260:0.260:0.260)) - (IOPATH S X (0.232:0.232:0.232) (0.350:0.350:0.350)) - (IOPATH S X (0.177:0.178:0.178) (0.279:0.279:0.279)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.087:0.088:0.088) (0.113:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.225:0.225) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.193:0.193:0.193) (0.282:0.282:0.282)) - (IOPATH A1 X (0.161:0.161:0.161) (0.275:0.275:0.275)) - (IOPATH S X (0.242:0.242:0.242) (0.362:0.362:0.362)) - (IOPATH S X (0.188:0.188:0.188) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.096:0.097) (0.121:0.121:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.176:0.176:0.176) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.061:0.061:0.061) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.169:0.169:0.169) (0.219:0.219:0.219)) - (IOPATH B Y (0.085:0.085:0.085) (0.076:0.076:0.076)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.212:0.212:0.212) (0.219:0.219:0.219)) - (IOPATH B X (0.229:0.229:0.229) (0.250:0.250:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.301:0.301:0.301) (0.143:0.143:0.143)) - (IOPATH A X (0.275:0.275:0.275) (0.231:0.231:0.231)) - (IOPATH B X (0.256:0.256:0.256) (0.111:0.111:0.111)) - (IOPATH B X (0.265:0.265:0.265) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _234_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.181:0.181:0.181) (0.199:0.199:0.199)) - (IOPATH B X (0.172:0.172:0.172) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _235_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.213:0.213:0.213)) - (IOPATH B X (0.171:0.171:0.171) (0.213:0.213:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _236_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.334:0.334:0.335)) - (IOPATH A2 X (0.208:0.210:0.213) (0.368:0.374:0.381)) - (IOPATH B1 X (0.129:0.129:0.129) (0.336:0.336:0.336)) - (IOPATH C1 X (0.127:0.127:0.127) (0.298:0.298:0.298)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _237_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.157:0.157:0.157) (0.071:0.071:0.071)) - (IOPATH B Y (0.123:0.123:0.123) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078:0.078:0.078) (0.066:0.066:0.066)) - (IOPATH B Y (0.077:0.077:0.077) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _239_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.147:0.147:0.147) (0.178:0.180:0.183)) - (IOPATH B Y (0.071:0.074:0.076) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.194:0.194:0.195) (0.192:0.195:0.197)) - (IOPATH B X (0.194:0.195:0.196) (0.217:0.217:0.218)) - (IOPATH C X (0.197:0.198:0.198) (0.219:0.222:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.175:0.177:0.179) (0.071:0.071:0.072)) - (IOPATH A2 Y (0.189:0.190:0.190) (0.070:0.071:0.071)) - (IOPATH B1 Y (0.149:0.151:0.154) (0.045:0.045:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.152:0.152:0.153) (0.054:0.054:0.054)) - (IOPATH B Y (0.125:0.128:0.131) (0.067:0.067:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.043:0.043:0.043) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _244_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.034:0.034:0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.178:0.179:0.179) (0.087:0.087:0.087)) - (IOPATH A2 Y (0.187:0.194:0.202) (0.113:0.115:0.117)) - (IOPATH B1 Y (0.149:0.150:0.150) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128:0.128:0.128) (0.059:0.059:0.059)) - (IOPATH B Y (0.098:0.098:0.098) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.136:0.138:0.140) (0.059:0.059:0.059)) - (IOPATH B Y (0.120:0.121:0.121) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _248_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.151:0.152:0.152) (0.144:0.153:0.161)) - (IOPATH A Y (0.193:0.201:0.209) (0.106:0.106:0.107)) - (IOPATH B Y (0.144:0.144:0.144) (0.137:0.139:0.140)) - (IOPATH B Y (0.167:0.168:0.169) (0.092:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o32a_2") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.160:0.160:0.160) (0.394:0.394:0.394)) - (IOPATH A2 X (0.170:0.170:0.170) (0.383:0.384:0.384)) - (IOPATH A3 X (0.181:0.181:0.182) (0.339:0.342:0.345)) - (IOPATH B1 X (0.154:0.154:0.154) (0.198:0.198:0.198)) - (IOPATH B2 X (0.151:0.168:0.186) (0.195:0.198:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052:0.052:0.052) (0.047:0.047:0.047)) - (IOPATH B Y (0.076:0.079:0.082) (0.059:0.070:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.145:0.146:0.146)) - (IOPATH A Y (0.165:0.165:0.166) (0.087:0.087:0.087)) - (IOPATH B Y (0.145:0.147:0.149) (0.137:0.144:0.151)) - (IOPATH B Y (0.135:0.142:0.148) (0.090:0.092:0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.036:0.036:0.036)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _253_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.109:0.124:0.139) (0.172:0.176:0.180)) - (IOPATH B Y (0.056:0.056:0.056) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _254_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.073:0.073:0.073)) - (IOPATH B Y (0.078:0.078:0.078) (0.063:0.063:0.063)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _255_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.176:0.176:0.176) (0.086:0.086:0.086)) - (IOPATH B Y (0.142:0.142:0.142) (0.059:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.049:0.053:0.058) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _257_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.128:0.128:0.128) (0.200:0.200:0.200)) - (IOPATH B Y (0.071:0.076:0.081) (0.075:0.075:0.076)) - (IOPATH C Y (0.080:0.080:0.080) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _258_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.165:0.165:0.165)) - (IOPATH B X (0.138:0.150:0.162) (0.188:0.192:0.196)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _259_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.115:0.115:0.116) (0.185:0.187:0.190)) - (IOPATH A2 X (0.121:0.124:0.128) (0.216:0.218:0.221)) - (IOPATH B1 X (0.088:0.088:0.088) (0.186:0.186:0.187)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.207:0.207:0.207) (0.254:0.254:0.254)) - (IOPATH A2 X (0.190:0.191:0.191) (0.233:0.236:0.238)) - (IOPATH B1 X (0.190:0.190:0.190) (0.151:0.154:0.158)) - (IOPATH C1 X (0.178:0.179:0.181) (0.133:0.136:0.140)) - (IOPATH D1 X (0.164:0.165:0.165) (0.115:0.116:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.251:0.251) (0.120:0.120:0.120)) - (IOPATH A X (0.226:0.226:0.226) (0.213:0.213:0.213)) - (IOPATH B X (0.213:0.213:0.213) (0.100:0.100:0.100)) - (IOPATH B X (0.223:0.223:0.223) (0.174:0.174:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _262_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.039:0.041:0.044) (0.039:0.039:0.039)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _263_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.182:0.182:0.182)) - (IOPATH B X (0.142:0.142:0.142) (0.191:0.191:0.191)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a31o_2") - (INSTANCE _264_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.177:0.177:0.177) (0.222:0.222:0.222)) - (IOPATH A2 X (0.201:0.201:0.201) (0.268:0.270:0.272)) - (IOPATH A3 X (0.199:0.200:0.201) (0.283:0.284:0.285)) - (IOPATH B1 X (0.133:0.134:0.134) (0.241:0.241:0.241)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _265_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.144:0.146:0.148) (0.139:0.145:0.151)) - (IOPATH A Y (0.166:0.171:0.176) (0.100:0.101:0.103)) - (IOPATH B Y (0.119:0.119:0.120) (0.135:0.136:0.137)) - (IOPATH B Y (0.141:0.142:0.142) (0.070:0.071:0.071)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _266_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.163:0.163:0.163)) - (IOPATH B X (0.141:0.154:0.167) (0.190:0.195:0.200)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ba_2") - (INSTANCE _267_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.149:0.149:0.149) (0.206:0.206:0.206)) - (IOPATH A2 X (0.142:0.142:0.142) (0.187:0.189:0.192)) - (IOPATH B1_N X (0.227:0.231:0.235) (0.154:0.154:0.154)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _268_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053:0.058:0.063) (0.059:0.060:0.061)) - (IOPATH B Y (0.064:0.065:0.065) (0.053:0.054:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _269_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.069:0.069:0.069) (0.055:0.055:0.055)) - (IOPATH B Y (0.069:0.069:0.069) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _270_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.270:0.270:0.270)) - (IOPATH A2 X (0.193:0.204:0.214) (0.257:0.261:0.265)) - (IOPATH B1 X (0.193:0.194:0.195) (0.142:0.147:0.152)) - (IOPATH C1 X (0.187:0.187:0.187) (0.125:0.128:0.131)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _271_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.237:0.237:0.237) (0.410:0.412:0.415)) - (IOPATH A2 X (0.235:0.235:0.235) (0.397:0.397:0.398)) - (IOPATH A3 X (0.215:0.215:0.215) (0.355:0.357:0.358)) - (IOPATH B1 X (0.243:0.243:0.243) (0.166:0.170:0.173)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _272_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.280:0.281:0.281) (0.214:0.220:0.227)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _273_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.211:0.211:0.211) (0.184:0.184:0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _274_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.205:0.205:0.205)) - (IOPATH B X (0.200:0.200:0.200) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.188:0.188:0.188) (0.109:0.109:0.109)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.156:0.156:0.156) (0.107:0.107:0.107)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.219:0.219:0.219) (0.103:0.103:0.103)) - (IOPATH B Y (0.188:0.188:0.188) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.102:0.102:0.102) (0.110:0.110:0.110)) - (IOPATH B Y (0.101:0.101:0.101) (0.121:0.121:0.121)) - (IOPATH C Y (0.100:0.100:0.101) (0.111:0.111:0.111)) - (IOPATH D Y (0.098:0.101:0.105) (0.133:0.133:0.133)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092:0.092:0.092) (0.087:0.087:0.087)) - (IOPATH B Y (0.094:0.094:0.094) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131:0.131:0.131) (0.095:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.220:0.220:0.220) (0.087:0.087:0.087)) - (IOPATH B Y (0.192:0.192:0.192) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.105:0.105) (0.090:0.090:0.090)) - (IOPATH B Y (0.106:0.107:0.109) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.161:0.164:0.168) (0.054:0.054:0.055)) - (IOPATH B Y (0.145:0.147:0.149) (0.058:0.059:0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.244:0.244:0.244) (0.076:0.076:0.076)) - (IOPATH B Y (0.227:0.227:0.227) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.189) (0.179:0.185:0.191)) - (IOPATH B X (0.183:0.184:0.184) (0.178:0.179:0.180)) - (IOPATH C X (0.206:0.206:0.206) (0.202:0.202:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.293:0.295:0.298) (0.236:0.248:0.260)) - (IOPATH B X (0.241:0.241:0.241) (0.226:0.226:0.226)) - (IOPATH C X (0.246:0.246:0.246) (0.238:0.238:0.238)) - (IOPATH D X (0.255:0.255:0.255) (0.258:0.258:0.258)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.133:0.133:0.133) (0.073:0.073:0.073)) - (IOPATH A2 Y (0.097:0.101:0.105) (0.061:0.061:0.061)) - (IOPATH B1 Y (0.045:0.045:0.045) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and4b_2") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.285:0.285:0.286) (0.231:0.231:0.231)) - (IOPATH B X (0.228:0.229:0.230) (0.213:0.216:0.219)) - (IOPATH C X (0.237:0.239:0.241) (0.236:0.239:0.242)) - (IOPATH D X (0.240:0.246:0.251) (0.244:0.245:0.246)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.193:0.193:0.193) (0.261:0.261:0.261)) - (IOPATH A2 X (0.191:0.191:0.191) (0.237:0.240:0.242)) - (IOPATH B1 X (0.180:0.180:0.180) (0.138:0.142:0.146)) - (IOPATH C1 X (0.167:0.168:0.170) (0.114:0.118:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand4b_2") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.133:0.133:0.133) (0.214:0.214:0.215)) - (IOPATH B Y (0.094:0.095:0.096) (0.119:0.119:0.119)) - (IOPATH C Y (0.099:0.103:0.107) (0.126:0.126:0.126)) - (IOPATH D Y (0.097:0.101:0.105) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.250:0.250:0.251) (0.217:0.217:0.217)) - (IOPATH B X (0.212:0.212:0.212) (0.218:0.219:0.220)) - (IOPATH C X (0.213:0.217:0.220) (0.252:0.253:0.254)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.179:0.179) (0.364:0.364:0.364)) - (IOPATH A2 X (0.185:0.185:0.185) (0.351:0.351:0.351)) - (IOPATH A3 X (0.129:0.132:0.134) (0.302:0.304:0.307)) - (IOPATH B1 X (0.148:0.148:0.148) (0.119:0.119:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.185:0.185:0.186) (0.162:0.168:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.166:0.166:0.166) (0.176:0.176:0.176)) - (IOPATH A Y (0.234:0.234:0.234) (0.120:0.120:0.120)) - (IOPATH B Y (0.169:0.169:0.169) (0.176:0.176:0.176)) - (IOPATH B Y (0.214:0.214:0.214) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _295_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.135:0.135:0.135) (0.067:0.067:0.067)) - (IOPATH B Y (0.114:0.119:0.125) (0.058:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _296_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.197:0.197:0.197)) - (IOPATH B X (0.195:0.195:0.195) (0.222:0.229:0.235)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.213:0.213:0.213) (0.078:0.079:0.079)) - (IOPATH B Y (0.192:0.192:0.192) (0.064:0.064:0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _298_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.219) (0.187:0.191:0.195)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140:0.140:0.140) (0.135:0.135:0.135)) - (IOPATH B Y (0.149:0.149:0.149) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.103:0.103:0.103) (0.102:0.102:0.102)) - (IOPATH B Y (0.116:0.119:0.122) (0.093:0.093:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _302_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.160:0.160:0.160) (0.171:0.171:0.171)) - (IOPATH A Y (0.228:0.228:0.228) (0.113:0.113:0.113)) - (IOPATH B Y (0.158:0.158:0.158) (0.165:0.171:0.177)) - (IOPATH B Y (0.202:0.207:0.212) (0.105:0.106:0.106)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _303_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.048:0.049:0.049) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _304_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.207:0.208:0.208) (0.244:0.250:0.255)) - (IOPATH A2 X (0.169:0.169:0.169) (0.251:0.251:0.251)) - (IOPATH B1 X (0.157:0.157:0.157) (0.234:0.234:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.233:0.234:0.235) (0.287:0.291:0.294)) - (IOPATH A2 X (0.240:0.258:0.275) (0.313:0.316:0.318)) - (IOPATH A3 X (0.250:0.251:0.251) (0.312:0.313:0.313)) - (IOPATH B1 X (0.224:0.224:0.224) (0.277:0.277:0.277)) - (IOPATH B2 X (0.233:0.233:0.233) (0.297:0.297:0.297)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080:0.082:0.083) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.191:0.210:0.229) (0.266:0.269:0.272)) - (IOPATH A2 X (0.238:0.238:0.239) (0.282:0.284:0.285)) - (IOPATH A3 X (0.214:0.214:0.215) (0.291:0.291:0.291)) - (IOPATH B1 X (0.150:0.150:0.151) (0.243:0.243:0.243)) - (IOPATH B2 X (0.192:0.192:0.192) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.153:0.153:0.153) (0.163:0.163:0.163)) - (IOPATH A Y (0.195:0.195:0.195) (0.107:0.107:0.107)) - (IOPATH B Y (0.151:0.151:0.151) (0.160:0.160:0.160)) - (IOPATH B Y (0.172:0.172:0.172) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.137:0.138:0.139) (0.168:0.168:0.169)) - (IOPATH B X (0.134:0.147:0.161) (0.185:0.190:0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.124:0.125:0.125) (0.059:0.060:0.061)) - (IOPATH A2 Y (0.101:0.105:0.109) (0.047:0.054:0.062)) - (IOPATH B1 Y (0.062:0.062:0.062) (0.068:0.068:0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.183:0.183:0.183) (0.250:0.250:0.250)) - (IOPATH A2 X (0.153:0.153:0.153) (0.226:0.226:0.226)) - (IOPATH B1 X (0.125:0.125:0.125) (0.189:0.190:0.190)) - (IOPATH B2 X (0.113:0.120:0.127) (0.166:0.170:0.174)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.159:0.160:0.161) (0.101:0.101:0.101)) - (IOPATH A2 Y (0.181:0.182:0.182) (0.086:0.086:0.087)) - (IOPATH B1 Y (0.142:0.142:0.142) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105:0.118:0.131) (0.130:0.133:0.137)) - (IOPATH A Y (0.138:0.141:0.143) (0.064:0.075:0.086)) - (IOPATH B Y (0.115:0.115:0.116) (0.113:0.121:0.130)) - (IOPATH B Y (0.100:0.107:0.114) (0.064:0.064:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.159:0.159:0.159) (0.266:0.266:0.266)) - (IOPATH A1 X (0.126:0.136:0.147) (0.247:0.251:0.254)) - (IOPATH S X (0.224:0.224:0.224) (0.343:0.343:0.343)) - (IOPATH S X (0.167:0.167:0.167) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.086) (0.112:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.130:0.131) (0.089:0.089:0.089)) - (IOPATH A X (0.135:0.135:0.135) (0.158:0.160:0.161)) - (IOPATH B X (0.112:0.112:0.112) (0.068:0.069:0.069)) - (IOPATH B X (0.127:0.127:0.128) (0.140:0.141:0.141)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.166:0.166:0.166) (0.262:0.262:0.262)) - (IOPATH A1 X (0.135:0.139:0.143) (0.246:0.250:0.255)) - (IOPATH S X (0.223:0.223:0.223) (0.342:0.342:0.342)) - (IOPATH S X (0.167:0.167:0.167) (0.271:0.271:0.271)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.088:0.089:0.089) (0.115:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.169:0.169:0.169) (0.274:0.274:0.274)) - (IOPATH A1 X (0.204:0.204:0.204) (0.264:0.265:0.265)) - (IOPATH S X (0.244:0.244:0.244) (0.364:0.364:0.364)) - (IOPATH S X (0.195:0.195:0.195) (0.290:0.290:0.290)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.229:0.229:0.229) (0.295:0.295:0.295)) - (IOPATH A2 X (0.208:0.208:0.209) (0.269:0.269:0.270)) - (IOPATH B1 X (0.197:0.197:0.198) (0.151:0.151:0.152)) - (IOPATH C1 X (0.191:0.191:0.192) (0.126:0.132:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142:0.142:0.142) (0.154:0.154:0.154)) - (IOPATH A Y (0.168:0.168:0.168) (0.098:0.098:0.098)) - (IOPATH B Y (0.108:0.108:0.108) (0.124:0.127:0.130)) - (IOPATH B Y (0.119:0.121:0.124) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.135:0.148) (0.146:0.149:0.151)) - (IOPATH A Y (0.174:0.176:0.179) (0.079:0.092:0.104)) - (IOPATH B Y (0.125:0.125:0.126) (0.143:0.143:0.144)) - (IOPATH B Y (0.149:0.150:0.150) (0.076:0.077:0.077)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A1_N X (0.256:0.256:0.256) (0.231:0.231:0.231)) - (IOPATH A2_N X (0.231:0.235:0.239) (0.203:0.217:0.230)) - (IOPATH B1 X (0.192:0.192:0.192) (0.274:0.274:0.274)) - (IOPATH B2 X (0.157:0.158:0.158) (0.246:0.247:0.247)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146:0.146:0.147) (0.090:0.090:0.090)) - (IOPATH B Y (0.123:0.124:0.124) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_2") - (INSTANCE _325_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.154:0.154:0.154) (0.092:0.092:0.092)) - (IOPATH A X (0.139:0.139:0.139) (0.182:0.182:0.182)) - (IOPATH B X (0.099:0.105:0.112) (0.069:0.069:0.069)) - (IOPATH B X (0.129:0.129:0.129) (0.128:0.133:0.139)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _326_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.138:0.138:0.138) (0.253:0.253:0.253)) - (IOPATH A1 X (0.135:0.139:0.143) (0.246:0.250:0.254)) - (IOPATH S X (0.223:0.223:0.223) (0.342:0.342:0.342)) - (IOPATH S X (0.166:0.166:0.166) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _327_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.086:0.086:0.086) (0.112:0.112:0.113)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _328_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.060:0.060:0.060) (0.050:0.050:0.050)) - (IOPATH B Y (0.071:0.071:0.071) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _329_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.139:0.139:0.139) (0.214:0.214:0.214)) - (IOPATH A2 X (0.114:0.114:0.114) (0.189:0.190:0.190)) - (IOPATH B1 X (0.111:0.112:0.113) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _330_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.211:0.211:0.211)) - (IOPATH B X (0.238:0.238:0.238) (0.243:0.243:0.243)) - (IOPATH C X (0.242:0.242:0.242) (0.252:0.252:0.252)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _331_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.216:0.216:0.216)) - (IOPATH B X (0.184:0.184:0.184) (0.219:0.219:0.220)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _332_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.146:0.146:0.146) (0.219:0.219:0.219)) - (IOPATH A2 X (0.112:0.112:0.113) (0.187:0.187:0.188)) - (IOPATH B1 X (0.151:0.151:0.151) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _333_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.139:0.139:0.139) (0.189:0.189:0.189)) - (IOPATH B Y (0.063:0.063:0.064) (0.056:0.056:0.056)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _334_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.267:0.267:0.267)) - (IOPATH A2 X (0.161:0.161:0.161) (0.231:0.231:0.232)) - (IOPATH B1 X (0.155:0.156:0.156) (0.119:0.121:0.123)) - (IOPATH C1 X (0.191:0.191:0.191) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _335_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.151:0.151:0.151) (0.077:0.077:0.077)) - (IOPATH A2 Y (0.161:0.161:0.161) (0.085:0.085:0.085)) - (IOPATH B1 Y (0.126:0.126:0.126) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _336_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.109:0.109:0.109)) - (IOPATH B Y (0.114:0.114:0.114) (0.094:0.094:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _337_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.120:0.120:0.121) (0.059:0.059:0.059)) - (IOPATH A2 Y (0.095:0.097:0.100) (0.052:0.053:0.054)) - (IOPATH B1 Y (0.059:0.061:0.063) (0.057:0.058:0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _338_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168:0.168:0.168) (0.182:0.182:0.182)) - (IOPATH B X (0.128:0.134:0.140) (0.174:0.177:0.179)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _339_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.110:0.110:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_2") - (INSTANCE _340_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.138:0.138:0.138) (0.159:0.159:0.159)) - (IOPATH A Y (0.180:0.180:0.180) (0.093:0.093:0.093)) - (IOPATH B Y (0.138:0.138:0.138) (0.149:0.149:0.149)) - (IOPATH B Y (0.149:0.149:0.149) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21boi_2") - (INSTANCE _341_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.126:0.128:0.130) (0.060:0.060:0.061)) - (IOPATH A2 Y (0.128:0.131:0.134) (0.049:0.059:0.070)) - (IOPATH B1_N Y (0.184:0.184:0.184) (0.168:0.168:0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _342_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070:0.070:0.070) (0.076:0.076:0.076)) - (IOPATH B Y (0.080:0.080:0.080) (0.085:0.085:0.085)) - (IOPATH C Y (0.076:0.079:0.081) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.136:0.136:0.136) (0.253:0.253:0.253)) - (IOPATH A1 X (0.134:0.134:0.134) (0.255:0.255:0.255)) - (IOPATH S X (0.233:0.233:0.233) (0.351:0.351:0.351)) - (IOPATH S X (0.178:0.179:0.179) (0.280:0.280:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.096:0.097:0.097) (0.121:0.121:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.133:0.133:0.133) (0.251:0.251:0.251)) - (IOPATH A1 X (0.134:0.134:0.134) (0.253:0.253:0.253)) - (IOPATH S X (0.231:0.231:0.231) (0.348:0.348:0.348)) - (IOPATH S X (0.176:0.176:0.176) (0.277:0.277:0.277)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.089:0.089:0.090) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.111:0.111:0.111) (0.174:0.174:0.174)) - (IOPATH B Y (0.082:0.082:0.082) (0.075:0.075:0.075)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _348_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.262:0.262:0.262) (0.206:0.206:0.206)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.044:0.044:0.044)) - (IOPATH B Y (0.085:0.085:0.085) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.246:0.246:0.246) (0.121:0.121:0.121)) - (IOPATH A2 Y (0.000:0.000:0.000)) - (IOPATH B1 Y (0.096:0.099:0.102) (0.075:0.077:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _352_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.224:0.224:0.224) (0.188:0.188:0.188)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _353_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.182:0.182:0.182) (0.084:0.084:0.084)) - (IOPATH B Y (0.149:0.149:0.149) (0.073:0.073:0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _354_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.275:0.275:0.275) (0.267:0.267:0.267)) - (IOPATH B X (0.218:0.218:0.218) (0.235:0.235:0.236)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.218:0.218:0.218) (0.208:0.208:0.208)) - (IOPATH B X (0.245:0.245:0.245) (0.239:0.239:0.239)) - (IOPATH C X (0.226:0.226:0.226) (0.245:0.245:0.245)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _356_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.055:0.055:0.055)) - (IOPATH B Y (0.094:0.094:0.095) (0.042:0.042:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _357_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.194:0.194:0.194) (0.087:0.087:0.087)) - (IOPATH B Y (0.150:0.150:0.150) (0.053:0.053:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _358_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.286:0.286:0.286) (0.125:0.125:0.125)) - (IOPATH B Y (0.238:0.243:0.247) (0.103:0.103:0.103)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _359_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.225:0.226:0.226) (0.213:0.214:0.216)) - (IOPATH B X (0.281:0.281:0.281) (0.255:0.258:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _360_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.227:0.227:0.227)) - (IOPATH A2 X (0.153:0.153:0.153) (0.225:0.225:0.225)) - (IOPATH B1 X (0.153:0.153:0.154) (0.229:0.229:0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.170:0.170:0.170) (0.067:0.067:0.067)) - (IOPATH B Y (0.158:0.158:0.158) (0.080:0.080:0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.143:0.143:0.143) (0.071:0.071:0.071)) - (IOPATH B Y (0.119:0.119:0.119) (0.060:0.060:0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.206:0.206:0.206) (0.350:0.350:0.350)) - (IOPATH A2 X (0.180:0.180:0.180) (0.370:0.370:0.370)) - (IOPATH B1 X (0.172:0.172:0.172) (0.347:0.351:0.354)) - (IOPATH C1 X (0.155:0.155:0.155) (0.310:0.310:0.311)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.229:0.229:0.229)) - (IOPATH A2 X (0.161:0.161:0.161) (0.230:0.230:0.230)) - (IOPATH B1 X (0.186:0.186:0.186) (0.228:0.231:0.234)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084:0.084:0.084) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.239:0.239:0.239)) - (IOPATH B X (0.246:0.246:0.246) (0.255:0.255:0.255)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.106:0.106:0.106)) - (IOPATH B Y (0.138:0.139:0.139) (0.120:0.120:0.120)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.259:0.259:0.259) (0.314:0.314:0.314)) - (IOPATH A2 X (0.222:0.222:0.222) (0.275:0.275:0.275)) - (IOPATH B1 X (0.244:0.245:0.246) (0.184:0.184:0.185)) - (IOPATH C1 X (0.290:0.290:0.290) (0.159:0.162:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.228:0.228:0.228)) - (IOPATH A2 X (0.155:0.155:0.155) (0.226:0.226:0.226)) - (IOPATH B1 X (0.146:0.146:0.146) (0.219:0.222:0.225)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.207:0.207:0.207) (0.095:0.095:0.095)) - (IOPATH B Y (0.184:0.184:0.184) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085:0.087:0.090) (0.099:0.099:0.099)) - (IOPATH B Y (0.093:0.094:0.095) (0.078:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.248:0.249:0.250) (0.309:0.312:0.316)) - (IOPATH A2 X (0.248:0.249:0.250) (0.301:0.301:0.301)) - (IOPATH B1 X (0.307:0.307:0.307) (0.183:0.186:0.189)) - (IOPATH C1 X (0.235:0.236:0.238) (0.156:0.161:0.166)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.186:0.186:0.186) (0.228:0.228:0.228)) - (IOPATH A2 X (0.156:0.156:0.156) (0.227:0.227:0.227)) - (IOPATH B1 X (0.151:0.152:0.152) (0.222:0.225:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21oi_2") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.212:0.212:0.212) (0.114:0.114:0.114)) - (IOPATH A2 Y (0.194:0.199:0.205) (0.102:0.102:0.102)) - (IOPATH B1 Y (0.191:0.191:0.191) (0.081:0.081:0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.187:0.187:0.187) (0.229:0.229:0.229)) - (IOPATH A2 X (0.157:0.157:0.157) (0.228:0.228:0.228)) - (IOPATH B1 X (0.163:0.163:0.163) (0.225:0.228:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _376_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133:0.133:0.133) (0.124:0.124:0.124)) - (IOPATH B Y (0.131:0.132:0.133) (0.123:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _377_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.165:0.165:0.165) (0.224:0.224:0.224)) - (IOPATH A2 X (0.133:0.134:0.134) (0.211:0.212:0.214)) - (IOPATH B1 X (0.152:0.152:0.152) (0.129:0.130:0.130)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _378_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.190:0.190:0.190) (0.230:0.230:0.230)) - (IOPATH A2 X (0.163:0.163:0.163) (0.231:0.231:0.231)) - (IOPATH B1 X (0.125:0.126:0.126) (0.213:0.214:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _379_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.234:0.234:0.234) (0.192:0.192:0.192)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _380_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.227:0.227:0.227) (0.288:0.288:0.288)) - (IOPATH A2 X (0.210:0.210:0.210) (0.298:0.298:0.298)) - (IOPATH B1 X (0.256:0.256:0.256) (0.261:0.264:0.267)) - (IOPATH B2 X (0.194:0.195:0.197) (0.272:0.276:0.280)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _381_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.235:0.236:0.236) (0.205:0.208:0.210)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _382_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.178:0.178:0.178) (0.245:0.245:0.245)) - (IOPATH A2 X (0.131:0.131:0.131) (0.211:0.211:0.211)) - (IOPATH B1 X (0.153:0.154:0.155) (0.209:0.209:0.210)) - (IOPATH B2 X (0.154:0.154:0.154) (0.190:0.190:0.190)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _383_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.229:0.229:0.229) (0.290:0.290:0.290)) - (IOPATH A2 X (0.211:0.211:0.211) (0.298:0.298:0.298)) - (IOPATH B1 X (0.213:0.213:0.214) (0.258:0.261:0.264)) - (IOPATH B2 X (0.185:0.185:0.185) (0.267:0.267:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _384_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.100:0.100:0.100) (0.155:0.155:0.155)) - (IOPATH B Y (0.079:0.079:0.079) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _385_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.255:0.255:0.255) (0.420:0.420:0.420)) - (IOPATH A2 X (0.228:0.229:0.230) (0.395:0.396:0.398)) - (IOPATH A3 X (0.239:0.239:0.239) (0.351:0.354:0.356)) - (IOPATH B1 X (0.210:0.212:0.214) (0.154:0.154:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _386_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081:0.081:0.081) (0.075:0.075:0.075)) - (IOPATH B Y (0.081:0.081:0.081) (0.069:0.069:0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _387_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.277:0.278:0.279) (0.315:0.316:0.316)) - (IOPATH A2 X (0.329:0.329:0.329) (0.334:0.337:0.340)) - (IOPATH A3 X (0.268:0.268:0.269) (0.316:0.320:0.324)) - (IOPATH B1 X (0.210:0.210:0.210) (0.265:0.265:0.265)) - (IOPATH B2 X (0.252:0.252:0.252) (0.305:0.305:0.305)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _388_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.158:0.158:0.158) (0.219:0.219:0.219)) - (IOPATH A2 X (0.126:0.127:0.127) (0.203:0.203:0.203)) - (IOPATH B1 X (0.185:0.185:0.185) (0.122:0.125:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _389_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.195:0.195:0.195) (0.238:0.238:0.238)) - (IOPATH A2 X (0.169:0.169:0.169) (0.240:0.240:0.240)) - (IOPATH B1 X (0.129:0.130:0.130) (0.220:0.221:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _390_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.305:0.305:0.305) (0.228:0.228:0.228)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_2") - (INSTANCE _391_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.162:0.162:0.162) (0.223:0.223:0.223)) - (IOPATH A2 X (0.131:0.132:0.132) (0.209:0.211:0.212)) - (IOPATH B1 X (0.150:0.150:0.150) (0.128:0.128:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _392_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.217:0.217:0.217) (0.251:0.251:0.251)) - (IOPATH A2 X (0.159:0.159:0.159) (0.231:0.231:0.231)) - (IOPATH B1 X (0.122:0.123:0.123) (0.213:0.214:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a211o_2") - (INSTANCE _393_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.137:0.137:0.137) (0.296:0.296:0.296)) - (IOPATH A2 X (0.151:0.151:0.151) (0.333:0.333:0.333)) - (IOPATH B1 X (0.121:0.121:0.121) (0.304:0.304:0.304)) - (IOPATH C1 X (0.102:0.102:0.102) (0.262:0.262:0.262)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _394_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.134:0.134:0.134) (0.079:0.079:0.079)) - (IOPATH A2 Y (0.097:0.100:0.103) (0.060:0.060:0.060)) - (IOPATH B1 Y (0.058:0.058:0.059) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _395_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.226:0.226:0.227) (0.220:0.220:0.220)) - (IOPATH B X (0.161:0.161:0.162) (0.187:0.187:0.188)) - (IOPATH C X (0.173:0.177:0.181) (0.199:0.200:0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.216:0.216:0.216) (0.284:0.284:0.284)) - (IOPATH A2 X (0.186:0.186:0.186) (0.283:0.283:0.283)) - (IOPATH B1 X (0.200:0.200:0.201) (0.251:0.254:0.257)) - (IOPATH B2 X (0.176:0.176:0.176) (0.260:0.260:0.261)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _397_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.071:0.071:0.071)) - (IOPATH B Y (0.071:0.074:0.076) (0.078:0.078:0.078)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_2") - (INSTANCE _398_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.159:0.159:0.159) (0.189:0.189:0.189)) - (IOPATH B X (0.184:0.184:0.184) (0.201:0.205:0.209)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _399_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.269:0.269:0.269)) - (IOPATH A2 X (0.169:0.169:0.169) (0.269:0.269:0.269)) - (IOPATH B1 X (0.154:0.155:0.155) (0.232:0.233:0.235)) - (IOPATH B2 X (0.163:0.163:0.163) (0.249:0.249:0.250)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _400_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.180:0.181:0.181) (0.233:0.235:0.237)) - (IOPATH B Y (0.124:0.124:0.124) (0.117:0.117:0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _401_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.115:0.115:0.115) (0.101:0.101:0.101)) - (IOPATH B Y (0.094:0.096:0.097) (0.107:0.107:0.108)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _402_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.172:0.173:0.174) (0.259:0.262:0.264)) - (IOPATH A2 X (0.159:0.159:0.160) (0.244:0.245:0.247)) - (IOPATH B1 X (0.149:0.150:0.150) (0.214:0.215:0.217)) - (IOPATH B2 X (0.168:0.168:0.168) (0.203:0.203:0.203)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _403_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.211:0.212:0.212)) - (IOPATH B X (0.219:0.220:0.220) (0.234:0.234:0.234)) - (IOPATH C X (0.209:0.209:0.209) (0.230:0.231:0.231)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _404_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.210:0.210:0.210) (0.246:0.246:0.246)) - (IOPATH A2 X (0.154:0.154:0.154) (0.228:0.228:0.228)) - (IOPATH B1 X (0.132:0.132:0.132) (0.218:0.218:0.219)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _405_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.077:0.077:0.077) (0.086:0.086:0.086)) - (IOPATH B Y (0.086:0.086:0.086) (0.093:0.093:0.093)) - (IOPATH C Y (0.083:0.083:0.084) (0.085:0.085:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_2") - (INSTANCE _406_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.129:0.129:0.130) (0.217:0.218:0.220)) - (IOPATH B Y (0.097:0.097:0.097) (0.104:0.104:0.104)) - (IOPATH C Y (0.107:0.107:0.107) (0.105:0.105:0.105)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and3_2") - (INSTANCE _407_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.183:0.187:0.190)) - (IOPATH B X (0.178:0.178:0.178) (0.199:0.199:0.200)) - (IOPATH C X (0.186:0.189:0.192) (0.223:0.225:0.226)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o221a_2") - (INSTANCE _408_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.238:0.238:0.238) (0.314:0.314:0.314)) - (IOPATH A2 X (0.197:0.197:0.197) (0.272:0.272:0.272)) - (IOPATH B1 X (0.199:0.200:0.200) (0.262:0.263:0.264)) - (IOPATH B2 X (0.224:0.224:0.224) (0.251:0.251:0.251)) - (IOPATH C1 X (0.194:0.194:0.195) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _409_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.226:0.228:0.229) (0.285:0.289:0.293)) - (IOPATH A2 X (0.239:0.239:0.239) (0.306:0.307:0.308)) - (IOPATH A3 X (0.242:0.242:0.242) (0.300:0.303:0.307)) - (IOPATH B1 X (0.189:0.189:0.189) (0.255:0.255:0.255)) - (IOPATH B2 X (0.225:0.225:0.225) (0.292:0.292:0.292)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _410_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.236:0.236:0.236) (0.263:0.263:0.263)) - (IOPATH A2 X (0.188:0.188:0.188) (0.250:0.250:0.250)) - (IOPATH B1 X (0.152:0.152:0.152) (0.232:0.232:0.233)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _411_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213:0.213:0.213) (0.281:0.281:0.281)) - (IOPATH A2 X (0.191:0.191:0.191) (0.286:0.286:0.286)) - (IOPATH B1 X (0.201:0.201:0.202) (0.256:0.257:0.257)) - (IOPATH B2 X (0.189:0.189:0.190) (0.275:0.275:0.275)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o22a_2") - (INSTANCE _412_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188:0.188:0.188) (0.253:0.253:0.253)) - (IOPATH A2 X (0.139:0.140:0.141) (0.225:0.227:0.229)) - (IOPATH B1 X (0.157:0.158:0.159) (0.216:0.218:0.220)) - (IOPATH B2 X (0.142:0.143:0.143) (0.196:0.197:0.198)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_2") - (INSTANCE _413_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.179:0.180:0.181) (0.261:0.265:0.268)) - (IOPATH A2 X (0.179:0.179:0.180) (0.253:0.253:0.254)) - (IOPATH B1 X (0.168:0.168:0.169) (0.129:0.132:0.136)) - (IOPATH C1 X (0.154:0.154:0.155) (0.110:0.110:0.111)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2111a_2") - (INSTANCE _414_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.224:0.224:0.224) (0.271:0.271:0.271)) - (IOPATH A2 X (0.179:0.179:0.179) (0.230:0.232:0.233)) - (IOPATH B1 X (0.191:0.192:0.193) (0.152:0.157:0.162)) - (IOPATH C1 X (0.192:0.192:0.193) (0.154:0.154:0.154)) - (IOPATH D1 X (0.167:0.167:0.167) (0.111:0.114:0.118)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _415_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.151:0.151:0.151) (0.083:0.083:0.083)) - (IOPATH A2 Y (0.136:0.136:0.136) (0.074:0.074:0.074)) - (IOPATH B1 Y (0.075:0.075:0.075) (0.074:0.074:0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _416_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079:0.079:0.079) (0.073:0.073:0.073)) - (IOPATH B Y (0.065:0.066:0.067) (0.053:0.059:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _417_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.230:0.230:0.230) (0.270:0.274:0.277)) - (IOPATH A2 X (0.197:0.197:0.197) (0.276:0.279:0.283)) - (IOPATH A3 X (0.202:0.202:0.202) (0.277:0.283:0.289)) - (IOPATH B1 X (0.159:0.159:0.159) (0.236:0.236:0.236)) - (IOPATH B2 X (0.189:0.189:0.189) (0.270:0.270:0.270)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o31a_2") - (INSTANCE _418_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.185:0.185:0.185) (0.369:0.369:0.369)) - (IOPATH A2 X (0.173:0.173:0.173) (0.347:0.347:0.347)) - (IOPATH A3 X (0.138:0.139:0.139) (0.303:0.304:0.305)) - (IOPATH B1 X (0.155:0.155:0.155) (0.122:0.123:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _419_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.239:0.239:0.239)) - (IOPATH A2 X (0.153:0.153:0.153) (0.225:0.225:0.225)) - (IOPATH B1 X (0.114:0.114:0.115) (0.203:0.207:0.212)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_2") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075:0.075:0.075) (0.081:0.081:0.081)) - (IOPATH B Y (0.090:0.090:0.090) (0.096:0.096:0.096)) - (IOPATH C Y (0.081:0.082:0.082) (0.082:0.082:0.082)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a32o_2") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.197:0.197:0.197) (0.262:0.263:0.264)) - (IOPATH A2 X (0.205:0.205:0.206) (0.284:0.287:0.291)) - (IOPATH A3 X (0.205:0.206:0.207) (0.287:0.291:0.295)) - (IOPATH B1 X (0.158:0.158:0.158) (0.237:0.237:0.237)) - (IOPATH B2 X (0.193:0.193:0.193) (0.273:0.273:0.273)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_2") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.202:0.202:0.202) (0.239:0.239:0.239)) - (IOPATH A2 X (0.144:0.144:0.144) (0.219:0.219:0.219)) - (IOPATH B1 X (0.142:0.142:0.142) (0.207:0.210:0.213)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.201:0.201:0.201) (0.272:0.272:0.272)) - (IOPATH A2 X (0.171:0.171:0.171) (0.272:0.272:0.272)) - (IOPATH B1 X (0.176:0.177:0.177) (0.235:0.235:0.236)) - (IOPATH B2 X (0.206:0.206:0.206) (0.272:0.272:0.272)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_2") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.208:0.208:0.208) (0.278:0.278:0.278)) - (IOPATH A2 X (0.182:0.182:0.182) (0.280:0.280:0.280)) - (IOPATH B1 X (0.177:0.177:0.177) (0.243:0.243:0.244)) - (IOPATH B2 X (0.170:0.173:0.177) (0.265:0.266:0.267)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.117:0.117:0.117) (0.096:0.096:0.096)) - (IOPATH B Y (0.124:0.124:0.124) (0.101:0.101:0.101)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.268:0.269:0.269) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.076:0.076:0.076)) - (IOPATH B Y (0.108:0.108:0.108) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.081:0.081:0.081)) - (IOPATH B Y (0.117:0.117:0.117) (0.062:0.062:0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.265:0.265:0.265) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.067:0.067:0.067)) - (IOPATH B Y (0.105:0.105:0.105) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.064:0.064:0.064)) - (IOPATH B Y (0.100:0.100:0.100) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123:0.123:0.123) (0.066:0.066:0.066)) - (IOPATH B Y (0.104:0.104:0.104) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.064:0.064:0.064)) - (IOPATH B Y (0.101:0.101:0.101) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.251:0.252:0.253) (0.207:0.207:0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120:0.120:0.120) (0.065:0.065:0.065)) - (IOPATH B Y (0.096:0.096:0.096) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.121:0.121:0.121) (0.065:0.065:0.065)) - (IOPATH B Y (0.098:0.098:0.098) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.067:0.067:0.067)) - (IOPATH B Y (0.102:0.102:0.102) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _438_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.204:0.204:0.204)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.066:0.066:0.066)) - (IOPATH B Y (0.101:0.101:0.101) (0.053:0.053:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118:0.118:0.118) (0.063:0.063:0.063)) - (IOPATH B Y (0.095:0.095:0.095) (0.050:0.050:0.050)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125:0.125:0.125) (0.066:0.066:0.066)) - (IOPATH B Y (0.102:0.102:0.102) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.119:0.119:0.119) (0.064:0.064:0.064)) - (IOPATH B Y (0.096:0.096:0.096) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.270:0.270:0.271) (0.216:0.216:0.216)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122:0.122:0.122) (0.065:0.065:0.065)) - (IOPATH B Y (0.104:0.104:0.104) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _445_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.067:0.067:0.067)) - (IOPATH B Y (0.108:0.108:0.108) (0.057:0.057:0.057)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _446_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.124:0.124:0.124) (0.066:0.066:0.066)) - (IOPATH B Y (0.105:0.105:0.105) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _447_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.298:0.298:0.298) (0.223:0.223:0.223)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _448_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126:0.126:0.126) (0.069:0.069:0.069)) - (IOPATH B Y (0.101:0.101:0.101) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _449_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148:0.148:0.148) (0.080:0.080:0.080)) - (IOPATH B Y (0.122:0.122:0.122) (0.066:0.066:0.066)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _450_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.071:0.071:0.071)) - (IOPATH B Y (0.104:0.104:0.104) (0.055:0.055:0.055)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _451_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.130:0.130:0.130) (0.070:0.070:0.070)) - (IOPATH B Y (0.104:0.104:0.104) (0.054:0.054:0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _452_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127:0.127:0.127) (0.069:0.069:0.069)) - (IOPATH B Y (0.098:0.098:0.098) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _453_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139:0.139:0.139) (0.075:0.075:0.075)) - (IOPATH B Y (0.110:0.110:0.110) (0.052:0.052:0.053)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") - (INSTANCE _454_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.129:0.129:0.129) (0.070:0.070:0.070)) - (IOPATH B Y (0.100:0.100:0.100) (0.047:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _455_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.370:0.370:0.370) (0.414:0.414:0.414)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057)) - (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _456_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.370:0.370:0.370) (0.414:0.414:0.414)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.363:0.363:0.363) (0.409:0.409:0.409)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.369:0.369:0.369) (0.413:0.413:0.413)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.034:-0.038)) - (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057)) - (SETUP (negedge D) (posedge CLK) (0.102:0.107:0.112)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.467:0.467:0.467) (0.482:0.482:0.482)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.035:-0.036:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.028:-0.030)) - (SETUP (posedge D) (posedge CLK) (0.064:0.065:0.066)) - (SETUP (negedge D) (posedge CLK) (0.098:0.101:0.103)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.432:0.432:0.432) (0.459:0.459:0.459)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.443:0.443:0.443) (0.468:0.468:0.468)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.025:-0.028)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.094:0.098:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.452:0.452:0.452) (0.473:0.473:0.473)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.023:-0.025)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053)) - (SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.417:0.417:0.417) (0.449:0.449:0.449)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _465_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.442:0.442:0.442) (0.465:0.465:0.465)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.341)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.029:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056)) - (SETUP (negedge D) (posedge CLK) (0.099:0.102:0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _466_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.035:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.032:-0.036)) - (SETUP (posedge D) (posedge CLK) (0.055:0.063:0.072)) - (SETUP (negedge D) (posedge CLK) (0.100:0.105:0.110)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _467_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.416:0.416:0.416) (0.449:0.449:0.449)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _468_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.435:0.435:0.435) (0.461:0.461:0.461)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _469_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.480:0.480:0.480) (0.492:0.492:0.492)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.344:0.344:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054)) - (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _470_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.350:0.350:0.350) (0.398:0.398:0.398)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.343:0.343:0.344)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _471_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.402:0.402:0.402) (0.440:0.440:0.440)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _472_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.427:0.427:0.427)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.349:0.349:0.349)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.218:-0.218:-0.218)) - (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066)) - (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _473_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.409:0.409:0.409) (0.444:0.444:0.444)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _474_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.395:0.395:0.395) (0.433:0.433:0.433)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.343:0.343)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.224:-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _475_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.408:0.408:0.408) (0.443:0.443:0.443)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _476_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.405:0.405:0.405) (0.441:0.441:0.441)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.345:0.345:0.346)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.221:-0.221:-0.221)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _477_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.410:0.410:0.410) (0.444:0.444:0.444)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.342:0.342:0.342)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225)) - (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) - (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.176:0.176:0.176)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") - (INSTANCE clockp_buffer_1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179:0.179:0.179) (0.153:0.153:0.153)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.134:0.134:0.134) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.100:0.112:0.124) (0.023:0.022:0.020)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.014:0.014:0.014)) - (IOPATH TE Z (0.091:0.092:0.092) (0.022:0.022:0.022)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.057:0.059:0.060) (0.119:0.122:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.048:0.049:0.049) (0.087:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.176:0.176:0.176) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.128:0.128:0.128) (0.125:0.125:0.125)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.057:0.062:0.067) (0.120:0.126:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.045:0.046:0.046) (0.084:0.084:0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.132:0.132:0.132) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.063:0.063:0.064) (0.127:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.049:0.049:0.049) (0.088:0.088:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.047:0.047:0.047)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.129:0.129:0.129)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.114)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.051:0.052:0.052) (0.091:0.092:0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.215:0.215:0.215) (0.180:0.180:0.180)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.142:0.142:0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.040:0.040:0.040) (0.001:0.001:0.001)) - (IOPATH TE_B Z (0.059:0.059:0.060) (0.122:0.123:0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.003:0.003:0.003)) - (IOPATH TE_B Z (0.048:0.048:0.048) (0.087:0.087:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.196:0.196:0.196) (0.165:0.165:0.165)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.135:0.135:0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.027:0.027:0.027) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.038:0.038:0.038) (0.003:0.003:0.003)) - (IOPATH TE_B Z (0.053:0.053:0.054) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.047:0.047:0.048) (0.086:0.086:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.044:0.044:0.044) (0.065:0.065:0.065)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.155:0.155:0.155)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.132:0.132:0.132)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.053:0.054:0.054) (0.094:0.095:0.095)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.175:0.175:0.175) (0.150:0.150:0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.126:0.126:0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.114:0.114:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.052:0.053:0.053) (0.093:0.093:0.094)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.033:0.033:0.033) (0.049:0.049:0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.152:0.152:0.152) (0.143:0.143:0.143)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004)) - (IOPATH TE_B Z (0.053:0.053:0.053) (0.114:0.115:0.115)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.051:0.051:0.051) (0.091:0.091:0.091)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.187:0.187:0.187) (0.159:0.159:0.159)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004)) - (IOPATH TE_B Z (0.053:0.054:0.054) (0.115:0.115:0.116)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.047:0.048:0.048) (0.086:0.086:0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.125:0.125:0.125) (0.124:0.124:0.124)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.058:0.058:0.059) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.045:0.046:0.046) (0.083:0.084:0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.030:0.030:0.030) (0.045:0.045:0.045)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) - (DELAY - (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.128:0.128:0.128)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.059:0.059:0.059) (0.122:0.122:0.122)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007)) - (IOPATH TE_B Z (0.048:0.048:0.049) (0.087:0.087:0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035:0.035:0.035) (0.051:0.051:0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp00) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp01) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.104:0.104:0.104) (0.097:0.097:0.097)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE ringosc\.ibufp10) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_8") - (INSTANCE ringosc\.ibufp11) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.044:0.044:0.044)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_2") - (INSTANCE ringosc\.iss\.ctrlen0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.186:0.186:0.186) (0.340:0.340:0.340)) - (IOPATH B X (0.147:0.148:0.148) (0.307:0.307:0.307)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE ringosc\.iss\.delaybuf0) - (DELAY - (ABSOLUTE - (IOPATH A X (0.085:0.085:0.085) (0.079:0.079:0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_2") - (INSTANCE ringosc\.iss\.delayen1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014)) - (IOPATH TE Z (0.000:0.000:0.000)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_8") - (INSTANCE ringosc\.iss\.delayenb0) - (DELAY - (ABSOLUTE - (IOPATH A Z (-0.001:-0.001:-0.001) (0.005:0.005:0.005)) - (IOPATH TE_B Z (0.058:0.058:0.058) (0.121:0.121:0.121)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvn_4") - (INSTANCE ringosc\.iss\.delayenb1) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000) (0.006:0.006:0.006)) - (IOPATH TE_B Z (0.050:0.050:0.050) (0.089:0.089:0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_1") - (INSTANCE ringosc\.iss\.delayint0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_1") - (INSTANCE ringosc\.iss\.reseten0) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.000:0.000:0.000)) - (IOPATH TE Z (0.103:0.103:0.103) (0.025:0.025:0.025)) - ) - ) - ) -) diff --git a/signoff/digital_pll/openlane-signoff/spef/digital_pll.max.spef b/signoff/digital_pll/openlane-signoff/spef/digital_pll.max.spef deleted file mode 100644 index bf9309dc..00000000 --- a/signoff/digital_pll/openlane-signoff/spef/digital_pll.max.spef +++ /dev/null @@ -1,14944 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "digital_pll" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 clockp[0] -*4 clockp[1] -*5 dco -*6 div[0] -*7 div[1] -*8 div[2] -*9 div[3] -*10 div[4] -*11 enable -*12 ext_trim[0] -*13 ext_trim[10] -*14 ext_trim[11] -*15 ext_trim[12] -*16 ext_trim[13] -*17 ext_trim[14] -*18 ext_trim[15] -*19 ext_trim[16] -*20 ext_trim[17] -*21 ext_trim[18] -*22 ext_trim[19] -*23 ext_trim[1] -*24 ext_trim[20] -*25 ext_trim[21] -*26 ext_trim[22] -*27 ext_trim[23] -*28 ext_trim[24] -*29 ext_trim[25] -*30 ext_trim[2] -*31 ext_trim[3] -*32 ext_trim[4] -*33 ext_trim[5] -*34 ext_trim[6] -*35 ext_trim[7] -*36 ext_trim[8] -*37 ext_trim[9] -*38 osc -*39 resetb -*40 _000_ -*41 _001_ -*42 _002_ -*43 _003_ -*44 _004_ -*45 _005_ -*46 _006_ -*47 _007_ -*48 _008_ -*49 _009_ -*50 _010_ -*51 _011_ -*52 _012_ -*53 _013_ -*54 _014_ -*55 _015_ -*56 _016_ -*57 _017_ -*58 _018_ -*59 _019_ -*60 _020_ -*61 _021_ -*62 _022_ -*63 _023_ -*64 _024_ -*65 _025_ -*66 _026_ -*67 _027_ -*68 _028_ -*69 _029_ -*70 _030_ -*71 _031_ -*72 _032_ -*73 _033_ -*74 _034_ -*75 _035_ -*76 _036_ -*77 _037_ -*78 _038_ -*79 _039_ -*80 _040_ -*81 _041_ -*82 _042_ -*83 _043_ -*84 _044_ -*85 _045_ -*86 _046_ -*87 _047_ -*88 _048_ -*89 _049_ -*90 _050_ -*91 _051_ -*92 _052_ -*93 _053_ -*94 _054_ -*95 _055_ -*96 _056_ -*97 _057_ -*98 _058_ -*99 _059_ -*100 _060_ -*101 _061_ -*102 _062_ -*103 _063_ -*104 _064_ -*105 _065_ -*106 _066_ -*107 _067_ -*108 _068_ -*109 _069_ -*110 _070_ -*111 _071_ -*112 _072_ -*113 _073_ -*114 _074_ -*115 _075_ -*116 _076_ -*117 _077_ -*118 _078_ -*119 _079_ -*120 _080_ -*121 _081_ -*122 _082_ -*123 _083_ -*124 _084_ -*125 _085_ -*126 _086_ -*127 _087_ -*128 _088_ -*129 _089_ -*130 _090_ -*131 _091_ -*132 _092_ -*133 _093_ -*134 _094_ -*135 _095_ -*136 _096_ -*137 _097_ -*138 _098_ -*139 _099_ -*140 _100_ -*141 _101_ -*142 _102_ -*143 _103_ -*144 _104_ -*145 _105_ -*146 _106_ -*147 _107_ -*148 _108_ -*149 _109_ -*150 _110_ -*151 _111_ -*152 _112_ -*153 _113_ -*154 _114_ -*155 _115_ -*156 _116_ -*157 _117_ -*158 _118_ -*159 _119_ -*160 _120_ -*161 _121_ -*162 _122_ -*163 _123_ -*164 _124_ -*165 _125_ -*166 _126_ -*167 _127_ -*168 _128_ -*169 _129_ -*170 _130_ -*171 _131_ -*172 _132_ -*173 _133_ -*174 _134_ -*175 _135_ -*176 _136_ -*177 _137_ -*178 _138_ -*179 _139_ -*180 _140_ -*181 _141_ -*182 _142_ -*183 _143_ -*184 _144_ -*185 _145_ -*186 _146_ -*187 _147_ -*188 _148_ -*189 _149_ -*190 _150_ -*191 _151_ -*192 _152_ -*193 _153_ -*194 _154_ -*195 _155_ -*196 _156_ -*197 _157_ -*198 _158_ -*199 _159_ -*200 _160_ -*201 _161_ -*202 _162_ -*203 _163_ -*204 _164_ -*205 _165_ -*206 _166_ -*207 _167_ -*208 _168_ -*209 _169_ -*210 _170_ -*211 _171_ -*212 _172_ -*213 _173_ -*214 _174_ -*215 _175_ -*216 _176_ -*217 _177_ -*218 _178_ -*219 _179_ -*220 _180_ -*221 _181_ -*222 _182_ -*223 _183_ -*224 _184_ -*225 _185_ -*226 _186_ -*227 _187_ -*228 _188_ -*229 _189_ -*230 _190_ -*231 _191_ -*232 _192_ -*233 _193_ -*234 _194_ -*235 _195_ -*236 _196_ -*237 _197_ -*238 _198_ -*239 _199_ -*240 _200_ -*241 _201_ -*242 _202_ -*243 _203_ -*244 _204_ -*245 _205_ -*246 _206_ -*247 _207_ -*248 _208_ -*249 _209_ -*250 _210_ -*251 _211_ -*252 _212_ -*253 _213_ -*254 pll_control\.clock -*255 pll_control\.count0\[0\] -*256 pll_control\.count0\[1\] -*257 pll_control\.count0\[2\] -*258 pll_control\.count0\[3\] -*259 pll_control\.count0\[4\] -*260 pll_control\.count1\[0\] -*261 pll_control\.count1\[1\] -*262 pll_control\.count1\[2\] -*263 pll_control\.count1\[3\] -*264 pll_control\.count1\[4\] -*265 pll_control\.oscbuf\[0\] -*266 pll_control\.oscbuf\[1\] -*267 pll_control\.oscbuf\[2\] -*268 pll_control\.prep\[0\] -*269 pll_control\.prep\[1\] -*270 pll_control\.prep\[2\] -*271 pll_control\.tint\[0\] -*272 pll_control\.tint\[1\] -*273 pll_control\.tint\[2\] -*274 pll_control\.tint\[3\] -*275 pll_control\.tint\[4\] -*276 pll_control\.tval\[0\] -*277 pll_control\.tval\[1\] -*278 ringosc\.c\[0\] -*279 ringosc\.c\[1\] -*280 ringosc\.clockp\[1\] -*281 ringosc\.dstage\[0\]\.id\.d0 -*282 ringosc\.dstage\[0\]\.id\.d1 -*283 ringosc\.dstage\[0\]\.id\.d2 -*284 ringosc\.dstage\[0\]\.id\.in -*285 ringosc\.dstage\[0\]\.id\.out -*286 ringosc\.dstage\[0\]\.id\.trim\[0\] -*287 ringosc\.dstage\[0\]\.id\.trim\[1\] -*288 ringosc\.dstage\[0\]\.id\.ts -*289 ringosc\.dstage\[10\]\.id\.d0 -*290 ringosc\.dstage\[10\]\.id\.d1 -*291 ringosc\.dstage\[10\]\.id\.d2 -*292 ringosc\.dstage\[10\]\.id\.in -*293 ringosc\.dstage\[10\]\.id\.out -*294 ringosc\.dstage\[10\]\.id\.trim\[0\] -*295 ringosc\.dstage\[10\]\.id\.trim\[1\] -*296 ringosc\.dstage\[10\]\.id\.ts -*297 ringosc\.dstage\[11\]\.id\.d0 -*298 ringosc\.dstage\[11\]\.id\.d1 -*299 ringosc\.dstage\[11\]\.id\.d2 -*300 ringosc\.dstage\[11\]\.id\.out -*301 ringosc\.dstage\[11\]\.id\.trim\[0\] -*302 ringosc\.dstage\[11\]\.id\.trim\[1\] -*303 ringosc\.dstage\[11\]\.id\.ts -*304 ringosc\.dstage\[1\]\.id\.d0 -*305 ringosc\.dstage\[1\]\.id\.d1 -*306 ringosc\.dstage\[1\]\.id\.d2 -*307 ringosc\.dstage\[1\]\.id\.out -*308 ringosc\.dstage\[1\]\.id\.trim\[0\] -*309 ringosc\.dstage\[1\]\.id\.trim\[1\] -*310 ringosc\.dstage\[1\]\.id\.ts -*311 ringosc\.dstage\[2\]\.id\.d0 -*312 ringosc\.dstage\[2\]\.id\.d1 -*313 ringosc\.dstage\[2\]\.id\.d2 -*314 ringosc\.dstage\[2\]\.id\.out -*315 ringosc\.dstage\[2\]\.id\.trim\[0\] -*316 ringosc\.dstage\[2\]\.id\.trim\[1\] -*317 ringosc\.dstage\[2\]\.id\.ts -*318 ringosc\.dstage\[3\]\.id\.d0 -*319 ringosc\.dstage\[3\]\.id\.d1 -*320 ringosc\.dstage\[3\]\.id\.d2 -*321 ringosc\.dstage\[3\]\.id\.out -*322 ringosc\.dstage\[3\]\.id\.trim\[0\] -*323 ringosc\.dstage\[3\]\.id\.trim\[1\] -*324 ringosc\.dstage\[3\]\.id\.ts -*325 ringosc\.dstage\[4\]\.id\.d0 -*326 ringosc\.dstage\[4\]\.id\.d1 -*327 ringosc\.dstage\[4\]\.id\.d2 -*328 ringosc\.dstage\[4\]\.id\.out -*329 ringosc\.dstage\[4\]\.id\.trim\[0\] -*330 ringosc\.dstage\[4\]\.id\.trim\[1\] -*331 ringosc\.dstage\[4\]\.id\.ts -*332 ringosc\.dstage\[5\]\.id\.d0 -*333 ringosc\.dstage\[5\]\.id\.d1 -*334 ringosc\.dstage\[5\]\.id\.d2 -*335 ringosc\.dstage\[5\]\.id\.out -*336 ringosc\.dstage\[5\]\.id\.trim\[0\] -*337 ringosc\.dstage\[5\]\.id\.trim\[1\] -*338 ringosc\.dstage\[5\]\.id\.ts -*339 ringosc\.dstage\[6\]\.id\.d0 -*340 ringosc\.dstage\[6\]\.id\.d1 -*341 ringosc\.dstage\[6\]\.id\.d2 -*342 ringosc\.dstage\[6\]\.id\.out -*343 ringosc\.dstage\[6\]\.id\.trim\[0\] -*344 ringosc\.dstage\[6\]\.id\.trim\[1\] -*345 ringosc\.dstage\[6\]\.id\.ts -*346 ringosc\.dstage\[7\]\.id\.d0 -*347 ringosc\.dstage\[7\]\.id\.d1 -*348 ringosc\.dstage\[7\]\.id\.d2 -*349 ringosc\.dstage\[7\]\.id\.out -*350 ringosc\.dstage\[7\]\.id\.trim\[0\] -*351 ringosc\.dstage\[7\]\.id\.trim\[1\] -*352 ringosc\.dstage\[7\]\.id\.ts -*353 ringosc\.dstage\[8\]\.id\.d0 -*354 ringosc\.dstage\[8\]\.id\.d1 -*355 ringosc\.dstage\[8\]\.id\.d2 -*356 ringosc\.dstage\[8\]\.id\.out -*357 ringosc\.dstage\[8\]\.id\.trim\[0\] -*358 ringosc\.dstage\[8\]\.id\.trim\[1\] -*359 ringosc\.dstage\[8\]\.id\.ts -*360 ringosc\.dstage\[9\]\.id\.d0 -*361 ringosc\.dstage\[9\]\.id\.d1 -*362 ringosc\.dstage\[9\]\.id\.d2 -*363 ringosc\.dstage\[9\]\.id\.trim\[0\] -*364 ringosc\.dstage\[9\]\.id\.trim\[1\] -*365 ringosc\.dstage\[9\]\.id\.ts -*366 ringosc\.iss\.ctrl0 -*367 ringosc\.iss\.d0 -*368 ringosc\.iss\.d1 -*369 ringosc\.iss\.d2 -*370 ringosc\.iss\.one -*371 ringosc\.iss\.reset -*372 ringosc\.iss\.trim\[0\] -*373 ringosc\.iss\.trim\[1\] -*374 ANTENNA__243__A -*375 ANTENNA__244__A -*376 ANTENNA__252__A -*377 ANTENNA__257__A_N -*378 ANTENNA__258__A -*379 ANTENNA__260__A1 -*380 ANTENNA__266__A -*381 ANTENNA__267__A1 -*382 ANTENNA__270__A1 -*383 ANTENNA__287__B1 -*384 ANTENNA__289__A1 -*385 ANTENNA__348__A -*386 ANTENNA__349__A -*387 ANTENNA__350__A -*388 ANTENNA__358__A -*389 ANTENNA__360__A2 -*390 ANTENNA__361__B -*391 ANTENNA__363__A2 -*392 ANTENNA__364__A2 -*393 ANTENNA__369__A2 -*394 ANTENNA__373__A2 -*395 ANTENNA__374__B1 -*396 ANTENNA__375__A2 -*397 ANTENNA__378__A2 -*398 ANTENNA__379__A -*399 ANTENNA__380__A2 -*400 ANTENNA__383__A2 -*401 ANTENNA__384__A_N -*402 ANTENNA__387__B1 -*403 ANTENNA__389__A2 -*404 ANTENNA__392__A1 -*405 ANTENNA__392__A2 -*406 ANTENNA__396__A2 -*407 ANTENNA__399__A2 -*408 ANTENNA__404__A1 -*409 ANTENNA__404__A2 -*410 ANTENNA__409__B1 -*411 ANTENNA__410__A1 -*412 ANTENNA__410__A2 -*413 ANTENNA__411__A2 -*414 ANTENNA__417__B1 -*415 ANTENNA__419__A1 -*416 ANTENNA__419__A2 -*417 ANTENNA__421__B1 -*418 ANTENNA__422__A1 -*419 ANTENNA__422__A2 -*420 ANTENNA__423__A2 -*421 ANTENNA__424__A2 -*422 ANTENNA__425__A -*423 ANTENNA__425__B -*424 ANTENNA__427__A -*425 ANTENNA__428__A -*426 ANTENNA__447__A -*427 ANTENNA__470__D -*428 ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A -*429 ANTENNA_ringosc\.ibufp00_A -*430 FILLER_0_111 -*431 FILLER_0_113 -*432 FILLER_0_117 -*433 FILLER_0_122 -*434 FILLER_0_134 -*435 FILLER_0_141 -*436 FILLER_0_145 -*437 FILLER_0_167 -*438 FILLER_0_169 -*439 FILLER_0_175 -*440 FILLER_0_183 -*441 FILLER_0_189 -*442 FILLER_0_24 -*443 FILLER_0_29 -*444 FILLER_0_3 -*445 FILLER_0_39 -*446 FILLER_0_43 -*447 FILLER_0_49 -*448 FILLER_0_55 -*449 FILLER_0_57 -*450 FILLER_0_60 -*451 FILLER_0_72 -*452 FILLER_0_78 -*453 FILLER_0_83 -*454 FILLER_0_85 -*455 FILLER_0_94 -*456 FILLER_10_110 -*457 FILLER_10_125 -*458 FILLER_10_136 -*459 FILLER_10_141 -*460 FILLER_10_155 -*461 FILLER_10_163 -*462 FILLER_10_177 -*463 FILLER_10_186 -*464 FILLER_10_19 -*465 FILLER_10_27 -*466 FILLER_10_29 -*467 FILLER_10_3 -*468 FILLER_10_34 -*469 FILLER_10_49 -*470 FILLER_10_64 -*471 FILLER_10_7 -*472 FILLER_10_79 -*473 FILLER_10_83 -*474 FILLER_10_85 -*475 FILLER_10_99 -*476 FILLER_11_106 -*477 FILLER_11_113 -*478 FILLER_11_123 -*479 FILLER_11_127 -*480 FILLER_11_137 -*481 FILLER_11_143 -*482 FILLER_11_154 -*483 FILLER_11_16 -*484 FILLER_11_160 -*485 FILLER_11_167 -*486 FILLER_11_169 -*487 FILLER_11_181 -*488 FILLER_11_186 -*489 FILLER_11_3 -*490 FILLER_11_30 -*491 FILLER_11_38 -*492 FILLER_11_45 -*493 FILLER_11_50 -*494 FILLER_11_55 -*495 FILLER_11_57 -*496 FILLER_11_6 -*497 FILLER_11_62 -*498 FILLER_11_70 -*499 FILLER_11_81 -*500 FILLER_11_90 -*501 FILLER_11_98 -*502 FILLER_12_107 -*503 FILLER_12_118 -*504 FILLER_12_133 -*505 FILLER_12_139 -*506 FILLER_12_141 -*507 FILLER_12_144 -*508 FILLER_12_159 -*509 FILLER_12_169 -*510 FILLER_12_189 -*511 FILLER_12_24 -*512 FILLER_12_29 -*513 FILLER_12_3 -*514 FILLER_12_35 -*515 FILLER_12_44 -*516 FILLER_12_49 -*517 FILLER_12_53 -*518 FILLER_12_68 -*519 FILLER_12_83 -*520 FILLER_12_85 -*521 FILLER_12_93 -*522 FILLER_12_99 -*523 FILLER_13_102 -*524 FILLER_13_107 -*525 FILLER_13_111 -*526 FILLER_13_113 -*527 FILLER_13_118 -*528 FILLER_13_123 -*529 FILLER_13_128 -*530 FILLER_13_138 -*531 FILLER_13_145 -*532 FILLER_13_15 -*533 FILLER_13_151 -*534 FILLER_13_161 -*535 FILLER_13_166 -*536 FILLER_13_169 -*537 FILLER_13_177 -*538 FILLER_13_185 -*539 FILLER_13_189 -*540 FILLER_13_3 -*541 FILLER_13_34 -*542 FILLER_13_47 -*543 FILLER_13_55 -*544 FILLER_13_57 -*545 FILLER_13_62 -*546 FILLER_13_70 -*547 FILLER_13_74 -*548 FILLER_13_81 -*549 FILLER_13_9 -*550 FILLER_13_90 -*551 FILLER_14_109 -*552 FILLER_14_113 -*553 FILLER_14_117 -*554 FILLER_14_124 -*555 FILLER_14_128 -*556 FILLER_14_137 -*557 FILLER_14_141 -*558 FILLER_14_150 -*559 FILLER_14_160 -*560 FILLER_14_164 -*561 FILLER_14_168 -*562 FILLER_14_17 -*563 FILLER_14_187 -*564 FILLER_14_27 -*565 FILLER_14_29 -*566 FILLER_14_3 -*567 FILLER_14_38 -*568 FILLER_14_44 -*569 FILLER_14_48 -*570 FILLER_14_56 -*571 FILLER_14_63 -*572 FILLER_14_70 -*573 FILLER_14_77 -*574 FILLER_14_83 -*575 FILLER_14_85 -*576 FILLER_14_89 -*577 FILLER_14_97 -*578 FILLER_15_102 -*579 FILLER_15_111 -*580 FILLER_15_113 -*581 FILLER_15_119 -*582 FILLER_15_127 -*583 FILLER_15_13 -*584 FILLER_15_134 -*585 FILLER_15_139 -*586 FILLER_15_151 -*587 FILLER_15_161 -*588 FILLER_15_167 -*589 FILLER_15_169 -*590 FILLER_15_17 -*591 FILLER_15_177 -*592 FILLER_15_186 -*593 FILLER_15_3 -*594 FILLER_15_36 -*595 FILLER_15_40 -*596 FILLER_15_44 -*597 FILLER_15_55 -*598 FILLER_15_57 -*599 FILLER_15_62 -*600 FILLER_15_72 -*601 FILLER_15_81 -*602 FILLER_15_85 -*603 FILLER_15_92 -*604 FILLER_16_100 -*605 FILLER_16_107 -*606 FILLER_16_114 -*607 FILLER_16_122 -*608 FILLER_16_127 -*609 FILLER_16_135 -*610 FILLER_16_139 -*611 FILLER_16_141 -*612 FILLER_16_150 -*613 FILLER_16_160 -*614 FILLER_16_166 -*615 FILLER_16_18 -*616 FILLER_16_181 -*617 FILLER_16_187 -*618 FILLER_16_27 -*619 FILLER_16_29 -*620 FILLER_16_3 -*621 FILLER_16_41 -*622 FILLER_16_46 -*623 FILLER_16_52 -*624 FILLER_16_59 -*625 FILLER_16_68 -*626 FILLER_16_72 -*627 FILLER_16_81 -*628 FILLER_16_85 -*629 FILLER_16_9 -*630 FILLER_16_91 -*631 FILLER_17_110 -*632 FILLER_17_113 -*633 FILLER_17_122 -*634 FILLER_17_129 -*635 FILLER_17_138 -*636 FILLER_17_14 -*637 FILLER_17_142 -*638 FILLER_17_149 -*639 FILLER_17_161 -*640 FILLER_17_167 -*641 FILLER_17_169 -*642 FILLER_17_179 -*643 FILLER_17_18 -*644 FILLER_17_188 -*645 FILLER_17_24 -*646 FILLER_17_29 -*647 FILLER_17_3 -*648 FILLER_17_38 -*649 FILLER_17_44 -*650 FILLER_17_55 -*651 FILLER_17_57 -*652 FILLER_17_62 -*653 FILLER_17_71 -*654 FILLER_17_82 -*655 FILLER_17_90 -*656 FILLER_17_99 -*657 FILLER_18_105 -*658 FILLER_18_115 -*659 FILLER_18_125 -*660 FILLER_18_129 -*661 FILLER_18_139 -*662 FILLER_18_141 -*663 FILLER_18_151 -*664 FILLER_18_158 -*665 FILLER_18_172 -*666 FILLER_18_181 -*667 FILLER_18_186 -*668 FILLER_18_22 -*669 FILLER_18_26 -*670 FILLER_18_29 -*671 FILLER_18_3 -*672 FILLER_18_48 -*673 FILLER_18_61 -*674 FILLER_18_72 -*675 FILLER_18_83 -*676 FILLER_18_85 -*677 FILLER_18_94 -*678 FILLER_18_98 -*679 FILLER_19_100 -*680 FILLER_19_108 -*681 FILLER_19_113 -*682 FILLER_19_122 -*683 FILLER_19_127 -*684 FILLER_19_136 -*685 FILLER_19_14 -*686 FILLER_19_145 -*687 FILLER_19_158 -*688 FILLER_19_162 -*689 FILLER_19_167 -*690 FILLER_19_169 -*691 FILLER_19_18 -*692 FILLER_19_189 -*693 FILLER_19_23 -*694 FILLER_19_3 -*695 FILLER_19_32 -*696 FILLER_19_41 -*697 FILLER_19_46 -*698 FILLER_19_55 -*699 FILLER_19_57 -*700 FILLER_19_60 -*701 FILLER_19_69 -*702 FILLER_19_73 -*703 FILLER_19_82 -*704 FILLER_19_88 -*705 FILLER_1_11 -*706 FILLER_1_111 -*707 FILLER_1_113 -*708 FILLER_1_135 -*709 FILLER_1_158 -*710 FILLER_1_165 -*711 FILLER_1_169 -*712 FILLER_1_186 -*713 FILLER_1_20 -*714 FILLER_1_3 -*715 FILLER_1_45 -*716 FILLER_1_49 -*717 FILLER_1_55 -*718 FILLER_1_57 -*719 FILLER_1_61 -*720 FILLER_1_76 -*721 FILLER_1_99 -*722 FILLER_20_106 -*723 FILLER_20_116 -*724 FILLER_20_120 -*725 FILLER_20_131 -*726 FILLER_20_138 -*727 FILLER_20_14 -*728 FILLER_20_141 -*729 FILLER_20_160 -*730 FILLER_20_167 -*731 FILLER_20_176 -*732 FILLER_20_18 -*733 FILLER_20_185 -*734 FILLER_20_189 -*735 FILLER_20_23 -*736 FILLER_20_27 -*737 FILLER_20_29 -*738 FILLER_20_3 -*739 FILLER_20_37 -*740 FILLER_20_47 -*741 FILLER_20_54 -*742 FILLER_20_74 -*743 FILLER_20_83 -*744 FILLER_20_85 -*745 FILLER_20_95 -*746 FILLER_21_100 -*747 FILLER_21_111 -*748 FILLER_21_113 -*749 FILLER_21_125 -*750 FILLER_21_129 -*751 FILLER_21_141 -*752 FILLER_21_147 -*753 FILLER_21_167 -*754 FILLER_21_169 -*755 FILLER_21_17 -*756 FILLER_21_184 -*757 FILLER_21_189 -*758 FILLER_21_3 -*759 FILLER_21_38 -*760 FILLER_21_51 -*761 FILLER_21_55 -*762 FILLER_21_57 -*763 FILLER_21_67 -*764 FILLER_21_87 -*765 FILLER_22_105 -*766 FILLER_22_109 -*767 FILLER_22_11 -*768 FILLER_22_113 -*769 FILLER_22_117 -*770 FILLER_22_127 -*771 FILLER_22_131 -*772 FILLER_22_139 -*773 FILLER_22_141 -*774 FILLER_22_149 -*775 FILLER_22_15 -*776 FILLER_22_154 -*777 FILLER_22_161 -*778 FILLER_22_166 -*779 FILLER_22_169 -*780 FILLER_22_177 -*781 FILLER_22_182 -*782 FILLER_22_186 -*783 FILLER_22_20 -*784 FILLER_22_27 -*785 FILLER_22_29 -*786 FILLER_22_3 -*787 FILLER_22_32 -*788 FILLER_22_41 -*789 FILLER_22_45 -*790 FILLER_22_51 -*791 FILLER_22_55 -*792 FILLER_22_57 -*793 FILLER_22_65 -*794 FILLER_22_71 -*795 FILLER_22_76 -*796 FILLER_22_83 -*797 FILLER_22_85 -*798 FILLER_22_94 -*799 FILLER_22_99 -*800 FILLER_2_103 -*801 FILLER_2_112 -*802 FILLER_2_139 -*803 FILLER_2_141 -*804 FILLER_2_146 -*805 FILLER_2_150 -*806 FILLER_2_173 -*807 FILLER_2_177 -*808 FILLER_2_187 -*809 FILLER_2_20 -*810 FILLER_2_27 -*811 FILLER_2_29 -*812 FILLER_2_3 -*813 FILLER_2_38 -*814 FILLER_2_48 -*815 FILLER_2_71 -*816 FILLER_2_79 -*817 FILLER_2_83 -*818 FILLER_2_85 -*819 FILLER_2_9 -*820 FILLER_2_92 -*821 FILLER_2_96 -*822 FILLER_3_110 -*823 FILLER_3_113 -*824 FILLER_3_120 -*825 FILLER_3_127 -*826 FILLER_3_131 -*827 FILLER_3_137 -*828 FILLER_3_14 -*829 FILLER_3_144 -*830 FILLER_3_167 -*831 FILLER_3_169 -*832 FILLER_3_176 -*833 FILLER_3_18 -*834 FILLER_3_187 -*835 FILLER_3_3 -*836 FILLER_3_33 -*837 FILLER_3_37 -*838 FILLER_3_47 -*839 FILLER_3_55 -*840 FILLER_3_57 -*841 FILLER_3_64 -*842 FILLER_3_72 -*843 FILLER_3_95 -*844 FILLER_4_102 -*845 FILLER_4_112 -*846 FILLER_4_135 -*847 FILLER_4_139 -*848 FILLER_4_141 -*849 FILLER_4_147 -*850 FILLER_4_151 -*851 FILLER_4_173 -*852 FILLER_4_185 -*853 FILLER_4_189 -*854 FILLER_4_20 -*855 FILLER_4_25 -*856 FILLER_4_29 -*857 FILLER_4_3 -*858 FILLER_4_43 -*859 FILLER_4_47 -*860 FILLER_4_58 -*861 FILLER_4_67 -*862 FILLER_4_74 -*863 FILLER_4_83 -*864 FILLER_4_85 -*865 FILLER_4_9 -*866 FILLER_4_93 -*867 FILLER_5_106 -*868 FILLER_5_113 -*869 FILLER_5_12 -*870 FILLER_5_120 -*871 FILLER_5_127 -*872 FILLER_5_134 -*873 FILLER_5_138 -*874 FILLER_5_144 -*875 FILLER_5_167 -*876 FILLER_5_169 -*877 FILLER_5_174 -*878 FILLER_5_181 -*879 FILLER_5_188 -*880 FILLER_5_3 -*881 FILLER_5_35 -*882 FILLER_5_46 -*883 FILLER_5_53 -*884 FILLER_5_57 -*885 FILLER_5_79 -*886 FILLER_5_85 -*887 FILLER_5_91 -*888 FILLER_5_98 -*889 FILLER_6_100 -*890 FILLER_6_108 -*891 FILLER_6_12 -*892 FILLER_6_130 -*893 FILLER_6_139 -*894 FILLER_6_141 -*895 FILLER_6_146 -*896 FILLER_6_169 -*897 FILLER_6_17 -*898 FILLER_6_177 -*899 FILLER_6_186 -*900 FILLER_6_21 -*901 FILLER_6_27 -*902 FILLER_6_29 -*903 FILLER_6_3 -*904 FILLER_6_32 -*905 FILLER_6_39 -*906 FILLER_6_46 -*907 FILLER_6_53 -*908 FILLER_6_76 -*909 FILLER_6_83 -*910 FILLER_6_85 -*911 FILLER_6_93 -*912 FILLER_7_108 -*913 FILLER_7_113 -*914 FILLER_7_135 -*915 FILLER_7_158 -*916 FILLER_7_162 -*917 FILLER_7_167 -*918 FILLER_7_169 -*919 FILLER_7_17 -*920 FILLER_7_172 -*921 FILLER_7_179 -*922 FILLER_7_186 -*923 FILLER_7_23 -*924 FILLER_7_27 -*925 FILLER_7_3 -*926 FILLER_7_34 -*927 FILLER_7_43 -*928 FILLER_7_51 -*929 FILLER_7_55 -*930 FILLER_7_57 -*931 FILLER_7_62 -*932 FILLER_7_85 -*933 FILLER_8_114 -*934 FILLER_8_126 -*935 FILLER_8_13 -*936 FILLER_8_130 -*937 FILLER_8_135 -*938 FILLER_8_139 -*939 FILLER_8_141 -*940 FILLER_8_147 -*941 FILLER_8_171 -*942 FILLER_8_180 -*943 FILLER_8_185 -*944 FILLER_8_189 -*945 FILLER_8_24 -*946 FILLER_8_29 -*947 FILLER_8_3 -*948 FILLER_8_37 -*949 FILLER_8_46 -*950 FILLER_8_55 -*951 FILLER_8_6 -*952 FILLER_8_61 -*953 FILLER_8_74 -*954 FILLER_8_81 -*955 FILLER_8_85 -*956 FILLER_8_98 -*957 FILLER_9_100 -*958 FILLER_9_107 -*959 FILLER_9_111 -*960 FILLER_9_113 -*961 FILLER_9_12 -*962 FILLER_9_123 -*963 FILLER_9_138 -*964 FILLER_9_145 -*965 FILLER_9_156 -*966 FILLER_9_160 -*967 FILLER_9_167 -*968 FILLER_9_169 -*969 FILLER_9_189 -*970 FILLER_9_22 -*971 FILLER_9_3 -*972 FILLER_9_32 -*973 FILLER_9_39 -*974 FILLER_9_49 -*975 FILLER_9_54 -*976 FILLER_9_57 -*977 FILLER_9_63 -*978 FILLER_9_67 -*979 FILLER_9_7 -*980 FILLER_9_72 -*981 FILLER_9_83 -*982 FILLER_9_94 -*983 PHY_0 -*984 PHY_1 -*985 PHY_10 -*986 PHY_11 -*987 PHY_12 -*988 PHY_13 -*989 PHY_14 -*990 PHY_15 -*991 PHY_16 -*992 PHY_17 -*993 PHY_18 -*994 PHY_19 -*995 PHY_2 -*996 PHY_20 -*997 PHY_21 -*998 PHY_22 -*999 PHY_23 -*1000 PHY_24 -*1001 PHY_25 -*1002 PHY_26 -*1003 PHY_27 -*1004 PHY_28 -*1005 PHY_29 -*1006 PHY_3 -*1007 PHY_30 -*1008 PHY_31 -*1009 PHY_32 -*1010 PHY_33 -*1011 PHY_34 -*1012 PHY_35 -*1013 PHY_36 -*1014 PHY_37 -*1015 PHY_38 -*1016 PHY_39 -*1017 PHY_4 -*1018 PHY_40 -*1019 PHY_41 -*1020 PHY_42 -*1021 PHY_43 -*1022 PHY_44 -*1023 PHY_45 -*1024 PHY_5 -*1025 PHY_6 -*1026 PHY_7 -*1027 PHY_8 -*1028 PHY_9 -*1029 TAP_100 -*1030 TAP_101 -*1031 TAP_102 -*1032 TAP_103 -*1033 TAP_104 -*1034 TAP_105 -*1035 TAP_106 -*1036 TAP_107 -*1037 TAP_108 -*1038 TAP_109 -*1039 TAP_110 -*1040 TAP_111 -*1041 TAP_112 -*1042 TAP_113 -*1043 TAP_114 -*1044 TAP_115 -*1045 TAP_116 -*1046 TAP_117 -*1047 TAP_118 -*1048 TAP_119 -*1049 TAP_120 -*1050 TAP_46 -*1051 TAP_47 -*1052 TAP_48 -*1053 TAP_49 -*1054 TAP_50 -*1055 TAP_51 -*1056 TAP_52 -*1057 TAP_53 -*1058 TAP_54 -*1059 TAP_55 -*1060 TAP_56 -*1061 TAP_57 -*1062 TAP_58 -*1063 TAP_59 -*1064 TAP_60 -*1065 TAP_61 -*1066 TAP_62 -*1067 TAP_63 -*1068 TAP_64 -*1069 TAP_65 -*1070 TAP_66 -*1071 TAP_67 -*1072 TAP_68 -*1073 TAP_69 -*1074 TAP_70 -*1075 TAP_71 -*1076 TAP_72 -*1077 TAP_73 -*1078 TAP_74 -*1079 TAP_75 -*1080 TAP_76 -*1081 TAP_77 -*1082 TAP_78 -*1083 TAP_79 -*1084 TAP_80 -*1085 TAP_81 -*1086 TAP_82 -*1087 TAP_83 -*1088 TAP_84 -*1089 TAP_85 -*1090 TAP_86 -*1091 TAP_87 -*1092 TAP_88 -*1093 TAP_89 -*1094 TAP_90 -*1095 TAP_91 -*1096 TAP_92 -*1097 TAP_93 -*1098 TAP_94 -*1099 TAP_95 -*1100 TAP_96 -*1101 TAP_97 -*1102 TAP_98 -*1103 TAP_99 -*1104 _214_ -*1105 _215_ -*1106 _216_ -*1107 _217_ -*1108 _218_ -*1109 _219_ -*1110 _220_ -*1111 _221_ -*1112 _222_ -*1113 _223_ -*1114 _224_ -*1115 _225_ -*1116 _226_ -*1117 _227_ -*1118 _228_ -*1119 _229_ -*1120 _230_ -*1121 _231_ -*1122 _232_ -*1123 _233_ -*1124 _234_ -*1125 _235_ -*1126 _236_ -*1127 _237_ -*1128 _238_ -*1129 _239_ -*1130 _240_ -*1131 _241_ -*1132 _242_ -*1133 _243_ -*1134 _244_ -*1135 _245_ -*1136 _246_ -*1137 _247_ -*1138 _248_ -*1139 _249_ -*1140 _250_ -*1141 _251_ -*1142 _252_ -*1143 _253_ -*1144 _254_ -*1145 _255_ -*1146 _256_ -*1147 _257_ -*1148 _258_ -*1149 _259_ -*1150 _260_ -*1151 _261_ -*1152 _262_ -*1153 _263_ -*1154 _264_ -*1155 _265_ -*1156 _266_ -*1157 _267_ -*1158 _268_ -*1159 _269_ -*1160 _270_ -*1161 _271_ -*1162 _272_ -*1163 _273_ -*1164 _274_ -*1165 _275_ -*1166 _276_ -*1167 _277_ -*1168 _278_ -*1169 _279_ -*1170 _280_ -*1171 _281_ -*1172 _282_ -*1173 _283_ -*1174 _284_ -*1175 _285_ -*1176 _286_ -*1177 _287_ -*1178 _288_ -*1179 _289_ -*1180 _290_ -*1181 _291_ -*1182 _292_ -*1183 _293_ -*1184 _294_ -*1185 _295_ -*1186 _296_ -*1187 _297_ -*1188 _298_ -*1189 _299_ -*1190 _300_ -*1191 _301_ -*1192 _302_ -*1193 _303_ -*1194 _304_ -*1195 _305_ -*1196 _306_ -*1197 _307_ -*1198 _308_ -*1199 _309_ -*1200 _310_ -*1201 _311_ -*1202 _312_ -*1203 _313_ -*1204 _314_ -*1205 _315_ -*1206 _316_ -*1207 _317_ -*1208 _318_ -*1209 _319_ -*1210 _320_ -*1211 _321_ -*1212 _322_ -*1213 _323_ -*1214 _324_ -*1215 _325_ -*1216 _326_ -*1217 _327_ -*1218 _328_ -*1219 _329_ -*1220 _330_ -*1221 _331_ -*1222 _332_ -*1223 _333_ -*1224 _334_ -*1225 _335_ -*1226 _336_ -*1227 _337_ -*1228 _338_ -*1229 _339_ -*1230 _340_ -*1231 _341_ -*1232 _342_ -*1233 _343_ -*1234 _344_ -*1235 _345_ -*1236 _346_ -*1237 _347_ -*1238 _348_ -*1239 _349_ -*1240 _350_ -*1241 _351_ -*1242 _352_ -*1243 _353_ -*1244 _354_ -*1245 _355_ -*1246 _356_ -*1247 _357_ -*1248 _358_ -*1249 _359_ -*1250 _360_ -*1251 _361_ -*1252 _362_ -*1253 _363_ -*1254 _364_ -*1255 _365_ -*1256 _366_ -*1257 _367_ -*1258 _368_ -*1259 _369_ -*1260 _370_ -*1261 _371_ -*1262 _372_ -*1263 _373_ -*1264 _374_ -*1265 _375_ -*1266 _376_ -*1267 _377_ -*1268 _378_ -*1269 _379_ -*1270 _380_ -*1271 _381_ -*1272 _382_ -*1273 _383_ -*1274 _384_ -*1275 _385_ -*1276 _386_ -*1277 _387_ -*1278 _388_ -*1279 _389_ -*1280 _390_ -*1281 _391_ -*1282 _392_ -*1283 _393_ -*1284 _394_ -*1285 _395_ -*1286 _396_ -*1287 _397_ -*1288 _398_ -*1289 _399_ -*1290 _400_ -*1291 _401_ -*1292 _402_ -*1293 _403_ -*1294 _404_ -*1295 _405_ -*1296 _406_ -*1297 _407_ -*1298 _408_ -*1299 _409_ -*1300 _410_ -*1301 _411_ -*1302 _412_ -*1303 _413_ -*1304 _414_ -*1305 _415_ -*1306 _416_ -*1307 _417_ -*1308 _418_ -*1309 _419_ -*1310 _420_ -*1311 _421_ -*1312 _422_ -*1313 _423_ -*1314 _424_ -*1315 _425_ -*1316 _426_ -*1317 _427_ -*1318 _428_ -*1319 _429_ -*1320 _430_ -*1321 _431_ -*1322 _432_ -*1323 _433_ -*1324 _434_ -*1325 _435_ -*1326 _436_ -*1327 _437_ -*1328 _438_ -*1329 _439_ -*1330 _440_ -*1331 _441_ -*1332 _442_ -*1333 _443_ -*1334 _444_ -*1335 _445_ -*1336 _446_ -*1337 _447_ -*1338 _448_ -*1339 _449_ -*1340 _450_ -*1341 _451_ -*1342 _452_ -*1343 _453_ -*1344 _454_ -*1345 _455_ -*1346 _456_ -*1347 _457_ -*1348 _458_ -*1349 _459_ -*1350 _460_ -*1351 _461_ -*1352 _462_ -*1353 _463_ -*1354 _464_ -*1355 _465_ -*1356 _466_ -*1357 _467_ -*1358 _468_ -*1359 _469_ -*1360 _470_ -*1361 _471_ -*1362 _472_ -*1363 _473_ -*1364 _474_ -*1365 _475_ -*1366 _476_ -*1367 _477_ -*1368 clockp_buffer_0 -*1369 clockp_buffer_1 -*1370 ringosc\.dstage\[0\]\.id\.delaybuf0 -*1371 ringosc\.dstage\[0\]\.id\.delaybuf1 -*1372 ringosc\.dstage\[0\]\.id\.delayen0 -*1373 ringosc\.dstage\[0\]\.id\.delayen1 -*1374 ringosc\.dstage\[0\]\.id\.delayenb0 -*1375 ringosc\.dstage\[0\]\.id\.delayenb1 -*1376 ringosc\.dstage\[0\]\.id\.delayint0 -*1377 ringosc\.dstage\[10\]\.id\.delaybuf0 -*1378 ringosc\.dstage\[10\]\.id\.delaybuf1 -*1379 ringosc\.dstage\[10\]\.id\.delayen0 -*1380 ringosc\.dstage\[10\]\.id\.delayen1 -*1381 ringosc\.dstage\[10\]\.id\.delayenb0 -*1382 ringosc\.dstage\[10\]\.id\.delayenb1 -*1383 ringosc\.dstage\[10\]\.id\.delayint0 -*1384 ringosc\.dstage\[11\]\.id\.delaybuf0 -*1385 ringosc\.dstage\[11\]\.id\.delaybuf1 -*1386 ringosc\.dstage\[11\]\.id\.delayen0 -*1387 ringosc\.dstage\[11\]\.id\.delayen1 -*1388 ringosc\.dstage\[11\]\.id\.delayenb0 -*1389 ringosc\.dstage\[11\]\.id\.delayenb1 -*1390 ringosc\.dstage\[11\]\.id\.delayint0 -*1391 ringosc\.dstage\[1\]\.id\.delaybuf0 -*1392 ringosc\.dstage\[1\]\.id\.delaybuf1 -*1393 ringosc\.dstage\[1\]\.id\.delayen0 -*1394 ringosc\.dstage\[1\]\.id\.delayen1 -*1395 ringosc\.dstage\[1\]\.id\.delayenb0 -*1396 ringosc\.dstage\[1\]\.id\.delayenb1 -*1397 ringosc\.dstage\[1\]\.id\.delayint0 -*1398 ringosc\.dstage\[2\]\.id\.delaybuf0 -*1399 ringosc\.dstage\[2\]\.id\.delaybuf1 -*1400 ringosc\.dstage\[2\]\.id\.delayen0 -*1401 ringosc\.dstage\[2\]\.id\.delayen1 -*1402 ringosc\.dstage\[2\]\.id\.delayenb0 -*1403 ringosc\.dstage\[2\]\.id\.delayenb1 -*1404 ringosc\.dstage\[2\]\.id\.delayint0 -*1405 ringosc\.dstage\[3\]\.id\.delaybuf0 -*1406 ringosc\.dstage\[3\]\.id\.delaybuf1 -*1407 ringosc\.dstage\[3\]\.id\.delayen0 -*1408 ringosc\.dstage\[3\]\.id\.delayen1 -*1409 ringosc\.dstage\[3\]\.id\.delayenb0 -*1410 ringosc\.dstage\[3\]\.id\.delayenb1 -*1411 ringosc\.dstage\[3\]\.id\.delayint0 -*1412 ringosc\.dstage\[4\]\.id\.delaybuf0 -*1413 ringosc\.dstage\[4\]\.id\.delaybuf1 -*1414 ringosc\.dstage\[4\]\.id\.delayen0 -*1415 ringosc\.dstage\[4\]\.id\.delayen1 -*1416 ringosc\.dstage\[4\]\.id\.delayenb0 -*1417 ringosc\.dstage\[4\]\.id\.delayenb1 -*1418 ringosc\.dstage\[4\]\.id\.delayint0 -*1419 ringosc\.dstage\[5\]\.id\.delaybuf0 -*1420 ringosc\.dstage\[5\]\.id\.delaybuf1 -*1421 ringosc\.dstage\[5\]\.id\.delayen0 -*1422 ringosc\.dstage\[5\]\.id\.delayen1 -*1423 ringosc\.dstage\[5\]\.id\.delayenb0 -*1424 ringosc\.dstage\[5\]\.id\.delayenb1 -*1425 ringosc\.dstage\[5\]\.id\.delayint0 -*1426 ringosc\.dstage\[6\]\.id\.delaybuf0 -*1427 ringosc\.dstage\[6\]\.id\.delaybuf1 -*1428 ringosc\.dstage\[6\]\.id\.delayen0 -*1429 ringosc\.dstage\[6\]\.id\.delayen1 -*1430 ringosc\.dstage\[6\]\.id\.delayenb0 -*1431 ringosc\.dstage\[6\]\.id\.delayenb1 -*1432 ringosc\.dstage\[6\]\.id\.delayint0 -*1433 ringosc\.dstage\[7\]\.id\.delaybuf0 -*1434 ringosc\.dstage\[7\]\.id\.delaybuf1 -*1435 ringosc\.dstage\[7\]\.id\.delayen0 -*1436 ringosc\.dstage\[7\]\.id\.delayen1 -*1437 ringosc\.dstage\[7\]\.id\.delayenb0 -*1438 ringosc\.dstage\[7\]\.id\.delayenb1 -*1439 ringosc\.dstage\[7\]\.id\.delayint0 -*1440 ringosc\.dstage\[8\]\.id\.delaybuf0 -*1441 ringosc\.dstage\[8\]\.id\.delaybuf1 -*1442 ringosc\.dstage\[8\]\.id\.delayen0 -*1443 ringosc\.dstage\[8\]\.id\.delayen1 -*1444 ringosc\.dstage\[8\]\.id\.delayenb0 -*1445 ringosc\.dstage\[8\]\.id\.delayenb1 -*1446 ringosc\.dstage\[8\]\.id\.delayint0 -*1447 ringosc\.dstage\[9\]\.id\.delaybuf0 -*1448 ringosc\.dstage\[9\]\.id\.delaybuf1 -*1449 ringosc\.dstage\[9\]\.id\.delayen0 -*1450 ringosc\.dstage\[9\]\.id\.delayen1 -*1451 ringosc\.dstage\[9\]\.id\.delayenb0 -*1452 ringosc\.dstage\[9\]\.id\.delayenb1 -*1453 ringosc\.dstage\[9\]\.id\.delayint0 -*1454 ringosc\.ibufp00 -*1455 ringosc\.ibufp01 -*1456 ringosc\.ibufp10 -*1457 ringosc\.ibufp11 -*1458 ringosc\.iss\.const1 -*1459 ringosc\.iss\.ctrlen0 -*1460 ringosc\.iss\.delaybuf0 -*1461 ringosc\.iss\.delayen0 -*1462 ringosc\.iss\.delayen1 -*1463 ringosc\.iss\.delayenb0 -*1464 ringosc\.iss\.delayenb1 -*1465 ringosc\.iss\.delayint0 -*1466 ringosc\.iss\.reseten0 - -*PORTS -clockp[0] O -clockp[1] O -dco I -div[0] I -div[1] I -div[2] I -div[3] I -div[4] I -enable I -ext_trim[0] I -ext_trim[10] I -ext_trim[11] I -ext_trim[12] I -ext_trim[13] I -ext_trim[14] I -ext_trim[15] I -ext_trim[16] I -ext_trim[17] I -ext_trim[18] I -ext_trim[19] I -ext_trim[1] I -ext_trim[20] I -ext_trim[21] I -ext_trim[22] I -ext_trim[23] I -ext_trim[24] I -ext_trim[25] I -ext_trim[2] I -ext_trim[3] I -ext_trim[4] I -ext_trim[5] I -ext_trim[6] I -ext_trim[7] I -ext_trim[8] I -ext_trim[9] I -osc I -resetb I - -*D_NET *3 0.000536299 -*CONN -*P clockp[0] O -*I *1368:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 clockp[0] 0.000268149 -2 *1368:X 0.000268149 -3 clockp[0] clockp[1] 0 -*RES -1 *1368:X clockp[0] 47.5169 -*END - -*D_NET *4 0.00678625 -*CONN -*P clockp[1] O -*I *1369:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 clockp[1] 0.00195725 -2 *1369:X 0 -3 *4:5 0.00195725 -4 clockp[1] *375:DIODE 0 -5 clockp[1] *1146:A 0.000182065 -6 clockp[1] *1177:A2 2.95125e-05 -7 clockp[1] *1180:A_N 3.7823e-05 -8 clockp[1] *6:7 0 -9 clockp[1] *6:8 9.20311e-06 -10 clockp[1] *6:10 9.21347e-06 -11 clockp[1] *6:42 7.75329e-06 -12 clockp[1] *11:10 0.000844113 -13 clockp[1] *91:8 4.04722e-05 -14 clockp[1] *112:6 0 -15 clockp[1] *120:8 0.000171951 -16 clockp[1] *131:8 0 -17 clockp[1] *152:10 0.00141575 -18 clockp[1] *154:6 0 -19 clockp[1] *254:8 0 -20 clockp[1] *260:23 0.000123889 -21 clockp[0] clockp[1] 0 -*RES -1 *1369:X *4:5 38 -2 *4:5 clockp[1] 39.8524 -*END - -*D_NET *5 0.0215702 -*CONN -*P dco I -*I *388:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1238:A I *D sky130_fd_sc_hd__buf_2 -*I *1248:A I *D sky130_fd_sc_hd__nor2_2 -*I *1264:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *390:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1251:B I *D sky130_fd_sc_hd__nor2_2 -*I *1269:A I *D sky130_fd_sc_hd__buf_2 -*I *398:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *395:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *386:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1239:A I *D sky130_fd_sc_hd__buf_2 -*I *385:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *426:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1337:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 dco 0.00120699 -2 *388:DIODE 0.000221628 -3 *1238:A 8.95911e-05 -4 *1248:A 0.000173647 -5 *1264:B1 0.000111659 -6 *390:DIODE 0.000352036 -7 *1251:B 0 -8 *1269:A 0 -9 *398:DIODE 0 -10 *395:DIODE 0 -11 *386:DIODE 0 -12 *1239:A 0 -13 *385:DIODE 0 -14 *426:DIODE 4.24857e-05 -15 *1337:A 0.000209032 -16 *5:113 0.000920451 -17 *5:96 0.000637257 -18 *5:90 0.000933151 -19 *5:74 0.000952506 -20 *5:71 0.000276241 -21 *5:65 0.000470307 -22 *5:56 0.000630254 -23 *5:44 0.000613898 -24 *5:18 0.000422013 -25 *5:16 0.000278071 -26 *5:14 0.000531841 -27 *5:13 0.000443665 -28 *5:11 0.000540388 -29 *5:8 0.00187941 -30 *388:DIODE *36:8 0 -31 *388:DIODE *36:16 2.01531e-05 -32 *388:DIODE *200:28 0.00010853 -33 *388:DIODE *308:10 0 -34 *390:DIODE *1291:B 0.000124464 -35 *390:DIODE *30:23 5.23607e-05 -36 *390:DIODE *234:7 7.15126e-05 -37 *390:DIODE *275:30 0.000135008 -38 *426:DIODE *135:13 5.50106e-05 -39 *1238:A *37:18 0.000269781 -40 *1238:A *223:7 0.000126847 -41 *1248:A *1242:A 0.000130652 -42 *1248:A *1279:A1 2.18409e-05 -43 *1248:A *13:13 8.82524e-05 -44 *1248:A *30:23 5.41385e-05 -45 *1264:B1 *141:14 0 -46 *1264:B1 *162:26 0.000161888 -47 *1337:A *12:16 0 -48 *1337:A *125:12 0 -49 *1337:A *135:8 0 -50 *1337:A *135:13 0.000402806 -51 *5:8 *11:10 0 -52 *5:8 *12:11 0 -53 *5:8 *286:12 0 -54 *5:8 *307:8 0 -55 *5:8 *308:10 0 -56 *5:11 *1274:A_N 5.50049e-05 -57 *5:11 *36:8 0.00014196 -58 *5:11 *37:18 0.00104669 -59 *5:11 *307:8 3.59965e-05 -60 *5:11 *310:13 0.000272227 -61 *5:14 *1242:A 1.22803e-05 -62 *5:14 *13:13 1.58805e-05 -63 *5:14 *13:18 8.26569e-05 -64 *5:14 *147:25 2.5343e-05 -65 *5:14 *198:16 0.000182762 -66 *5:14 *199:8 3.96662e-05 -67 *5:14 *287:8 0 -68 *5:16 *12:16 0 -69 *5:16 *140:42 0 -70 *5:18 *12:16 0 -71 *5:18 *140:42 0 -72 *5:44 *1240:B 2.10502e-05 -73 *5:44 *198:5 5.96423e-05 -74 *5:56 *1169:A 0.000146682 -75 *5:56 *139:38 5.3304e-05 -76 *5:56 *140:42 2.61323e-05 -77 *5:56 *143:14 0.000197231 -78 *5:56 *198:5 0.000102971 -79 *5:65 *139:38 8.42292e-05 -80 *5:65 *143:24 5.79413e-06 -81 *5:65 *147:11 8.57577e-05 -82 *5:65 *147:25 5.03902e-05 -83 *5:65 *162:26 0.00019092 -84 *5:71 *1173:B 0.000376671 -85 *5:71 *1264:A1 2.32084e-05 -86 *5:71 *141:21 0.000309209 -87 *5:74 *294:9 9.41704e-05 -88 *5:90 *416:DIODE 0.000145163 -89 *5:90 *1168:B 5.82853e-05 -90 *5:90 *1168:D 0.0002341 -91 *5:90 *1284:A2 8.4047e-05 -92 *5:90 *139:26 7.64059e-06 -93 *5:90 *140:32 0.000297726 -94 *5:90 *140:33 1.35714e-05 -95 *5:90 *273:38 0.000128083 -96 *5:90 *273:47 0.000325427 -97 *5:90 *294:9 0.000559366 -98 *5:96 *416:DIODE 0.000364782 -99 *5:96 *273:47 0.000348529 -100 *5:113 *416:DIODE 0.000184545 -101 *5:113 *1291:B 3.54739e-05 -102 *5:113 *93:35 9.23705e-06 -103 *5:113 *140:32 0.000290573 -104 *5:113 *145:15 5.79559e-05 -105 *5:113 *145:24 0.000159648 -106 *5:113 *155:10 0.000173119 -107 *5:113 *163:57 2.4982e-06 -108 *5:113 *170:7 1.74398e-05 -109 *5:113 *181:8 4.67488e-05 -110 *5:113 *273:47 0.000127747 -111 *5:113 *275:30 0.000400875 -*RES -1 dco *5:8 19.8661 -2 *5:8 *5:11 29.4379 -3 *5:11 *5:13 15 -4 *5:13 *5:14 6.48357 -5 *5:14 *5:16 1.90571 -6 *5:16 *5:18 2.96214 -7 *5:18 *1337:A 43.2821 -8 *5:18 *426:DIODE 38.6214 -9 *5:16 *385:DIODE 38 -10 *5:14 *5:44 18.5007 -11 *5:44 *1239:A 23 -12 *5:44 *5:56 7.95429 -13 *5:56 *386:DIODE 23 -14 *5:56 *5:65 18.7079 -15 *5:65 *5:71 35.0336 -16 *5:71 *5:74 16.0979 -17 *5:74 *395:DIODE 23 -18 *5:74 *5:90 47.69 -19 *5:90 *398:DIODE 23 -20 *5:90 *5:96 3.95643 -21 *5:96 *1269:A 23 -22 *5:96 *5:113 28.8786 -23 *5:113 *1251:B 38 -24 *5:113 *390:DIODE 43.3857 -25 *5:65 *1264:B1 40.2579 -26 *5:13 *1248:A 42.205 -27 *5:11 *1238:A 26.5007 -28 *5:8 *388:DIODE 42.3707 -*END - -*D_NET *6 0.00939408 -*CONN -*P div[0] I -*I *377:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1147:A_N I *D sky130_fd_sc_hd__nand3b_2 -*I *1177:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *383:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[0] 0.00060693 -2 *377:DIODE 0 -3 *1147:A_N 0 -4 *1177:B1 7.42917e-05 -5 *383:DIODE 0 -6 *6:42 0.000784063 -7 *6:20 7.99533e-05 -8 *6:15 0.00162966 -9 *6:10 0.00177259 -10 *6:8 0.000243171 -11 *6:7 0.00148557 -12 *1177:B1 *1144:B 4.13534e-05 -13 *1177:B1 *1177:A2 2.93747e-05 -14 *1177:B1 *90:31 0.000128391 -15 *1177:B1 *151:8 5.70419e-05 -16 *6:7 *7:7 0 -17 *6:8 *120:8 0.000177808 -18 *6:8 *151:8 9.65399e-05 -19 *6:10 *91:8 0.000181589 -20 *6:10 *151:8 6.87533e-05 -21 *6:15 *1368:A 0 -22 *6:15 *84:11 0.000489755 -23 *6:15 *84:18 0.000436767 -24 *6:15 *91:8 0.000138718 -25 *6:42 *375:DIODE 0.000116314 -26 *6:42 *1138:B 0.000187129 -27 *6:42 *1146:A 5.50106e-05 -28 *6:42 *95:7 3.85021e-05 -29 *6:42 *120:8 0.000360452 -30 *6:42 *151:8 3.95671e-05 -31 *6:42 *152:7 4.86103e-05 -32 clockp[1] *6:7 0 -33 clockp[1] *6:8 9.20311e-06 -34 clockp[1] *6:10 9.21347e-06 -35 clockp[1] *6:42 7.75329e-06 -*RES -1 div[0] *6:7 10.0459 -2 *6:7 *6:8 2.78607 -3 *6:8 *6:10 2.96214 -4 *6:10 *6:15 38.925 -5 *6:15 *6:20 31.2014 -6 *6:20 *383:DIODE 23 -7 *6:10 *1177:B1 41.0243 -8 *6:8 *1147:A_N 38 -9 *6:7 *6:42 30.7946 -10 *6:42 *377:DIODE 23 -*END - -*D_NET *7 0.00723969 -*CONN -*P div[1] I -*I *378:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *376:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1148:A I *D sky130_fd_sc_hd__and2_2 -*I *1142:A I *D sky130_fd_sc_hd__inv_2 -*CAP -1 div[1] 0.000295169 -2 *378:DIODE 0 -3 *376:DIODE 0.000482554 -4 *1148:A 5.145e-05 -5 *1142:A 0.000101635 -6 *7:41 0.000823472 -7 *7:28 0.00182002 -8 *7:19 0.000737514 -9 *7:7 0.000568875 -10 *376:DIODE *1141:B 8.15864e-05 -11 *376:DIODE *1146:A 0 -12 *376:DIODE *39:27 2.72882e-05 -13 *376:DIODE *112:6 0.00021287 -14 *1142:A *1178:A_N 7.7588e-05 -15 *1142:A *115:13 0 -16 *7:7 *8:7 0 -17 *7:19 *1178:A_N 0.000155292 -18 *7:19 *115:13 0 -19 *7:19 *122:8 0.000131785 -20 *7:19 *123:9 0.000192177 -21 *7:19 *254:8 0 -22 *7:28 *1110:A 0.000149886 -23 *7:28 *1315:A 0.000535881 -24 *7:28 *115:13 0.000145163 -25 *7:41 *1127:B 3.59414e-05 -26 *7:41 *1131:A2 9.5877e-05 -27 *7:41 *1153:A 0.000173452 -28 *7:41 *1153:B 1.03836e-05 -29 *7:41 *112:6 6.15777e-05 -30 *7:41 *258:49 0.000272248 -31 *6:7 *7:7 0 -*RES -1 div[1] *7:7 9.10133 -2 *7:7 *1142:A 39.9989 -3 *7:7 *7:19 19.8989 -4 *7:19 *1148:A 23.6214 -5 *7:19 *7:28 27.0557 -6 *7:28 *376:DIODE 47.4664 -7 *7:28 *7:41 27.0557 -8 *7:41 *378:DIODE 23 -*END - -*D_NET *8 0.00220787 -*CONN -*P div[2] I -*I *375:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1134:A I *D sky130_fd_sc_hd__inv_2 -*CAP -1 div[2] 0.000579233 -2 *375:DIODE 0.000294719 -3 *1134:A 1.21935e-05 -4 *8:7 0.000886146 -5 *375:DIODE *1149:A2 0.000164652 -6 *375:DIODE *151:8 0.000116314 -7 *375:DIODE *254:8 2.7728e-05 -8 *1134:A *254:8 1.05658e-05 -9 *8:7 *9:5 0 -10 clockp[1] *375:DIODE 0 -11 *6:42 *375:DIODE 0.000116314 -12 *7:7 *8:7 0 -*RES -1 div[2] *8:7 9.87413 -2 *8:7 *1134:A 38.3211 -3 *8:7 *375:DIODE 45.9854 -*END - -*D_NET *9 0.00570601 -*CONN -*P div[3] I -*I *1179:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *384:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1133:A I *D sky130_fd_sc_hd__inv_2 -*I *374:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1157:A1 I *D sky130_fd_sc_hd__o21ba_2 -*I *381:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1150:A1 I *D sky130_fd_sc_hd__o2111a_2 -*I *379:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[3] 0.000239674 -2 *1179:A1 0.000275026 -3 *384:DIODE 8.52579e-05 -4 *1133:A 0 -5 *374:DIODE 0.000262879 -6 *1157:A1 0 -7 *381:DIODE 9.37445e-05 -8 *1150:A1 0 -9 *379:DIODE 0 -10 *9:57 0.00124178 -11 *9:33 9.37445e-05 -12 *9:31 0.000444676 -13 *9:20 0.000306048 -14 *9:17 0.000321071 -15 *9:10 0.000366246 -16 *9:5 0.0012906 -17 *374:DIODE *106:20 4.6318e-06 -18 *374:DIODE *131:8 0 -19 *374:DIODE *280:6 0 -20 *1179:A1 *1132:B 0 -21 *1179:A1 *1160:A1 1.83564e-05 -22 *1179:A1 *104:17 0 -23 *1179:A1 *106:5 6.39489e-06 -24 *1179:A1 *112:6 8.54068e-05 -25 *1179:A1 *113:31 4.49946e-05 -26 *1179:A1 *134:5 6.39489e-06 -27 *1179:A1 *134:19 6.48142e-05 -28 *1179:A1 *284:45 0 -29 *9:5 *10:10 0 -30 *9:10 *123:9 0.000284906 -31 *9:20 *1150:C1 0 -32 *9:20 *1157:B1_N 0 -33 *9:20 *113:10 0 -34 *9:20 *114:8 0 -35 *9:20 *123:9 6.28695e-05 -36 *9:31 *1157:B1_N 0 -37 *9:31 *106:20 9.87486e-05 -38 *9:31 *123:9 7.75329e-06 -39 *9:57 *10:10 0 -40 *8:7 *9:5 0 -*RES -1 div[3] *9:5 0.9296 -2 *9:5 *9:10 26.8425 -3 *9:10 *379:DIODE 23 -4 *9:10 *9:17 3.25214 -5 *9:17 *9:20 17.61 -6 *9:20 *1150:A1 38 -7 *9:20 *9:31 4.08071 -8 *9:31 *9:33 15 -9 *9:33 *381:DIODE 24.5743 -10 *9:33 *1157:A1 23 -11 *9:31 *374:DIODE 42.7229 -12 *9:17 *1133:A 23 -13 *9:5 *9:57 10.0309 -14 *9:57 *384:DIODE 39.0979 -15 *9:57 *1179:A1 43.2252 -*END - -*D_NET *10 0.00573073 -*CONN -*P div[4] I -*I *382:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1156:A I *D sky130_fd_sc_hd__and2_2 -*I *1160:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *380:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[4] 0.000403977 -2 *382:DIODE 7.27353e-05 -3 *1156:A 7.89303e-05 -4 *1160:A1 0.000323135 -5 *380:DIODE 0 -6 *10:23 0.000402065 -7 *10:21 0.000872836 -8 *10:10 0.00120408 -9 *382:DIODE *1156:B 9.65021e-05 -10 *1156:A *1156:B 0.000182826 -11 *1156:A *134:19 1.34354e-05 -12 *1156:A *153:6 5.94125e-05 -13 *1156:A *284:45 0.000182826 -14 *1160:A1 *1132:B 9.15534e-05 -15 *1160:A1 *104:17 0.000118435 -16 *1160:A1 *106:5 4.57719e-05 -17 *1160:A1 *126:8 1.29562e-05 -18 *1160:A1 *129:21 0.000124986 -19 *1160:A1 *134:5 0.000409479 -20 *1160:A1 *134:19 3.46241e-05 -21 *1160:A1 *135:7 1.40958e-05 -22 *1160:A1 *153:6 0.000110913 -23 *10:10 *11:10 0 -24 *10:10 *123:9 0.000180019 -25 *10:21 *1150:B1 0.00010018 -26 *10:21 *1156:B 0.00028119 -27 *10:21 *106:13 2.43944e-05 -28 *10:21 *123:9 0.000163005 -29 *10:21 *124:8 5.3304e-05 -30 *10:21 *130:7 3.81358e-05 -31 *10:21 *284:45 1.65699e-05 -32 *1179:A1 *1160:A1 1.83564e-05 -33 *9:5 *10:10 0 -34 *9:57 *10:10 0 -*RES -1 div[4] *10:10 26.3635 -2 *10:10 *380:DIODE 23 -3 *10:10 *10:21 13.195 -4 *10:21 *10:23 15 -5 *10:23 *1160:A1 47.5389 -6 *10:23 *1156:A 40.9 -7 *10:21 *382:DIODE 24.0979 -*END - -*D_NET *11 0.00522441 -*CONN -*P enable I -*I *422:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1315:A I *D sky130_fd_sc_hd__nand2_2 -*CAP -1 enable 0.00127624 -2 *422:DIODE 0 -3 *1315:A 0.000446717 -4 *11:10 0.00172295 -5 *1315:A *1110:A 5.86557e-05 -6 *11:10 *1161:A2 0.000248996 -7 *11:10 *1180:A_N 7.57281e-06 -8 *11:10 *108:6 0 -9 *11:10 *112:26 3.62714e-05 -10 *11:10 *113:10 0 -11 *11:10 *284:59 4.70182e-05 -12 clockp[1] *11:10 0.000844113 -13 *5:8 *11:10 0 -14 *7:28 *1315:A 0.000535881 -15 *10:10 *11:10 0 -*RES -1 enable *11:10 36.8072 -2 *11:10 *1315:A 32.1143 -3 *11:10 *422:DIODE 23 -*END - -*D_NET *12 0.00409623 -*CONN -*P ext_trim[0] I -*I *1240:A I *D sky130_fd_sc_hd__nand2_2 -*I *387:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[0] 0.00141655 -2 *1240:A 9.63516e-05 -3 *387:DIODE 0 -4 *12:16 0.000238626 -5 *12:11 0.00175153 -6 *1240:A *1240:B 5.55743e-06 -7 *1240:A *199:8 3.26241e-05 -8 *12:11 *1374:A 0.000191255 -9 *12:11 *23:10 0 -10 *12:11 *285:6 2.31365e-05 -11 *12:11 *288:11 0.000162138 -12 *12:16 *1373:TE 3.72639e-05 -13 *12:16 *283:6 0.000141201 -14 *12:16 *288:8 0 -15 *1337:A *12:16 0 -16 *5:8 *12:11 0 -17 *5:16 *12:16 0 -18 *5:18 *12:16 0 -*RES -1 ext_trim[0] *12:11 35.7839 -2 *12:11 *12:16 34.7229 -3 *12:16 *387:DIODE 23 -4 *12:11 *1240:A 25.0714 -*END - -*D_NET *13 0.0062908 -*CONN -*P ext_trim[10] I -*I *1274:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *401:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[10] 0.0013419 -2 *1274:A_N 2.79296e-05 -3 *401:DIODE 0 -4 *13:18 0.00084633 -5 *13:13 0.00221616 -6 *13:13 *1415:Z 1.12487e-05 -7 *13:13 *287:8 0 -8 *13:13 *325:6 0.000522696 -9 *13:13 *331:12 8.05028e-05 -10 *13:13 *331:17 1.22217e-05 -11 *13:13 *339:11 0.000108489 -12 *13:13 *344:29 0.00018821 -13 *13:13 *345:26 0 -14 *13:13 *352:6 5.5266e-05 -15 *13:18 *286:5 0.00040997 -16 *13:18 *286:12 0.000131304 -17 *13:18 *287:8 0 -18 *13:18 *310:8 9.67842e-05 -19 *1248:A *13:13 8.82524e-05 -20 *5:11 *1274:A_N 5.50049e-05 -21 *5:14 *13:13 1.58805e-05 -22 *5:14 *13:18 8.26569e-05 -*RES -1 ext_trim[10] *13:13 27.3843 -2 *13:13 *13:18 28.8371 -3 *13:18 *401:DIODE 23 -4 *13:13 *1274:A_N 38.6214 -*END - -*D_NET *14 0.00405744 -*CONN -*P ext_trim[11] I -*I *402:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1277:B1 I *D sky130_fd_sc_hd__a32o_2 -*CAP -1 ext_trim[11] 0.000465345 -2 *402:DIODE 0.000105458 -3 *1277:B1 0 -4 *14:20 0.000657589 -5 *14:9 0.00122839 -6 *402:DIODE *1407:TE 0.000186041 -7 *402:DIODE *337:9 2.83509e-05 -8 *402:DIODE *345:26 4.36552e-05 -9 *402:DIODE *352:21 0.000357139 -10 *14:9 *15:14 5.66464e-05 -11 *14:9 *21:26 5.92086e-05 -12 *14:9 *219:8 5.97612e-05 -13 *14:9 *345:26 5.59822e-05 -14 *14:20 *1277:A2 9.19921e-06 -15 *14:20 *1277:A3 0 -16 *14:20 *1277:B2 2.64616e-05 -17 *14:20 *21:26 1.29848e-05 -18 *14:20 *36:24 4.97336e-05 -19 *14:20 *206:24 0.000257636 -20 *14:20 *206:30 7.47063e-05 -21 *14:20 *207:23 2.62931e-05 -22 *14:20 *212:19 8.82053e-07 -23 *14:20 *219:8 9.09283e-05 -24 *14:20 *330:18 0.000124169 -25 *14:20 *330:21 5.50049e-05 -26 *14:20 *331:17 0 -27 *14:20 *345:26 2.58781e-05 -*RES -1 ext_trim[11] *14:9 9.23857 -2 *14:9 *14:20 29.1479 -3 *14:20 *1277:B1 23 -4 *14:9 *402:DIODE 41.9564 -*END - -*D_NET *15 0.00566932 -*CONN -*P ext_trim[12] I -*I *403:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1279:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[12] 0.000723901 -2 *403:DIODE 0 -3 *1279:A2 0 -4 *15:19 0.00023917 -5 *15:14 0.000902133 -6 *15:10 0.00138686 -7 *15:10 *1427:A 4.95081e-05 -8 *15:10 *339:5 0.000359332 -9 *15:14 *1267:B1 0.000287788 -10 *15:14 *1435:TE 5.12601e-05 -11 *15:14 *36:24 5.08422e-06 -12 *15:14 *197:28 0.000135023 -13 *15:14 *197:43 0.000371878 -14 *15:14 *207:20 0.000107218 -15 *15:14 *219:8 0.000546689 -16 *15:14 *309:21 9.91895e-05 -17 *15:14 *317:13 0.000178517 -18 *15:14 *350:10 7.47583e-05 -19 *15:19 *1242:A 0 -20 *15:19 *36:24 5.05259e-05 -21 *15:19 *197:28 0 -22 *15:19 *225:8 4.38375e-05 -23 *15:19 *330:28 0 -24 *14:9 *15:14 5.66464e-05 -*RES -1 ext_trim[12] *15:10 41.7657 -2 *15:10 *15:14 31.6336 -3 *15:14 *15:19 34.0186 -4 *15:19 *1279:A2 23 -5 *15:14 *403:DIODE 23 -*END - -*D_NET *16 0.00409627 -*CONN -*P ext_trim[13] I -*I *1282:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *405:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[13] 0.000908705 -2 *1282:A2 0 -3 *405:DIODE 0 -4 *16:14 0.000197461 -5 *16:8 0.00110617 -6 *16:8 *1304:D1 5.00237e-05 -7 *16:8 *22:15 0.000192974 -8 *16:8 *24:51 0.000145171 -9 *16:8 *36:27 4.38147e-05 -10 *16:8 *36:32 0.000193075 -11 *16:8 *204:24 5.58003e-05 -12 *16:8 *206:60 2.73653e-05 -13 *16:8 *226:132 0.000450162 -14 *16:8 *233:35 9.79925e-05 -15 *16:8 *243:8 0.000100486 -16 *16:8 *352:38 8.49856e-05 -17 *16:14 *404:DIODE 0.000236607 -18 *16:14 *162:26 0.000145163 -19 *16:14 *218:25 3.71514e-05 -20 *16:14 *287:5 2.31687e-05 -*RES -1 ext_trim[13] *16:8 35.5693 -2 *16:8 *16:14 34.5779 -3 *16:14 *405:DIODE 23 -4 *16:8 *1282:A2 23 -*END - -*D_NET *17 0.00543155 -*CONN -*P ext_trim[14] I -*I *406:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1286:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[14] 0.00109821 -2 *406:DIODE 0 -3 *1286:A2 7.87205e-05 -4 *17:22 0.000485516 -5 *17:7 0.00166245 -6 *1286:A2 *1286:A1 7.12988e-05 -7 *1286:A2 *216:41 0.000241687 -8 *1286:A2 *317:21 8.39179e-05 -9 *17:7 *1270:B2 4.69493e-05 -10 *17:7 *1406:A 5.97612e-05 -11 *17:7 *24:22 0 -12 *17:7 *206:73 5.96729e-05 -13 *17:7 *206:94 4.94766e-06 -14 *17:7 *212:55 0.000124075 -15 *17:7 *214:21 0 -16 *17:7 *215:8 4.72229e-05 -17 *17:7 *216:41 2.33694e-05 -18 *17:7 *222:6 0.000210337 -19 *17:7 *226:125 0.000307097 -20 *17:7 *233:35 0 -21 *17:7 *324:8 2.52294e-05 -22 *17:7 *324:21 1.99047e-05 -23 *17:7 *357:8 0 -24 *17:22 *1258:A1 5.14611e-05 -25 *17:22 *1258:A2 7.53303e-06 -26 *17:22 *164:12 3.41998e-06 -27 *17:22 *203:26 4.15283e-06 -28 *17:22 *213:5 4.62989e-05 -29 *17:22 *214:21 5.3304e-05 -30 *17:22 *215:8 1.22822e-05 -31 *17:22 *216:41 4.21281e-05 -32 *17:22 *221:39 0.000139442 -33 *17:22 *317:21 0.000421153 -*RES -1 ext_trim[14] *17:7 34.2643 -2 *17:7 *1286:A2 25.7343 -3 *17:7 *17:22 39.4871 -4 *17:22 *406:DIODE 23 -*END - -*D_NET *18 0.00626479 -*CONN -*P ext_trim[15] I -*I *407:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1289:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[15] 0.000456509 -2 *407:DIODE 0 -3 *1289:A2 5.57002e-05 -4 *18:25 0.00124647 -5 *18:5 0.00175868 -6 *1289:A2 *24:22 3.39192e-05 -7 *1289:A2 *214:15 5.64492e-05 -8 *1289:A2 *231:9 3.27019e-05 -9 *18:5 *1300:B1 2.22305e-05 -10 *18:5 *1401:TE 8.49906e-05 -11 *18:5 *21:11 9.63815e-05 -12 *18:5 *21:20 9.83769e-05 -13 *18:5 *214:15 2.39452e-05 -14 *18:5 *312:6 0 -15 *18:5 *316:8 7.97499e-05 -16 *18:25 *1309:A2 0.000123984 -17 *18:25 *1443:A 0.000102971 -18 *18:25 *1443:TE 0.000199516 -19 *18:25 *1443:Z 5.76359e-05 -20 *18:25 *1447:A 0.000100318 -21 *18:25 *22:8 0.000103645 -22 *18:25 *24:11 0.00106198 -23 *18:25 *226:88 9.6485e-05 -24 *18:25 *226:108 0.000267765 -25 *18:25 *231:9 2.72604e-05 -26 *18:25 *316:8 5.82853e-05 -27 *18:25 *358:10 1.88391e-05 -*RES -1 ext_trim[15] *18:5 10.4607 -2 *18:5 *1289:A2 39.2014 -3 *18:5 *18:25 44.5386 -4 *18:25 *407:DIODE 23 -*END - -*D_NET *19 0.00573124 -*CONN -*P ext_trim[16] I -*I *1294:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *409:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[16] 0.000683334 -2 *1294:A2 0 -3 *409:DIODE 0 -4 *19:10 0.00110398 -5 *19:5 0.00178732 -6 *19:5 *1400:TE 0.000158099 -7 *19:5 *24:11 0 -8 *19:5 *226:108 0.000229809 -9 *19:5 *312:6 0 -10 *19:5 *314:20 4.01283e-05 -11 *19:5 *315:8 8.22508e-06 -12 *19:10 *1211:B 0.000148698 -13 *19:10 *1297:C 0.000488098 -14 *19:10 *1314:B2 0.000134012 -15 *19:10 *30:28 0.000268261 -16 *19:10 *93:70 3.73877e-05 -17 *19:10 *139:10 0 -18 *19:10 *163:8 0.000103099 -19 *19:10 *164:69 0 -20 *19:10 *197:69 0 -21 *19:10 *209:10 0 -22 *19:10 *214:33 0 -23 *19:10 *221:84 0.000163361 -24 *19:10 *234:17 0.000179917 -25 *19:10 *236:8 1.09548e-05 -26 *19:10 *315:8 0.000186558 -*RES -1 ext_trim[16] *19:5 13.63 -2 *19:5 *19:10 37.1643 -3 *19:10 *409:DIODE 23 -4 *19:5 *1294:A2 38 -*END - -*D_NET *20 0.00831269 -*CONN -*P ext_trim[17] I -*I *1299:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *410:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[17] 0.000686275 -2 *1299:B1 9.03934e-05 -3 *410:DIODE 0 -4 *20:14 0.00121267 -5 *20:9 0.00198934 -6 *1299:B1 *226:102 0.000116755 -7 *1299:B1 *363:17 0.000237114 -8 *20:9 *1309:A2 3.56377e-05 -9 *20:9 *1399:A 0 -10 *20:9 *1402:A 0 -11 *20:9 *1442:Z 0.000101666 -12 *20:9 *1447:A 7.3774e-05 -13 *20:9 *24:11 0 -14 *20:9 *314:6 1.20051e-05 -15 *20:9 *357:28 0 -16 *20:9 *359:6 0.000138402 -17 *20:14 *1295:C 0.000189688 -18 *20:14 *1309:A2 5.7471e-05 -19 *20:14 *1461:TE 0.000248979 -20 *20:14 *26:31 4.70666e-05 -21 *20:14 *29:8 0.000303765 -22 *20:14 *74:6 0.000774265 -23 *20:14 *139:89 0.000198509 -24 *20:14 *211:40 4.89546e-05 -25 *20:14 *239:20 2.01506e-05 -26 *20:14 *240:20 7.84704e-05 -27 *20:14 *272:10 3.07161e-05 -28 *20:14 *275:8 0 -29 *20:14 *301:17 0.000226101 -30 *20:14 *364:6 0.000171906 -31 *20:14 *372:14 0.00122261 -*RES -1 ext_trim[17] *20:9 14.3964 -2 *20:9 *20:14 48.8679 -3 *20:14 *410:DIODE 23 -4 *20:9 *1299:B1 40.6307 -*END - -*D_NET *21 0.0119168 -*CONN -*P ext_trim[18] I -*I *1300:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *412:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[18] 0.00200066 -2 *1300:A2 0 -3 *412:DIODE 0 -4 *21:31 3.55839e-05 -5 *21:26 0.000370727 -6 *21:20 0.00124579 -7 *21:11 0.00102376 -8 *21:8 0.00211378 -9 *21:8 *24:11 0.000114879 -10 *21:11 *1300:B1 2.51382e-05 -11 *21:20 *1300:B1 0.000209527 -12 *21:20 *1407:Z 0.000228233 -13 *21:20 *1408:A 2.50118e-05 -14 *21:20 *1408:TE 0.000379204 -15 *21:20 *1437:TE_B 5.58003e-05 -16 *21:20 *1438:A 4.46854e-05 -17 *21:20 *319:9 0.000203568 -18 *21:20 *320:11 6.06279e-05 -19 *21:20 *337:9 0.00151529 -20 *21:20 *352:21 0.000405036 -21 *21:20 *352:33 0.00061639 -22 *21:20 *357:13 0.000312776 -23 *21:26 *219:8 8.66953e-05 -24 *21:26 *352:21 0.000401824 -25 *21:31 *200:51 8.62876e-05 -26 *21:31 *331:12 8.85189e-05 -27 *14:9 *21:26 5.92086e-05 -28 *14:20 *21:26 1.29848e-05 -29 *18:5 *21:11 9.63815e-05 -30 *18:5 *21:20 9.83769e-05 -*RES -1 ext_trim[18] *21:8 42.3843 -2 *21:8 *21:11 17.61 -3 *21:11 *21:20 48.7643 -4 *21:20 *21:26 35.6343 -5 *21:26 *21:31 31.2014 -6 *21:31 *412:DIODE 23 -7 *21:11 *1300:A2 38 -*END - -*D_NET *22 0.0111847 -*CONN -*P ext_trim[19] I -*I *1301:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *413:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[19] 0.00405011 -2 *1301:A2 3.28974e-05 -3 *413:DIODE 0 -4 *22:15 0.000428043 -5 *22:8 0.00451105 -6 *1301:A2 *1270:A2 8.97163e-06 -7 *1301:A2 *24:31 7.27694e-06 -8 *1301:A2 *233:35 3.53859e-05 -9 *1301:A2 *324:21 4.66905e-05 -10 *22:8 *24:11 0 -11 *22:8 *24:22 0.000583483 -12 *22:8 *25:7 0 -13 *22:8 *197:66 0.000509133 -14 *22:8 *301:13 0 -15 *22:8 *324:21 0.000174092 -16 *22:8 *353:6 0 -17 *22:15 *36:27 2.60807e-06 -18 *22:15 *197:66 9.09272e-05 -19 *22:15 *204:24 1.09917e-05 -20 *22:15 *207:15 0.000206011 -21 *22:15 *215:28 0.000154525 -22 *22:15 *233:35 0 -23 *22:15 *324:21 3.5863e-05 -24 *16:8 *22:15 0.000192974 -25 *18:25 *22:8 0.000103645 -*RES -1 ext_trim[19] *22:8 26.5067 -2 *22:8 *22:15 29.0027 -3 *22:15 *413:DIODE 23 -4 *22:8 *1301:A2 46.8441 -*END - -*D_NET *23 0.00116328 -*CONN -*P ext_trim[1] I -*I *1250:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *389:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[1] 0.000434908 -2 *1250:A2 0.000146731 -3 *389:DIODE 0 -4 *23:10 0.000581639 -5 *23:10 *1456:A 0 -6 *23:10 *30:13 0 -7 *12:11 *23:10 0 -*RES -1 ext_trim[1] *23:10 26.5353 -2 *23:10 *389:DIODE 23 -3 *23:10 *1250:A2 25.5479 -*END - -*D_NET *24 0.0118517 -*CONN -*P ext_trim[20] I -*I *414:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1307:B1 I *D sky130_fd_sc_hd__a32o_2 -*CAP -1 ext_trim[20] 0.00213109 -2 *414:DIODE 0 -3 *1307:B1 7.17495e-06 -4 *24:51 0.000246803 -5 *24:31 0.000597617 -6 *24:22 0.000711413 -7 *24:11 0.00249887 -8 *1307:B1 *245:9 3.28708e-05 -9 *24:11 *1260:B 0.000189857 -10 *24:11 *1399:A 0.000123729 -11 *24:11 *1402:A 5.71629e-05 -12 *24:11 *231:9 0.000470273 -13 *24:11 *314:20 0 -14 *24:22 *1260:B 2.26497e-05 -15 *24:22 *197:66 0.000569403 -16 *24:22 *214:15 5.09387e-06 -17 *24:22 *214:21 6.92527e-05 -18 *24:31 *1270:A2 1.36753e-05 -19 *24:31 *1301:B2 0 -20 *24:31 *226:132 0.000514591 -21 *24:31 *233:27 0.00049883 -22 *24:31 *233:35 0.000145171 -23 *24:31 *245:9 0.000138859 -24 *24:31 *324:21 0.000362821 -25 *24:31 *344:13 0.000119973 -26 *24:31 *357:8 0.000100477 -27 *24:51 *197:53 1.40087e-05 -28 *24:51 *197:66 2.14538e-05 -29 *24:51 *226:132 0.000128273 -30 *24:51 *245:9 4.61293e-05 -31 *24:51 *324:21 6.75129e-05 -32 *1289:A2 *24:22 3.39192e-05 -33 *1301:A2 *24:31 7.27694e-06 -34 *16:8 *24:51 0.000145171 -35 *17:7 *24:22 0 -36 *18:25 *24:11 0.00106198 -37 *19:5 *24:11 0 -38 *20:9 *24:11 0 -39 *21:8 *24:11 0.000114879 -40 *22:8 *24:11 0 -41 *22:8 *24:22 0.000583483 -*RES -1 ext_trim[20] *24:11 45.1739 -2 *24:11 *24:22 48.8708 -3 *24:22 *24:31 13.34 -4 *24:31 *1307:B1 23.3832 -5 *24:31 *24:51 34.5779 -6 *24:51 *414:DIODE 23 -*END - -*D_NET *25 0.0121297 -*CONN -*P ext_trim[21] I -*I *1309:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *416:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[21] 0.00117104 -2 *1309:A2 0.000404281 -3 *416:DIODE 0.000144182 -4 *25:24 0.000775739 -5 *25:11 0.00188955 -6 *25:7 0.00283332 -7 *416:DIODE *140:32 0.000663636 -8 *1309:A2 *1447:A 0.000102803 -9 *1309:A2 *301:17 4.97441e-05 -10 *1309:A2 *365:8 0.000239159 -11 *1309:A2 *365:22 0 -12 *25:11 *1380:TE 0 -13 *25:11 *139:89 9.5877e-05 -14 *25:11 *208:34 0.000272985 -15 *25:11 *208:45 9.0295e-05 -16 *25:11 *246:18 0 -17 *25:11 *290:11 0 -18 *25:11 *294:29 0 -19 *25:11 *301:17 0.000684602 -20 *25:11 *361:9 0 -21 *25:24 *139:26 3.75236e-05 -22 *25:24 *140:8 9.91103e-05 -23 *25:24 *140:17 0.000140835 -24 *25:24 *145:15 5.54345e-06 -25 *25:24 *156:8 0.000117009 -26 *25:24 *168:32 0.000580281 -27 *25:24 *198:41 6.06389e-05 -28 *25:24 *220:78 0.000707535 -29 *25:24 *236:8 3.02231e-05 -30 *25:24 *273:47 2.21614e-05 -31 *5:90 *416:DIODE 0.000145163 -32 *5:96 *416:DIODE 0.000364782 -33 *5:113 *416:DIODE 0.000184545 -34 *18:25 *1309:A2 0.000123984 -35 *20:9 *1309:A2 3.56377e-05 -36 *20:14 *1309:A2 5.7471e-05 -37 *22:8 *25:7 0 -*RES -1 ext_trim[21] *25:7 11.1621 -2 *25:7 *25:11 35.7039 -3 *25:11 *25:24 38.0642 -4 *25:24 *416:DIODE 45.2914 -5 *25:7 *1309:A2 47.2282 -*END - -*D_NET *26 0.00836939 -*CONN -*P ext_trim[22] I -*I *1311:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *417:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[22] 0.00152577 -2 *1311:B1 0 -3 *417:DIODE 0 -4 *26:31 0.00035494 -5 *26:21 0.00120007 -6 *26:9 0.00308078 -7 *26:9 *211:40 2.93707e-05 -8 *26:9 *372:13 1.30103e-05 -9 *26:21 *1210:A2 7.34789e-06 -10 *26:21 *1210:C1 2.95423e-05 -11 *26:21 *1252:B 0.000135037 -12 *26:21 *1313:B1 0.000142678 -13 *26:21 *92:43 8.2119e-05 -14 *26:21 *140:17 1.67718e-05 -15 *26:21 *140:32 0.000258267 -16 *26:21 *209:5 0.000228233 -17 *26:21 *209:10 2.12347e-06 -18 *26:21 *211:40 3.584e-05 -19 *26:21 *220:78 6.21151e-05 -20 *26:21 *272:23 2.53835e-05 -21 *26:31 *1310:B 5.95262e-05 -22 *26:31 *1311:B2 9.81081e-06 -23 *26:31 *29:8 2.33476e-05 -24 *26:31 *92:54 3.93904e-06 -25 *26:31 *139:83 0.000197773 -26 *26:31 *139:89 0.000473973 -27 *26:31 *237:6 0.000221184 -28 *26:31 *239:20 1.6318e-05 -29 *26:31 *247:6 8.70572e-05 -30 *20:14 *26:31 4.70666e-05 -*RES -1 ext_trim[22] *26:9 4.0432 -2 *26:9 *26:21 37.8654 -3 *26:21 *417:DIODE 23 -4 *26:9 *26:31 32.5079 -5 *26:31 *1311:B1 23 -*END - -*D_NET *27 0.00449855 -*CONN -*P ext_trim[23] I -*I *1312:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *419:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[23] 0.000492354 -2 *1312:A2 0 -3 *419:DIODE 0.000247301 -4 *27:20 0.000816971 -5 *27:7 0.00155663 -6 *419:DIODE *1460:A 9.84173e-05 -7 *419:DIODE *284:8 4.21594e-06 -8 *419:DIODE *284:15 4.58924e-05 -9 *419:DIODE *284:33 6.86023e-06 -10 *419:DIODE *367:8 5.50106e-05 -11 *27:20 *1379:Z 4.41619e-05 -12 *27:20 *1384:A 6.91237e-05 -13 *27:20 *1460:A 1.4796e-05 -14 *27:20 *150:13 0 -15 *27:20 *226:78 0 -16 *27:20 *284:33 8.74263e-05 -17 *27:20 *291:9 6.89706e-05 -18 *27:20 *294:29 0.000143655 -19 *27:20 *296:14 0.000246739 -20 *27:20 *297:6 2.56281e-05 -21 *27:20 *298:16 0 -22 *27:20 *300:14 9.58067e-05 -23 *27:20 *300:16 5.19151e-05 -24 *27:20 *303:6 0.000157001 -25 *27:20 *303:10 0.000169683 -*RES -1 ext_trim[23] *27:7 9.53067 -2 *27:7 *419:DIODE 43.0854 -3 *27:7 *27:20 35.1032 -4 *27:20 *1312:A2 23 -*END - -*D_NET *28 0.00565102 -*CONN -*P ext_trim[24] I -*I *1313:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *420:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[24] 0.0014784 -2 *1313:A2 0.000711315 -3 *420:DIODE 0 -4 *28:10 0.00218972 -5 *1313:A2 *1165:A 0.000140935 -6 *1313:A2 *1384:A 5.82853e-05 -7 *1313:A2 *1387:A 0.000320089 -8 *1313:A2 *1387:TE 5.90953e-05 -9 *1313:A2 *139:5 2.14568e-05 -10 *1313:A2 *272:23 0.000100355 -11 *1313:A2 *302:5 0.000332155 -12 *28:10 *1106:A 0.00012733 -13 *28:10 *1460:A 0 -14 *28:10 *226:43 1.08928e-05 -15 *28:10 *284:8 0 -16 *28:10 *297:6 0 -17 *28:10 *300:14 0 -18 *28:10 *367:8 0.000100995 -19 *28:10 *369:8 0 -20 *28:10 *370:8 0 -*RES -1 ext_trim[24] *28:10 47.8442 -2 *28:10 *420:DIODE 23 -3 *28:10 *1313:A2 39.7786 -*END - -*D_NET *29 0.00911682 -*CONN -*P ext_trim[25] I -*I *421:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1314:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[25] 0.00136213 -2 *421:DIODE 0.000126249 -3 *1314:A2 2.30241e-05 -4 *29:10 0.000149273 -5 *29:8 0.00164754 -6 *29:7 0.00300967 -7 *421:DIODE *246:13 0.00022109 -8 *1314:A2 *246:13 2.12581e-05 -9 *29:8 *427:DIODE 0 -10 *29:8 *1201:A1 7.03317e-05 -11 *29:8 *1339:A 0 -12 *29:8 *38:5 0 -13 *29:8 *54:8 0.000110267 -14 *29:8 *56:6 0 -15 *29:8 *74:6 0.000767933 -16 *29:8 *77:6 0.000190304 -17 *29:8 *92:8 2.36844e-05 -18 *29:8 *92:30 9.02267e-05 -19 *29:8 *139:83 6.49753e-05 -20 *29:8 *171:21 0.000216721 -21 *29:8 *220:94 0.000110258 -22 *29:8 *252:79 0.000584781 -23 *29:8 *253:71 0 -24 *29:8 *275:8 0 -25 *29:8 *276:8 0 -26 *20:14 *29:8 0.000303765 -27 *26:31 *29:8 2.33476e-05 -*RES -1 ext_trim[25] *29:7 11.5915 -2 *29:7 *29:8 39.7611 -3 *29:8 *29:10 15 -4 *29:10 *1314:A2 23.3521 -5 *29:10 *421:DIODE 25.5271 -*END - -*D_NET *30 0.0152174 -*CONN -*P ext_trim[2] I -*I *1253:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *391:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[2] 0.00110701 -2 *1253:A2 0.000115271 -3 *391:DIODE 0 -4 *30:28 0.00045385 -5 *30:23 0.0034824 -6 *30:13 0.00402029 -7 *1253:A2 *1253:A1 3.84098e-05 -8 *1253:A2 *315:8 2.93845e-05 -9 *30:13 *1393:Z 5.3304e-05 -10 *30:13 *1398:A 3.74268e-05 -11 *30:13 *31:11 0 -12 *30:13 *37:13 7.44362e-05 -13 *30:13 *200:5 0.000185186 -14 *30:13 *216:53 0.000468129 -15 *30:13 *304:8 0.000295351 -16 *30:13 *307:8 3.47781e-05 -17 *30:13 *310:19 0.000100607 -18 *30:23 *1170:A 5.3304e-05 -19 *30:23 *1242:A 1.57571e-05 -20 *30:23 *1245:B 0.000200891 -21 *30:23 *1248:B 1.76557e-05 -22 *30:23 *1257:A 0.000102397 -23 *30:23 *1257:B 3.7681e-05 -24 *30:23 *1279:A1 4.97357e-05 -25 *30:23 *1291:B 5.67058e-05 -26 *30:23 *144:9 0.000139027 -27 *30:23 *144:11 0.00026875 -28 *30:23 *200:5 1.97388e-05 -29 *30:23 *203:10 1.94812e-05 -30 *30:23 *206:8 0.000187564 -31 *30:23 *234:7 0.000137651 -32 *30:23 *275:42 0.0002246 -33 *30:23 *315:8 6.17437e-06 -34 *30:23 *372:5 0.00195947 -35 *30:28 *1314:B1 5.57593e-05 -36 *30:28 *93:90 8.54365e-05 -37 *30:28 *139:10 0 -38 *30:28 *198:58 8.74557e-05 -39 *30:28 *234:17 0.000182148 -40 *30:28 *236:8 0.00043943 -41 *390:DIODE *30:23 5.23607e-05 -42 *1248:A *30:23 5.41385e-05 -43 *19:10 *30:28 0.000268261 -44 *23:10 *30:13 0 -*RES -1 ext_trim[2] *30:13 35.1565 -2 *30:13 *30:23 48.8236 -3 *30:23 *30:28 41.0614 -4 *30:28 *391:DIODE 23 -5 *30:23 *1253:A2 25.0714 -*END - -*D_NET *31 0.00519583 -*CONN -*P ext_trim[3] I -*I *392:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1254:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[3] 0.00121407 -2 *392:DIODE 0 -3 *1254:A2 5.4387e-05 -4 *31:24 0.000412318 -5 *31:11 0.00168077 -6 *1254:A2 *1418:A 0.000147656 -7 *1254:A2 *200:39 1.73995e-05 -8 *1254:A2 *213:37 6.86689e-05 -9 *1254:A2 *287:5 0.000100486 -10 *31:11 *1259:B1 0.000322648 -11 *31:11 *1414:A 0.000147656 -12 *31:11 *32:8 0 -13 *31:11 *37:13 1.75373e-05 -14 *31:11 *200:39 0.000543582 -15 *31:11 *213:37 3.47991e-05 -16 *31:11 *216:53 0.000267448 -17 *31:11 *329:8 0.000108026 -18 *31:24 *339:11 0 -19 *31:24 *340:22 5.83814e-05 -20 *30:13 *31:11 0 -*RES -1 ext_trim[3] *31:11 35.9526 -2 *31:11 *1254:A2 25.5479 -3 *31:11 *31:24 37.1879 -4 *31:24 *392:DIODE 23 -*END - -*D_NET *32 0.00212665 -*CONN -*P ext_trim[4] I -*I *1259:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *393:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[4] 0.000830245 -2 *1259:A2 0 -3 *393:DIODE 0.000112564 -4 *32:8 0.000942809 -5 *393:DIODE *1265:A1 3.67392e-05 -6 *393:DIODE *37:13 0 -7 *393:DIODE *200:28 0.000165991 -8 *32:8 *1265:A1 3.8302e-05 -9 *32:8 *33:11 0 -10 *32:8 *37:13 0 -11 *31:11 *32:8 0 -*RES -1 ext_trim[4] *32:8 11.3201 -2 *32:8 *393:DIODE 40.61 -3 *32:8 *1259:A2 38 -*END - -*D_NET *33 0.00316094 -*CONN -*P ext_trim[5] I -*I *394:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1263:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[5] 0.00107944 -2 *394:DIODE 0 -3 *1263:A2 0.000116836 -4 *33:11 0.00119627 -5 *1263:A2 *200:21 6.17437e-06 -6 *33:11 *1423:A 0.000150337 -7 *33:11 *34:8 0 -8 *33:11 *36:8 0.000285932 -9 *33:11 *200:28 0 -10 *33:11 *332:6 0.000176844 -11 *33:11 *338:13 0.000149102 -12 *32:8 *33:11 0 -*RES -1 ext_trim[5] *33:11 36.6769 -2 *33:11 *1263:A2 24.5743 -3 *33:11 *394:DIODE 23 -*END - -*D_NET *34 0.00287755 -*CONN -*P ext_trim[6] I -*I *396:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1265:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[6] 0.00113589 -2 *396:DIODE 0 -3 *1265:A2 0.000151738 -4 *34:8 0.00128763 -5 *1265:A2 *1265:A1 0.000127956 -6 *1265:A2 *345:6 1.20296e-05 -7 *34:8 *1420:A 0 -8 *34:8 *35:10 0.000130187 -9 *34:8 *36:8 0 -10 *34:8 *37:13 0 -11 *34:8 *345:6 3.21208e-05 -12 *33:11 *34:8 0 -*RES -1 ext_trim[6] *34:8 16.6023 -2 *34:8 *1265:A2 41.7286 -3 *34:8 *396:DIODE 38 -*END - -*D_NET *35 0.00511265 -*CONN -*P ext_trim[7] I -*I *397:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1268:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[7] 0.0013185 -2 *397:DIODE 0 -3 *1268:A2 0.000525723 -4 *35:10 0.00184422 -5 *1268:A2 *1428:TE 0.00014196 -6 *1268:A2 *1433:A 0.000209232 -7 *1268:A2 *331:11 0.000128401 -8 *1268:A2 *339:5 0.000443679 -9 *1268:A2 *340:13 0.000276209 -10 *35:10 *1420:A 1.94693e-05 -11 *35:10 *340:13 6.51763e-05 -12 *35:10 *341:5 9.8971e-06 -13 *34:8 *35:10 0.000130187 -*RES -1 ext_trim[7] *35:10 34.0571 -2 *35:10 *1268:A2 37.8314 -3 *35:10 *397:DIODE 23 -*END - -*D_NET *36 0.016748 -*CONN -*P ext_trim[8] I -*I *1270:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *399:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[8] 0.00138058 -2 *1270:A2 0.000677631 -3 *399:DIODE 0 -4 *36:32 0.000587209 -5 *36:27 0.00135673 -6 *36:24 0.00239157 -7 *36:16 0.00281547 -8 *36:8 0.00189636 -9 *1270:A2 *1270:A1 0.000165831 -10 *1270:A2 *1301:A1 0.000230723 -11 *1270:A2 *233:27 2.18604e-05 -12 *1270:A2 *324:21 0.000229392 -13 *36:8 *1420:A 0 -14 *36:8 *1456:A 0 -15 *36:8 *200:21 1.80023e-05 -16 *36:8 *200:28 0 -17 *36:8 *207:28 0.000180218 -18 *36:8 *308:10 6.4393e-05 -19 *36:8 *332:6 0.000345957 -20 *36:8 *334:6 0.000143727 -21 *36:8 *335:15 0 -22 *36:16 *1397:A 9.02364e-05 -23 *36:16 *1398:A 6.23499e-05 -24 *36:16 *37:13 4.83941e-05 -25 *36:16 *200:28 0.000247182 -26 *36:16 *306:8 0.000191625 -27 *36:24 *1267:B1 0.00027981 -28 *36:24 *1307:A1 4.17773e-05 -29 *36:24 *1394:TE 7.86097e-05 -30 *36:24 *1398:A 6.82228e-06 -31 *36:24 *197:28 2.10581e-05 -32 *36:24 *197:66 0.000143374 -33 *36:24 *207:20 0.000121649 -34 *36:24 *217:31 5.18055e-05 -35 *36:24 *225:8 1.01436e-05 -36 *36:24 *309:21 0.000551515 -37 *36:24 *330:18 0.000825705 -38 *36:24 *330:28 0 -39 *36:27 *226:132 6.81834e-06 -40 *36:27 *243:8 8.23679e-05 -41 *36:32 *1307:A1 1.33899e-05 -42 *36:32 *1436:A 9.67842e-05 -43 *36:32 *226:132 1.88408e-05 -44 *36:32 *319:15 5.50049e-05 -45 *36:32 *347:8 6.40387e-05 -46 *36:32 *349:20 0.000317519 -47 *388:DIODE *36:8 0 -48 *388:DIODE *36:16 2.01531e-05 -49 *1301:A2 *1270:A2 8.97163e-06 -50 *5:11 *36:8 0.00014196 -51 *14:20 *36:24 4.97336e-05 -52 *15:14 *36:24 5.08422e-06 -53 *15:19 *36:24 5.05259e-05 -54 *16:8 *36:27 4.38147e-05 -55 *16:8 *36:32 0.000193075 -56 *22:15 *36:27 2.60807e-06 -57 *24:31 *1270:A2 1.36753e-05 -58 *33:11 *36:8 0.000285932 -59 *34:8 *36:8 0 -*RES -1 ext_trim[8] *36:8 43.6271 -2 *36:8 *36:16 40.2536 -3 *36:16 *36:24 45.7382 -4 *36:24 *36:27 9.72964 -5 *36:27 *36:32 27.47 -6 *36:32 *399:DIODE 23 -7 *36:27 *1270:A2 47.9843 -*END - -*D_NET *37 0.0153128 -*CONN -*P ext_trim[9] I -*I *400:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1273:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[9] 0.00135771 -2 *400:DIODE 0 -3 *1273:A2 0 -4 *37:30 0.000127589 -5 *37:24 0.000937178 -6 *37:18 0.00347725 -7 *37:13 0.00402538 -8 *37:13 *1265:A1 0 -9 *37:13 *1398:A 0.000140774 -10 *37:13 *200:28 0 -11 *37:13 *306:8 5.84774e-05 -12 *37:13 *307:8 7.55491e-05 -13 *37:13 *329:8 0.00022249 -14 *37:13 *342:8 0 -15 *37:18 *1275:A2 0.000659661 -16 *37:18 *1285:C 1.64354e-05 -17 *37:18 *1306:A 0.000351519 -18 *37:18 *146:5 0.000354147 -19 *37:18 *197:21 3.58084e-06 -20 *37:18 *197:28 1.76557e-05 -21 *37:18 *211:10 5.50049e-05 -22 *37:18 *211:40 0.000296509 -23 *37:18 *217:16 6.08617e-05 -24 *37:18 *223:7 0.00012359 -25 *37:18 *230:8 2.64616e-05 -26 *37:18 *294:9 2.98648e-05 -27 *37:24 *144:22 0.000133543 -28 *37:24 *170:39 0.000144813 -29 *37:24 *203:10 6.41677e-05 -30 *37:24 *206:94 0.000253944 -31 *37:24 *212:55 5.12639e-05 -32 *37:24 *214:21 0.000507031 -33 *37:24 *216:41 5.05668e-05 -34 *37:24 *221:39 4.60157e-05 -35 *37:24 *232:10 0 -36 *37:24 *233:27 2.81066e-05 -37 *37:30 *207:71 9.85586e-06 -38 *37:30 *210:25 3.87221e-06 -39 *37:30 *214:21 0.000124066 -40 *37:30 *220:48 1.46586e-05 -41 *37:30 *330:9 6.33303e-06 -42 *393:DIODE *37:13 0 -43 *1238:A *37:18 0.000269781 -44 *5:11 *37:18 0.00104669 -45 *30:13 *37:13 7.44362e-05 -46 *31:11 *37:13 1.75373e-05 -47 *32:8 *37:13 0 -48 *34:8 *37:13 0 -49 *36:16 *37:13 4.83941e-05 -*RES -1 ext_trim[9] *37:13 41.535 -2 *37:13 *37:18 42.7129 -3 *37:18 *37:24 43.92 -4 *37:24 *37:30 32.0766 -5 *37:30 *1273:A2 23 -6 *37:24 *400:DIODE 23 -*END - -*D_NET *38 0.00135276 -*CONN -*P osc I -*I *427:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1360:D I *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 osc 0.000284674 -2 *427:DIODE 0.000185717 -3 *1360:D 8.90972e-05 -4 *38:5 0.000559488 -5 *427:DIODE *1346:CLK 1.94693e-05 -6 *427:DIODE *1361:CLK 0 -7 *427:DIODE *254:122 0 -8 *1360:D *1360:CLK 7.24484e-05 -9 *38:5 *1361:CLK 0 -10 *38:5 *265:8 0.000141869 -11 *29:8 *427:DIODE 0 -12 *29:8 *38:5 0 -*RES -1 osc *38:5 5.88286 -2 *38:5 *1360:D 40.0507 -3 *38:5 *427:DIODE 40.8793 -*END - -*D_NET *39 0.00466896 -*CONN -*P resetb I -*I *1315:B I *D sky130_fd_sc_hd__nand2_2 -*I *423:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetb 0.000984805 -2 *1315:B 0 -3 *423:DIODE 0.000106935 -4 *39:27 4.28368e-05 -5 *39:16 0.00113458 -6 *423:DIODE *1110:A 0.000141095 -7 *39:16 *1110:A 0.000142173 -8 *39:16 *1145:A 0.000209729 -9 *39:16 *58:6 0 -10 *39:16 *84:18 4.30516e-05 -11 *39:16 *84:26 0 -12 *39:16 *90:22 0.000139647 -13 *39:16 *95:8 0.000317603 -14 *39:16 *97:12 6.7177e-06 -15 *39:16 *97:25 7.77607e-05 -16 *39:16 *100:6 0.000504929 -17 *39:16 *109:8 0.000373109 -18 *39:16 *248:5 5.3304e-05 -19 *39:16 *260:33 0.000355708 -20 *39:27 *87:8 7.69256e-06 -21 *376:DIODE *39:27 2.72882e-05 -*RES -1 resetb *39:16 40.2093 -2 *39:16 *423:DIODE 24.5743 -3 *39:16 *39:27 30.8493 -4 *39:27 *1315:B 23 -*END - -*D_NET *40 0.00145651 -*CONN -*I *1345:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1317:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1345:RESET_B 0.000128087 -2 *1317:Y 0.000145842 -3 *40:6 0.000273929 -4 *1345:RESET_B *1345:D 6.68717e-05 -5 *1345:RESET_B *268:7 9.88574e-06 -6 *40:6 *1237:B 0.000103306 -7 *40:6 *63:8 0.000375146 -8 *40:6 *85:11 0.000327432 -9 *40:6 *267:11 2.60152e-05 -*RES -1 *1317:Y *40:6 43.7793 -2 *40:6 *1345:RESET_B 16.9782 -*END - -*D_NET *41 0.00278141 -*CONN -*I *1346:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1318:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1346:RESET_B 0.000374189 -2 *1318:Y 0.000346894 -3 *41:6 0.000721083 -4 *1346:RESET_B *1233:A0 2.93845e-05 -5 *1346:RESET_B *1346:D 0.000260121 -6 *1346:RESET_B *269:5 0.000243237 -7 *1346:RESET_B *270:15 1.24376e-05 -8 *41:6 *1176:A_N 6.88552e-05 -9 *41:6 *1176:B 0.000164282 -10 *41:6 *1235:A1 3.3746e-05 -11 *41:6 *1237:A_N 0.000236307 -12 *41:6 *84:60 0 -13 *41:6 *150:13 0 -14 *41:6 *270:15 0.000280856 -15 *41:6 *284:8 0 -16 *41:6 *370:8 1.00203e-05 -*RES -1 *1318:Y *41:6 46.9486 -2 *41:6 *1346:RESET_B 23.1925 -*END - -*D_NET *42 0.000888185 -*CONN -*I *1347:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1320:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1347:RESET_B 0.000140055 -2 *1320:Y 0.000140055 -3 *1347:RESET_B *65:11 0.000127537 -4 *1347:RESET_B *371:55 0.000480537 -*RES -1 *1320:Y *1347:RESET_B 28.3132 -*END - -*D_NET *43 0.000205927 -*CONN -*I *1348:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1321:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1348:RESET_B 0 -2 *1321:Y 0.000102963 -3 *43:6 0.000102963 -4 *43:6 *191:8 0 -*RES -1 *1321:Y *43:6 39.5536 -2 *43:6 *1348:RESET_B 15 -*END - -*D_NET *44 0.000808309 -*CONN -*I *1349:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1322:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1349:RESET_B 0 -2 *1322:Y 0.000354124 -3 *44:8 0.000354124 -4 *44:8 *68:8 0 -5 *44:8 *192:8 0 -6 *44:8 *193:8 0 -7 *44:8 *249:40 0.000100061 -*RES -1 *1322:Y *44:8 43.8207 -2 *44:8 *1349:RESET_B 15 -*END - -*D_NET *45 0.000298266 -*CONN -*I *1350:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1323:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1350:RESET_B 0 -2 *1323:Y 0.000149133 -3 *45:6 0.000149133 -4 *45:6 *198:65 0 -*RES -1 *1323:Y *45:6 40.61 -2 *45:6 *1350:RESET_B 15 -*END - -*D_NET *46 0.000351455 -*CONN -*I *1351:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1325:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1351:RESET_B 0 -2 *1325:Y 0.000175727 -3 *46:6 0.000175727 -4 *46:6 *249:24 0 -5 *46:6 *251:21 0 -*RES -1 *1325:Y *46:6 40.9621 -2 *46:6 *1351:RESET_B 15 -*END - -*D_NET *47 0.000512174 -*CONN -*I *1352:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1326:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1352:RESET_B 0 -2 *1326:Y 0.000150803 -3 *47:8 0.000150803 -4 *47:8 *1321:B 0 -5 *47:8 *1326:A 0.000114074 -6 *47:8 *259:11 9.64943e-05 -7 *47:8 *371:8 0 -*RES -1 *1326:Y *47:8 40.6514 -2 *47:8 *1352:RESET_B 15 -*END - -*D_NET *48 0.00108962 -*CONN -*I *1353:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1327:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1353:RESET_B 0 -2 *1327:Y 0.000243969 -3 *48:8 0.000243969 -4 *48:8 *1319:A 7.64944e-05 -5 *48:8 *1327:A 5.3304e-05 -6 *48:8 *1331:A 6.88788e-05 -7 *48:8 *1356:CLK 1.94522e-05 -8 *48:8 *249:5 0.000259155 -9 *48:8 *254:202 7.14509e-05 -10 *48:8 *254:205 5.29477e-05 -*RES -1 *1327:Y *48:8 44.9186 -2 *48:8 *1353:RESET_B 15 -*END - -*D_NET *49 0.000963055 -*CONN -*I *1354:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1329:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1354:RESET_B 0.000158803 -2 *1329:Y 0.000182889 -3 *49:8 0.000341692 -4 *1354:RESET_B *254:64 0.000174037 -5 *1354:RESET_B *254:70 6.17437e-06 -6 *49:8 *85:65 7.84103e-05 -7 *49:8 *253:64 2.10502e-05 -*RES -1 *1329:Y *49:8 41.9357 -2 *49:8 *1354:RESET_B 18.4075 -*END - -*D_NET *50 0.00019115 -*CONN -*I *1355:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1330:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1355:RESET_B 0 -2 *1330:Y 9.55749e-05 -3 *50:6 9.55749e-05 -*RES -1 *1330:Y *50:6 39.5536 -2 *50:6 *1355:RESET_B 15 -*END - -*D_NET *51 0.00109154 -*CONN -*I *1356:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1331:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1356:RESET_B 0.000451072 -2 *1331:Y 0.000451072 -3 *1356:RESET_B *1356:CLK 4.96717e-05 -4 *1356:RESET_B *1356:D 0.00011118 -5 *1356:RESET_B *226:5 2.85465e-05 -*RES -1 *1331:Y *1356:RESET_B 29.9082 -*END - -*D_NET *52 0.000325978 -*CONN -*I *1357:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1332:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1357:RESET_B 0 -2 *1332:Y 0.000162989 -3 *52:6 0.000162989 -4 *52:6 *85:65 0 -5 *52:6 *254:75 0 -*RES -1 *1332:Y *52:6 40.9621 -2 *52:6 *1357:RESET_B 15 -*END - -*D_NET *53 0.000798934 -*CONN -*I *1358:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1334:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1358:RESET_B 0 -2 *1334:Y 0.00024943 -3 *53:6 0.00024943 -4 *53:6 *1355:D 0.000300074 -5 *53:6 *254:190 0 -*RES -1 *1334:Y *53:6 43.4271 -2 *53:6 *1358:RESET_B 15 -*END - -*D_NET *54 0.00141573 -*CONN -*I *1359:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1335:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1359:RESET_B 0 -2 *1335:Y 0.000567394 -3 *54:8 0.000567394 -4 *54:8 *77:6 4.41821e-06 -5 *54:8 *252:57 3.7122e-06 -6 *54:8 *252:70 3.3577e-05 -7 *54:8 *266:22 0.000128964 -8 *29:8 *54:8 0.000110267 -*RES -1 *1335:Y *54:8 46.3686 -2 *54:8 *1359:RESET_B 15 -*END - -*D_NET *55 0.0010279 -*CONN -*I *1360:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1336:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1360:RESET_B 0 -2 *1336:Y 0.000282649 -3 *55:6 0.000282649 -4 *55:6 *1361:CLK 1.19692e-05 -5 *55:6 *198:65 0 -6 *55:6 *251:8 0.000294807 -7 *55:6 *254:122 5.3365e-05 -8 *55:6 *254:131 6.11183e-05 -9 *55:6 *254:138 4.13434e-05 -*RES -1 *1336:Y *55:6 45.1879 -2 *55:6 *1360:RESET_B 15 -*END - -*D_NET *56 0.000304257 -*CONN -*I *1361:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1338:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1361:RESET_B 0 -2 *1338:Y 0.000108324 -3 *56:6 0.000108324 -4 *56:6 *1339:A 8.76088e-05 -5 *56:6 *266:8 0 -6 *29:8 *56:6 0 -*RES -1 *1338:Y *56:6 40.61 -2 *56:6 *1361:RESET_B 15 -*END - -*D_NET *57 0.00360955 -*CONN -*I *1362:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1339:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1362:RESET_B 0.000630452 -2 *1339:Y 7.78289e-05 -3 *57:7 0.000708281 -4 *1362:RESET_B *63:8 5.08393e-05 -5 *1362:RESET_B *65:8 0 -6 *1362:RESET_B *252:92 7.73942e-05 -7 *1362:RESET_B *253:71 0 -8 *1362:RESET_B *266:8 0.000271129 -9 *1362:RESET_B *266:22 0.000988467 -10 *1362:RESET_B *267:11 0.000763572 -11 *1362:RESET_B *371:56 1.21972e-05 -12 *57:7 *1339:A 2.93845e-05 -*RES -1 *1339:Y *57:7 39.0979 -2 *57:7 *1362:RESET_B 34.0468 -*END - -*D_NET *58 0.000828484 -*CONN -*I *1363:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1340:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1363:RESET_B 7.17836e-05 -2 *1340:Y 0.00014909 -3 *58:6 0.000220874 -4 *1363:RESET_B *90:31 1.22775e-06 -5 *1363:RESET_B *97:11 3.3577e-05 -6 *1363:RESET_B *260:5 0.000133138 -7 *1363:RESET_B *260:44 4.17736e-05 -8 *58:6 *1145:A 0 -9 *58:6 *84:26 1.7992e-05 -10 *58:6 *90:22 0 -11 *58:6 *97:12 4.54033e-05 -12 *58:6 *252:22 0.000113624 -13 *39:16 *58:6 0 -*RES -1 *1340:Y *58:6 41.6664 -2 *58:6 *1363:RESET_B 16.9782 -*END - -*D_NET *59 0.000761872 -*CONN -*I *1364:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1341:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1364:RESET_B 0 -2 *1341:Y 0.000240425 -3 *59:8 0.000240425 -4 *59:8 *79:8 0 -5 *59:8 *94:8 5.21127e-05 -6 *59:8 *252:13 0.000228909 -*RES -1 *1341:Y *59:8 42.0807 -2 *59:8 *1364:RESET_B 15 -*END - -*D_NET *60 0.000386139 -*CONN -*I *1365:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1342:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1365:RESET_B 0 -2 *1342:Y 0.000162163 -3 *60:6 0.000162163 -4 *60:6 *80:8 0 -5 *60:6 *252:39 6.18132e-05 -6 *60:6 *253:53 0 -7 *60:6 *262:8 0 -*RES -1 *1342:Y *60:6 41.3143 -2 *60:6 *1365:RESET_B 15 -*END - -*D_NET *61 0.00212577 -*CONN -*I *1366:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1343:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1366:RESET_B 0.000312731 -2 *1343:Y 0.000278619 -3 *61:8 0.00059135 -4 *1366:RESET_B *1109:A0 5.37348e-06 -5 *1366:RESET_B *1109:A1 4.21921e-05 -6 *1366:RESET_B *87:8 1.59094e-05 -7 *1366:RESET_B *263:5 0.000354888 -8 *61:8 *100:6 1.07299e-05 -9 *61:8 *248:5 0.000320094 -10 *61:8 *258:28 0.000108129 -11 *61:8 *263:8 8.57577e-05 -*RES -1 *1343:Y *61:8 43.0336 -2 *61:8 *1366:RESET_B 20.7896 -*END - -*D_NET *62 0.000628312 -*CONN -*I *1367:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1344:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1367:RESET_B 8.27599e-05 -2 *1344:Y 8.27599e-05 -3 *1367:RESET_B *248:17 0.000310306 -4 *1367:RESET_B *253:48 2.84536e-05 -5 *1367:RESET_B *253:60 0.000124033 -*RES -1 *1344:Y *1367:RESET_B 26.4075 -*END - -*D_NET *63 0.0027444 -*CONN -*I *1345:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1237:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1345:D 0.000465207 -2 *1237:Y 0.000475068 -3 *63:8 0.000940276 -4 *1345:D *252:79 7.4416e-05 -5 *1345:D *268:7 6.17437e-06 -6 *63:8 *1237:B 0.000135722 -7 *63:8 *267:11 0.000139647 -8 *63:8 *371:72 8.52094e-06 -9 *63:8 *371:82 6.5117e-06 -10 *1345:RESET_B *1345:D 6.68717e-05 -11 *1362:RESET_B *63:8 5.08393e-05 -12 *40:6 *63:8 0.000375146 -*RES -1 *1237:Y *63:8 48.2121 -2 *63:8 *1345:D 45.7679 -*END - -*D_NET *64 0.0015293 -*CONN -*I *1346:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1236:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1346:D 0.000520907 -2 *1236:X 9.07291e-05 -3 *64:6 0.000611636 -4 *64:6 *65:8 0 -5 *64:6 *267:11 4.59027e-05 -6 *1346:RESET_B *1346:D 0.000260121 -*RES -1 *1236:X *64:6 39.9057 -2 *64:6 *1346:D 46.7207 -*END - -*D_NET *65 0.003412 -*CONN -*I *1347:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1234:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1347:D 0 -2 *1234:X 0.000530472 -3 *65:11 0.000657415 -4 *65:8 0.00118789 -5 *65:8 *84:51 0 -6 *65:8 *195:6 0.000491288 -7 *65:8 *252:92 0 -8 *65:8 *267:11 0 -9 *65:8 *269:8 5.19151e-05 -10 *65:11 *150:7 2.93747e-05 -11 *65:11 *270:5 0.000190871 -12 *65:11 *371:55 0.000145237 -13 *1347:RESET_B *65:11 0.000127537 -14 *1362:RESET_B *65:8 0 -15 *64:6 *65:8 0 -*RES -1 *1234:X *65:8 48.875 -2 *65:8 *65:11 28.9614 -3 *65:11 *1347:D 23 -*END - -*D_NET *66 0.000678101 -*CONN -*I *1348:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1232:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1348:D 0.000110265 -2 *1232:Y 0.000100207 -3 *66:6 0.000210471 -4 *1348:D *85:32 0.000123944 -5 *66:6 *85:32 7.03663e-05 -6 *66:6 *191:8 6.28488e-05 -*RES -1 *1232:Y *66:6 40.61 -2 *66:6 *1348:D 40.5271 -*END - -*D_NET *67 0.00187239 -*CONN -*I *1349:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1231:Y O *D sky130_fd_sc_hd__a21boi_2 -*CAP -1 *1349:D 0 -2 *1231:Y 0.000506651 -3 *67:9 0.000506651 -4 *67:9 *1348:CLK 0.00015337 -5 *67:9 *254:104 0.000262948 -6 *67:9 *256:7 0.000442766 -*RES -1 *1231:Y *67:9 36.1743 -2 *67:9 *1349:D 23 -*END - -*D_NET *68 0.00115 -*CONN -*I *1350:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1229:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1350:D 8.39599e-05 -2 *1229:X 0.000305239 -3 *68:8 0.000389199 -4 *1350:D *1350:CLK 6.17437e-06 -5 *1350:D *257:9 3.40025e-05 -6 *68:8 *1229:A 5.37348e-06 -7 *68:8 *193:8 0.000326053 -8 *44:8 *68:8 0 -*RES -1 *1229:X *68:8 43.4686 -2 *68:8 *1350:D 39.5743 -*END - -*D_NET *69 0.000393313 -*CONN -*I *1351:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1224:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1351:D 0.000149638 -2 *1224:X 0.000149638 -3 *1351:D *1351:CLK 2.93845e-05 -4 *1351:D *258:5 6.4652e-05 -*RES -1 *1224:X *1351:D 49.0036 -*END - -*D_NET *70 0.000228047 -*CONN -*I *1352:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1222:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1352:D 0 -2 *1222:X 6.80372e-05 -3 *70:6 6.80372e-05 -4 *70:6 *1321:B 1.19692e-05 -5 *70:6 *191:8 5.45887e-05 -6 *70:6 *371:8 2.5415e-05 -*RES -1 *1222:X *70:6 39.5536 -2 *70:6 *1352:D 38 -*END - -*D_NET *71 0.00112881 -*CONN -*I *1353:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1219:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1353:D 0 -2 *1219:X 0.000307368 -3 *71:8 0.000307368 -4 *71:8 *1219:B1 9.91701e-05 -5 *71:8 *1331:A 8.41115e-05 -6 *71:8 *198:58 0.000164282 -7 *71:8 *198:60 0.000166513 -8 *71:8 *276:8 0 -*RES -1 *1219:X *71:8 44.4214 -2 *71:8 *1353:D 38 -*END - -*D_NET *72 0.00073313 -*CONN -*I *1354:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1217:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1354:D 0 -2 *1217:X 0.000275535 -3 *72:8 0.000275535 -4 *72:8 *86:8 0 -5 *72:8 *259:42 0 -6 *72:8 *259:48 0.000108951 -7 *72:8 *264:6 0 -8 *72:8 *264:14 7.31082e-05 -*RES -1 *1217:X *72:8 43.4686 -2 *72:8 *1354:D 38 -*END - -*D_NET *73 0.00190762 -*CONN -*I *1355:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1213:X O *D sky130_fd_sc_hd__o2bb2a_2 -*CAP -1 *1355:D 0.000435694 -2 *1213:X 8.72101e-05 -3 *73:7 0.000522904 -4 *1355:D *271:8 0 -5 *1355:D *274:8 0.000354769 -6 *1355:D *274:20 0.000157299 -7 *73:7 *157:39 4.96717e-05 -8 *53:6 *1355:D 0.000300074 -*RES -1 *1213:X *73:7 39.0979 -2 *73:7 *1355:D 49.0614 -*END - -*D_NET *74 0.00244456 -*CONN -*I *1356:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1211:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1356:D 8.26386e-05 -2 *1211:Y 0.00025322 -3 *74:6 0.000335859 -4 *1356:D *226:5 0.000119467 -5 *1356:RESET_B *1356:D 0.00011118 -6 *20:14 *74:6 0.000774265 -7 *29:8 *74:6 0.000767933 -*RES -1 *1211:Y *74:6 48.3571 -2 *74:6 *1356:D 40.5271 -*END - -*D_NET *75 0.00138759 -*CONN -*I *1357:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1208:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1357:D 7.99385e-05 -2 *1208:X 0.000364543 -3 *75:8 0.000444482 -4 *1357:D *254:70 7.88926e-05 -5 *75:8 *1164:A 8.62876e-05 -6 *75:8 *1174:A 9.03784e-05 -7 *75:8 *1174:B 5.36457e-05 -8 *75:8 *1208:A 4.75206e-05 -9 *75:8 *85:65 4.34173e-05 -10 *75:8 *273:8 0 -11 *75:8 *276:21 9.84851e-05 -*RES -1 *1208:X *75:8 44.8979 -2 *75:8 *1357:D 39.5743 -*END - -*D_NET *76 0.000624747 -*CONN -*I *1358:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1205:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1358:D 0.000131661 -2 *1205:X 9.8917e-05 -3 *76:6 0.000230578 -4 *1358:D *254:178 8.1796e-05 -5 *1358:D *254:190 8.1796e-05 -6 *76:6 *273:8 0 -*RES -1 *1205:X *76:6 39.5536 -2 *76:6 *1358:D 41.0036 -*END - -*D_NET *77 0.00129531 -*CONN -*I *1359:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1201:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1359:D 4.95635e-05 -2 *1201:X 0.000426905 -3 *77:6 0.000476468 -4 *1359:D *253:64 0.000147656 -5 *77:6 *1356:CLK 0 -6 *77:6 *254:205 0 -7 *77:6 *276:8 0 -8 *29:8 *77:6 0.000190304 -9 *54:8 *77:6 4.41821e-06 -*RES -1 *1201:X *77:6 46.2443 -2 *77:6 *1359:D 39.5743 -*END - -*D_NET *78 0.00224578 -*CONN -*I *1363:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1117:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1363:D 0 -2 *1117:X 0.000837945 -3 *78:8 0.000837945 -4 *78:8 *1117:A 0.000481937 -5 *78:8 *1145:A 2.93747e-05 -6 *78:8 *1177:A1 0 -7 *78:8 *254:16 3.21223e-05 -8 *78:8 *260:23 2.64616e-05 -*RES -1 *1117:X *78:8 49.7036 -2 *78:8 *1363:D 38 -*END - -*D_NET *79 0.00102036 -*CONN -*I *1364:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1114:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1364:D 0 -2 *1114:X 0.000337856 -3 *79:8 0.000337856 -4 *79:8 *1120:A 0 -5 *79:8 *1340:A 0.000164833 -6 *79:8 *90:13 1.32939e-05 -7 *79:8 *253:24 0.000166522 -8 *59:8 *79:8 0 -*RES -1 *1114:X *79:8 42.9921 -2 *79:8 *1364:D 38 -*END - -*D_NET *80 0.00119772 -*CONN -*I *1365:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1112:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1365:D 0 -2 *1112:X 0.000485441 -3 *80:8 0.000485441 -4 *80:8 *82:8 1.65166e-05 -5 *80:8 *86:8 6.79006e-05 -6 *80:8 *88:8 0 -7 *80:8 *253:8 0.000142418 -8 *60:6 *80:8 0 -*RES -1 *1112:X *80:8 46.99 -2 *80:8 *1365:D 38 -*END - -*D_NET *81 0.00073078 -*CONN -*I *1366:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1110:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1366:D 0.000228515 -2 *1110:X 9.62967e-05 -3 *81:6 0.000324812 -4 *81:6 *112:6 0 -5 *81:6 *152:10 8.11557e-05 -*RES -1 *1110:X *81:6 40.2579 -2 *81:6 *1366:D 41.48 -*END - -*D_NET *82 0.000490982 -*CONN -*I *1367:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1108:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1367:D 0 -2 *1108:X 0.000111636 -3 *82:8 0.000111636 -4 *82:8 *88:8 0.000140684 -5 *82:8 *253:8 8.4047e-05 -6 *82:8 *254:58 2.64616e-05 -7 *80:8 *82:8 1.65166e-05 -*RES -1 *1108:X *82:8 40.5271 -2 *82:8 *1367:D 38 -*END - -*D_NET *83 0.00150903 -*CONN -*I *1176:A_N I *D sky130_fd_sc_hd__and4b_2 -*I *1105:A I *D sky130_fd_sc_hd__buf_2 -*I *1104:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1176:A_N 0.000154416 -2 *1105:A 0.000178173 -3 *1104:Y 5.45698e-05 -4 *83:7 0.000387158 -5 *1105:A *84:51 0.000304957 -6 *1105:A *195:6 0 -7 *1176:A_N *84:51 0.000111852 -8 *1176:A_N *84:60 0.000242926 -9 *1176:A_N *195:6 0 -10 *1176:A_N *269:8 0 -11 *1176:A_N *270:15 6.12496e-06 -12 *41:6 *1176:A_N 6.88552e-05 -*RES -1 *1104:Y *83:7 38.6214 -2 *83:7 *1105:A 42.64 -3 *83:7 *1176:A_N 42.7229 -*END - -*D_NET *84 0.0165955 -*CONN -*I *1106:A I *D sky130_fd_sc_hd__buf_2 -*I *1235:S I *D sky130_fd_sc_hd__mux2_2 -*I *1233:S I *D sky130_fd_sc_hd__mux2_2 -*I *1113:S I *D sky130_fd_sc_hd__mux2_2 -*I *1111:S I *D sky130_fd_sc_hd__mux2_2 -*I *1109:S I *D sky130_fd_sc_hd__mux2_2 -*I *1116:S I *D sky130_fd_sc_hd__mux2_2 -*I *1105:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1106:A 0.000427525 -2 *1235:S 0.000120586 -3 *1233:S 8.63479e-05 -4 *1113:S 0 -5 *1111:S 7.43623e-05 -6 *1109:S 0 -7 *1116:S 0 -8 *1105:X 0 -9 *84:60 0.000799272 -10 *84:51 0.000583377 -11 *84:46 0.000118493 -12 *84:32 0.000174614 -13 *84:26 0.000950382 -14 *84:18 0.000311433 -15 *84:11 0.00151683 -16 *84:9 0.00387612 -17 *84:8 0.00335107 -18 *84:5 0.000343298 -19 *1106:A *1176:B 6.7177e-06 -20 *1106:A *1237:A_N 1.80023e-05 -21 *1106:A *1318:B 0.000391358 -22 *1106:A *1466:TE 6.00453e-05 -23 *1106:A *85:7 6.17437e-06 -24 *1106:A *226:37 7.06812e-05 -25 *1106:A *226:43 0.000139647 -26 *1106:A *284:8 5.41385e-05 -27 *1106:A *371:56 2.64616e-05 -28 *1111:S *1138:B 4.08664e-05 -29 *1111:S *95:7 0.000135037 -30 *1235:S *226:43 1.94693e-05 -31 *1235:S *268:15 5.3304e-05 -32 *84:18 *260:33 3.64337e-05 -33 *84:26 *1340:A 0 -34 *84:26 *1364:CLK 5.26101e-05 -35 *84:26 *97:25 0 -36 *84:26 *98:18 0.000107548 -37 *84:26 *99:18 0.000163361 -38 *84:26 *100:6 0 -39 *84:26 *111:6 3.47781e-05 -40 *84:26 *252:22 0.000222104 -41 *84:26 *253:24 0 -42 *84:26 *254:45 3.95159e-06 -43 *84:26 *260:44 7.03766e-05 -44 *84:32 *1138:B 0.000141954 -45 *84:32 *95:7 0.000140259 -46 *84:32 *100:6 0 -47 *84:32 *111:6 0 -48 *84:32 *258:28 3.70187e-05 -49 *84:46 *1113:A1 1.14452e-05 -50 *84:60 *1176:B 4.3407e-05 -51 *1105:A *84:51 0.000304957 -52 *1176:A_N *84:51 0.000111852 -53 *1176:A_N *84:60 0.000242926 -54 *6:15 *84:11 0.000489755 -55 *6:15 *84:18 0.000436767 -56 *28:10 *1106:A 0.00012733 -57 *39:16 *84:18 4.30516e-05 -58 *39:16 *84:26 0 -59 *41:6 *84:60 0 -60 *58:6 *84:26 1.7992e-05 -61 *65:8 *84:51 0 -*RES -1 *1105:X *84:5 38 -2 *84:5 *84:8 16.5536 -3 *84:8 *84:9 55.8871 -4 *84:9 *84:11 11.1029 -5 *84:11 *84:18 36.815 -6 *84:18 *1116:S 23 -7 *84:11 *84:26 43.8786 -8 *84:26 *84:32 34.1843 -9 *84:32 *1109:S 23 -10 *84:26 *1111:S 24.5743 -11 *84:9 *84:46 31.9057 -12 *84:46 *1113:S 23 -13 *84:5 *84:51 6.13143 -14 *84:51 *1233:S 39.0979 -15 *84:51 *84:60 6.13143 -16 *84:60 *1235:S 40.0507 -17 *84:60 *1106:A 48.4193 -*END - -*D_NET *85 0.0156762 -*CONN -*I *1237:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1228:A I *D sky130_fd_sc_hd__and2_2 -*I *1107:S I *D sky130_fd_sc_hd__mux2_2 -*I *1222:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1224:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1231:B1_N I *D sky130_fd_sc_hd__a21boi_2 -*I *1232:B I *D sky130_fd_sc_hd__nand3_2 -*I *1106:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1237:B 0.000262098 -2 *1228:A 1.31614e-05 -3 *1107:S 0 -4 *1222:B1 4.70238e-05 -5 *1224:C1 0 -6 *1231:B1_N 0 -7 *1232:B 0 -8 *1106:X 0.000101771 -9 *85:65 0.000929463 -10 *85:52 0.00119548 -11 *85:43 0.000369586 -12 *85:32 0.000658535 -13 *85:19 0.00101366 -14 *85:15 0.000957828 -15 *85:11 0.00297328 -16 *85:7 0.00287188 -17 *1222:B1 *1222:A2 5.67058e-05 -18 *1222:B1 *191:8 1.62015e-05 -19 *1222:B1 *259:23 5.50049e-05 -20 *1222:B1 *371:8 3.20914e-05 -21 *1228:A *192:8 4.77164e-06 -22 *1228:A *254:89 2.26406e-05 -23 *1237:B *371:72 0.000111106 -24 *85:7 *371:56 1.61361e-05 -25 *85:11 *150:13 0 -26 *85:11 *196:8 5.81559e-05 -27 *85:11 *267:11 4.04634e-05 -28 *85:11 *371:32 0.000103209 -29 *85:11 *371:59 8.91416e-05 -30 *85:11 *371:72 2.53488e-05 -31 *85:19 *1220:A 0 -32 *85:19 *1227:B1 0 -33 *85:19 *1348:CLK 9.67842e-05 -34 *85:19 *187:10 0.000225868 -35 *85:19 *190:6 0 -36 *85:19 *254:89 0 -37 *85:19 *254:93 0 -38 *85:19 *256:7 3.34247e-05 -39 *85:19 *256:15 0.000100543 -40 *85:32 *1348:CLK 5.04244e-05 -41 *85:32 *191:8 0 -42 *85:32 *256:7 1.24376e-05 -43 *85:43 *1221:B 6.16531e-05 -44 *85:43 *1351:CLK 0 -45 *85:43 *187:10 0.000110855 -46 *85:52 *1222:A2 6.93242e-05 -47 *85:52 *1223:A_N 2.93845e-05 -48 *85:52 *1224:A1 0 -49 *85:52 *188:9 5.41288e-05 -50 *85:52 *189:7 4.98555e-05 -51 *85:52 *258:12 0.000607156 -52 *85:52 *259:23 0.000180833 -53 *85:65 *1214:A 0.000445254 -54 *85:65 *138:19 4.93812e-05 -55 *85:65 *191:8 4.66036e-05 -56 *85:65 *250:16 8.68922e-05 -57 *85:65 *250:58 0.000165394 -58 *85:65 *254:75 0 -59 *85:65 *259:42 0.000141954 -60 *85:65 *264:9 9.84794e-05 -61 *85:65 *277:6 0 -62 *85:65 *371:8 4.60263e-05 -63 *1106:A *85:7 6.17437e-06 -64 *1348:D *85:32 0.000123944 -65 *40:6 *1237:B 0.000103306 -66 *40:6 *85:11 0.000327432 -67 *49:8 *85:65 7.84103e-05 -68 *52:6 *85:65 0 -69 *63:8 *1237:B 0.000135722 -70 *66:6 *85:32 7.03663e-05 -71 *75:8 *85:65 4.34173e-05 -*RES -1 *1106:X *85:7 39.0979 -2 *85:7 *85:11 19.4296 -3 *85:11 *85:15 8.91467 -4 *85:15 *85:19 22.0532 -5 *85:19 *85:32 40.5643 -6 *85:32 *1232:B 23 -7 *85:19 *1231:B1_N 23 -8 *85:15 *85:43 17.4339 -9 *85:43 *1224:C1 23 -10 *85:43 *85:52 22.6643 -11 *85:52 *1222:B1 39.4707 -12 *85:52 *85:65 34.0779 -13 *85:65 *1107:S 23 -14 *85:11 *1228:A 46.3211 -15 *85:7 *1237:B 43.0543 -*END - -*D_NET *86 0.000875213 -*CONN -*I *1108:A I *D sky130_fd_sc_hd__buf_2 -*I *1107:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1108:A 4.99886e-05 -2 *1107:X 0.000230609 -3 *86:8 0.000280597 -4 *1108:A *254:58 9.84851e-05 -5 *86:8 *253:8 0 -6 *86:8 *264:14 0.000147633 -7 *72:8 *86:8 0 -8 *80:8 *86:8 6.79006e-05 -*RES -1 *1107:X *86:8 42.8886 -2 *86:8 *1108:A 39.0979 -*END - -*D_NET *87 0.00157005 -*CONN -*I *1110:A I *D sky130_fd_sc_hd__buf_2 -*I *1109:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1110:A 0.000237225 -2 *1109:X 0.000184837 -3 *87:8 0.000422063 -4 *87:8 *95:8 0.000210512 -5 *423:DIODE *1110:A 0.000141095 -6 *1315:A *1110:A 5.86557e-05 -7 *1366:RESET_B *87:8 1.59094e-05 -8 *7:28 *1110:A 0.000149886 -9 *39:16 *1110:A 0.000142173 -10 *39:27 *87:8 7.69256e-06 -*RES -1 *1109:X *87:8 41.5836 -2 *87:8 *1110:A 43.8829 -*END - -*D_NET *88 0.00158829 -*CONN -*I *1112:A I *D sky130_fd_sc_hd__buf_2 -*I *1111:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1112:A 0 -2 *1111:X 0.000476355 -3 *88:8 0.000476355 -4 *88:8 *95:7 5.67058e-05 -5 *88:8 *102:8 0 -6 *88:8 *253:8 0.000137061 -7 *88:8 *253:24 0 -8 *88:8 *254:48 0.000105796 -9 *88:8 *254:58 0.000109047 -10 *88:8 *258:12 8.62876e-05 -11 *80:8 *88:8 0 -12 *82:8 *88:8 0.000140684 -*RES -1 *1111:X *88:8 48.9786 -2 *88:8 *1112:A 38 -*END - -*D_NET *89 0.000715164 -*CONN -*I *1114:A I *D sky130_fd_sc_hd__buf_2 -*I *1113:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1114:A 0 -2 *1113:X 0.000150056 -3 *89:5 0.000150056 -4 *89:5 *90:13 0.000415052 -*RES -1 *1113:X *89:5 27.8161 -2 *89:5 *1114:A 23 -*END - -*D_NET *90 0.0112948 -*CONN -*I *1230:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1225:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1220:C I *D sky130_fd_sc_hd__and3_2 -*I *1232:A I *D sky130_fd_sc_hd__nand3_2 -*I *1145:A I *D sky130_fd_sc_hd__nor2_2 -*I *1144:A I *D sky130_fd_sc_hd__nand2_2 -*I *1116:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1115:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1230:B 2.5849e-05 -2 *1225:A2 0.000241122 -3 *1220:C 0 -4 *1232:A 1.57207e-05 -5 *1145:A 0.000547958 -6 *1144:A 0 -7 *1116:A0 0.000134371 -8 *1115:X 0 -9 *90:71 0.000483968 -10 *90:68 0.00059478 -11 *90:52 0.000503153 -12 *90:31 0.00111072 -13 *90:22 0.00174958 -14 *90:13 0.00147213 -15 *90:4 0.00140821 -16 *1116:A0 *260:33 3.05299e-05 -17 *1145:A *1135:A2 0.000441517 -18 *1145:A *97:12 9.28636e-05 -19 *1225:A2 *1225:A1 8.68925e-05 -20 *1225:A2 *191:8 0 -21 *1225:A2 *254:84 6.9805e-05 -22 *1225:A2 *256:15 4.94134e-05 -23 *1225:A2 *257:32 0.000181125 -24 *1230:B *191:20 2.4754e-05 -25 *90:13 *1113:A0 5.2894e-05 -26 *90:13 *1115:A 4.73208e-05 -27 *90:13 *1340:A 0.000187129 -28 *90:31 *1144:B 6.97794e-05 -29 *90:31 *1177:A1 0.000346625 -30 *90:31 *1177:A2 5.61255e-06 -31 *90:31 *118:8 2.4754e-05 -32 *90:31 *260:5 5.41248e-06 -33 *90:31 *260:7 1.74025e-05 -34 *90:52 *1115:A 1.3523e-05 -35 *90:68 *1232:C 5.50049e-05 -36 *90:68 *191:20 0.000154431 -37 *90:71 *1230:A 4.3407e-05 -38 *90:71 *191:8 0 -39 *90:71 *256:15 7.03421e-05 -40 *1177:B1 *90:31 0.000128391 -41 *1363:RESET_B *90:31 1.22775e-06 -42 *39:16 *1145:A 0.000209729 -43 *39:16 *90:22 0.000139647 -44 *58:6 *1145:A 0 -45 *58:6 *90:22 0 -46 *78:8 *1145:A 2.93747e-05 -47 *79:8 *90:13 1.32939e-05 -48 *89:5 *90:13 0.000415052 -*RES -1 *1115:X *90:4 23 -2 *90:4 *90:13 21.315 -3 *90:13 *1116:A0 25.0507 -4 *90:13 *90:22 16.9057 -5 *90:22 *90:31 31.8821 -6 *90:31 *1144:A 23 -7 *90:22 *1145:A 48.7714 -8 *90:4 *90:52 3.02429 -9 *90:52 *1232:A 23.4971 -10 *90:52 *90:68 7.62286 -11 *90:68 *90:71 19.7229 -12 *90:71 *1220:C 38 -13 *90:71 *1225:A2 43.7171 -14 *90:68 *1230:B 23.6214 -*END - -*D_NET *91 0.00274844 -*CONN -*I *1117:A I *D sky130_fd_sc_hd__buf_2 -*I *1116:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1117:A 0.000186644 -2 *1116:X 0.00065961 -3 *91:8 0.000846254 -4 *1117:A *1147:B 0.00014196 -5 *1117:A *1147:C 4.9662e-05 -6 *91:8 *1177:A2 0 -7 *91:8 *1368:A 1.94693e-05 -8 *91:8 *254:16 0 -9 *91:8 *260:23 2.12347e-06 -10 clockp[1] *91:8 4.04722e-05 -11 *6:10 *91:8 0.000181589 -12 *6:15 *91:8 0.000138718 -13 *78:8 *1117:A 0.000481937 -*RES -1 *1116:X *91:8 48.2329 -2 *91:8 *1117:A 43.8829 -*END - -*D_NET *92 0.0111159 -*CONN -*I *1284:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1306:A I *D sky130_fd_sc_hd__nand2_2 -*I *1295:A I *D sky130_fd_sc_hd__nand3_2 -*I *1310:A I *D sky130_fd_sc_hd__nand3_2 -*I *1313:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1198:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1201:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1118:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1284:A1 0 -2 *1306:A 0.000255887 -3 *1295:A 0 -4 *1310:A 0 -5 *1313:B2 2.01132e-05 -6 *1198:A 0.000148992 -7 *1201:A1 0.000308469 -8 *1118:X 0.000103715 -9 *92:67 0.00187064 -10 *92:54 0.00176246 -11 *92:43 0.000470601 -12 *92:30 0.000588397 -13 *92:8 0.000605545 -14 *92:7 0.000497188 -15 *1198:A *1199:B 5.50049e-05 -16 *1198:A *284:33 0.000144067 -17 *1201:A1 *1201:A2 4.07902e-05 -18 *1201:A1 *1201:B1 5.70419e-05 -19 *1201:A1 *157:13 0 -20 *1201:A1 *171:21 4.6318e-06 -21 *1201:A1 *174:6 6.63698e-05 -22 *1201:A1 *276:8 0 -23 *1306:A *1284:A2 6.94064e-05 -24 *1306:A *1285:A_N 5.50106e-05 -25 *1306:A *1306:B 5.3304e-05 -26 *1306:A *146:5 0.000131872 -27 *1306:A *170:39 6.72184e-06 -28 *1306:A *229:6 0.000134012 -29 *1306:A *273:38 1.98354e-05 -30 *1313:B2 *1313:B1 5.5792e-05 -31 *1313:B2 *139:10 1.84831e-05 -32 *92:7 *275:30 0.000140259 -33 *92:8 *171:21 0.000105165 -34 *92:8 *172:9 0.000216721 -35 *92:8 *174:6 4.99753e-06 -36 *92:30 *1211:A 0 -37 *92:30 *139:10 0 -38 *92:30 *139:83 8.9728e-05 -39 *92:30 *272:23 4.41821e-06 -40 *92:43 *1310:B 2.31765e-05 -41 *92:43 *139:83 0.000282928 -42 *92:43 *209:10 0 -43 *92:43 *272:23 4.78027e-05 -44 *92:54 *1310:B 4.77164e-06 -45 *92:54 *209:10 4.53527e-05 -46 *92:54 *247:6 9.1813e-05 -47 *92:67 *1253:A1 2.05503e-05 -48 *92:67 *164:12 0.000374819 -49 *92:67 *170:39 0.00091471 -50 *92:67 *221:24 0 -51 *92:67 *247:6 2.36804e-05 -52 *92:67 *273:38 9.85586e-06 -53 *92:67 *301:13 0 -54 *92:67 *317:21 0.000181118 -55 *92:67 *372:13 0.000367817 -56 *26:21 *92:43 8.2119e-05 -57 *26:31 *92:54 3.93904e-06 -58 *29:8 *1201:A1 7.03317e-05 -59 *29:8 *92:8 2.36844e-05 -60 *29:8 *92:30 9.02267e-05 -61 *37:18 *1306:A 0.000351519 -*RES -1 *1118:X *92:7 39.5743 -2 *92:7 *92:8 4.01857 -3 *92:8 *1201:A1 44.96 -4 *92:8 *1198:A 41.48 -5 *92:7 *92:30 5.075 -6 *92:30 *1313:B2 38.6214 -7 *92:30 *92:43 6.54571 -8 *92:43 *1310:A 38 -9 *92:43 *92:54 3.37643 -10 *92:54 *1295:A 38 -11 *92:54 *92:67 21.773 -12 *92:67 *1306:A 45.0636 -13 *92:67 *1284:A1 38 -*END - -*D_NET *93 0.0128668 -*CONN -*I *1252:A I *D sky130_fd_sc_hd__nor2_2 -*I *1287:A I *D sky130_fd_sc_hd__nand2_2 -*I *1245:B I *D sky130_fd_sc_hd__and3_2 -*I *1182:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1184:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1243:B I *D sky130_fd_sc_hd__nor2_2 -*I *1256:A I *D sky130_fd_sc_hd__and2_2 -*I *1119:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1252:A 0 -2 *1287:A 0.000164308 -3 *1245:B 0.000549992 -4 *1182:A1 0 -5 *1184:A 0 -6 *1243:B 0.000139595 -7 *1256:A 0 -8 *1119:Y 0 -9 *93:90 0.000274814 -10 *93:70 0.000949341 -11 *93:55 0.00165659 -12 *93:48 0.000638721 -13 *93:42 0.000184638 -14 *93:35 0.000274787 -15 *93:30 0.000831386 -16 *93:8 0.000587559 -17 *93:4 0.000862266 -18 *1243:B *1284:B1 5.3304e-05 -19 *1243:B *162:63 0.000106713 -20 *1243:B *212:13 5.58473e-05 -21 *1243:B *230:8 3.43537e-05 -22 *1243:B *275:58 9.28078e-06 -23 *1243:B *275:67 5.3304e-05 -24 *1245:B *275:42 0.0002246 -25 *1287:A *1298:A1 6.9805e-05 -26 *1287:A *1450:TE 0 -27 *1287:A *214:33 0.000181131 -28 *1287:A *240:5 0.000139352 -29 *1287:A *240:20 8.43466e-05 -30 *93:8 *1283:C1 0.000117654 -31 *93:8 *137:47 2.32004e-05 -32 *93:8 *137:56 5.3304e-05 -33 *93:8 *162:63 0.000163361 -34 *93:8 *228:6 2.54046e-05 -35 *93:8 *230:8 2.76611e-06 -36 *93:8 *274:34 3.26888e-06 -37 *93:30 *1245:C 1.11087e-05 -38 *93:30 *142:17 4.5051e-05 -39 *93:30 *145:5 0.000195477 -40 *93:30 *145:15 3.18198e-05 -41 *93:30 *145:24 0.000213118 -42 *93:30 *274:20 0.000184405 -43 *93:30 *274:30 9.30054e-05 -44 *93:30 *274:34 2.75275e-06 -45 *93:35 *155:10 0.000345034 -46 *93:35 *163:57 4.60157e-05 -47 *93:35 *181:8 0.00011002 -48 *93:35 *274:20 0.000110907 -49 *93:35 *275:30 2.44132e-05 -50 *93:42 *1182:A2 2.31875e-05 -51 *93:42 *142:17 4.05745e-05 -52 *93:42 *145:24 5.50106e-05 -53 *93:55 *1166:A 2.82089e-05 -54 *93:55 *140:8 3.33643e-05 -55 *93:55 *162:74 0.000209216 -56 *93:55 *211:40 0 -57 *93:55 *234:11 8.18889e-05 -58 *93:55 *234:29 3.11378e-05 -59 *93:55 *236:8 0 -60 *93:55 *271:25 0.000207753 -61 *93:55 *271:31 1.09547e-05 -62 *93:55 *294:18 8.62783e-05 -63 *93:70 *1292:B2 7.44517e-05 -64 *93:70 *1297:B 7.59932e-06 -65 *93:70 *162:74 0.000261868 -66 *93:70 *163:91 8.4047e-05 -67 *93:70 *214:33 6.26298e-05 -68 *93:70 *233:11 6.49733e-05 -69 *93:70 *233:61 0.000105752 -70 *93:70 *234:29 0.000107823 -71 *93:70 *330:9 0.000515898 -72 *93:90 *1308:A2 0.000178517 -73 *93:90 *1314:B1 5.796e-05 -74 *93:90 *156:8 2.33679e-05 -75 *93:90 *198:41 0.000134602 -76 *93:90 *198:58 5.04383e-05 -77 *93:90 *294:23 6.82582e-05 -78 *5:113 *93:35 9.23705e-06 -79 *19:10 *93:70 3.73877e-05 -80 *30:23 *1245:B 0.000200891 -81 *30:28 *93:90 8.54365e-05 -*RES -1 *1119:Y *93:4 23 -2 *93:4 *93:8 22.1671 -3 *93:8 *1256:A 38 -4 *93:8 *1243:B 41.2314 -5 *93:4 *93:30 37.8093 -6 *93:30 *93:35 36.1314 -7 *93:35 *1184:A 23 -8 *93:30 *93:42 2.54786 -9 *93:42 *1182:A1 23 -10 *93:42 *93:48 0.621429 -11 *93:48 *93:55 22.6021 -12 *93:55 *1245:B 45.7679 -13 *93:55 *93:70 44.6036 -14 *93:70 *1287:A 42.4536 -15 *93:48 *93:90 36.38 -16 *93:90 *1252:A 23 -*END - -*D_NET *94 0.00143047 -*CONN -*I *1121:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1120:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1121:B 0 -2 *1120:Y 0.000383311 -3 *94:8 0.000383311 -4 *94:8 *1120:A 0.000374011 -5 *94:8 *252:13 5.67058e-05 -6 *94:8 *253:24 0 -7 *94:8 *262:8 0.000181023 -8 *59:8 *94:8 5.21127e-05 -*RES -1 *1120:Y *94:8 46.1614 -2 *94:8 *1121:B 38 -*END - -*D_NET *95 0.0047017 -*CONN -*I *1154:A2 I *D sky130_fd_sc_hd__a31o_2 -*I *1130:A I *D sky130_fd_sc_hd__and3_2 -*I *1131:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1121:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1154:A2 0.000112067 -2 *1130:A 7.35462e-05 -3 *1131:A1 2.84143e-05 -4 *1121:Y 0.000577092 -5 *95:23 0.000314776 -6 *95:8 0.00052118 -7 *95:7 0.000940695 -8 *1130:A *1139:A3 0.000100061 -9 *1130:A *103:7 7.72342e-05 -10 *1131:A1 *1127:B 9.67842e-05 -11 *1131:A1 *101:10 9.67842e-05 -12 *1154:A2 *1154:A3 5.50106e-05 -13 *1154:A2 *103:7 2.61408e-05 -14 *95:7 *1111:A0 0.000134053 -15 *95:7 *1138:B 7.42621e-05 -16 *95:7 *258:12 0.000141954 -17 *95:7 *262:44 1.05235e-05 -18 *95:8 *100:6 6.01445e-05 -19 *95:8 *100:17 2.24973e-05 -20 *95:8 *103:19 2.24366e-06 -21 *95:8 *112:6 0 -22 *95:8 *258:43 0.000110913 -23 *95:23 *1132:B 1.01436e-05 -24 *95:23 *100:17 0.000129793 -25 *95:23 *103:19 2.72445e-06 -26 *95:23 *105:8 8.4047e-05 -27 *1111:S *95:7 0.000135037 -28 *6:42 *95:7 3.85021e-05 -29 *39:16 *95:8 0.000317603 -30 *84:32 *95:7 0.000140259 -31 *87:8 *95:8 0.000210512 -32 *88:8 *95:7 5.67058e-05 -*RES -1 *1121:Y *95:7 49.1029 -2 *95:7 *95:8 8.24429 -3 *95:8 *1131:A1 39.0979 -4 *95:8 *95:23 17.6721 -5 *95:23 *1130:A 25.0714 -6 *95:23 *1154:A2 24.5743 -*END - -*D_NET *96 0.00432389 -*CONN -*I *1135:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1126:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1141:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1177:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1122:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1135:A1 0 -2 *1126:A1 0.000124587 -3 *1141:A 7.59721e-05 -4 *1177:A1 0.000361625 -5 *1122:X 0.000130611 -6 *96:27 0.000445576 -7 *96:7 0.000606643 -8 *96:5 0.000130611 -9 *1126:A1 *1126:B1 2.02517e-05 -10 *1126:A1 *1141:B 0.000399727 -11 *1126:A1 *254:34 0.000431097 -12 *1141:A *1141:B 9.94357e-05 -13 *1141:A *254:34 0.000185152 -14 *1177:A1 *1177:A2 0.000103647 -15 *1177:A1 *1368:A 0 -16 *1177:A1 *254:16 0 -17 *1177:A1 *260:7 0.000165806 -18 *96:5 *1135:A2 0.000402335 -19 *96:5 *1135:B1 0.000269496 -20 *96:5 *109:8 2.46906e-05 -21 *96:27 *1146:A 0 -22 *96:27 *254:16 0 -23 *78:8 *1177:A1 0 -24 *90:31 *1177:A1 0.000346625 -*RES -1 *1122:X *96:5 27.9093 -2 *96:5 *96:7 15 -3 *96:7 *1177:A1 47.7771 -4 *96:7 *96:27 19.495 -5 *96:27 *1141:A 25.5479 -6 *96:27 *1126:A1 28.4064 -7 *96:5 *1135:A1 23 -*END - -*D_NET *97 0.00472967 -*CONN -*I *1126:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *1141:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1135:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1123:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1126:A2 0 -2 *1141:B 0.000198439 -3 *1135:A2 0.000162502 -4 *1123:X 0.000494918 -5 *97:25 0.000408297 -6 *97:12 0.000492482 -7 *97:11 0.00061504 -8 *97:11 *1120:A 0.000143655 -9 *97:11 *252:13 0.00015892 -10 *97:11 *252:22 0.000320356 -11 *97:11 *260:44 1.14876e-05 -12 *97:12 *260:44 3.31683e-05 -13 *97:25 *99:18 0 -14 *97:25 *260:44 9.48381e-06 -15 *376:DIODE *1141:B 8.15864e-05 -16 *1126:A1 *1141:B 0.000399727 -17 *1141:A *1141:B 9.94357e-05 -18 *1145:A *1135:A2 0.000441517 -19 *1145:A *97:12 9.28636e-05 -20 *1363:RESET_B *97:11 3.3577e-05 -21 *39:16 *97:12 6.7177e-06 -22 *39:16 *97:25 7.77607e-05 -23 *58:6 *97:12 4.54033e-05 -24 *84:26 *97:25 0 -25 *96:5 *1135:A2 0.000402335 -*RES -1 *1123:X *97:11 48.7921 -2 *97:11 *97:12 2.96214 -3 *97:12 *1135:A2 43.8829 -4 *97:12 *97:25 18.6664 -5 *97:25 *1141:B 28.3857 -6 *97:25 *1126:A2 23 -*END - -*D_NET *98 0.00231021 -*CONN -*I *1137:B I *D sky130_fd_sc_hd__nor2_2 -*I *1126:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1124:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1137:B 0 -2 *1126:B1 0.000111147 -3 *1124:X 0.000202858 -4 *98:18 0.000161663 -5 *98:5 0.000475668 -6 *1126:B1 *254:34 0.000181919 -7 *1126:B1 *262:32 0 -8 *98:5 *1136:A 0.000230604 -9 *98:5 *1136:B 4.34745e-05 -10 *98:5 *254:34 4.17736e-05 -11 *98:5 *262:32 7.14334e-05 -12 *98:18 *110:6 1.42102e-05 -13 *98:18 *111:6 0.000215801 -14 *98:18 *254:45 0.000431861 -15 *98:18 *258:28 0 -16 *1126:A1 *1126:B1 2.02517e-05 -17 *84:26 *98:18 0.000107548 -*RES -1 *1124:X *98:5 27.9093 -2 *98:5 *1126:B1 25.5479 -3 *98:5 *98:18 36.1314 -4 *98:18 *1137:B 23 -*END - -*D_NET *99 0.00344936 -*CONN -*I *1126:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1135:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1125:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1126:C1 0 -2 *1135:B1 0.000235187 -3 *1125:X 0.00102321 -4 *99:18 0.000125978 -5 *99:5 0.00138437 -6 *1135:B1 *109:8 5.73288e-05 -7 *99:5 *1125:B 7.24484e-05 -8 *99:5 *255:22 9.85114e-05 -9 *99:5 *261:7 1.94693e-05 -10 *84:26 *99:18 0.000163361 -11 *96:5 *1135:B1 0.000269496 -12 *97:25 *99:18 0 -*RES -1 *1125:X *99:5 36.485 -2 *99:5 *1135:B1 28.2821 -3 *99:5 *99:18 32.2579 -4 *99:18 *1126:C1 23 -*END - -*D_NET *100 0.00345721 -*CONN -*I *1130:B I *D sky130_fd_sc_hd__and3_2 -*I *1154:A3 I *D sky130_fd_sc_hd__a31o_2 -*I *1131:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1126:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1130:B 0.000267927 -2 *1154:A3 1.80182e-05 -3 *1131:A2 5.58149e-05 -4 *1126:X 0.000349055 -5 *100:17 0.000438297 -6 *100:6 0.000557223 -7 *1130:B *1130:C 9.87385e-06 -8 *1130:B *1132:B 3.36741e-05 -9 *1130:B *103:7 0.000123766 -10 *1130:B *126:8 3.66996e-05 -11 *1130:B *128:6 3.70094e-05 -12 *1131:A2 *1127:B 6.47194e-05 -13 *1131:A2 *1153:B 2.32101e-05 -14 *1154:A3 *103:7 2.93845e-05 -15 *100:6 *258:28 2.76413e-05 -16 *100:6 *258:43 0.000108681 -17 *100:6 *263:8 0.000137754 -18 *100:6 *263:17 0.000179337 -19 *100:17 *1132:B 1.12487e-05 -20 *100:17 *101:10 0 -21 *100:17 *126:8 9.48381e-06 -22 *100:17 *127:8 0 -23 *100:17 *263:17 5.94125e-05 -24 *1154:A2 *1154:A3 5.50106e-05 -25 *7:41 *1131:A2 9.5877e-05 -26 *39:16 *100:6 0.000504929 -27 *61:8 *100:6 1.07299e-05 -28 *84:26 *100:6 0 -29 *84:32 *100:6 0 -30 *95:8 *100:6 6.01445e-05 -31 *95:8 *100:17 2.24973e-05 -32 *95:23 *100:17 0.000129793 -*RES -1 *1126:X *100:6 48.005 -2 *100:6 *1131:A2 39.595 -3 *100:6 *100:17 2.96214 -4 *100:17 *1154:A3 38.6214 -5 *100:17 *1130:B 42.5571 -*END - -*D_NET *101 0.00300235 -*CONN -*I *1152:A I *D sky130_fd_sc_hd__inv_2 -*I *1129:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1127:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1152:A 0.00034067 -2 *1129:A_N 0.000204792 -3 *1127:Y 0.000416631 -4 *101:10 0.000962093 -5 *1129:A_N *103:7 4.96717e-05 -6 *1152:A *102:8 3.70187e-05 -7 *1152:A *126:8 9.8971e-06 -8 *1152:A *129:21 0 -9 *1152:A *132:8 3.92407e-05 -10 *1152:A *133:7 8.57986e-05 -11 *1152:A *135:8 0 -12 *101:10 *1127:B 0.000124126 -13 *101:10 *1153:B 0.000272383 -14 *101:10 *102:8 0.000139657 -15 *101:10 *103:19 5.56356e-05 -16 *101:10 *105:8 5.56279e-05 -17 *101:10 *127:8 0.000112326 -18 *1131:A1 *101:10 9.67842e-05 -19 *100:17 *101:10 0 -*RES -1 *1127:Y *101:10 47.6943 -2 *101:10 *1129:A_N 41.5007 -3 *101:10 *1152:A 44.5664 -*END - -*D_NET *102 0.000983711 -*CONN -*I *1129:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1128:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1129:B 0 -2 *1128:Y 0.000362194 -3 *102:8 0.000362194 -4 *102:8 *1153:A 0 -5 *102:8 *248:5 8.26478e-05 -6 *102:8 *254:58 0 -7 *102:8 *258:35 0 -8 *1152:A *102:8 3.70187e-05 -9 *88:8 *102:8 0 -10 *101:10 *102:8 0.000139657 -*RES -1 *1128:Y *102:8 45.0014 -2 *102:8 *1129:B 38 -*END - -*D_NET *103 0.00158512 -*CONN -*I *1131:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1130:C I *D sky130_fd_sc_hd__and3_2 -*I *1129:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1131:B1 0 -2 *1130:C 1.9209e-05 -3 *1129:Y 0.000353805 -4 *103:19 0.00014821 -5 *103:7 0.000521224 -6 *1130:C *1139:A3 5.56279e-05 -7 *103:7 *1139:A2 1.11037e-05 -8 *103:7 *1139:A3 9.78729e-06 -9 *103:19 *1127:B 5.79559e-05 -10 *103:19 *1132:B 5.44889e-06 -11 *103:19 *105:8 2.04854e-05 -12 *103:19 *112:6 0 -13 *103:19 *258:49 5.58975e-06 -14 *1129:A_N *103:7 4.96717e-05 -15 *1130:A *103:7 7.72342e-05 -16 *1130:B *1130:C 9.87385e-06 -17 *1130:B *103:7 0.000123766 -18 *1154:A2 *103:7 2.61408e-05 -19 *1154:A3 *103:7 2.93845e-05 -20 *95:8 *103:19 2.24366e-06 -21 *95:23 *103:19 2.72445e-06 -22 *101:10 *103:19 5.56356e-05 -*RES -1 *1129:Y *103:7 30.6643 -2 *103:7 *1130:C 23.6214 -3 *103:7 *103:19 32.8793 -4 *103:19 *1131:B1 23 -*END - -*D_NET *104 0.00167103 -*CONN -*I *1132:A I *D sky130_fd_sc_hd__nor2_2 -*I *1139:A2 I *D sky130_fd_sc_hd__o32a_2 -*I *1130:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1132:A 0 -2 *1139:A2 0.000267952 -3 *1130:X 0 -4 *104:17 0.000181552 -5 *104:4 0.000449503 -6 *1139:A2 *1139:A1 5.50049e-05 -7 *1139:A2 *1139:A3 0.000308221 -8 *1139:A2 *112:9 0.000225226 -9 *104:17 *106:5 5.40337e-05 -10 *1160:A1 *104:17 0.000118435 -11 *1179:A1 *104:17 0 -12 *103:7 *1139:A2 1.11037e-05 -*RES -1 *1130:X *104:4 23 -2 *104:4 *1139:A2 30.54 -3 *104:4 *104:17 33.3557 -4 *104:17 *1132:A 23 -*END - -*D_NET *105 0.00331581 -*CONN -*I *1132:B I *D sky130_fd_sc_hd__nor2_2 -*I *1139:A3 I *D sky130_fd_sc_hd__o32a_2 -*I *1131:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1132:B 0.000315792 -2 *1139:A3 0.000719307 -3 *1131:Y 9.80768e-05 -4 *105:8 0.00113318 -5 *1132:B *112:6 0 -6 *1132:B *128:6 0.000212621 -7 *1132:B *153:6 0 -8 *1139:A3 *1139:A1 2.4754e-05 -9 *1139:A3 *1140:A 1.37232e-05 -10 *1139:A3 *284:59 1.24376e-05 -11 *1130:A *1139:A3 0.000100061 -12 *1130:B *1132:B 3.36741e-05 -13 *1130:C *1139:A3 5.56279e-05 -14 *1139:A2 *1139:A3 0.000308221 -15 *1160:A1 *1132:B 9.15534e-05 -16 *1179:A1 *1132:B 0 -17 *95:23 *1132:B 1.01436e-05 -18 *95:23 *105:8 8.4047e-05 -19 *100:17 *1132:B 1.12487e-05 -20 *101:10 *105:8 5.56279e-05 -21 *103:7 *1139:A3 9.78729e-06 -22 *103:19 *1132:B 5.44889e-06 -23 *103:19 *105:8 2.04854e-05 -*RES -1 *1131:Y *105:8 39.8229 -2 *105:8 *1139:A3 48.8129 -3 *105:8 *1132:B 43.9657 -*END - -*D_NET *106 0.00340567 -*CONN -*I *1179:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1150:A2 I *D sky130_fd_sc_hd__o2111a_2 -*I *1157:A2 I *D sky130_fd_sc_hd__o21ba_2 -*I *1132:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1179:A2 6.20432e-05 -2 *1150:A2 0 -3 *1157:A2 0 -4 *1132:Y 0.000314552 -5 *106:20 0.000151617 -6 *106:13 0.000718844 -7 *106:5 0.000943822 -8 *1179:A2 *1161:B1 1.59094e-05 -9 *1179:A2 *1179:C1 1.56273e-05 -10 *1179:A2 *134:5 3.7122e-06 -11 *106:5 *134:5 2.62604e-05 -12 *106:13 *1161:A2 9.03024e-05 -13 *106:13 *1161:B1 2.07242e-05 -14 *106:13 *1179:C1 0.00030191 -15 *106:13 *123:9 0.000171296 -16 *106:13 *124:8 8.4047e-05 -17 *106:13 *131:8 0.000194318 -18 *106:20 *1157:B1_N 0 -19 *106:20 *123:9 5.67058e-05 -20 *106:20 *131:8 0 -21 *374:DIODE *106:20 4.6318e-06 -22 *1160:A1 *106:5 4.57719e-05 -23 *1179:A1 *106:5 6.39489e-06 -24 *9:31 *106:20 9.87486e-05 -25 *10:21 *106:13 2.43944e-05 -26 *104:17 *106:5 5.40337e-05 -*RES -1 *1132:Y *106:5 27.4329 -2 *106:5 *106:13 40.0464 -3 *106:13 *106:20 33.2314 -4 *106:20 *1157:A2 23 -5 *106:13 *1150:A2 23 -6 *106:5 *1179:A2 24.6157 -*END - -*D_NET *107 0.00124081 -*CONN -*I *1139:A1 I *D sky130_fd_sc_hd__o32a_2 -*I *1133:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1139:A1 4.97558e-05 -2 *1133:Y 0.000342846 -3 *107:8 0.000392602 -4 *1139:A1 *1140:B 9.67842e-05 -5 *1139:A1 *112:9 8.43466e-05 -6 *1139:A1 *284:59 3.34129e-05 -7 *107:8 *1161:B1 5.3304e-05 -8 *107:8 *1179:C1 8.06804e-06 -9 *107:8 *112:26 1.58805e-05 -10 *107:8 *113:10 8.4047e-05 -11 *107:8 *123:9 0 -12 *1139:A2 *1139:A1 5.50049e-05 -13 *1139:A3 *1139:A1 2.4754e-05 -*RES -1 *1133:Y *107:8 42.9093 -2 *107:8 *1139:A1 40.0507 -*END - -*D_NET *108 0.00114835 -*CONN -*I *1139:B1 I *D sky130_fd_sc_hd__o32a_2 -*I *1140:A I *D sky130_fd_sc_hd__nand2_2 -*I *1134:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1139:B1 0 -2 *1140:A 8.53166e-05 -3 *1134:Y 0.000264229 -4 *108:8 8.53166e-05 -5 *108:6 0.000264229 -6 *1140:A *1140:B 6.46901e-05 -7 *1140:A *114:8 1.32939e-05 -8 *1140:A *284:59 0.000228903 -9 *108:6 *112:26 1.27424e-05 -10 *108:6 *123:9 0 -11 *108:6 *254:8 8.62783e-05 -12 *108:6 *284:59 2.96281e-05 -13 *1139:A3 *1140:A 1.37232e-05 -14 *11:10 *108:6 0 -*RES -1 *1134:Y *108:6 42.7229 -2 *108:6 *108:8 15 -3 *108:8 *1140:A 26.5214 -4 *108:8 *1139:B1 23 -*END - -*D_NET *109 0.00100848 -*CONN -*I *1138:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1135:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1138:A 0 -2 *1135:Y 0.000276678 -3 *109:8 0.000276678 -4 *1135:B1 *109:8 5.73288e-05 -5 *39:16 *109:8 0.000373109 -6 *96:5 *109:8 2.46906e-05 -*RES -1 *1135:Y *109:8 44.1729 -2 *109:8 *1138:A 38 -*END - -*D_NET *110 0.000885849 -*CONN -*I *1137:A I *D sky130_fd_sc_hd__nor2_2 -*I *1136:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1137:A 0 -2 *1136:Y 0.00014683 -3 *110:6 0.00014683 -4 *110:6 *253:24 0.000143244 -5 *110:6 *254:45 0.000348456 -6 *110:6 *262:44 8.62783e-05 -7 *98:18 *110:6 1.42102e-05 -*RES -1 *1136:Y *110:6 43.075 -2 *110:6 *1137:A 38 -*END - -*D_NET *111 0.00113493 -*CONN -*I *1138:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1137:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1138:B 9.15485e-05 -2 *1137:Y 0.000128523 -3 *111:6 0.000220071 -4 *1111:S *1138:B 4.08664e-05 -5 *6:42 *1138:B 0.000187129 -6 *84:26 *111:6 3.47781e-05 -7 *84:32 *1138:B 0.000141954 -8 *84:32 *111:6 0 -9 *95:7 *1138:B 7.42621e-05 -10 *98:18 *111:6 0.000215801 -*RES -1 *1137:Y *111:6 40.9621 -2 *111:6 *1138:B 41.48 -*END - -*D_NET *112 0.00354373 -*CONN -*I *1139:B2 I *D sky130_fd_sc_hd__o32a_2 -*I *1140:B I *D sky130_fd_sc_hd__nand2_2 -*I *1138:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1139:B2 0 -2 *1140:B 0.000115632 -3 *1138:Y 0.000580087 -4 *112:26 9.95452e-05 -5 *112:9 0.000433596 -6 *112:6 0.000798506 -7 *1140:B *113:10 0.000139352 -8 *1140:B *114:8 0.00010018 -9 *1140:B *284:59 7.44161e-06 -10 *112:6 *152:10 0 -11 *112:6 *258:49 2.46933e-05 -12 *112:6 *284:45 0 -13 *112:9 *113:10 0.000178517 -14 *112:9 *113:31 0.000170388 -15 clockp[1] *112:6 0 -16 *376:DIODE *112:6 0.00021287 -17 *1132:B *112:6 0 -18 *1139:A1 *1140:B 9.67842e-05 -19 *1139:A1 *112:9 8.43466e-05 -20 *1139:A2 *112:9 0.000225226 -21 *1140:A *1140:B 6.46901e-05 -22 *1179:A1 *112:6 8.54068e-05 -23 *7:41 *112:6 6.15777e-05 -24 *11:10 *112:26 3.62714e-05 -25 *81:6 *112:6 0 -26 *95:8 *112:6 0 -27 *103:19 *112:6 0 -28 *107:8 *112:26 1.58805e-05 -29 *108:6 *112:26 1.27424e-05 -*RES -1 *1138:Y *112:6 49.7657 -2 *112:6 *112:9 20.8621 -3 *112:9 *1140:B 26.8529 -4 *112:9 *112:26 31.9679 -5 *112:26 *1139:B2 23 -*END - -*D_NET *113 0.00332296 -*CONN -*I *1179:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1157:B1_N I *D sky130_fd_sc_hd__o21ba_2 -*I *1150:B1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1139:X O *D sky130_fd_sc_hd__o32a_2 -*CAP -1 *1179:B1 0 -2 *1157:B1_N 0.000148205 -3 *1150:B1 1.60486e-05 -4 *1139:X 0 -5 *113:31 0.000229747 -6 *113:10 0.000668579 -7 *113:4 0.000734073 -8 *1150:B1 *123:9 9.84794e-05 -9 *1157:B1_N *131:8 9.68826e-05 -10 *113:10 *1150:C1 0.000114092 -11 *113:10 *1161:A2 0 -12 *113:10 *114:8 0.000192077 -13 *113:10 *131:8 0.000193398 -14 *113:31 *134:19 6.48142e-05 -15 *113:31 *284:45 4.90891e-05 -16 *1140:B *113:10 0.000139352 -17 *1179:A1 *113:31 4.49946e-05 -18 *9:20 *1157:B1_N 0 -19 *9:20 *113:10 0 -20 *9:31 *1157:B1_N 0 -21 *10:21 *1150:B1 0.00010018 -22 *11:10 *113:10 0 -23 *106:20 *1157:B1_N 0 -24 *107:8 *113:10 8.4047e-05 -25 *112:9 *113:10 0.000178517 -26 *112:9 *113:31 0.000170388 -*RES -1 *1139:X *113:4 23 -2 *113:4 *113:10 23.8243 -3 *113:10 *1150:B1 39.0979 -4 *113:10 *1157:B1_N 40.9621 -5 *113:4 *113:31 34.3086 -6 *113:31 *1179:B1 23 -*END - -*D_NET *114 0.00191902 -*CONN -*I *1150:C1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1179:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1140:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1150:C1 6.23254e-05 -2 *1179:C1 0.000245563 -3 *1140:Y 0.000202668 -4 *114:8 0.000510556 -5 *1179:C1 *1161:A2 5.52051e-05 -6 *1179:C1 *1161:B1 9.74523e-05 -7 *114:8 *123:9 0 -8 *1140:A *114:8 1.32939e-05 -9 *1140:B *114:8 0.00010018 -10 *1179:A2 *1179:C1 1.56273e-05 -11 *9:20 *1150:C1 0 -12 *9:20 *114:8 0 -13 *106:13 *1179:C1 0.00030191 -14 *107:8 *1179:C1 8.06804e-06 -15 *113:10 *1150:C1 0.000114092 -16 *113:10 *114:8 0.000192077 -*RES -1 *1140:Y *114:8 42.1843 -2 *114:8 *1179:C1 43.4064 -3 *114:8 *1150:C1 39.5536 -*END - -*D_NET *115 0.00219369 -*CONN -*I *1143:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1148:B I *D sky130_fd_sc_hd__and2_2 -*I *1141:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1143:A_N 0 -2 *1148:B 0 -3 *1141:Y 0.000226712 -4 *115:13 0.000390337 -5 *115:5 0.000617049 -6 *115:5 *117:10 1.32314e-05 -7 *115:5 *254:13 0.000135297 -8 *115:13 *1149:A1 0.000212811 -9 *115:13 *1178:A_N 7.54063e-05 -10 *115:13 *116:6 0 -11 *115:13 *117:10 0.000224685 -12 *115:13 *122:8 9.30466e-05 -13 *115:13 *254:8 4.54723e-05 -14 *115:13 *254:13 1.44813e-05 -15 *1142:A *115:13 0 -16 *7:19 *115:13 0 -17 *7:28 *115:13 0.000145163 -*RES -1 *1141:Y *115:5 26.9564 -2 *115:5 *115:13 40.44 -3 *115:13 *1148:B 23 -4 *115:5 *1143:A_N 23 -*END - -*D_NET *116 0.000207335 -*CONN -*I *1143:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1142:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1143:B 0 -2 *1142:Y 0.000103667 -3 *116:6 0.000103667 -4 *115:13 *116:6 0 -*RES -1 *1142:Y *116:6 39.9057 -2 *116:6 *1143:B 38 -*END - -*D_NET *117 0.00144442 -*CONN -*I *1149:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:B I *D sky130_fd_sc_hd__and4b_2 -*I *1143:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1149:A1 0.000139999 -2 *1178:B 7.70667e-05 -3 *1143:Y 0.000154655 -4 *117:10 0.000371721 -5 *1149:A1 *1149:A2 5.3304e-05 -6 *1149:A1 *254:13 0.000111814 -7 *117:10 *254:13 8.51329e-05 -8 *115:5 *117:10 1.32314e-05 -9 *115:13 *1149:A1 0.000212811 -10 *115:13 *117:10 0.000224685 -*RES -1 *1143:Y *117:10 41.8529 -2 *117:10 *1178:B 39.0979 -3 *117:10 *1149:A1 42.06 -*END - -*D_NET *118 0.000910137 -*CONN -*I *1147:B I *D sky130_fd_sc_hd__nand3b_2 -*I *1144:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1147:B 5.72657e-05 -2 *1144:Y 0.000286093 -3 *118:8 0.000343359 -4 *118:8 *1144:B 5.67058e-05 -5 *118:8 *151:8 0 -6 *1117:A *1147:B 0.00014196 -7 *90:31 *118:8 2.4754e-05 -*RES -1 *1144:Y *118:8 42.3086 -2 *118:8 *1147:B 39.5743 -*END - -*D_NET *119 0.00200538 -*CONN -*I *1146:A I *D sky130_fd_sc_hd__inv_2 -*I *1177:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1145:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1146:A 0.000225883 -2 *1177:A2 0.000193533 -3 *1145:Y 0 -4 *119:5 0.000419417 -5 *1146:A *254:16 0.000141879 -6 *1177:A2 *1144:B 0.000225393 -7 *1177:A2 *254:16 0.000215791 -8 *1177:A2 *260:7 4.17736e-05 -9 *1177:A2 *260:23 0.000136486 -10 clockp[1] *1146:A 0.000182065 -11 clockp[1] *1177:A2 2.95125e-05 -12 *376:DIODE *1146:A 0 -13 *1177:A1 *1177:A2 0.000103647 -14 *1177:B1 *1177:A2 2.93747e-05 -15 *6:42 *1146:A 5.50106e-05 -16 *90:31 *1177:A2 5.61255e-06 -17 *91:8 *1177:A2 0 -18 *96:27 *1146:A 0 -*RES -1 *1145:Y *119:5 38 -2 *119:5 *1177:A2 44.4629 -3 *119:5 *1146:A 43.6964 -*END - -*D_NET *120 0.00151464 -*CONN -*I *1147:C I *D sky130_fd_sc_hd__nand3b_2 -*I *1146:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1147:C 8.86099e-05 -2 *1146:Y 0.000288775 -3 *120:8 0.000377385 -4 clockp[1] *120:8 0.000171951 -5 *1117:A *1147:C 4.9662e-05 -6 *6:8 *120:8 0.000177808 -7 *6:42 *120:8 0.000360452 -*RES -1 *1146:Y *120:8 44.7736 -2 *120:8 *1147:C 39.595 -*END - -*D_NET *121 0.00196733 -*CONN -*I *1149:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:C I *D sky130_fd_sc_hd__and4b_2 -*I *1147:Y O *D sky130_fd_sc_hd__nand3b_2 -*CAP -1 *1149:A2 0.000297509 -2 *1178:C 0 -3 *1147:Y 0.000135531 -4 *121:6 0.000433039 -5 *1149:A2 *151:8 3.92407e-05 -6 *1149:A2 *254:8 6.33771e-05 -7 *1149:A2 *254:13 0.000296176 -8 *121:6 *151:8 0.000293914 -9 *121:6 *254:13 0.000190591 -10 *375:DIODE *1149:A2 0.000164652 -11 *1149:A1 *1149:A2 5.3304e-05 -*RES -1 *1147:Y *121:6 42.0186 -2 *121:6 *1178:C 38 -3 *121:6 *1149:A2 45.2707 -*END - -*D_NET *122 0.00115346 -*CONN -*I *1149:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:A_N I *D sky130_fd_sc_hd__and4b_2 -*I *1148:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1149:B1 0 -2 *1178:A_N 0.0001776 -3 *1148:X 0.000132571 -4 *122:8 0.00031017 -5 *1142:A *1178:A_N 7.7588e-05 -6 *7:19 *1178:A_N 0.000155292 -7 *7:19 *122:8 0.000131785 -8 *115:13 *1178:A_N 7.54063e-05 -9 *115:13 *122:8 9.30466e-05 -*RES -1 *1148:X *122:8 41.0036 -2 *122:8 *1178:A_N 42.5364 -3 *122:8 *1149:B1 38 -*END - -*D_NET *123 0.00275238 -*CONN -*I *1150:D1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1149:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1150:D1 0 -2 *1149:X 0 -3 *123:9 0.000767587 -4 *123:5 0.000767587 -5 *123:9 *254:8 0 -6 *1150:B1 *123:9 9.84794e-05 -7 *7:19 *123:9 0.000192177 -8 *9:10 *123:9 0.000284906 -9 *9:20 *123:9 6.28695e-05 -10 *9:31 *123:9 7.75329e-06 -11 *10:10 *123:9 0.000180019 -12 *10:21 *123:9 0.000163005 -13 *106:13 *123:9 0.000171296 -14 *106:20 *123:9 5.67058e-05 -15 *107:8 *123:9 0 -16 *108:6 *123:9 0 -17 *114:8 *123:9 0 -*RES -1 *1149:X *123:5 38 -2 *123:5 *123:9 33.3114 -3 *123:9 *1150:D1 23 -*END - -*D_NET *124 0.000533216 -*CONN -*I *1161:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1150:X O *D sky130_fd_sc_hd__o2111a_2 -*CAP -1 *1161:A1 0 -2 *1150:X 0.000117151 -3 *124:8 0.000117151 -4 *124:8 *1161:A2 0.000135547 -5 *124:8 *131:8 2.60152e-05 -6 *10:21 *124:8 5.3304e-05 -7 *106:13 *124:8 8.4047e-05 -*RES -1 *1150:X *124:8 40.5271 -2 *124:8 *1161:A1 38 -*END - -*D_NET *125 0.00104688 -*CONN -*I *1155:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1158:A I *D sky130_fd_sc_hd__nand2_2 -*I *1151:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1155:A 0 -2 *1158:A 0 -3 *1151:X 0.000120631 -4 *125:12 0.000137313 -5 *125:5 0.000257944 -6 *125:5 *284:35 0.000151601 -7 *125:12 *132:8 7.31082e-05 -8 *125:12 *135:8 0.00025214 -9 *125:12 *284:35 5.41385e-05 -10 *1337:A *125:12 0 -*RES -1 *1151:X *125:5 26.0036 -2 *125:5 *125:12 34.4121 -3 *125:12 *1158:A 23 -4 *125:5 *1155:A 23 -*END - -*D_NET *126 0.0011425 -*CONN -*I *1154:A1 I *D sky130_fd_sc_hd__a31o_2 -*I *1152:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1154:A1 0 -2 *1152:Y 0.000458865 -3 *126:8 0.000458865 -4 *126:8 *127:8 2.08324e-05 -5 *126:8 *129:21 1.82069e-05 -6 *126:8 *133:7 0.00010655 -7 *126:8 *135:8 1.01436e-05 -8 *1130:B *126:8 3.66996e-05 -9 *1152:A *126:8 9.8971e-06 -10 *1160:A1 *126:8 1.29562e-05 -11 *100:17 *126:8 9.48381e-06 -*RES -1 *1152:Y *126:8 45.395 -2 *126:8 *1154:A1 38 -*END - -*D_NET *127 0.000343445 -*CONN -*I *1154:B1 I *D sky130_fd_sc_hd__a31o_2 -*I *1153:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1154:B1 0 -2 *1153:X 0.000105143 -3 *127:8 0.000105143 -4 *100:17 *127:8 0 -5 *101:10 *127:8 0.000112326 -6 *126:8 *127:8 2.08324e-05 -*RES -1 *1153:X *127:8 40.175 -2 *127:8 *1154:B1 38 -*END - -*D_NET *128 0.00156242 -*CONN -*I *1158:B I *D sky130_fd_sc_hd__nand2_2 -*I *1155:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1154:X O *D sky130_fd_sc_hd__a31o_2 -*CAP -1 *1158:B 0.000153765 -2 *1155:B 1.80182e-05 -3 *1154:X 0.000230324 -4 *128:6 0.000402107 -5 *1155:B *129:21 5.50106e-05 -6 *1155:B *284:35 2.93845e-05 -7 *1158:B *428:DIODE 1.01436e-05 -8 *1158:B *135:8 8.08615e-05 -9 *1158:B *153:6 0 -10 *1158:B *284:66 0.000195248 -11 *1158:B *288:8 2.93845e-05 -12 *128:6 *129:21 7.03766e-05 -13 *128:6 *135:8 3.81658e-05 -14 *128:6 *153:6 0 -15 *1130:B *128:6 3.70094e-05 -16 *1132:B *128:6 0.000212621 -*RES -1 *1154:X *128:6 43.075 -2 *128:6 *1155:B 38.6214 -3 *128:6 *1158:B 41.9357 -*END - -*D_NET *129 0.00225954 -*CONN -*I *1160:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1156:B I *D sky130_fd_sc_hd__and2_2 -*I *1155:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1160:A2 0 -2 *1156:B 0.000198827 -3 *1155:Y 0 -4 *129:21 0.000307992 -5 *129:4 0.000506819 -6 *1156:B *284:45 0.000191726 -7 *129:21 *133:7 4.00259e-06 -8 *129:21 *135:8 0.000139657 -9 *129:21 *284:35 2.44795e-05 -10 *129:21 *284:45 5.69373e-05 -11 *382:DIODE *1156:B 9.65021e-05 -12 *1152:A *129:21 0 -13 *1155:B *129:21 5.50106e-05 -14 *1156:A *1156:B 0.000182826 -15 *1160:A1 *129:21 0.000124986 -16 *10:21 *1156:B 0.00028119 -17 *126:8 *129:21 1.82069e-05 -18 *128:6 *129:21 7.03766e-05 -*RES -1 *1155:Y *129:4 23 -2 *129:4 *1156:B 29.3593 -3 *129:4 *129:21 36.9807 -4 *129:21 *1160:A2 23 -*END - -*D_NET *130 0.00166041 -*CONN -*I *1180:A_N I *D sky130_fd_sc_hd__nand4b_2 -*I *1161:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1156:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1180:A_N 7.04394e-05 -2 *1161:A2 0.000184417 -3 *1156:X 0.000180332 -4 *130:7 0.000435188 -5 *1161:A2 *1161:B1 0.000102071 -6 *1161:A2 *131:8 1.14987e-05 -7 *1180:A_N *131:8 6.28866e-05 -8 clockp[1] *1180:A_N 3.7823e-05 -9 *1179:C1 *1161:A2 5.52051e-05 -10 *10:21 *130:7 3.81358e-05 -11 *11:10 *1161:A2 0.000248996 -12 *11:10 *1180:A_N 7.57281e-06 -13 *106:13 *1161:A2 9.03024e-05 -14 *113:10 *1161:A2 0 -15 *124:8 *1161:A2 0.000135547 -*RES -1 *1156:X *130:7 40.0507 -2 *130:7 *1161:A2 43.9036 -3 *130:7 *1180:A_N 39.9057 -*END - -*D_NET *131 0.00129123 -*CONN -*I *1161:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1157:X O *D sky130_fd_sc_hd__o21ba_2 -*CAP -1 *1161:A3 0 -2 *1157:X 0.000353114 -3 *131:8 0.000353114 -4 clockp[1] *131:8 0 -5 *374:DIODE *131:8 0 -6 *1157:B1_N *131:8 9.68826e-05 -7 *1161:A2 *131:8 1.14987e-05 -8 *1180:A_N *131:8 6.28866e-05 -9 *106:13 *131:8 0.000194318 -10 *106:20 *131:8 0 -11 *113:10 *131:8 0.000193398 -12 *124:8 *131:8 2.60152e-05 -*RES -1 *1157:X *131:8 46.0579 -2 *131:8 *1161:A3 38 -*END - -*D_NET *132 0.000840083 -*CONN -*I *1160:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1158:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1160:B1 0 -2 *1158:Y 0.000336803 -3 *132:8 0.000336803 -4 *132:8 *135:8 0 -5 *132:8 *135:13 5.41288e-05 -6 *1152:A *132:8 3.92407e-05 -7 *125:12 *132:8 7.31082e-05 -*RES -1 *1158:Y *132:8 44.525 -2 *132:8 *1160:B1 38 -*END - -*D_NET *133 0.000626655 -*CONN -*I *1160:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1159:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1160:C1 0 -2 *1159:Y 0.000215152 -3 *133:7 0.000215152 -4 *1152:A *133:7 8.57986e-05 -5 *126:8 *133:7 0.00010655 -6 *129:21 *133:7 4.00259e-06 -*RES -1 *1159:Y *133:7 28.4064 -2 *133:7 *1160:C1 23 -*END - -*D_NET *134 0.00353221 -*CONN -*I *1180:C I *D sky130_fd_sc_hd__nand4b_2 -*I *1161:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1160:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1180:C 0 -2 *1161:B1 0.000278016 -3 *1160:X 0.000157221 -4 *134:19 0.000410718 -5 *134:5 0.000845954 -6 *1161:B1 *135:7 0.000305431 -7 *134:5 *135:7 0.000601039 -8 *134:19 *152:10 0 -9 *134:19 *153:6 0 -10 *134:19 *154:6 2.08324e-05 -11 *134:19 *284:45 0 -12 *1156:A *134:19 1.34354e-05 -13 *1160:A1 *134:5 0.000409479 -14 *1160:A1 *134:19 3.46241e-05 -15 *1161:A2 *1161:B1 0.000102071 -16 *1179:A1 *134:5 6.39489e-06 -17 *1179:A1 *134:19 6.48142e-05 -18 *1179:A2 *1161:B1 1.59094e-05 -19 *1179:A2 *134:5 3.7122e-06 -20 *1179:C1 *1161:B1 9.74523e-05 -21 *106:5 *134:5 2.62604e-05 -22 *106:13 *1161:B1 2.07242e-05 -23 *107:8 *1161:B1 5.3304e-05 -24 *113:31 *134:19 6.48142e-05 -*RES -1 *1160:X *134:5 29.815 -2 *134:5 *1161:B1 29.7114 -3 *134:5 *134:19 37.105 -4 *134:19 *1180:C 23 -*END - -*D_NET *135 0.0107642 -*CONN -*I *1192:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1162:A I *D sky130_fd_sc_hd__buf_2 -*I *1175:A I *D sky130_fd_sc_hd__and3_2 -*I *1186:B I *D sky130_fd_sc_hd__and2_2 -*I *1185:B I *D sky130_fd_sc_hd__nor2_2 -*I *1194:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1161:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1192:B 0.000529652 -2 *1162:A 0 -3 *1175:A 0.000145706 -4 *1186:B 1.42137e-05 -5 *1185:B 0 -6 *1194:A1 0 -7 *1161:X 0.000736452 -8 *135:41 0.000706059 -9 *135:24 0.000116968 -10 *135:19 0.00106109 -11 *135:13 0.000987823 -12 *135:8 0.00139739 -13 *135:7 0.00101436 -14 *1175:A *1181:C 6.96028e-05 -15 *1175:A *148:24 5.23626e-05 -16 *1175:A *273:30 0.000109206 -17 *1186:B *148:24 9.85586e-06 -18 *1186:B *273:8 1.05441e-05 -19 *1186:B *273:30 2.26406e-05 -20 *1192:B *428:DIODE 0 -21 *1192:B *1376:A 0.000181125 -22 *1192:B *154:11 0.00018332 -23 *1192:B *281:6 6.16531e-05 -24 *1192:B *288:8 0.000188926 -25 *135:8 *428:DIODE 0 -26 *135:13 *136:7 5.90675e-06 -27 *135:13 *288:8 5.50106e-05 -28 *135:19 *1195:B1 2.26823e-05 -29 *135:19 *136:7 2.93747e-05 -30 *135:19 *136:17 0.000151117 -31 *135:19 *136:31 5.93992e-05 -32 *135:19 *168:13 0.00010018 -33 *135:19 *169:8 0.00017625 -34 *135:19 *182:13 0.000119313 -35 *135:24 *1207:A0 6.07611e-06 -36 *135:24 *137:8 6.58373e-05 -37 *135:24 *138:25 0 -38 *135:41 *138:25 1.02561e-05 -39 *135:41 *159:8 0.00012238 -40 *135:41 *162:42 0 -41 *135:41 *169:8 1.22822e-05 -42 *135:41 *182:13 0.00026808 -43 *135:41 *273:18 7.57281e-06 -44 *426:DIODE *135:13 5.50106e-05 -45 *1152:A *135:8 0 -46 *1158:B *135:8 8.08615e-05 -47 *1160:A1 *135:7 1.40958e-05 -48 *1161:B1 *135:7 0.000305431 -49 *1337:A *135:8 0 -50 *1337:A *135:13 0.000402806 -51 *125:12 *135:8 0.00025214 -52 *126:8 *135:8 1.01436e-05 -53 *128:6 *135:8 3.81658e-05 -54 *129:21 *135:8 0.000139657 -55 *132:8 *135:8 0 -56 *132:8 *135:13 5.41288e-05 -57 *134:5 *135:7 0.000601039 -*RES -1 *1161:X *135:7 48.6264 -2 *135:7 *135:8 7.18786 -3 *135:8 *135:13 27.0764 -4 *135:13 *135:19 11.1443 -5 *135:19 *135:24 31.9057 -6 *135:24 *1194:A1 23 -7 *135:19 *1185:B 23 -8 *135:19 *135:41 35.1534 -9 *135:41 *1186:B 38.3211 -10 *135:41 *1175:A 40.8482 -11 *135:13 *1162:A 23 -12 *135:8 *1192:B 48.7507 -*END - -*D_NET *136 0.0101232 -*CONN -*I *1197:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1209:S I *D sky130_fd_sc_hd__mux2_2 -*I *1198:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1182:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1184:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1215:A I *D sky130_fd_sc_hd__xor2_2 -*I *1162:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1197:B2 0 -2 *1209:S 0 -3 *1198:B 0 -4 *1182:A2 0.000563312 -5 *1184:B 0 -6 *1195:B2 0 -7 *1215:A 0 -8 *1162:X 5.71998e-05 -9 *136:70 0.000539774 -10 *136:56 0.000577593 -11 *136:38 0.000703643 -12 *136:35 0.000263734 -13 *136:31 0.00129083 -14 *136:17 0.00138153 -15 *136:12 0.000208221 -16 *136:7 0.000441708 -17 *1182:A2 *1197:B1 0 -18 *1182:A2 *142:17 0.000258273 -19 *1182:A2 *145:15 5.19425e-05 -20 *1182:A2 *170:19 0 -21 *1182:A2 *273:47 0 -22 *1182:A2 *274:20 0.000355344 -23 *136:12 *168:13 0 -24 *136:12 *253:8 0 -25 *136:17 *1195:A1 9.65021e-05 -26 *136:17 *1195:B1 2.13216e-05 -27 *136:17 *168:13 9.84794e-05 -28 *136:31 *1197:A1 5.50049e-05 -29 *136:31 *1197:A2 0.000315857 -30 *136:31 *1197:B1 3.28708e-05 -31 *136:31 *158:9 0.000127539 -32 *136:31 *169:32 4.5051e-05 -33 *136:31 *182:13 0.00105129 -34 *136:35 *148:43 2.46906e-05 -35 *136:35 *171:9 0.000188927 -36 *136:35 *182:13 0.000151483 -37 *136:38 *1197:B1 0 -38 *136:38 *158:20 0 -39 *136:38 *274:20 0.000215801 -40 *136:56 *171:9 0.000139352 -41 *136:56 *182:13 6.22712e-05 -42 *136:70 *1209:A0 8.01233e-05 -43 *136:70 *157:32 0.000181125 -44 *136:70 *171:9 9.1957e-05 -45 *136:70 *182:13 5.50049e-05 -46 *136:70 *198:58 4.66036e-05 -47 *136:70 *271:8 6.07611e-06 -48 *136:70 *271:12 4.03062e-05 -49 *136:70 *284:33 3.34247e-05 -50 *93:42 *1182:A2 2.31875e-05 -51 *135:13 *136:7 5.90675e-06 -52 *135:19 *136:7 2.93747e-05 -53 *135:19 *136:17 0.000151117 -54 *135:19 *136:31 5.93992e-05 -*RES -1 *1162:X *136:7 24.1186 -2 *136:7 *136:12 33.3143 -3 *136:12 *1215:A 23 -4 *136:7 *136:17 4.43286 -5 *136:17 *1195:B2 23 -6 *136:17 *136:31 21.7914 -7 *136:31 *136:35 3.50071 -8 *136:35 *136:38 17.9621 -9 *136:38 *1184:B 38 -10 *136:38 *1182:A2 46.9279 -11 *136:35 *136:56 1.57429 -12 *136:56 *136:70 40.3571 -13 *136:70 *1198:B 23 -14 *136:56 *1209:S 23 -15 *136:31 *1197:B2 23 -*END - -*D_NET *137 0.00704699 -*CONN -*I *1168:B I *D sky130_fd_sc_hd__nand4_2 -*I *1283:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1256:B I *D sky130_fd_sc_hd__and2_2 -*I *1305:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1266:A I *D sky130_fd_sc_hd__nand2_2 -*I *1185:A I *D sky130_fd_sc_hd__nor2_2 -*I *1207:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1163:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1168:B 0.000261202 -2 *1283:B1 3.43459e-05 -3 *1256:B 0 -4 *1305:A1 0 -5 *1266:A 0 -6 *1185:A 0 -7 *1207:A0 0.000406273 -8 *1163:X 0.000349824 -9 *137:56 0.000138347 -10 *137:47 0.000430753 -11 *137:40 0.000355805 -12 *137:35 0.00053343 -13 *137:27 0.000340441 -14 *137:8 0.000611488 -15 *137:7 0.000720997 -16 *1168:B *1168:D 3.74251e-05 -17 *1168:B *1266:B 0.000123925 -18 *1168:B *140:33 5.00237e-05 -19 *1207:A0 *1216:S 5.50106e-05 -20 *1207:A0 *138:19 0 -21 *1207:A0 *138:25 0 -22 *1207:A0 *157:48 2.4754e-05 -23 *1207:A0 *178:8 5.80963e-05 -24 *1283:B1 *1283:A1 5.09193e-06 -25 *1283:B1 *274:34 2.02771e-05 -26 *137:7 *147:11 0.000530627 -27 *137:8 *138:25 0 -28 *137:8 *182:6 3.21327e-05 -29 *137:27 *1168:C 0 -30 *137:27 *162:42 5.58003e-05 -31 *137:27 *164:41 1.0092e-05 -32 *137:27 *218:8 2.14404e-05 -33 *137:35 *1266:B 6.95433e-05 -34 *137:35 *162:42 3.95777e-05 -35 *137:40 *1266:B 1.14322e-05 -36 *137:40 *139:32 3.6608e-05 -37 *137:40 *163:34 1.14452e-05 -38 *137:40 *218:8 6.14509e-05 -39 *137:47 *1193:A 2.18506e-05 -40 *137:47 *1283:A2 2.18409e-05 -41 *137:47 *1305:B1 1.46041e-05 -42 *137:47 *141:21 8.85386e-05 -43 *137:47 *148:55 0.000434159 -44 *137:47 *163:26 0.000474118 -45 *137:47 *163:34 5.50106e-05 -46 *137:47 *274:34 1.86585e-05 -47 *137:56 *162:63 0.000186532 -48 *137:56 *220:12 8.73182e-05 -49 *5:90 *1168:B 5.82853e-05 -50 *93:8 *137:47 2.32004e-05 -51 *93:8 *137:56 5.3304e-05 -52 *135:24 *1207:A0 6.07611e-06 -53 *135:24 *137:8 6.58373e-05 -*RES -1 *1163:X *137:7 43.8621 -2 *137:7 *137:8 3.66643 -3 *137:8 *1207:A0 45.2293 -4 *137:8 *1185:A 38 -5 *137:7 *137:27 17.8793 -6 *137:27 *1266:A 23 -7 *137:27 *137:35 2.9 -8 *137:35 *137:40 31.9057 -9 *137:40 *1305:A1 23 -10 *137:40 *137:47 8.265 -11 *137:47 *137:56 33.7286 -12 *137:56 *1256:B 23 -13 *137:47 *1283:B1 24.4137 -14 *137:35 *1168:B 27.4743 -*END - -*D_NET *138 0.00481452 -*CONN -*I *1168:C I *D sky130_fd_sc_hd__nand4_2 -*I *1194:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1214:B I *D sky130_fd_sc_hd__nor2_2 -*I *1164:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1168:C 0.000413824 -2 *1194:B1 4.62014e-05 -3 *1214:B 0 -4 *1164:X 0.000102635 -5 *138:25 0.000723656 -6 *138:19 0.000417567 -7 *138:13 0.00083042 -8 *138:8 0.000251858 -9 *1168:C *1168:D 3.53859e-05 -10 *1168:C *139:32 3.72257e-05 -11 *1168:C *273:18 0 -12 *1168:C *273:30 0 -13 *1194:B1 *1181:C 5.41288e-05 -14 *1194:B1 *154:11 4.00259e-06 -15 *1194:B1 *168:5 9.84794e-05 -16 *138:8 *1164:B 8.4047e-05 -17 *138:8 *159:8 5.3304e-05 -18 *138:8 *169:8 3.83778e-05 -19 *138:13 *284:35 0.000180218 -20 *138:19 *1164:A 0.000422629 -21 *138:19 *1174:A 6.25829e-05 -22 *138:19 *1214:A 0.00049043 -23 *138:19 *178:8 0 -24 *138:19 *277:6 0 -25 *138:19 *277:14 7.87782e-05 -26 *138:19 *277:21 0.000193575 -27 *138:25 *1164:A 0.000135557 -28 *138:25 *273:18 0 -29 *1207:A0 *138:19 0 -30 *1207:A0 *138:25 0 -31 *85:65 *138:19 4.93812e-05 -32 *135:24 *138:25 0 -33 *135:41 *138:25 1.02561e-05 -34 *137:8 *138:25 0 -35 *137:27 *1168:C 0 -*RES -1 *1164:X *138:8 39.8229 -2 *138:8 *138:13 32.0507 -3 *138:13 *138:19 28.3193 -4 *138:19 *1214:B 23 -5 *138:13 *138:25 4.37071 -6 *138:25 *1194:B1 39.595 -7 *138:25 *1168:C 43.3857 -*END - -*D_NET *139 0.0177447 -*CONN -*I *1310:B I *D sky130_fd_sc_hd__nand3_2 -*I *1298:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *1302:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1264:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1167:A I *D sky130_fd_sc_hd__nor2_2 -*I *1169:A I *D sky130_fd_sc_hd__nand2_2 -*I *1211:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1165:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1310:B 3.02651e-05 -2 *1298:A1 0.000267259 -3 *1302:A1 0.000301302 -4 *1264:A1 0.000349173 -5 *1167:A 0 -6 *1169:A 6.00757e-05 -7 *1211:A 4.10759e-05 -8 *1165:Y 0.000339606 -9 *139:89 0.000650392 -10 *139:83 0.00066362 -11 *139:60 0.000676339 -12 *139:54 0.00013786 -13 *139:38 0.000503013 -14 *139:34 0.00033094 -15 *139:32 0.000496625 -16 *139:26 0.00245218 -17 *139:10 0.00231988 -18 *139:5 0.000913079 -19 *1169:A *143:14 0.000114229 -20 *1169:A *143:24 3.09994e-05 -21 *1169:A *162:11 5.58003e-05 -22 *1211:A *198:58 0 -23 *1264:A1 *165:23 0.000105834 -24 *1264:A1 *205:22 3.36312e-06 -25 *1298:A1 *214:33 0.000182826 -26 *1298:A1 *221:84 1.37232e-05 -27 *1298:A1 *240:20 2.43944e-05 -28 *1302:A1 *1173:B 0.000182018 -29 *1302:A1 *1248:B 5.56279e-05 -30 *1302:A1 *1302:A2 0.000258011 -31 *1302:A1 *146:19 5.94125e-05 -32 *1302:A1 *164:41 0.000424904 -33 *1302:A1 *205:5 9.41704e-05 -34 *1302:A1 *372:5 2.02872e-05 -35 *139:5 *1313:B1 2.45549e-06 -36 *139:5 *272:23 7.65771e-06 -37 *139:5 *302:5 0.000219101 -38 *139:10 *1313:B1 2.23466e-05 -39 *139:10 *209:5 2.45549e-06 -40 *139:10 *272:23 3.71887e-05 -41 *139:26 *1197:B1 0 -42 *139:26 *140:33 0.000275411 -43 *139:26 *168:32 0.000400471 -44 *139:26 *220:12 0.000186029 -45 *139:26 *220:78 0.000101481 -46 *139:26 *273:38 5.45541e-05 -47 *139:26 *273:47 0.000186133 -48 *139:32 *1168:D 3.19892e-06 -49 *139:32 *1193:A 0.000444028 -50 *139:32 *1266:B 0.000106754 -51 *139:32 *162:42 4.74824e-05 -52 *139:38 *162:11 0.000168613 -53 *139:38 *162:32 0.000140935 -54 *139:38 *162:42 4.18667e-05 -55 *139:38 *164:41 0.000149509 -56 *139:38 *167:6 0.000112303 -57 *139:54 *140:56 0 -58 *139:54 *141:21 3.71251e-05 -59 *139:54 *143:24 0.000159838 -60 *139:54 *162:8 0.000137671 -61 *139:60 *164:41 1.65187e-05 -62 *139:83 *220:94 0.000108129 -63 *139:89 *1295:C 0.000179144 -64 *139:89 *208:45 2.81066e-05 -65 *139:89 *211:40 4.82567e-05 -66 *139:89 *237:6 2.24048e-05 -67 *139:89 *239:20 0.000111852 -68 *1168:C *139:32 3.72257e-05 -69 *1287:A *1298:A1 6.9805e-05 -70 *1313:A2 *139:5 2.14568e-05 -71 *1313:B2 *139:10 1.84831e-05 -72 *5:56 *1169:A 0.000146682 -73 *5:56 *139:38 5.3304e-05 -74 *5:65 *139:38 8.42292e-05 -75 *5:71 *1264:A1 2.32084e-05 -76 *5:90 *139:26 7.64059e-06 -77 *19:10 *139:10 0 -78 *20:14 *139:89 0.000198509 -79 *25:11 *139:89 9.5877e-05 -80 *25:24 *139:26 3.75236e-05 -81 *26:31 *1310:B 5.95262e-05 -82 *26:31 *139:83 0.000197773 -83 *26:31 *139:89 0.000473973 -84 *29:8 *139:83 6.49753e-05 -85 *30:28 *139:10 0 -86 *92:30 *1211:A 0 -87 *92:30 *139:10 0 -88 *92:30 *139:83 8.9728e-05 -89 *92:43 *1310:B 2.31765e-05 -90 *92:43 *139:83 0.000282928 -91 *92:54 *1310:B 4.77164e-06 -92 *137:40 *139:32 3.6608e-05 -*RES -1 *1165:Y *139:5 27.4329 -2 *139:5 *139:10 19.7332 -3 *139:10 *1211:A 38.6732 -4 *139:10 *139:26 46.0268 -5 *139:26 *139:32 37.8714 -6 *139:32 *139:34 15 -7 *139:34 *139:38 21.9393 -8 *139:38 *1169:A 25.6929 -9 *139:38 *139:54 33.3557 -10 *139:54 *1167:A 23 -11 *139:34 *139:60 0.673214 -12 *139:60 *1264:A1 43.5411 -13 *139:60 *1302:A1 46.1511 -14 *139:5 *139:83 21.1314 -15 *139:83 *139:89 39.6943 -16 *139:89 *1298:A1 42.93 -17 *139:83 *1310:B 38.8493 -*END - -*D_NET *140 0.0107513 -*CONN -*I *1308:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1210:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1167:B I *D sky130_fd_sc_hd__nor2_2 -*I *1169:B I *D sky130_fd_sc_hd__nand2_2 -*I *1192:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1166:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1308:A2 0.000208312 -2 *1210:A1 0 -3 *1167:B 0 -4 *1169:B 3.29959e-05 -5 *1192:A 0 -6 *1166:Y 0.000159164 -7 *140:56 0.000270169 -8 *140:42 0.000265379 -9 *140:35 0.000325541 -10 *140:33 0.00094515 -11 *140:32 0.00183026 -12 *140:17 0.00153459 -13 *140:8 0.000719625 -14 *1169:B *143:14 3.86731e-05 -15 *1308:A2 *1308:B1 9.5877e-05 -16 *1308:A2 *1314:B1 0.000193212 -17 *1308:A2 *1314:B2 7.53384e-06 -18 *1308:A2 *156:8 9.41704e-05 -19 *1308:A2 *234:17 1.40958e-05 -20 *1308:A2 *294:23 1.30542e-05 -21 *140:8 *208:34 2.93845e-05 -22 *140:8 *271:25 3.19892e-06 -23 *140:8 *294:18 8.4047e-05 -24 *140:17 *1183:A 4.369e-05 -25 *140:17 *1210:A2 6.58432e-05 -26 *140:17 *1210:B1 1.82877e-06 -27 *140:17 *156:8 1.02249e-06 -28 *140:17 *168:32 5.79413e-06 -29 *140:17 *180:6 3.53859e-05 -30 *140:17 *271:25 4.12494e-05 -31 *140:32 *1168:D 1.02479e-05 -32 *140:32 *145:15 2.93335e-05 -33 *140:32 *273:30 2.35118e-06 -34 *140:32 *273:38 8.4898e-05 -35 *140:33 *1168:D 0.000141355 -36 *140:33 *1266:B 9.8592e-06 -37 *140:33 *143:14 0.000145171 -38 *140:33 *143:24 0.000134056 -39 *140:33 *162:11 6.72382e-05 -40 *140:33 *162:32 6.0632e-05 -41 *140:33 *162:42 0.000582393 -42 *140:35 *143:14 2.72085e-05 -43 *140:42 *143:14 5.33272e-05 -44 *140:56 *143:14 0 -45 *416:DIODE *140:32 0.000663636 -46 *1168:B *140:33 5.00237e-05 -47 *5:16 *140:42 0 -48 *5:18 *140:42 0 -49 *5:56 *140:42 2.61323e-05 -50 *5:90 *140:32 0.000297726 -51 *5:90 *140:33 1.35714e-05 -52 *5:113 *140:32 0.000290573 -53 *25:24 *140:8 9.91103e-05 -54 *25:24 *140:17 0.000140835 -55 *26:21 *140:17 1.67718e-05 -56 *26:21 *140:32 0.000258267 -57 *93:55 *140:8 3.33643e-05 -58 *93:90 *1308:A2 0.000178517 -59 *139:26 *140:33 0.000275411 -60 *139:54 *140:56 0 -*RES -1 *1166:Y *140:8 41.2314 -2 *140:8 *140:17 21.3541 -3 *140:17 *140:32 49.5387 -4 *140:32 *140:33 15.3907 -5 *140:33 *140:35 0.621429 -6 *140:35 *140:42 35.1371 -7 *140:42 *1192:A 23 -8 *140:35 *1169:B 23.8493 -9 *140:33 *140:56 33.3143 -10 *140:56 *1167:B 23 -11 *140:17 *1210:A1 23 -12 *140:8 *1308:A2 42.93 -*END - -*D_NET *141 0.00525827 -*CONN -*I *1284:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1168:D I *D sky130_fd_sc_hd__nand4_2 -*I *1275:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1167:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1284:A2 0.000239557 -2 *1168:D 0.000281984 -3 *1275:A3 0 -4 *1167:Y 0.00013036 -5 *141:21 0.00153412 -6 *141:14 0.000176597 -7 *141:7 0.00131953 -8 *1168:D *1266:B 9.95274e-05 -9 *1168:D *148:55 8.04817e-05 -10 *1284:A2 *1244:B 1.6873e-05 -11 *1284:A2 *1266:B 6.74919e-05 -12 *1284:A2 *170:39 2.26497e-05 -13 *1284:A2 *201:8 6.08751e-05 -14 *1284:A2 *273:38 0 -15 *141:7 *162:8 8.09792e-06 -16 *141:14 *197:13 0 -17 *141:21 *1173:B 2.1819e-05 -18 *141:21 *1193:A 8.82524e-05 -19 *141:21 *148:55 5.3304e-05 -20 *141:21 *162:8 6.70982e-06 -21 *1168:B *1168:D 3.74251e-05 -22 *1168:C *1168:D 3.53859e-05 -23 *1264:B1 *141:14 0 -24 *1306:A *1284:A2 6.94064e-05 -25 *5:71 *141:21 0.000309209 -26 *5:90 *1168:D 0.0002341 -27 *5:90 *1284:A2 8.4047e-05 -28 *137:47 *141:21 8.85386e-05 -29 *139:32 *1168:D 3.19892e-06 -30 *139:54 *141:21 3.71251e-05 -31 *140:32 *1168:D 1.02479e-05 -32 *140:33 *1168:D 0.000141355 -*RES -1 *1167:Y *141:7 24.9471 -2 *141:7 *141:14 32.175 -3 *141:14 *1275:A3 23 -4 *141:7 *141:21 28.485 -5 *141:21 *1168:D 44.09 -6 *141:21 *1284:A2 42.7229 -*END - -*D_NET *142 0.00387625 -*CONN -*I *1182:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1168:Y O *D sky130_fd_sc_hd__nand4_2 -*CAP -1 *1182:A3 0 -2 *1168:Y 0.0005696 -3 *142:17 0.000385167 -4 *142:9 0.000954767 -5 *142:9 *162:42 5.30238e-05 -6 *142:9 *162:63 9.9465e-05 -7 *142:9 *163:26 0.000635801 -8 *142:9 *168:32 3.75547e-05 -9 *142:9 *198:41 5.6602e-05 -10 *142:9 *220:78 0.00011777 -11 *142:9 *273:38 0.000119128 -12 *142:9 *275:86 0.000116762 -13 *142:17 *170:19 4.60157e-05 -14 *142:17 *274:20 0.000228838 -15 *142:17 *274:30 0.000111857 -16 *1182:A2 *142:17 0.000258273 -17 *93:30 *142:17 4.5051e-05 -18 *93:42 *142:17 4.05745e-05 -*RES -1 *1168:Y *142:9 49.2454 -2 *142:9 *142:17 30.9854 -3 *142:17 *1182:A3 23 -*END - -*D_NET *143 0.00202228 -*CONN -*I *1173:A I *D sky130_fd_sc_hd__nor2_2 -*I *1188:A I *D sky130_fd_sc_hd__buf_2 -*I *1169:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1173:A 0 -2 *1188:A 0 -3 *1169:Y 0 -4 *143:24 0.000129902 -5 *143:14 0.000243451 -6 *143:4 0.000373353 -7 *143:14 *198:41 5.95439e-05 -8 *143:24 *147:11 0.000159285 -9 *143:24 *147:25 3.9009e-05 -10 *143:24 *162:8 1.07299e-05 -11 *143:24 *162:11 0.000100477 -12 *1169:A *143:14 0.000114229 -13 *1169:A *143:24 3.09994e-05 -14 *1169:B *143:14 3.86731e-05 -15 *5:56 *143:14 0.000197231 -16 *5:65 *143:24 5.79413e-06 -17 *139:54 *143:24 0.000159838 -18 *140:33 *143:14 0.000145171 -19 *140:33 *143:24 0.000134056 -20 *140:35 *143:14 2.72085e-05 -21 *140:42 *143:14 5.33272e-05 -22 *140:56 *143:14 0 -*RES -1 *1169:Y *143:4 23 -2 *143:4 *143:14 36.7321 -3 *143:14 *1188:A 23 -4 *143:4 *143:24 34.5364 -5 *143:24 *1173:A 23 -*END - -*D_NET *144 0.00364458 -*CONN -*I *1251:A I *D sky130_fd_sc_hd__nor2_2 -*I *1245:A I *D sky130_fd_sc_hd__and3_2 -*I *1172:A I *D sky130_fd_sc_hd__nand2_2 -*I *1283:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1257:A I *D sky130_fd_sc_hd__nand2_2 -*I *1170:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1251:A 0 -2 *1245:A 0 -3 *1172:A 0 -4 *1283:C1 0.000293336 -5 *1257:A 0.000105371 -6 *1170:Y 0 -7 *144:46 0.000165721 -8 *144:22 0.000399277 -9 *144:11 0.00036446 -10 *144:9 0.000292693 -11 *144:4 0.000305266 -12 *1257:A *1257:B 4.0365e-05 -13 *1257:A *212:5 1.75562e-05 -14 *1257:A *212:55 9.58714e-05 -15 *1283:C1 *170:19 5.65301e-05 -16 *1283:C1 *170:39 1.85961e-05 -17 *1283:C1 *220:12 0 -18 *1283:C1 *274:34 0.000174717 -19 *144:22 *170:39 1.05887e-05 -20 *144:22 *212:55 1.38134e-05 -21 *144:22 *220:12 0 -22 *144:22 *372:13 2.77524e-05 -23 *144:46 *1172:B 0.000180224 -24 *144:46 *1245:C 0 -25 *144:46 *208:21 9.28078e-06 -26 *144:46 *211:40 0.000180224 -27 *144:46 *232:10 0.000131563 -28 *30:23 *1257:A 0.000102397 -29 *30:23 *144:9 0.000139027 -30 *30:23 *144:11 0.00026875 -31 *37:24 *144:22 0.000133543 -32 *93:8 *1283:C1 0.000117654 -*RES -1 *1170:Y *144:4 23 -2 *144:4 *144:9 2.69286 -3 *144:9 *144:11 3.00357 -4 *144:11 *1257:A 25.5479 -5 *144:11 *144:22 17.2579 -6 *144:22 *1283:C1 44.7321 -7 *144:22 *1172:A 38 -8 *144:9 *1245:A 23 -9 *144:4 *144:46 33.9564 -10 *144:46 *1251:A 23 -*END - -*D_NET *145 0.00411643 -*CONN -*I *1291:B I *D sky130_fd_sc_hd__nand2_2 -*I *1172:B I *D sky130_fd_sc_hd__nand2_2 -*I *1196:A I *D sky130_fd_sc_hd__inv_2 -*I *1171:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1291:B 0.000179084 -2 *1172:B 0.000185096 -3 *1196:A 0 -4 *1171:Y 6.57977e-05 -5 *145:24 0.000607443 -6 *145:15 0.00030534 -7 *145:5 0.0006144 -8 *1172:B *1288:A 0.000140935 -9 *1172:B *208:21 0.000193278 -10 *1172:B *211:40 0.000153336 -11 *1172:B *232:7 5.3304e-05 -12 *1172:B *294:9 0.000119565 -13 *1172:B *294:18 3.13111e-05 -14 *1291:B *163:57 6.76291e-05 -15 *145:5 *274:20 1.71761e-05 -16 *145:5 *274:30 0.000100069 -17 *145:15 *273:47 2.72445e-06 -18 *145:15 *274:20 9.65309e-06 -19 *145:24 *163:57 7.35729e-05 -20 *390:DIODE *1291:B 0.000124464 -21 *1182:A2 *145:15 5.19425e-05 -22 *5:113 *1291:B 3.54739e-05 -23 *5:113 *145:15 5.79559e-05 -24 *5:113 *145:24 0.000159648 -25 *25:24 *145:15 5.54345e-06 -26 *30:23 *1291:B 5.67058e-05 -27 *93:30 *145:5 0.000195477 -28 *93:30 *145:15 3.18198e-05 -29 *93:30 *145:24 0.000213118 -30 *93:42 *145:24 5.50106e-05 -31 *140:32 *145:15 2.93335e-05 -32 *144:46 *1172:B 0.000180224 -*RES -1 *1171:Y *145:5 25.0507 -2 *145:5 *145:15 34.7021 -3 *145:15 *1196:A 23 -4 *145:5 *145:24 20.2614 -5 *145:24 *1172:B 44.5043 -6 *145:24 *1291:B 42.35 -*END - -*D_NET *146 0.00662921 -*CONN -*I *1302:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1275:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1173:B I *D sky130_fd_sc_hd__nor2_2 -*I *1172:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1302:A2 0.000138935 -2 *1275:A2 0.00020403 -3 *1173:B 0.000307807 -4 *1172:Y 0.000403909 -5 *146:19 0.000377136 -6 *146:7 0.000341978 -7 *146:5 0.000403909 -8 *1173:B *1193:A 5.40241e-05 -9 *1275:A2 *1275:A1 4.37033e-05 -10 *1275:A2 *197:28 1.89493e-05 -11 *1275:A2 *217:16 0.000216631 -12 *1275:A2 *294:9 5.94261e-05 -13 *1302:A2 *1248:B 2.46906e-05 -14 *1302:A2 *164:41 1.12487e-05 -15 *1302:A2 *205:22 0.00012153 -16 *1302:A2 *372:5 0.000144494 -17 *146:5 *1285:A_N 5.50106e-05 -18 *146:5 *1285:C 9.95543e-06 -19 *146:5 *1306:B 5.3304e-05 -20 *146:5 *217:16 0.000136743 -21 *146:5 *230:8 5.50106e-05 -22 *146:5 *294:9 0.00136885 -23 *146:19 *205:22 3.43144e-05 -24 *1302:A1 *1173:B 0.000182018 -25 *1302:A1 *1302:A2 0.000258011 -26 *1302:A1 *146:19 5.94125e-05 -27 *1306:A *146:5 0.000131872 -28 *5:71 *1173:B 0.000376671 -29 *37:18 *1275:A2 0.000659661 -30 *37:18 *146:5 0.000354147 -31 *141:21 *1173:B 2.1819e-05 -*RES -1 *1172:Y *146:5 38.3907 -2 *146:5 *146:7 15 -3 *146:7 *1173:B 44.5871 -4 *146:7 *146:19 0.849286 -5 *146:19 *1275:A2 45.7886 -6 *146:19 *1302:A2 42.1843 -*END - -*D_NET *147 0.0055983 -*CONN -*I *1241:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1175:B I *D sky130_fd_sc_hd__and3_2 -*I *1173:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1241:A2 0 -2 *1175:B 0 -3 *1173:Y 0 -4 *147:25 0.000604084 -5 *147:11 0.000720819 -6 *147:5 0.0013249 -7 *147:11 *1195:B1 9.08196e-05 -8 *147:11 *154:11 8.48502e-06 -9 *147:11 *162:26 1.70417e-05 -10 *147:11 *166:7 1.32314e-05 -11 *147:11 *168:5 0.000660579 -12 *147:11 *168:13 9.41704e-05 -13 *147:11 *168:32 0.000528025 -14 *147:25 *198:16 2.33476e-05 -15 *147:25 *198:41 0.000622379 -16 *5:14 *147:25 2.5343e-05 -17 *5:65 *147:11 8.57577e-05 -18 *5:65 *147:25 5.03902e-05 -19 *137:7 *147:11 0.000530627 -20 *143:24 *147:11 0.000159285 -21 *143:24 *147:25 3.9009e-05 -*RES -1 *1173:Y *147:5 38 -2 *147:5 *147:11 34.3057 -3 *147:11 *1175:B 23 -4 *147:5 *147:25 33.7676 -5 *147:25 *1241:A2 23 -*END - -*D_NET *148 0.0105298 -*CONN -*I *1193:A I *D sky130_fd_sc_hd__inv_2 -*I *1209:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1175:C I *D sky130_fd_sc_hd__and3_2 -*I *1214:A I *D sky130_fd_sc_hd__nor2_2 -*I *1174:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1193:A 0.000284735 -2 *1209:A1 0 -3 *1175:C 4.45142e-05 -4 *1214:A 0.000304426 -5 *1174:Y 0 -6 *148:55 0.00109794 -7 *148:43 0.000824504 -8 *148:26 0.000869018 -9 *148:24 0.00147205 -10 *148:4 0.000963273 -11 *1175:C *1181:C 3.5638e-05 -12 *1193:A *163:26 8.264e-05 -13 *1193:A *163:34 5.67058e-05 -14 *1214:A *1174:A 5.50049e-05 -15 *1214:A *277:21 9.24795e-06 -16 *148:24 *1187:B 0.000215791 -17 *148:24 *1197:A2 0 -18 *148:24 *159:8 0.000373109 -19 *148:24 *160:6 0.000128583 -20 *148:24 *169:32 2.45964e-05 -21 *148:24 *177:8 0 -22 *148:24 *273:8 0.000118021 -23 *148:43 *1181:C 0.000450534 -24 *148:43 *1197:B1 1.34354e-05 -25 *148:43 *150:21 0.00013754 -26 *148:43 *158:6 8.50457e-05 -27 *148:43 *171:9 0.000359315 -28 *148:55 *1266:B 8.25811e-05 -29 *148:55 *1283:A2 5.67186e-05 -30 *148:55 *162:63 8.53701e-05 -31 *148:55 *163:26 2.05503e-05 -32 *148:55 *168:32 0 -33 *148:55 *220:12 0 -34 *148:55 *273:30 6.67731e-05 -35 *148:55 *273:38 0 -36 *148:55 *275:86 1.34825e-05 -37 *1168:D *148:55 8.04817e-05 -38 *1173:B *1193:A 5.40241e-05 -39 *1175:A *148:24 5.23626e-05 -40 *1186:B *148:24 9.85586e-06 -41 *85:65 *1214:A 0.000445254 -42 *136:35 *148:43 2.46906e-05 -43 *137:47 *1193:A 2.18506e-05 -44 *137:47 *148:55 0.000434159 -45 *138:19 *1214:A 0.00049043 -46 *139:32 *1193:A 0.000444028 -47 *141:21 *1193:A 8.82524e-05 -48 *141:21 *148:55 5.3304e-05 -*RES -1 *1174:Y *148:4 23 -2 *148:4 *1214:A 32.7357 -3 *148:4 *148:24 29.1271 -4 *148:24 *148:26 15 -5 *148:26 *1175:C 23.6214 -6 *148:26 *148:43 45.225 -7 *148:43 *1209:A1 23 -8 *148:24 *148:55 43.2986 -9 *148:55 *1193:A 45.3121 -*END - -*D_NET *149 0.00041878 -*CONN -*I *1181:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *1175:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1181:A_N 2.22609e-05 -2 *1175:X 2.22609e-05 -3 *1181:A_N *1181:C 0.000187129 -4 *1181:A_N *168:32 0.000187129 -*RES -1 *1175:X *1181:A_N 48.0507 -*END - -*D_NET *150 0.00903323 -*CONN -*I *1181:B I *D sky130_fd_sc_hd__and3b_2 -*I *1176:X O *D sky130_fd_sc_hd__and4b_2 -*CAP -1 *1181:B 0 -2 *1176:X 1.80367e-05 -3 *150:21 0.00142166 -4 *150:13 0.00270873 -5 *150:7 0.00130511 -6 *150:7 *270:5 5.50106e-05 -7 *150:13 *1386:Z 6.59263e-05 -8 *150:13 *1388:TE_B 8.96976e-05 -9 *150:13 *196:8 5.21024e-05 -10 *150:13 *226:24 0.000138741 -11 *150:13 *226:37 6.35124e-05 -12 *150:13 *226:78 0 -13 *150:13 *284:8 0.000753245 -14 *150:13 *284:15 0.000132665 -15 *150:13 *284:33 0.000287723 -16 *150:13 *298:16 5.31444e-05 -17 *150:13 *368:12 0.000595455 -18 *150:13 *371:59 2.47316e-05 -19 *150:13 *371:72 6.51997e-06 -20 *150:13 *371:82 4.99753e-06 -21 *150:21 *1181:C 9.95543e-06 -22 *150:21 *1211:B 0.000344505 -23 *150:21 *1388:TE_B 0.000229801 -24 *150:21 *155:5 0.00045556 -25 *150:21 *155:18 2.62931e-05 -26 *150:21 *301:17 2.32004e-05 -27 *27:20 *150:13 0 -28 *41:6 *150:13 0 -29 *65:11 *150:7 2.93747e-05 -30 *85:11 *150:13 0 -31 *148:43 *150:21 0.00013754 -*RES -1 *1176:X *150:7 38.6214 -2 *150:7 *150:13 44.4971 -3 *150:13 *150:21 27.2393 -4 *150:21 *1181:B 23 -*END - -*D_NET *151 0.00144215 -*CONN -*I *1178:D I *D sky130_fd_sc_hd__and4b_2 -*I *1177:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1178:D 0 -2 *1177:Y 0.000316148 -3 *151:8 0.000316148 -4 *151:8 *1144:B 9.84794e-05 -5 *375:DIODE *151:8 0.000116314 -6 *1149:A2 *151:8 3.92407e-05 -7 *1177:B1 *151:8 5.70419e-05 -8 *6:8 *151:8 9.65399e-05 -9 *6:10 *151:8 6.87533e-05 -10 *6:42 *151:8 3.95671e-05 -11 *118:8 *151:8 0 -12 *121:6 *151:8 0.000293914 -*RES -1 *1177:Y *151:8 46.6379 -2 *151:8 *1178:D 38 -*END - -*D_NET *152 0.00383129 -*CONN -*I *1180:B I *D sky130_fd_sc_hd__nand4b_2 -*I *1178:X O *D sky130_fd_sc_hd__and4b_2 -*CAP -1 *1180:B 0 -2 *1178:X 0.000152512 -3 *152:10 0.000672427 -4 *152:7 0.000824938 -5 *152:10 *154:6 1.44546e-05 -6 *152:10 *284:45 0.000621441 -7 clockp[1] *152:10 0.00141575 -8 *6:42 *152:7 4.86103e-05 -9 *81:6 *152:10 8.11557e-05 -10 *112:6 *152:10 0 -11 *134:19 *152:10 0 -*RES -1 *1178:X *152:7 40.0507 -2 *152:7 *152:10 34.1607 -3 *152:10 *1180:B 23 -*END - -*D_NET *153 0.000896165 -*CONN -*I *1180:D I *D sky130_fd_sc_hd__nand4b_2 -*I *1179:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1180:D 6.80978e-05 -2 *1179:X 0.000294822 -3 *153:6 0.00036292 -4 *153:6 *284:66 0 -5 *1132:B *153:6 0 -6 *1156:A *153:6 5.94125e-05 -7 *1158:B *153:6 0 -8 *1160:A1 *153:6 0.000110913 -9 *128:6 *153:6 0 -10 *134:19 *153:6 0 -*RES -1 *1179:X *153:6 43.4271 -2 *153:6 *1180:D 39.0979 -*END - -*D_NET *154 0.00717201 -*CONN -*I *1181:C I *D sky130_fd_sc_hd__and3b_2 -*I *1180:Y O *D sky130_fd_sc_hd__nand4b_2 -*CAP -1 *1181:C 0.00058127 -2 *1180:Y 0.000126755 -3 *154:11 0.00216043 -4 *154:6 0.00170592 -5 *1181:C *168:5 1.22822e-05 -6 *1181:C *168:32 0.000418959 -7 *154:11 *428:DIODE 0.000188927 -8 *154:11 *1194:A2 0.000228624 -9 *154:11 *1373:A 0.000187752 -10 *154:11 *1373:TE 9.84851e-05 -11 *154:11 *1376:A 6.07078e-05 -12 *154:11 *166:7 0.000153145 -13 *154:11 *168:5 0.000163925 -14 *154:11 *168:13 4.67488e-05 -15 clockp[1] *154:6 0 -16 *1175:A *1181:C 6.96028e-05 -17 *1175:C *1181:C 3.5638e-05 -18 *1181:A_N *1181:C 0.000187129 -19 *1192:B *154:11 0.00018332 -20 *1194:B1 *1181:C 5.41288e-05 -21 *1194:B1 *154:11 4.00259e-06 -22 *134:19 *154:6 2.08324e-05 -23 *147:11 *154:11 8.48502e-06 -24 *148:43 *1181:C 0.000450534 -25 *150:21 *1181:C 9.95543e-06 -26 *152:10 *154:6 1.44546e-05 -*RES -1 *1180:Y *154:6 40.61 -2 *154:6 *154:11 45.6571 -3 *154:11 *1181:C 37.2152 -*END - -*D_NET *155 0.00419424 -*CONN -*I *1213:B2 I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1219:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1182:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1181:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *1213:B2 3.30748e-05 -2 *1219:A2 0.000165255 -3 *1182:B1 0 -4 *1181:X 0.000311929 -5 *155:18 0.000489232 -6 *155:10 0.000214739 -7 *155:5 0.00081757 -8 *1213:B2 *157:32 5.74886e-05 -9 *1213:B2 *284:33 9.67842e-05 -10 *1219:A2 *182:18 6.16039e-05 -11 *1219:A2 *271:8 7.03317e-05 -12 *1219:A2 *271:12 3.0647e-05 -13 *1219:A2 *274:20 0 -14 *155:5 *1211:B 9.58714e-05 -15 *155:10 *275:30 0.000156637 -16 *155:18 *1211:B 9.41704e-05 -17 *155:18 *182:18 4.60263e-05 -18 *155:18 *271:12 7.56417e-05 -19 *155:18 *271:25 0.000161087 -20 *155:18 *274:20 0 -21 *155:18 *275:30 0.000216149 -22 *5:113 *155:10 0.000173119 -23 *93:35 *155:10 0.000345034 -24 *150:21 *155:5 0.00045556 -25 *150:21 *155:18 2.62931e-05 -*RES -1 *1181:X *155:5 29.815 -2 *155:5 *155:10 35.075 -3 *155:10 *1182:B1 23 -4 *155:5 *155:18 21.8771 -5 *155:18 *1219:A2 41.6664 -6 *155:18 *1213:B2 39.0979 -*END - -*D_NET *156 0.00179492 -*CONN -*I *1210:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1183:A I *D sky130_fd_sc_hd__buf_2 -*I *1182:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1210:C1 3.11179e-05 -2 *1183:A 0.000252227 -3 *1182:X 0.00019785 -4 *156:8 0.000481195 -5 *1183:A *171:9 5.67058e-05 -6 *1183:A *180:6 9.41363e-05 -7 *1183:A *198:58 0.0001998 -8 *1183:A *275:30 5.50106e-05 -9 *156:8 *198:41 0.000106415 -10 *156:8 *198:58 1.16551e-05 -11 *1308:A2 *156:8 9.41704e-05 -12 *25:24 *156:8 0.000117009 -13 *26:21 *1210:C1 2.95423e-05 -14 *93:90 *156:8 2.33679e-05 -15 *140:17 *1183:A 4.369e-05 -16 *140:17 *156:8 1.02249e-06 -*RES -1 *1182:X *156:8 41.48 -2 *156:8 *1183:A 44.4007 -3 *156:8 *1210:C1 38.6214 -*END - -*D_NET *157 0.0071394 -*CONN -*I *1200:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1213:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1204:S I *D sky130_fd_sc_hd__mux2_2 -*I *1207:S I *D sky130_fd_sc_hd__mux2_2 -*I *1216:S I *D sky130_fd_sc_hd__mux2_2 -*I *1201:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1218:B I *D sky130_fd_sc_hd__nand2_2 -*I *1183:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1200:B1 8.62857e-05 -2 *1213:A1_N 0 -3 *1204:S 0 -4 *1207:S 0 -5 *1216:S 0.000128226 -6 *1201:A2 0.00010046 -7 *1218:B 0 -8 *1183:X 0 -9 *157:48 0.000959322 -10 *157:39 0.00110005 -11 *157:32 0.000535477 -12 *157:13 0.000333002 -13 *157:6 0.000584827 -14 *157:5 0.000172054 -15 *1200:B1 *171:9 0.000272383 -16 *1200:B1 *174:6 0 -17 *1200:B1 *198:58 8.02348e-05 -18 *1200:B1 *275:30 0.000272383 -19 *1201:A2 *276:13 0.000272377 -20 *1216:S *1216:A1 7.51453e-06 -21 *157:6 *174:6 0 -22 *157:6 *198:58 0.000128583 -23 *157:13 *174:6 0 -24 *157:13 *198:58 0.000244517 -25 *157:13 *276:8 0 -26 *157:13 *276:13 2.86356e-05 -27 *157:13 *276:15 5.50106e-05 -28 *157:32 *284:33 0.000199762 -29 *157:39 *158:20 0 -30 *157:39 *176:8 0.000163761 -31 *157:39 *284:33 0.000110097 -32 *157:39 *284:35 4.16884e-05 -33 *157:48 *1204:A0 5.79559e-05 -34 *157:48 *1208:A 0.000183733 -35 *157:48 *161:8 0.00010018 -36 *157:48 *276:21 0.000512037 -37 *1201:A1 *1201:A2 4.07902e-05 -38 *1201:A1 *157:13 0 -39 *1207:A0 *1216:S 5.50106e-05 -40 *1207:A0 *157:48 2.4754e-05 -41 *1213:B2 *157:32 5.74886e-05 -42 *73:7 *157:39 4.96717e-05 -43 *136:70 *157:32 0.000181125 -*RES -1 *1183:X *157:5 38 -2 *157:5 *157:6 1.90571 -3 *157:6 *157:13 19.9093 -4 *157:13 *1218:B 23 -5 *157:13 *1201:A2 26.5007 -6 *157:6 *157:32 21.3489 -7 *157:32 *157:39 36.3696 -8 *157:39 *157:48 18.4564 -9 *157:48 *1216:S 25.5271 -10 *157:48 *1207:S 23 -11 *157:39 *1204:S 23 -12 *157:32 *1213:A1_N 23 -13 *157:5 *1200:B1 42.205 -*END - -*D_NET *158 0.00125387 -*CONN -*I *1203:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1197:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1184:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1203:A 0 -2 *1197:A1 4.25104e-05 -3 *1184:Y 5.08472e-05 -4 *158:20 0.000241238 -5 *158:9 0.000392281 -6 *158:6 0.00015938 -7 *158:6 *1197:B1 3.83778e-05 -8 *158:20 *1197:A2 0 -9 *158:20 *1197:B1 6.16439e-05 -10 *158:20 *274:20 0 -11 *136:31 *1197:A1 5.50049e-05 -12 *136:31 *158:9 0.000127539 -13 *136:38 *158:20 0 -14 *148:43 *158:6 8.50457e-05 -15 *157:39 *158:20 0 -*RES -1 *1184:Y *158:6 39.2014 -2 *158:6 *158:9 16.5743 -3 *158:9 *1197:A1 23.6214 -4 *158:9 *158:20 34.0186 -5 *158:20 *1203:A 23 -*END - -*D_NET *159 0.00227973 -*CONN -*I *1187:A I *D sky130_fd_sc_hd__nor2_2 -*I *1185:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1187:A 0 -2 *1185:Y 0.000407566 -3 *159:8 0.000407566 -4 *159:8 *1164:B 2.4754e-05 -5 *159:8 *169:8 0.000223687 -6 *159:8 *182:13 2.44795e-05 -7 *159:8 *273:8 0.000373109 -8 *159:8 *273:18 0.000269775 -9 *135:41 *159:8 0.00012238 -10 *138:8 *159:8 5.3304e-05 -11 *148:24 *159:8 0.000373109 -*RES -1 *1185:Y *159:8 49.89 -2 *159:8 *1187:A 38 -*END - -*D_NET *160 0.00100886 -*CONN -*I *1202:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1187:B I *D sky130_fd_sc_hd__nor2_2 -*I *1186:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1202:B1 2.32701e-05 -2 *1187:B 0.000149383 -3 *1186:X 6.49037e-05 -4 *160:6 0.000237557 -5 *1187:B *161:8 0 -6 *1187:B *169:32 1.58805e-05 -7 *1202:B1 *169:20 5.3304e-05 -8 *1202:B1 *284:35 5.3304e-05 -9 *160:6 *169:32 6.68793e-05 -10 *148:24 *1187:B 0.000215791 -11 *148:24 *160:6 0.000128583 -*RES -1 *1186:X *160:6 39.9057 -2 *160:6 *1187:B 40.9621 -3 *160:6 *1202:B1 38.6214 -*END - -*D_NET *161 0.00272834 -*CONN -*I *1197:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1202:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1206:A I *D sky130_fd_sc_hd__xor2_2 -*I *1187:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1197:A2 0.000212556 -2 *1202:A1 0 -3 *1206:A 0.000287156 -4 *1187:Y 0.000207158 -5 *161:11 0.000307081 -6 *161:8 0.000439639 -7 *1197:A2 *169:32 0.000372926 -8 *1197:A2 *182:13 1.76557e-05 -9 *1206:A *1203:B 1.32314e-05 -10 *1206:A *169:20 0.000365033 -11 *1206:A *169:32 2.17372e-05 -12 *1206:A *284:35 1.22822e-05 -13 *161:8 *169:32 4.6318e-06 -14 *161:11 *1203:B 2.18409e-05 -15 *161:11 *284:35 2.93747e-05 -16 *1187:B *161:8 0 -17 *136:31 *1197:A2 0.000315857 -18 *148:24 *1197:A2 0 -19 *157:48 *161:8 0.00010018 -20 *158:20 *1197:A2 0 -*RES -1 *1187:Y *161:8 41.3557 -2 *161:8 *161:11 15.6214 -3 *161:11 *1206:A 28.9036 -4 *161:11 *1202:A1 23 -5 *161:8 *1197:A2 44.7943 -*END - -*D_NET *162 0.0191417 -*CONN -*I *1191:A I *D sky130_fd_sc_hd__nand2_2 -*I *1272:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1292:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1296:C I *D sky130_fd_sc_hd__nand3b_2 -*I *1283:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *1267:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1195:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *1188:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1191:A 0 -2 *1272:A1 4.12123e-05 -3 *1292:B2 3.08592e-05 -4 *1296:C 0 -5 *1283:A2 0.00015403 -6 *1267:A1 0 -7 *1195:B1 0.000211368 -8 *1188:X 0.000490946 -9 *162:74 0.000635384 -10 *162:68 0.00120562 -11 *162:63 0.00141957 -12 *162:42 0.00160049 -13 *162:32 0.000650399 -14 *162:26 0.000813634 -15 *162:13 0.001025 -16 *162:11 0.000113876 -17 *162:8 0.000561907 -18 *1195:B1 *1195:A1 0.000344563 -19 *1195:B1 *165:23 2.53488e-05 -20 *1195:B1 *168:13 0.00021356 -21 *1272:A1 *203:26 2.63601e-05 -22 *1272:A1 *220:21 7.07958e-05 -23 *1283:A2 *1283:A1 3.83149e-05 -24 *1283:A2 *1305:B1 9.79925e-05 -25 *1283:A2 *163:26 5.50106e-05 -26 *1283:A2 *275:86 0.000141493 -27 *1292:B2 *233:11 6.0808e-05 -28 *162:8 *197:13 3.1504e-05 -29 *162:26 *404:DIODE 0.000165056 -30 *162:26 *1281:B1 4.36401e-06 -31 *162:26 *1302:B2 1.7123e-05 -32 *162:26 *163:39 0.000284693 -33 *162:26 *165:23 0.000732357 -34 *162:26 *197:28 0 -35 *162:26 *197:43 0 -36 *162:26 *206:17 0 -37 *162:26 *217:22 0 -38 *162:26 *218:25 4.15206e-05 -39 *162:26 *218:38 3.34818e-06 -40 *162:26 *224:12 0.000136486 -41 *162:26 *241:8 8.17174e-05 -42 *162:26 *287:5 0.000323904 -43 *162:42 *1266:B 5.45541e-05 -44 *162:42 *164:41 0.000188361 -45 *162:42 *198:41 7.32684e-05 -46 *162:42 *218:8 1.42891e-05 -47 *162:63 *203:26 2.35992e-05 -48 *162:63 *211:10 0.000107212 -49 *162:63 *212:13 3.02306e-05 -50 *162:63 *220:12 0.000239188 -51 *162:63 *220:21 7.88867e-05 -52 *162:63 *275:58 0.000154386 -53 *162:63 *275:86 0 -54 *162:68 *164:12 4.24078e-06 -55 *162:68 *203:26 0.000606528 -56 *162:68 *218:56 0.00110697 -57 *162:68 *301:13 4.35362e-05 -58 *162:68 *317:21 0.0002969 -59 *162:74 *1290:A_N 1.15883e-05 -60 *162:74 *208:34 1.21972e-05 -61 *162:74 *211:40 0.000267173 -62 *162:74 *233:11 9.29484e-05 -63 *162:74 *234:11 6.08617e-05 -64 *162:74 *271:31 0.000331786 -65 *1169:A *162:11 5.58003e-05 -66 *1243:B *162:63 0.000106713 -67 *1264:B1 *162:26 0.000161888 -68 *5:65 *162:26 0.00019092 -69 *16:14 *162:26 0.000145163 -70 *93:8 *162:63 0.000163361 -71 *93:55 *162:74 0.000209216 -72 *93:70 *1292:B2 7.44517e-05 -73 *93:70 *162:74 0.000261868 -74 *135:19 *1195:B1 2.26823e-05 -75 *135:41 *162:42 0 -76 *136:17 *1195:B1 2.13216e-05 -77 *137:27 *162:42 5.58003e-05 -78 *137:35 *162:42 3.95777e-05 -79 *137:47 *1283:A2 2.18409e-05 -80 *137:56 *162:63 0.000186532 -81 *139:32 *162:42 4.74824e-05 -82 *139:38 *162:11 0.000168613 -83 *139:38 *162:32 0.000140935 -84 *139:38 *162:42 4.18667e-05 -85 *139:54 *162:8 0.000137671 -86 *140:33 *162:11 6.72382e-05 -87 *140:33 *162:32 6.0632e-05 -88 *140:33 *162:42 0.000582393 -89 *141:7 *162:8 8.09792e-06 -90 *141:21 *162:8 6.70982e-06 -91 *142:9 *162:42 5.30238e-05 -92 *142:9 *162:63 9.9465e-05 -93 *143:24 *162:8 1.07299e-05 -94 *143:24 *162:11 0.000100477 -95 *147:11 *1195:B1 9.08196e-05 -96 *147:11 *162:26 1.70417e-05 -97 *148:55 *1283:A2 5.67186e-05 -98 *148:55 *162:63 8.53701e-05 -*RES -1 *1188:X *162:8 44.815 -2 *162:8 *162:11 17.5271 -3 *162:11 *162:13 15 -4 *162:13 *1195:B1 44.0279 -5 *162:13 *162:26 35.5279 -6 *162:26 *1267:A1 23 -7 *162:11 *162:32 1.57429 -8 *162:32 *162:42 31.5095 -9 *162:42 *1283:A2 49.6768 -10 *162:42 *162:63 21.988 -11 *162:63 *162:68 18.3744 -12 *162:68 *162:74 27.4182 -13 *162:74 *1296:C 23 -14 *162:68 *1292:B2 39.0254 -15 *162:63 *1272:A1 39.0254 -16 *162:32 *1191:A 23 -*END - -*D_NET *163 0.016049 -*CONN -*I *1260:A I *D sky130_fd_sc_hd__nor2_2 -*I *1258:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1190:A I *D sky130_fd_sc_hd__nand2_2 -*I *1305:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1281:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1278:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1308:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1189:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1260:A 0 -2 *1258:A1 0.000252788 -3 *1190:A 0 -4 *1305:A2 0 -5 *1281:A1 3.02106e-05 -6 *1278:A1 6.64146e-05 -7 *1308:A1 0 -8 *1189:X 0.000275097 -9 *163:91 0.000766505 -10 *163:63 0.00035176 -11 *163:57 0.00132624 -12 *163:39 0.000249908 -13 *163:34 0.00102708 -14 *163:26 0.00159155 -15 *163:18 0.00161105 -16 *163:8 0.000707629 -17 *1258:A1 *1258:A2 4.7771e-05 -18 *1258:A1 *164:12 2.33661e-05 -19 *1258:A1 *232:10 0.000180894 -20 *1278:A1 *309:19 6.6978e-05 -21 *1278:A1 *317:13 9.91701e-05 -22 *1281:A1 *309:19 1.21972e-05 -23 *1281:A1 *317:13 2.64616e-05 -24 *163:8 *209:5 3.46302e-05 -25 *163:8 *209:10 3.29501e-05 -26 *163:18 *198:41 0.00051509 -27 *163:18 *211:40 7.16547e-05 -28 *163:18 *220:78 0.000354406 -29 *163:18 *372:13 2.17559e-06 -30 *163:26 *1305:B1 0.000135915 -31 *163:26 *198:41 0.00114532 -32 *163:26 *220:78 0.000217285 -33 *163:26 *275:86 1.09783e-05 -34 *163:34 *1247:A 5.50049e-05 -35 *163:34 *1248:B 0.000310754 -36 *163:34 *1266:B 1.96401e-05 -37 *163:34 *170:39 3.17634e-05 -38 *163:34 *201:8 5.62433e-05 -39 *163:34 *205:5 0.000206039 -40 *163:34 *218:8 0.000102103 -41 *163:34 *244:6 0.000183278 -42 *163:34 *372:5 1.54983e-05 -43 *163:39 *197:28 0 -44 *163:39 *241:8 0.000207619 -45 *163:57 *1170:A 5.58473e-05 -46 *163:57 *208:21 4.70953e-05 -47 *163:57 *232:10 0.000112531 -48 *163:57 *271:31 0 -49 *163:57 *274:20 2.05503e-05 -50 *163:57 *275:30 0 -51 *163:57 *275:42 0 -52 *163:57 *275:58 0.000107218 -53 *163:63 *164:12 4.5745e-05 -54 *163:63 *221:39 0.000137651 -55 *163:63 *232:10 5.9549e-05 -56 *163:63 *275:58 9.64943e-05 -57 *163:91 *1260:B 0.000243182 -58 *163:91 *1293:C 9.07385e-05 -59 *163:91 *1297:B 4.6318e-06 -60 *163:91 *1298:A2 0.000308045 -61 *163:91 *213:28 5.80848e-05 -62 *163:91 *232:10 6.19808e-05 -63 *163:91 *271:31 4.62763e-05 -64 *163:91 *323:6 2.76223e-05 -65 *1193:A *163:26 8.264e-05 -66 *1193:A *163:34 5.67058e-05 -67 *1283:A2 *163:26 5.50106e-05 -68 *1291:B *163:57 6.76291e-05 -69 *5:113 *163:57 2.4982e-06 -70 *17:22 *1258:A1 5.14611e-05 -71 *19:10 *163:8 0.000103099 -72 *93:35 *163:57 4.60157e-05 -73 *93:70 *163:91 8.4047e-05 -74 *137:40 *163:34 1.14452e-05 -75 *137:47 *163:26 0.000474118 -76 *137:47 *163:34 5.50106e-05 -77 *142:9 *163:26 0.000635801 -78 *145:24 *163:57 7.35729e-05 -79 *148:55 *163:26 2.05503e-05 -80 *162:26 *163:39 0.000284693 -*RES -1 *1189:X *163:8 42.4121 -2 *163:8 *1308:A1 38 -3 *163:8 *163:18 9.6016 -4 *163:18 *163:26 30.9095 -5 *163:26 *163:34 43.9407 -6 *163:34 *163:39 34.0186 -7 *163:39 *1278:A1 25.0507 -8 *163:39 *1281:A1 23.6214 -9 *163:26 *1305:A2 23 -10 *163:18 *163:57 16.4204 -11 *163:57 *163:63 32.4236 -12 *163:63 *1190:A 38 -13 *163:63 *1258:A1 42.6296 -14 *163:57 *163:91 43.34 -15 *163:91 *1260:A 38 -*END - -*D_NET *164 0.012976 -*CONN -*I *1271:A I *D sky130_fd_sc_hd__buf_2 -*I *1292:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1191:B I *D sky130_fd_sc_hd__nand2_2 -*I *1302:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1190:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1271:A 0 -2 *1292:A1 0 -3 *1191:B 0 -4 *1302:B1 0 -5 *1190:Y 0 -6 *164:69 0.00129613 -7 *164:52 0.000118995 -8 *164:47 0.0016211 -9 *164:41 0.000519412 -10 *164:31 0.000401111 -11 *164:15 0.0011598 -12 *164:12 0.00128366 -13 *164:4 0.00125036 -14 *164:12 *1244:B 1.03934e-05 -15 *164:12 *170:39 3.51038e-05 -16 *164:12 *203:10 0 -17 *164:12 *203:26 0.000116809 -18 *164:12 *207:15 0.000346083 -19 *164:12 *216:18 2.05503e-05 -20 *164:12 *218:8 1.28427e-05 -21 *164:12 *218:56 1.46398e-05 -22 *164:12 *221:39 0.000711373 -23 *164:12 *317:21 0.000156028 -24 *164:15 *216:18 0.000223692 -25 *164:15 *309:19 4.21378e-05 -26 *164:31 *1248:B 6.82925e-05 -27 *164:31 *1302:B2 6.53937e-05 -28 *164:31 *309:19 0.000147187 -29 *164:31 *372:5 9.81397e-05 -30 *164:41 *165:23 2.05503e-05 -31 *164:41 *167:6 5.44889e-06 -32 *164:41 *217:16 0.000339893 -33 *164:41 *218:8 0 -34 *164:47 *1190:B 0.000139346 -35 *164:47 *221:39 8.56352e-05 -36 *164:52 *1260:B 0.000156917 -37 *164:52 *1290:A_N 0.000140953 -38 *164:52 *1292:A2 1.28035e-05 -39 *164:69 *1253:A1 0.000167624 -40 *164:69 *1295:B 0 -41 *164:69 *1297:C 0 -42 *164:69 *197:69 0.000133585 -43 *164:69 *213:15 0.00021677 -44 *164:69 *221:7 0.000204107 -45 *164:69 *221:12 4.39607e-05 -46 *164:69 *221:24 3.65917e-05 -47 *164:69 *221:26 2.44913e-05 -48 *164:69 *221:39 2.9938e-05 -49 *164:69 *233:11 5.3304e-05 -50 *164:69 *233:61 9.41704e-05 -51 *164:69 *239:9 9.84794e-05 -52 *1258:A1 *164:12 2.33661e-05 -53 *1302:A1 *164:41 0.000424904 -54 *1302:A2 *164:41 1.12487e-05 -55 *17:22 *164:12 3.41998e-06 -56 *19:10 *164:69 0 -57 *92:67 *164:12 0.000374819 -58 *137:27 *164:41 1.0092e-05 -59 *139:38 *164:41 0.000149509 -60 *139:60 *164:41 1.65187e-05 -61 *162:42 *164:41 0.000188361 -62 *162:68 *164:12 4.24078e-06 -63 *163:63 *164:12 4.5745e-05 -*RES -1 *1190:Y *164:4 23 -2 *164:4 *164:12 35.5882 -3 *164:12 *164:15 18.48 -4 *164:15 *164:31 37.0325 -5 *164:31 *1302:B1 23 -6 *164:15 *164:41 42.4079 -7 *164:41 *1191:B 23 -8 *164:4 *164:47 3.48 -9 *164:47 *164:52 32.2579 -10 *164:52 *1292:A1 23 -11 *164:47 *164:69 49.865 -12 *164:69 *1271:A 23 -*END - -*D_NET *165 0.00593733 -*CONN -*I *1262:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1303:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1195:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1191:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1262:A1 9.69616e-05 -2 *1303:A1 6.85627e-05 -3 *1195:A1 0.00030647 -4 *1191:Y 0 -5 *165:23 0.00125096 -6 *165:5 0.00139191 -7 *1262:A1 *206:46 0.000248498 -8 *1262:A1 *212:17 0.000119975 -9 *1303:A1 *206:46 0.000152671 -10 *1303:A1 *212:17 8.4093e-05 -11 *165:23 *1302:B2 0.000141571 -12 *165:23 *198:41 0 -13 *165:23 *216:53 0.000317195 -14 *165:23 *217:16 1.16209e-05 -15 *165:23 *217:22 0.000212818 -16 *165:23 *218:38 0.00020471 -17 *165:23 *227:8 4.15283e-06 -18 *1195:B1 *1195:A1 0.000344563 -19 *1195:B1 *165:23 2.53488e-05 -20 *1264:A1 *165:23 0.000105834 -21 *136:17 *1195:A1 9.65021e-05 -22 *162:26 *165:23 0.000732357 -23 *164:41 *165:23 2.05503e-05 -*RES -1 *1191:Y *165:5 38 -2 *165:5 *1195:A1 43.8207 -3 *165:5 *165:23 46.0328 -4 *165:23 *1303:A1 24.8746 -5 *165:23 *1262:A1 25.8275 -*END - -*D_NET *166 0.000759041 -*CONN -*I *1212:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1192:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1212:A 0 -2 *1195:A2 0 -3 *1192:Y 0.000135306 -4 *166:12 9.05472e-05 -5 *166:7 0.000225853 -6 *166:12 *168:13 0.000140958 -7 *147:11 *166:7 1.32314e-05 -8 *154:11 *166:7 0.000153145 -*RES -1 *1192:Y *166:7 26.5007 -2 *166:7 *166:12 31.9057 -3 *166:12 *1195:A2 23 -4 *166:7 *1212:A 23 -*END - -*D_NET *167 0.00126557 -*CONN -*I *1194:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *1193:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1194:A2 4.44887e-05 -2 *1193:Y 0.000301957 -3 *167:6 0.000346445 -4 *1194:A2 *168:5 0.000226306 -5 *139:38 *167:6 0.000112303 -6 *154:11 *1194:A2 0.000228624 -7 *164:41 *167:6 5.44889e-06 -*RES -1 *1193:Y *167:6 43.075 -2 *167:6 *1194:A2 40.5271 -*END - -*D_NET *168 0.00717793 -*CONN -*I *1210:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1212:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1194:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1210:A2 1.75996e-05 -2 *1212:B 0 -3 *1195:A3 0 -4 *1194:X 0 -5 *168:32 0.00111665 -6 *168:13 0.000182499 -7 *168:5 0.000313932 -8 *168:4 0.00123048 -9 *1210:A2 *1210:B1 6.04466e-05 -10 *168:32 *170:19 0 -11 *168:32 *180:6 1.05441e-05 -12 *168:32 *220:12 5.20619e-06 -13 *168:32 *220:78 0.000130151 -14 *168:32 *271:25 2.33476e-05 -15 *1181:A_N *168:32 0.000187129 -16 *1181:C *168:5 1.22822e-05 -17 *1181:C *168:32 0.000418959 -18 *1194:A2 *168:5 0.000226306 -19 *1194:B1 *168:5 9.84794e-05 -20 *1195:B1 *168:13 0.00021356 -21 *25:24 *168:32 0.000580281 -22 *26:21 *1210:A2 7.34789e-06 -23 *135:19 *168:13 0.00010018 -24 *136:12 *168:13 0 -25 *136:17 *168:13 9.84794e-05 -26 *139:26 *168:32 0.000400471 -27 *140:17 *1210:A2 6.58432e-05 -28 *140:17 *168:32 5.79413e-06 -29 *142:9 *168:32 3.75547e-05 -30 *147:11 *168:5 0.000660579 -31 *147:11 *168:13 9.41704e-05 -32 *147:11 *168:32 0.000528025 -33 *148:55 *168:32 0 -34 *154:11 *168:5 0.000163925 -35 *154:11 *168:13 4.67488e-05 -36 *166:12 *168:13 0.000140958 -*RES -1 *1194:X *168:4 23 -2 *168:4 *168:5 7.29143 -3 *168:5 *168:13 35.1579 -4 *168:13 *1195:A3 23 -5 *168:5 *1212:B 23 -6 *168:4 *168:32 45.8501 -7 *168:32 *1210:A2 38.9477 -*END - -*D_NET *169 0.00410039 -*CONN -*I *1197:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1202:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1206:B I *D sky130_fd_sc_hd__xor2_2 -*I *1195:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1197:A3 0 -2 *1202:A2 0 -3 *1206:B 0.000222106 -4 *1195:X 0.000530662 -5 *169:32 0.000203033 -6 *169:20 0.000425139 -7 *169:8 0.000530662 -8 *169:8 *1164:A 0.000163343 -9 *169:8 *1164:B 2.26385e-05 -10 *169:20 *284:35 0.000366963 -11 *169:32 *182:13 0.000198206 -12 *169:32 *284:35 1.69977e-05 -13 *1187:B *169:32 1.58805e-05 -14 *1197:A2 *169:32 0.000372926 -15 *1202:B1 *169:20 5.3304e-05 -16 *1206:A *169:20 0.000365033 -17 *1206:A *169:32 2.17372e-05 -18 *135:19 *169:8 0.00017625 -19 *135:41 *169:8 1.22822e-05 -20 *136:31 *169:32 4.5051e-05 -21 *138:8 *169:8 3.83778e-05 -22 *148:24 *169:32 2.45964e-05 -23 *159:8 *169:8 0.000223687 -24 *160:6 *169:32 6.68793e-05 -25 *161:8 *169:32 4.6318e-06 -*RES -1 *1195:X *169:8 46.5964 -2 *169:8 *1206:B 15 -3 *1206:B *169:20 7.16714 -4 *169:20 *1202:A2 23 -5 *169:20 *169:32 37.3018 -6 *169:32 *1197:A3 23 -*END - -*D_NET *170 0.00631384 -*CONN -*I *1247:B I *D sky130_fd_sc_hd__nor2_2 -*I *1288:A I *D sky130_fd_sc_hd__and2_2 -*I *1197:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *1196:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1247:B 0 -2 *1288:A 5.84183e-05 -3 *1197:B1 0.000338882 -4 *1196:Y 0.000107413 -5 *170:39 0.000906513 -6 *170:19 0.00135631 -7 *170:7 0.000837677 -8 *1197:B1 *182:13 1.33826e-05 -9 *1288:A *211:40 1.21972e-05 -10 *1288:A *294:9 0.000183502 -11 *170:7 *273:47 5.40732e-05 -12 *170:19 *1245:C 0.000163048 -13 *170:19 *220:12 0 -14 *170:19 *220:78 2.38171e-05 -15 *170:19 *273:47 8.02614e-05 -16 *170:39 *1244:B 5.02657e-05 -17 *170:39 *1245:C 7.06771e-05 -18 *170:39 *1247:A 0.000108 -19 *170:39 *198:41 0 -20 *170:39 *232:10 0 -21 *170:39 *372:5 0.000268074 -22 *170:39 *372:13 8.9131e-05 -23 *1172:B *1288:A 0.000140935 -24 *1182:A2 *1197:B1 0 -25 *1182:A2 *170:19 0 -26 *1283:C1 *170:19 5.65301e-05 -27 *1283:C1 *170:39 1.85961e-05 -28 *1284:A2 *170:39 2.26497e-05 -29 *1306:A *170:39 6.72184e-06 -30 *5:113 *170:7 1.74398e-05 -31 *37:24 *170:39 0.000144813 -32 *92:67 *170:39 0.00091471 -33 *136:31 *1197:B1 3.28708e-05 -34 *136:38 *1197:B1 0 -35 *139:26 *1197:B1 0 -36 *142:17 *170:19 4.60157e-05 -37 *144:22 *170:39 1.05887e-05 -38 *148:43 *1197:B1 1.34354e-05 -39 *158:6 *1197:B1 3.83778e-05 -40 *158:20 *1197:B1 6.16439e-05 -41 *163:34 *170:39 3.17634e-05 -42 *164:12 *170:39 3.51038e-05 -43 *168:32 *170:19 0 -*RES -1 *1196:Y *170:7 39.5743 -2 *170:7 *1197:B1 43.1061 -3 *170:7 *170:19 7.105 -4 *170:19 *1288:A 40.0507 -5 *170:19 *170:39 39.9909 -6 *170:39 *1247:B 23 -*END - -*D_NET *171 0.00364929 -*CONN -*I *1199:A I *D sky130_fd_sc_hd__and2_2 -*I *1200:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1197:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1199:A 0 -2 *1200:A1 0 -3 *1197:X 0.000419541 -4 *171:21 0.000300062 -5 *171:9 0.000719604 -6 *171:9 *1209:A0 0.000139352 -7 *171:9 *275:30 0.000368947 -8 *171:21 *1199:B 5.3304e-05 -9 *171:21 *172:9 9.84794e-05 -10 *171:21 *275:30 0.000114846 -11 *1183:A *171:9 5.67058e-05 -12 *1200:B1 *171:9 0.000272383 -13 *1201:A1 *171:21 4.6318e-06 -14 *29:8 *171:21 0.000216721 -15 *92:8 *171:21 0.000105165 -16 *136:35 *171:9 0.000188927 -17 *136:56 *171:9 0.000139352 -18 *136:70 *171:9 9.1957e-05 -19 *148:43 *171:9 0.000359315 -*RES -1 *1197:X *171:9 36.6507 -2 *171:9 *1200:A1 23 -3 *171:9 *171:21 37.0636 -4 *171:21 *1199:A 23 -*END - -*D_NET *172 0.00141744 -*CONN -*I *1199:B I *D sky130_fd_sc_hd__and2_2 -*I *1200:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1198:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1199:B 0.000153929 -2 *1200:A2 0 -3 *1198:Y 0 -4 *172:9 0.000121618 -5 *172:4 0.000275547 -6 *1199:B *1462:TE 0 -7 *1199:B *284:33 0.00026025 -8 *172:9 *174:6 8.41115e-05 -9 *172:9 *275:30 9.84794e-05 -10 *1198:A *1199:B 5.50049e-05 -11 *92:8 *172:9 0.000216721 -12 *171:21 *1199:B 5.3304e-05 -13 *171:21 *172:9 9.84794e-05 -*RES -1 *1198:Y *172:4 23 -2 *172:4 *172:9 34.06 -3 *172:9 *1200:A2 23 -4 *172:4 *1199:B 26.5007 -*END - -*D_NET *173 0.00108026 -*CONN -*I *1201:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1199:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1201:B1 0.000265614 -2 *1199:X 6.85729e-05 -3 *173:6 0.000334187 -4 *1201:B1 *418:DIODE 2.02872e-05 -5 *1201:B1 *1459:B 5.3304e-05 -6 *173:6 *1461:TE 3.47781e-05 -7 *173:6 *272:10 0.000135557 -8 *173:6 *372:14 0.000110922 -9 *1201:A1 *1201:B1 5.70419e-05 -*RES -1 *1199:X *173:6 39.9057 -2 *173:6 *1201:B1 42.4329 -*END - -*D_NET *174 0.000646394 -*CONN -*I *1201:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1200:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1201:B2 0 -2 *1200:Y 0.000237517 -3 *174:6 0.000237517 -4 *174:6 *276:8 1.58805e-05 -5 *1200:B1 *174:6 0 -6 *1201:A1 *174:6 6.63698e-05 -7 *92:8 *174:6 4.99753e-06 -8 *157:6 *174:6 0 -9 *157:13 *174:6 0 -10 *172:9 *174:6 8.41115e-05 -*RES -1 *1200:Y *174:6 43.075 -2 *174:6 *1201:B2 38 -*END - -*D_NET *175 0.00057825 -*CONN -*I *1203:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1202:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1203:B 0.000239257 -2 *1202:Y 0.000239257 -3 *1203:B *284:35 6.46633e-05 -4 *1206:A *1203:B 1.32314e-05 -5 *161:11 *1203:B 2.18409e-05 -*RES -1 *1202:Y *1203:B 49.8529 -*END - -*D_NET *176 0.00059637 -*CONN -*I *1204:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1203:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1204:A1 0 -2 *1203:Y 0.000212271 -3 *176:8 0.000212271 -4 *176:8 *284:35 8.06804e-06 -5 *157:39 *176:8 0.000163761 -*RES -1 *1203:Y *176:8 41.2521 -2 *176:8 *1204:A1 38 -*END - -*D_NET *177 0.000489732 -*CONN -*I *1205:A I *D sky130_fd_sc_hd__buf_2 -*I *1204:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1205:A 0 -2 *1204:X 0.000195624 -3 *177:8 0.000195624 -4 *177:8 *276:21 9.84851e-05 -5 *148:24 *177:8 0 -*RES -1 *1204:X *177:8 41.3557 -2 *177:8 *1205:A 38 -*END - -*D_NET *178 0.000295928 -*CONN -*I *1207:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1206:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1207:A1 0 -2 *1206:X 0.000109181 -3 *178:8 0.000109181 -4 *178:8 *284:35 1.94693e-05 -5 *1207:A0 *178:8 5.80963e-05 -6 *138:19 *178:8 0 -*RES -1 *1206:X *178:8 40.175 -2 *178:8 *1207:A1 38 -*END - -*D_NET *179 0.000449464 -*CONN -*I *1208:A I *D sky130_fd_sc_hd__buf_2 -*I *1207:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1208:A 0.000106018 -2 *1207:X 0.000106018 -3 *1208:A *276:21 6.17437e-06 -4 *75:8 *1208:A 4.75206e-05 -5 *157:48 *1208:A 0.000183733 -*RES -1 *1207:X *1208:A 48.9 -*END - -*D_NET *180 0.00117519 -*CONN -*I *1210:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1209:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1210:B1 2.96682e-05 -2 *1209:X 0.000296154 -3 *180:6 0.000325822 -4 *180:6 *198:58 0 -5 *180:6 *271:12 3.70094e-05 -6 *180:6 *271:25 0.000284195 -7 *1183:A *180:6 9.41363e-05 -8 *1210:A2 *1210:B1 6.04466e-05 -9 *140:17 *1210:B1 1.82877e-06 -10 *140:17 *180:6 3.53859e-05 -11 *168:32 *180:6 1.05441e-05 -*RES -1 *1209:X *180:6 43.7793 -2 *180:6 *1210:B1 38.8493 -*END - -*D_NET *181 0.00226019 -*CONN -*I *1211:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1210:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1211:B 0.000525627 -2 *1210:X 0.000127253 -3 *181:8 0.00065288 -4 *181:8 *274:20 0.000114417 -5 *5:113 *181:8 4.67488e-05 -6 *19:10 *1211:B 0.000148698 -7 *93:35 *181:8 0.00011002 -8 *150:21 *1211:B 0.000344505 -9 *155:5 *1211:B 9.58714e-05 -10 *155:18 *1211:B 9.41704e-05 -*RES -1 *1210:X *181:8 40.6514 -2 *181:8 *1211:B 48.6679 -*END - -*D_NET *182 0.00510531 -*CONN -*I *1213:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1212:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1213:A2_N 0 -2 *1212:Y 9.18859e-05 -3 *182:18 0.000113914 -4 *182:13 0.00126917 -5 *182:6 0.00124714 -6 *182:13 *1164:B 9.58714e-05 -7 *182:13 *273:18 0.000119313 -8 *182:18 *274:20 6.70914e-05 -9 *1197:A2 *182:13 1.76557e-05 -10 *1197:B1 *182:13 1.33826e-05 -11 *1219:A2 *182:18 6.16039e-05 -12 *135:19 *182:13 0.000119313 -13 *135:41 *182:13 0.00026808 -14 *136:31 *182:13 0.00105129 -15 *136:35 *182:13 0.000151483 -16 *136:56 *182:13 6.22712e-05 -17 *136:70 *182:13 5.50049e-05 -18 *137:8 *182:6 3.21327e-05 -19 *155:18 *182:18 4.60263e-05 -20 *159:8 *182:13 2.44795e-05 -21 *169:32 *182:13 0.000198206 -*RES -1 *1212:Y *182:6 39.5536 -2 *182:6 *182:13 39.7121 -3 *182:13 *182:18 32.9621 -4 *182:18 *1213:A2_N 23 -*END - -*D_NET *183 0.000803976 -*CONN -*I *1215:B I *D sky130_fd_sc_hd__xor2_2 -*I *1214:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1215:B 4.7314e-05 -2 *1214:Y 0.000329493 -3 *183:6 0.000376807 -4 *1215:B *284:35 5.03619e-05 -5 *183:6 *259:48 0 -*RES -1 *1214:Y *183:6 43.4271 -2 *183:6 *1215:B 16.0254 -*END - -*D_NET *184 0.000554188 -*CONN -*I *1216:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1215:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1216:A1 5.32686e-05 -2 *1215:X 0.000194994 -3 *184:8 0.000248263 -4 *184:8 *284:35 5.01469e-05 -5 *1216:S *1216:A1 7.51453e-06 -*RES -1 *1215:X *184:8 41.1279 -2 *184:8 *1216:A1 38.6214 -*END - -*D_NET *185 0.000301016 -*CONN -*I *1217:A I *D sky130_fd_sc_hd__buf_2 -*I *1216:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1217:A 0.000150508 -2 *1216:X 0.000150508 -*RES -1 *1216:X *1217:A 48.9 -*END - -*D_NET *186 0.000561141 -*CONN -*I *1219:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1218:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1219:B1 9.7402e-05 -2 *1218:Y 9.7402e-05 -3 *1219:B1 *276:15 0.000267167 -4 *71:8 *1219:B1 9.91701e-05 -*RES -1 *1218:Y *1219:B1 49.0036 -*END - -*D_NET *187 0.00242662 -*CONN -*I *1227:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1221:B I *D sky130_fd_sc_hd__and2_2 -*I *1224:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1220:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1227:A1 5.83862e-05 -2 *1221:B 0.000189453 -3 *1224:A2 0.000170416 -4 *1220:X 5.79863e-05 -5 *187:10 0.000553384 -6 *187:7 0.000309888 -7 *1221:B *1226:B 4.40055e-05 -8 *1221:B *1351:CLK 0 -9 *1221:B *188:9 7.8128e-05 -10 *1221:B *251:42 9.02616e-06 -11 *1221:B *254:75 0 -12 *1221:B *258:66 6.68793e-05 -13 *1224:A2 *1224:A1 5.90675e-06 -14 *1224:A2 *189:7 0.00017849 -15 *1224:A2 *258:7 5.14746e-06 -16 *1227:A1 *1220:A 4.5051e-05 -17 *187:7 *1220:A 2.93845e-05 -18 *187:7 *256:18 6.17437e-06 -19 *187:10 *1225:A1 0.00015795 -20 *187:10 *254:75 0 -21 *187:10 *256:15 6.25829e-05 -22 *85:19 *187:10 0.000225868 -23 *85:43 *1221:B 6.16531e-05 -24 *85:43 *187:10 0.000110855 -*RES -1 *1220:X *187:7 24.1186 -2 *187:7 *187:10 19.7229 -3 *187:10 *1224:A2 40.9 -4 *187:10 *1221:B 42.0186 -5 *187:7 *1227:A1 24.0979 -*END - -*D_NET *188 0.00210501 -*CONN -*I *1226:B I *D sky130_fd_sc_hd__nand2_2 -*I *1223:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1222:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1221:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1226:B 8.52564e-05 -2 *1223:B 0 -3 *1222:A2 0.000309004 -4 *1221:X 0 -5 *188:9 0.000447469 -6 *188:5 0.000223721 -7 *1222:A2 *1223:A_N 4.34745e-05 -8 *1222:A2 *189:7 5.67058e-05 -9 *1222:A2 *259:11 3.91386e-05 -10 *1222:A2 *259:23 0.000217518 -11 *1226:B *250:19 0.000189848 -12 *1226:B *252:47 0.000103637 -13 *1226:B *259:28 0 -14 *188:9 *189:7 8.6949e-05 -15 *188:9 *259:28 0 -16 *1221:B *1226:B 4.40055e-05 -17 *1221:B *188:9 7.8128e-05 -18 *1222:B1 *1222:A2 5.67058e-05 -19 *85:52 *1222:A2 6.93242e-05 -20 *85:52 *188:9 5.41288e-05 -*RES -1 *1221:X *188:5 38 -2 *188:5 *188:9 18.3557 -3 *188:9 *1222:A2 30.0014 -4 *188:9 *1223:B 23 -5 *188:5 *1226:B 41.2521 -*END - -*D_NET *189 0.000732085 -*CONN -*I *1224:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1223:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1224:B1 0 -2 *1223:Y 0.000167652 -3 *189:7 0.000167652 -4 *189:7 *258:7 1.32939e-05 -5 *189:7 *258:12 1.14876e-05 -6 *1222:A2 *189:7 5.67058e-05 -7 *1224:A2 *189:7 0.00017849 -8 *85:52 *189:7 4.98555e-05 -9 *188:9 *189:7 8.6949e-05 -*RES -1 *1223:Y *189:7 27.4536 -2 *189:7 *1224:B1 23 -*END - -*D_NET *190 0.000524303 -*CONN -*I *1227:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1225:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1227:A2 2.37985e-05 -2 *1225:Y 0.000112841 -3 *190:6 0.00013664 -4 *1227:A2 *1220:A 5.67058e-05 -5 *190:6 *1220:A 6.48142e-05 -6 *190:6 *257:23 0.000129504 -7 *85:19 *190:6 0 -*RES -1 *1225:Y *190:6 40.61 -2 *190:6 *1227:A2 38.6214 -*END - -*D_NET *191 0.0042493 -*CONN -*I *1227:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1231:A1 I *D sky130_fd_sc_hd__a21boi_2 -*I *1232:C I *D sky130_fd_sc_hd__nand3_2 -*I *1226:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1227:B1 0.000241155 -2 *1231:A1 0 -3 *1232:C 2.10539e-05 -4 *1226:Y 0 -5 *191:20 0.000807896 -6 *191:8 0.00134197 -7 *191:5 0.000754176 -8 *1227:B1 *1220:A 5.50106e-05 -9 *1227:B1 *193:8 0 -10 *1227:B1 *254:93 0 -11 *1227:B1 *257:9 2.65879e-05 -12 *191:8 *1225:A1 0 -13 *191:8 *1321:B 0 -14 *191:8 *254:75 0.000393634 -15 *191:8 *371:8 0 -16 *191:20 *1230:A 0 -17 *191:20 *193:8 0 -18 *191:20 *194:6 0.000193379 -19 *191:20 *256:15 0 -20 *1222:B1 *191:8 1.62015e-05 -21 *1225:A2 *191:8 0 -22 *1230:B *191:20 2.4754e-05 -23 *43:6 *191:8 0 -24 *66:6 *191:8 6.28488e-05 -25 *70:6 *191:8 5.45887e-05 -26 *85:19 *1227:B1 0 -27 *85:32 *191:8 0 -28 *85:65 *191:8 4.66036e-05 -29 *90:68 *1232:C 5.50049e-05 -30 *90:68 *191:20 0.000154431 -31 *90:71 *191:8 0 -*RES -1 *1226:Y *191:5 38 -2 *191:5 *191:8 29.2307 -3 *191:8 *1232:C 23.6214 -4 *191:8 *191:20 26.455 -5 *191:20 *1231:A1 38 -6 *191:20 *1227:B1 41.9771 -*END - -*D_NET *192 0.00109723 -*CONN -*I *1228:B I *D sky130_fd_sc_hd__and2_2 -*I *1227:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1228:B 0 -2 *1227:Y 0.000339126 -3 *192:8 0.000339126 -4 *192:8 *193:8 8.4047e-05 -5 *192:8 *254:89 5.86797e-05 -6 *192:8 *257:9 0.000271476 -7 *1228:A *192:8 4.77164e-06 -8 *44:8 *192:8 0 -*RES -1 *1227:Y *192:8 43.2614 -2 *192:8 *1228:B 38 -*END - -*D_NET *193 0.00145287 -*CONN -*I *1229:A I *D sky130_fd_sc_hd__buf_2 -*I *1228:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1229:A 3.58395e-05 -2 *1228:X 0.000431269 -3 *193:8 0.000467108 -4 *193:8 *194:6 0 -5 *193:8 *249:40 2.93845e-05 -6 *193:8 *254:89 1.34354e-05 -7 *193:8 *254:93 6.03634e-05 -8 *1227:B1 *193:8 0 -9 *44:8 *193:8 0 -10 *68:8 *1229:A 5.37348e-06 -11 *68:8 *193:8 0.000326053 -12 *191:20 *193:8 0 -13 *192:8 *193:8 8.4047e-05 -*RES -1 *1228:X *193:8 46.5136 -2 *193:8 *1229:A 38.6214 -*END - -*D_NET *194 0.000414777 -*CONN -*I *1231:A2 I *D sky130_fd_sc_hd__a21boi_2 -*I *1230:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1231:A2 0 -2 *1230:Y 0.000110699 -3 *194:6 0.000110699 -4 *191:20 *194:6 0.000193379 -5 *193:8 *194:6 0 -*RES -1 *1230:Y *194:6 40.61 -2 *194:6 *1231:A2 38 -*END - -*D_NET *195 0.000989736 -*CONN -*I *1234:A I *D sky130_fd_sc_hd__buf_2 -*I *1233:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1234:A 0 -2 *1233:X 0.000219655 -3 *195:6 0.000219655 -4 *195:6 *269:8 5.91383e-05 -5 *1105:A *195:6 0 -6 *1176:A_N *195:6 0 -7 *65:8 *195:6 0.000491288 -*RES -1 *1233:X *195:6 44.4836 -2 *195:6 *1234:A 38 -*END - -*D_NET *196 0.000455226 -*CONN -*I *1236:A I *D sky130_fd_sc_hd__buf_2 -*I *1235:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1236:A 0 -2 *1235:X 0.000168284 -3 *196:8 0.000168284 -4 *196:8 *1235:A1 0 -5 *196:8 *268:7 8.39977e-06 -6 *85:11 *196:8 5.81559e-05 -7 *150:13 *196:8 5.21024e-05 -*RES -1 *1235:X *196:8 40.9104 -2 *196:8 *1236:A 38 -*END - -*D_NET *197 0.0166978 -*CONN -*I *1275:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1307:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1299:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1253:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1277:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1241:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1238:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1275:A1 3.35409e-05 -2 *1307:B2 0 -3 *1299:B2 0 -4 *1311:B2 0.000346589 -5 *1253:A1 0.000218348 -6 *1277:B2 4.54044e-05 -7 *1241:A1 0 -8 *1238:X 0.000101875 -9 *197:69 0.000865726 -10 *197:66 0.00225677 -11 *197:53 0.00255057 -12 *197:43 0.00116075 -13 *197:28 0.00105894 -14 *197:21 0.000653598 -15 *197:13 0.000363096 -16 *197:5 0.000637659 -17 *1253:A1 *221:12 9.76261e-05 -18 *1253:A1 *247:6 3.18062e-05 -19 *1311:B2 *1311:A3 3.71251e-05 -20 *1311:B2 *239:9 9.8971e-06 -21 *1311:B2 *239:20 3.5022e-06 -22 *197:5 *223:7 7.88828e-05 -23 *197:13 *198:16 0 -24 *197:21 *223:7 5.41385e-05 -25 *197:28 *206:8 0.000206651 -26 *197:28 *206:17 0.000152242 -27 *197:28 *207:20 4.79458e-06 -28 *197:28 *223:7 3.91386e-05 -29 *197:28 *225:8 7.81176e-05 -30 *197:43 *206:17 8.72173e-05 -31 *197:43 *217:31 0.000276734 -32 *197:43 *321:6 1.7403e-05 -33 *197:53 *1435:Z 1.96537e-05 -34 *197:53 *226:132 1.43662e-05 -35 *197:53 *245:9 4.73113e-05 -36 *197:53 *344:13 0.000672499 -37 *197:53 *351:8 2.23467e-05 -38 *197:66 *1307:A1 0.000200277 -39 *197:66 *204:24 8.98103e-05 -40 *197:66 *207:71 0.000770009 -41 *197:66 *210:25 1.73354e-05 -42 *197:66 *213:28 7.85492e-05 -43 *197:66 *226:102 0.000313781 -44 *197:66 *236:5 6.17437e-06 -45 *197:66 *245:9 5.069e-05 -46 *197:66 *301:13 0 -47 *197:66 *315:8 6.8999e-05 -48 *197:66 *317:24 7.11277e-05 -49 *197:66 *330:18 7.46437e-06 -50 *197:66 *363:17 4.79097e-05 -51 *197:69 *214:33 8.30054e-05 -52 *197:69 *226:102 8.65972e-05 -53 *197:69 *231:6 5.68089e-05 -54 *197:69 *237:6 0 -55 *197:69 *240:10 8.43562e-05 -56 *197:69 *247:6 3.2457e-05 -57 *1253:A2 *1253:A1 3.84098e-05 -58 *1275:A2 *1275:A1 4.37033e-05 -59 *1275:A2 *197:28 1.89493e-05 -60 *14:20 *1277:B2 2.64616e-05 -61 *15:14 *197:28 0.000135023 -62 *15:14 *197:43 0.000371878 -63 *15:19 *197:28 0 -64 *19:10 *197:69 0 -65 *22:8 *197:66 0.000509133 -66 *22:15 *197:66 9.09272e-05 -67 *24:22 *197:66 0.000569403 -68 *24:51 *197:53 1.40087e-05 -69 *24:51 *197:66 2.14538e-05 -70 *26:31 *1311:B2 9.81081e-06 -71 *36:24 *197:28 2.10581e-05 -72 *36:24 *197:66 0.000143374 -73 *37:18 *197:21 3.58084e-06 -74 *37:18 *197:28 1.76557e-05 -75 *92:67 *1253:A1 2.05503e-05 -76 *141:14 *197:13 0 -77 *162:8 *197:13 3.1504e-05 -78 *162:26 *197:28 0 -79 *162:26 *197:43 0 -80 *163:39 *197:28 0 -81 *164:69 *1253:A1 0.000167624 -82 *164:69 *197:69 0.000133585 -*RES -1 *1238:X *197:5 24.5743 -2 *197:5 *197:13 36.0071 -3 *197:13 *1241:A1 23 -4 *197:5 *197:21 2.9 -5 *197:21 *197:28 24.0107 -6 *197:28 *1277:B2 38.6214 -7 *197:28 *197:43 40.3364 -8 *197:43 *197:53 39.9636 -9 *197:53 *197:66 42.7119 -10 *197:66 *197:69 22.1879 -11 *197:69 *1253:A1 42.93 -12 *197:69 *1311:B2 42.8264 -13 *197:66 *1299:B2 23 -14 *197:53 *1307:B2 38 -15 *197:21 *1275:A1 24.1186 -*END - -*D_NET *198 0.0171334 -*CONN -*I *1280:A I *D sky130_fd_sc_hd__buf_2 -*I *1319:A I *D sky130_fd_sc_hd__buf_2 -*I *1328:A I *D sky130_fd_sc_hd__buf_2 -*I *1252:B I *D sky130_fd_sc_hd__nor2_2 -*I *1242:A I *D sky130_fd_sc_hd__buf_2 -*I *1274:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1240:B I *D sky130_fd_sc_hd__nand2_2 -*I *1239:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1280:A 0.000173962 -2 *1319:A 4.12149e-05 -3 *1328:A 0 -4 *1252:B 0.000101949 -5 *1242:A 0.000227094 -6 *1274:B 0 -7 *1240:B 7.77786e-05 -8 *1239:X 0 -9 *198:65 0.000521073 -10 *198:60 0.000757224 -11 *198:58 0.0010463 -12 *198:41 0.00363192 -13 *198:16 0.000429501 -14 *198:5 0.000468737 -15 *198:4 0.00304112 -16 *1242:A *1279:A1 9.75779e-05 -17 *1280:A *1331:B 9.67842e-05 -18 *1280:A *226:5 0.000102301 -19 *1319:A *254:202 0.000142678 -20 *198:41 *236:8 1.63925e-05 -21 *198:41 *372:13 0.000272013 -22 *198:58 *271:8 0 -23 *198:58 *271:12 0 -24 *198:58 *276:8 0 -25 *198:60 *1331:A 7.8852e-05 -26 *198:60 *1331:B 0.000156061 -27 *198:60 *249:20 7.75961e-05 -28 *198:60 *271:8 0 -29 *198:65 *1331:A 4.63936e-05 -30 *198:65 *249:20 0.000189903 -31 *198:65 *249:24 0.000425964 -32 *198:65 *251:8 0.000116663 -33 *198:65 *251:15 5.19048e-05 -34 *198:65 *251:62 2.49677e-05 -35 *1183:A *198:58 0.0001998 -36 *1200:B1 *198:58 8.02348e-05 -37 *1211:A *198:58 0 -38 *1240:A *1240:B 5.55743e-06 -39 *1248:A *1242:A 0.000130652 -40 *5:14 *1242:A 1.22803e-05 -41 *5:14 *198:16 0.000182762 -42 *5:44 *1240:B 2.10502e-05 -43 *5:44 *198:5 5.96423e-05 -44 *5:56 *198:5 0.000102971 -45 *15:19 *1242:A 0 -46 *25:24 *198:41 6.06389e-05 -47 *26:21 *1252:B 0.000135037 -48 *30:23 *1242:A 1.57571e-05 -49 *30:28 *198:58 8.74557e-05 -50 *45:6 *198:65 0 -51 *48:8 *1319:A 7.64944e-05 -52 *55:6 *198:65 0 -53 *71:8 *198:58 0.000164282 -54 *71:8 *198:60 0.000166513 -55 *93:90 *198:41 0.000134602 -56 *93:90 *198:58 5.04383e-05 -57 *136:70 *198:58 4.66036e-05 -58 *142:9 *198:41 5.6602e-05 -59 *143:14 *198:41 5.95439e-05 -60 *147:25 *198:16 2.33476e-05 -61 *147:25 *198:41 0.000622379 -62 *156:8 *198:41 0.000106415 -63 *156:8 *198:58 1.16551e-05 -64 *157:6 *198:58 0.000128583 -65 *157:13 *198:58 0.000244517 -66 *162:42 *198:41 7.32684e-05 -67 *163:18 *198:41 0.00051509 -68 *163:26 *198:41 0.00114532 -69 *165:23 *198:41 0 -70 *170:39 *198:41 0 -71 *180:6 *198:58 0 -72 *197:13 *198:16 0 -*RES -1 *1239:X *198:4 23 -2 *198:4 *198:5 3.00357 -3 *198:5 *1240:B 24.4707 -4 *198:5 *198:16 20.075 -5 *198:16 *1274:B 38 -6 *198:16 *1242:A 42.3293 -7 *198:4 *198:41 43.0595 -8 *198:41 *1252:B 40.0714 -9 *198:41 *198:58 16.3229 -10 *198:58 *198:60 5.77929 -11 *198:60 *198:65 27.9879 -12 *198:65 *1328:A 23 -13 *198:60 *1319:A 39.5743 -14 *198:58 *1280:A 41.9564 -*END - -*D_NET *199 0.000339745 -*CONN -*I *1241:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1240:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1241:B1 0 -2 *1240:Y 0.000100145 -3 *199:8 0.000100145 -4 *199:8 *283:6 6.71651e-05 -5 *1240:A *199:8 3.26241e-05 -6 *5:14 *199:8 3.96662e-05 -*RES -1 *1240:Y *199:8 40.5271 -2 *199:8 *1241:B1 38 -*END - -*D_NET *200 0.0121586 -*CONN -*I *1279:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1265:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1268:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1254:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1259:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1263:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1250:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1242:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1279:A1 0.000399376 -2 *1265:A1 0.000354638 -3 *1268:A1 0 -4 *1254:A1 0 -5 *1259:A1 0 -6 *1263:A1 0 -7 *1250:A1 5.94459e-05 -8 *1242:X 0 -9 *200:51 0.000617369 -10 *200:39 0.00134555 -11 *200:31 0.000789782 -12 *200:28 0.000831821 -13 *200:21 0.000169968 -14 *200:7 0.000641149 -15 *200:5 0.0016678 -16 *200:4 0.00123986 -17 *1265:A1 *1423:A 0 -18 *1265:A1 *338:13 0 -19 *1279:A1 *1248:B 0.000137002 -20 *200:5 *1393:Z 4.45644e-05 -21 *200:5 *310:19 0.000185648 -22 *200:7 *1393:TE 4.79641e-05 -23 *200:7 *308:5 5.12254e-05 -24 *200:21 *1456:A 0.000270548 -25 *200:21 *207:28 0.000217179 -26 *200:28 *1423:A 0 -27 *200:31 *1419:A 4.98398e-05 -28 *200:39 *1259:B1 9.3688e-05 -29 *200:39 *1418:A 0.000192342 -30 *200:39 *1419:A 1.86239e-05 -31 *200:51 *1407:TE 0.00020516 -32 *200:51 *1418:A 0.000123925 -33 *200:51 *206:24 1.41129e-05 -34 *200:51 *322:8 0.000238239 -35 *200:51 *331:11 0.000183733 -36 *200:51 *331:12 0.000134346 -37 *200:51 *331:17 6.35124e-05 -38 *200:51 *345:26 0 -39 *388:DIODE *200:28 0.00010853 -40 *393:DIODE *1265:A1 3.67392e-05 -41 *393:DIODE *200:28 0.000165991 -42 *1242:A *1279:A1 9.75779e-05 -43 *1248:A *1279:A1 2.18409e-05 -44 *1254:A2 *200:39 1.73995e-05 -45 *1263:A2 *200:21 6.17437e-06 -46 *1265:A2 *1265:A1 0.000127956 -47 *21:31 *200:51 8.62876e-05 -48 *30:13 *200:5 0.000185186 -49 *30:23 *1279:A1 4.97357e-05 -50 *30:23 *200:5 1.97388e-05 -51 *31:11 *200:39 0.000543582 -52 *32:8 *1265:A1 3.8302e-05 -53 *33:11 *200:28 0 -54 *36:8 *200:21 1.80023e-05 -55 *36:8 *200:28 0 -56 *36:16 *200:28 0.000247182 -57 *37:13 *1265:A1 0 -58 *37:13 *200:28 0 -*RES -1 *1242:X *200:4 23 -2 *200:4 *200:5 12.0557 -3 *200:5 *200:7 5.38571 -4 *200:7 *1250:A1 23.6214 -5 *200:7 *200:21 34.785 -6 *200:21 *1263:A1 23 -7 *200:5 *200:28 24.6529 -8 *200:28 *200:31 16.0979 -9 *200:31 *1259:A1 23 -10 *200:31 *200:39 11.9521 -11 *200:39 *1254:A1 23 -12 *200:39 *200:51 41.89 -13 *200:51 *1268:A1 23 -14 *200:28 *1265:A1 43.3857 -15 *200:4 *1279:A1 30.4779 -*END - -*D_NET *201 0.00359078 -*CONN -*I *1244:B I *D sky130_fd_sc_hd__and2b_2 -*I *1285:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *1266:B I *D sky130_fd_sc_hd__nand2_2 -*I *1243:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1244:B 0.000236336 -2 *1285:A_N 1.49804e-05 -3 *1266:B 0.000315462 -4 *1243:Y 0.000361229 -5 *201:8 0.000421453 -6 *201:7 0.000688575 -7 *1244:B *202:11 1.61305e-05 -8 *1244:B *216:18 2.75333e-05 -9 *1244:B *218:8 0 -10 *1266:B *244:6 0.000183278 -11 *201:7 *1247:A 0.000195598 -12 *201:7 *1284:B1 0.000180224 -13 *1168:B *1266:B 0.000123925 -14 *1168:D *1266:B 9.95274e-05 -15 *1284:A2 *1244:B 1.6873e-05 -16 *1284:A2 *1266:B 6.74919e-05 -17 *1284:A2 *201:8 6.08751e-05 -18 *1306:A *1285:A_N 5.50106e-05 -19 *137:35 *1266:B 6.95433e-05 -20 *137:40 *1266:B 1.14322e-05 -21 *139:32 *1266:B 0.000106754 -22 *140:33 *1266:B 9.8592e-06 -23 *146:5 *1285:A_N 5.50106e-05 -24 *148:55 *1266:B 8.25811e-05 -25 *162:42 *1266:B 5.45541e-05 -26 *163:34 *1266:B 1.96401e-05 -27 *163:34 *201:8 5.62433e-05 -28 *164:12 *1244:B 1.03934e-05 -29 *170:39 *1244:B 5.02657e-05 -*RES -1 *1243:Y *201:7 42.9093 -2 *201:7 *201:8 1.90571 -3 *201:8 *1266:B 45.975 -4 *201:8 *1285:A_N 38.6214 -5 *201:7 *1244:B 41.9357 -*END - -*D_NET *202 0.0054591 -*CONN -*I *1255:A I *D sky130_fd_sc_hd__inv_2 -*I *1246:A I *D sky130_fd_sc_hd__nor2_2 -*I *1276:B I *D sky130_fd_sc_hd__nand2_2 -*I *1244:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *1255:A 0 -2 *1246:A 0 -3 *1276:B 0 -4 *1244:X 7.81863e-05 -5 *202:38 0.000599116 -6 *202:20 0.000995463 -7 *202:11 0.000165304 -8 *202:5 0.000639836 -9 *202:5 *309:19 5.74886e-05 -10 *202:11 *1276:A 0.000269775 -11 *202:11 *216:18 1.77747e-05 -12 *202:11 *273:38 0 -13 *202:11 *309:19 0.000269775 -14 *202:20 *1304:A1 2.44117e-05 -15 *202:20 *212:13 3.85553e-05 -16 *202:20 *221:39 2.26497e-05 -17 *202:20 *221:50 4.49946e-05 -18 *202:20 *230:8 8.04857e-05 -19 *202:20 *273:38 2.2119e-05 -20 *202:20 *309:19 0.00026808 -21 *202:38 *1304:A1 4.29974e-05 -22 *202:38 *204:8 4.67998e-05 -23 *202:38 *207:71 4.49877e-05 -24 *202:38 *210:25 1.81898e-05 -25 *202:38 *210:33 0 -26 *202:38 *213:28 0.000276928 -27 *202:38 *214:21 5.29522e-05 -28 *202:38 *216:41 1.00493e-05 -29 *202:38 *218:56 0.000142931 -30 *202:38 *220:21 0 -31 *202:38 *220:48 0.000143421 -32 *202:38 *301:13 0.000565162 -33 *202:38 *330:9 1.34995e-05 -34 *202:38 *330:18 0.000299487 -35 *202:38 *363:17 0.000191549 -36 *1244:B *202:11 1.61305e-05 -*RES -1 *1244:X *202:5 24.0979 -2 *202:5 *202:11 34.205 -3 *202:11 *1276:B 23 -4 *202:5 *202:20 21.67 -5 *202:20 *1246:A 38 -6 *202:20 *202:38 38.733 -7 *202:38 *1255:A 23 -*END - -*D_NET *203 0.00312842 -*CONN -*I *1246:B I *D sky130_fd_sc_hd__nor2_2 -*I *1261:B I *D sky130_fd_sc_hd__nand2_2 -*I *1245:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1246:B 0 -2 *1261:B 0 -3 *1245:X 0.000279503 -4 *203:26 0.000433372 -5 *203:10 0.000712875 -6 *203:10 *214:21 1.01436e-05 -7 *203:10 *232:10 0 -8 *203:26 *1272:B2 2.02872e-05 -9 *203:26 *212:13 0.000149899 -10 *203:26 *214:21 1.38251e-05 -11 *203:26 *215:15 7.85467e-05 -12 *203:26 *218:56 4.76467e-05 -13 *203:26 *221:39 0.000475436 -14 *203:26 *317:21 4.57887e-05 -15 *1272:A1 *203:26 2.63601e-05 -16 *17:22 *203:26 4.15283e-06 -17 *30:23 *203:10 1.94812e-05 -18 *37:24 *203:10 6.41677e-05 -19 *162:63 *203:26 2.35992e-05 -20 *162:68 *203:26 0.000606528 -21 *164:12 *203:10 0 -22 *164:12 *203:26 0.000116809 -*RES -1 *1245:X *203:10 41.9771 -2 *203:10 *1261:B 38 -3 *203:10 *203:26 36.1969 -4 *203:26 *1246:B 23 -*END - -*D_NET *204 0.00165067 -*CONN -*I *1304:A2 I *D sky130_fd_sc_hd__o2111a_2 -*I *1249:A I *D sky130_fd_sc_hd__and2_2 -*I *1246:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1304:A2 0 -2 *1249:A 0 -3 *1246:Y 0.000155793 -4 *204:24 0.000269687 -5 *204:8 0.00042548 -6 *204:8 *1304:A1 2.28002e-05 -7 *204:8 *210:25 3.07013e-05 -8 *204:8 *215:15 5.67058e-05 -9 *204:8 *301:5 5.50106e-05 -10 *204:24 *206:60 4.98295e-05 -11 *204:24 *215:28 2.26497e-05 -12 *204:24 *233:35 2.193e-05 -13 *204:24 *245:9 0 -14 *204:24 *330:18 0.000336678 -15 *16:8 *204:24 5.58003e-05 -16 *22:15 *204:24 1.09917e-05 -17 *197:66 *204:24 8.98103e-05 -18 *202:38 *204:8 4.67998e-05 -*RES -1 *1246:Y *204:8 40.8793 -2 *204:8 *1249:A 38 -3 *204:8 *204:24 33.4281 -4 *204:24 *1304:A2 23 -*END - -*D_NET *205 0.00298053 -*CONN -*I *1264:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1248:B I *D sky130_fd_sc_hd__nor2_2 -*I *1247:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1264:A2 0 -2 *1248:B 0.000425078 -3 *1247:Y 9.72309e-05 -4 *205:22 0.000179584 -5 *205:5 0.000701893 -6 *1248:B *206:8 0.00030384 -7 *1248:B *241:8 2.93747e-05 -8 *1248:B *372:5 0.000129923 -9 *205:5 *372:5 4.01634e-05 -10 *1264:A1 *205:22 3.36312e-06 -11 *1279:A1 *1248:B 0.000137002 -12 *1302:A1 *1248:B 5.56279e-05 -13 *1302:A1 *205:5 9.41704e-05 -14 *1302:A2 *1248:B 2.46906e-05 -15 *1302:A2 *205:22 0.00012153 -16 *30:23 *1248:B 1.76557e-05 -17 *146:19 *205:22 3.43144e-05 -18 *163:34 *1248:B 0.000310754 -19 *163:34 *205:5 0.000206039 -20 *164:31 *1248:B 6.82925e-05 -*RES -1 *1247:Y *205:5 25.5271 -2 *205:5 *1248:B 35.2214 -3 *205:5 *205:22 32.8793 -4 *205:22 *1264:A2 23 -*END - -*D_NET *206 0.0104086 -*CONN -*I *1258:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1270:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1249:B I *D sky130_fd_sc_hd__and2_2 -*I *1262:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1254:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1278:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1248:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1258:C1 0 -2 *1270:B1 0 -3 *1249:B 0 -4 *1262:B1 0 -5 *1277:A2 2.1121e-05 -6 *1254:B1 0 -7 *1278:B1 0 -8 *1248:Y 0.000262604 -9 *206:94 0.000299115 -10 *206:73 0.000125021 -11 *206:68 0.000585943 -12 *206:60 0.000605241 -13 *206:46 0.000724072 -14 *206:30 0.000333885 -15 *206:24 0.00050196 -16 *206:17 0.000667157 -17 *206:8 0.000395674 -18 *1277:A2 *1277:A3 0 -19 *206:8 *212:24 4.77164e-06 -20 *206:8 *241:8 0 -21 *206:8 *372:5 5.3304e-05 -22 *206:17 *212:24 6.395e-05 -23 *206:17 *241:8 0 -24 *206:24 *1418:A 5.3304e-05 -25 *206:24 *287:5 0.000135037 -26 *206:24 *301:5 9.41704e-05 -27 *206:24 *322:8 5.3304e-05 -28 *206:24 *330:21 2.4754e-05 -29 *206:24 *330:28 0.000153155 -30 *206:24 *331:17 0.000190697 -31 *206:30 *212:19 1.35923e-05 -32 *206:30 *301:5 0.00014196 -33 *206:46 *1262:A2 1.30057e-05 -34 *206:46 *1262:C1 1.69081e-05 -35 *206:46 *1277:A3 0.000228626 -36 *206:46 *1303:A2 9.28426e-06 -37 *206:46 *1303:B1 2.31687e-05 -38 *206:46 *212:13 4.15825e-05 -39 *206:46 *212:17 2.7868e-05 -40 *206:46 *212:19 1.60702e-05 -41 *206:46 *301:5 0.000699883 -42 *206:60 *1304:D1 0.000100486 -43 *206:60 *207:5 7.64059e-06 -44 *206:60 *207:15 7.51783e-05 -45 *206:60 *212:13 4.72549e-07 -46 *206:60 *213:37 0.000563675 -47 *206:60 *215:28 0 -48 *206:60 *233:35 5.61255e-06 -49 *206:60 *245:9 0 -50 *206:60 *301:5 3.84478e-06 -51 *206:68 *207:5 5.29205e-05 -52 *206:68 *213:37 2.62931e-05 -53 *206:68 *220:26 4.22275e-05 -54 *206:68 *330:9 4.38756e-05 -55 *206:73 *1270:B2 0.000149982 -56 *206:94 *1258:B1 6.83078e-05 -57 *206:94 *1272:B1 7.16429e-05 -58 *206:94 *1273:B2 5.58003e-05 -59 *206:94 *216:41 0.000192529 -60 *206:94 *220:26 0.000228233 -61 *206:94 *222:6 2.08253e-05 -62 *206:94 *330:9 2.87922e-05 -63 *1248:B *206:8 0.00030384 -64 *1262:A1 *206:46 0.000248498 -65 *1303:A1 *206:46 0.000152671 -66 *14:20 *1277:A2 9.19921e-06 -67 *14:20 *206:24 0.000257636 -68 *14:20 *206:30 7.47063e-05 -69 *16:8 *206:60 2.73653e-05 -70 *17:7 *206:73 5.96729e-05 -71 *17:7 *206:94 4.94766e-06 -72 *30:23 *206:8 0.000187564 -73 *37:24 *206:94 0.000253944 -74 *162:26 *206:17 0 -75 *197:28 *206:8 0.000206651 -76 *197:28 *206:17 0.000152242 -77 *197:43 *206:17 8.72173e-05 -78 *200:51 *206:24 1.41129e-05 -79 *204:24 *206:60 4.98295e-05 -*RES -1 *1248:Y *206:8 45.395 -2 *206:8 *1278:B1 38 -3 *206:8 *206:17 18.3143 -4 *206:17 *206:24 40.5229 -5 *206:24 *1254:B1 23 -6 *206:17 *206:30 1.57429 -7 *206:30 *1277:A2 23.4971 -8 *206:30 *206:46 11.4291 -9 *206:46 *1262:B1 23 -10 *206:46 *206:60 39.948 -11 *206:60 *1249:B 23 -12 *206:60 *206:68 3.50071 -13 *206:68 *206:73 32.2579 -14 *206:73 *1270:B1 23 -15 *206:68 *206:94 38.0993 -16 *206:94 *1258:C1 23 -*END - -*D_NET *207 0.0154492 -*CONN -*I *1293:A I *D sky130_fd_sc_hd__and3_2 -*I *1301:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1281:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1267:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1250:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1249:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1293:A 0 -2 *1301:B1 0.000121819 -3 *1281:B1 3.26781e-05 -4 *1267:B1 0.000146459 -5 *1250:B1 0 -6 *1249:X 0.000107715 -7 *207:71 0.000694993 -8 *207:52 0.000816812 -9 *207:28 0.00015818 -10 *207:23 0.00193535 -11 *207:20 0.00239887 -12 *207:15 0.00151476 -13 *207:5 0.00111456 -14 *1267:B1 *404:DIODE 5.3304e-05 -15 *1267:B1 *287:5 5.3304e-05 -16 *1281:B1 *224:12 8.22421e-06 -17 *1281:B1 *309:19 9.70259e-06 -18 *1281:B1 *317:13 9.70259e-06 -19 *1301:B1 *220:58 0 -20 *1301:B1 *233:35 0.000130861 -21 *207:15 *1304:A1 0.000270654 -22 *207:15 *215:28 1.22906e-05 -23 *207:15 *216:18 1.79749e-05 -24 *207:15 *216:53 0.000342218 -25 *207:15 *218:8 0 -26 *207:15 *218:9 8.58995e-05 -27 *207:15 *218:25 2.63934e-05 -28 *207:15 *218:56 0.000160448 -29 *207:15 *221:39 4.15283e-06 -30 *207:15 *221:50 0.000109458 -31 *207:15 *233:35 0.000189857 -32 *207:20 *216:53 0.000653061 -33 *207:20 *330:18 0 -34 *207:23 *1416:A 0.000183733 -35 *207:23 *330:21 4.95081e-05 -36 *207:23 *330:28 0.000153155 -37 *207:23 *331:17 0.000124306 -38 *207:23 *335:7 4.52022e-05 -39 *207:28 *1456:A 7.96545e-05 -40 *207:71 *210:25 0.00067585 -41 *207:71 *220:48 0.000104067 -42 *207:71 *330:18 2.96605e-05 -43 *207:71 *363:17 8.23752e-05 -44 *14:20 *207:23 2.62931e-05 -45 *15:14 *1267:B1 0.000287788 -46 *15:14 *207:20 0.000107218 -47 *22:15 *207:15 0.000206011 -48 *36:8 *207:28 0.000180218 -49 *36:24 *1267:B1 0.00027981 -50 *36:24 *207:20 0.000121649 -51 *37:30 *207:71 9.85586e-06 -52 *162:26 *1281:B1 4.36401e-06 -53 *164:12 *207:15 0.000346083 -54 *197:28 *207:20 4.79458e-06 -55 *197:66 *207:71 0.000770009 -56 *200:21 *207:28 0.000217179 -57 *202:38 *207:71 4.49877e-05 -58 *206:60 *207:5 7.64059e-06 -59 *206:60 *207:15 7.51783e-05 -60 *206:68 *207:5 5.29205e-05 -*RES -1 *1249:X *207:5 24.5743 -2 *207:5 *207:15 33.753 -3 *207:15 *207:20 10.9878 -4 *207:20 *207:23 38.9664 -5 *207:23 *207:28 35.075 -6 *207:28 *1250:B1 23 -7 *207:20 *1267:B1 42.64 -8 *207:15 *1281:B1 46.5489 -9 *207:5 *207:52 15 -10 *207:52 *1301:B1 39.9057 -11 *207:52 *207:71 38.2432 -12 *207:71 *1293:A 23 -*END - -*D_NET *208 0.00562241 -*CONN -*I *1253:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1312:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1288:B I *D sky130_fd_sc_hd__and2_2 -*I *1251:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1253:B1 5.47527e-05 -2 *1312:B1 0 -3 *1288:B 0 -4 *1251:Y 0 -5 *208:45 0.000754953 -6 *208:34 0.00118824 -7 *208:21 0.000442729 -8 *208:4 0.000821262 -9 *1253:B1 *1296:B 5.20202e-05 -10 *1253:B1 *209:10 0.000138727 -11 *1253:B1 *221:24 2.08324e-05 -12 *208:21 *1245:C 0 -13 *208:21 *211:40 8.85074e-05 -14 *208:21 *232:10 4.30172e-05 -15 *208:21 *294:18 0.00026025 -16 *208:34 *1166:A 9.5877e-05 -17 *208:34 *1296:B 3.01937e-05 -18 *208:34 *1297:C 1.09111e-05 -19 *208:34 *1314:B2 2.75733e-06 -20 *208:34 *209:10 0.00011006 -21 *208:34 *211:40 3.75074e-05 -22 *208:34 *234:11 0.000264553 -23 *208:34 *294:18 4.05745e-05 -24 *208:45 *290:11 0.000145236 -25 *208:45 *291:9 9.72944e-05 -26 *208:45 *294:29 0.000182832 -27 *208:45 *295:8 5.67058e-05 -28 *1172:B *208:21 0.000193278 -29 *25:11 *208:34 0.000272985 -30 *25:11 *208:45 9.0295e-05 -31 *139:89 *208:45 2.81066e-05 -32 *140:8 *208:34 2.93845e-05 -33 *144:46 *208:21 9.28078e-06 -34 *162:74 *208:34 1.21972e-05 -35 *163:57 *208:21 4.70953e-05 -*RES -1 *1251:Y *208:4 23 -2 *208:4 *208:21 38.0371 -3 *208:21 *1288:B 23 -4 *208:4 *208:34 24.4871 -5 *208:34 *208:45 28.34 -6 *208:45 *1312:B1 23 -7 *208:34 *1253:B1 39.9057 -*END - -*D_NET *209 0.00228938 -*CONN -*I *1313:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1253:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1252:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1313:B1 0.000102353 -2 *1253:C1 0 -3 *1252:Y 8.9159e-05 -4 *209:10 0.000431653 -5 *209:5 0.000623164 -6 *1313:B1 *272:23 0.000194648 -7 *209:10 *1314:B2 0 -8 *209:10 *220:78 3.06031e-05 -9 *209:10 *272:23 0 -10 *1253:B1 *209:10 0.000138727 -11 *1313:B2 *1313:B1 5.5792e-05 -12 *19:10 *209:10 0 -13 *26:21 *1313:B1 0.000142678 -14 *26:21 *209:5 0.000228233 -15 *26:21 *209:10 2.12347e-06 -16 *92:43 *209:10 0 -17 *92:54 *209:10 4.53527e-05 -18 *139:5 *1313:B1 2.45549e-06 -19 *139:10 *1313:B1 2.23466e-05 -20 *139:10 *209:5 2.45549e-06 -21 *163:8 *209:5 3.46302e-05 -22 *163:8 *209:10 3.29501e-05 -23 *208:34 *209:10 0.00011006 -*RES -1 *1252:Y *209:5 25.5271 -2 *209:5 *209:10 37.8921 -3 *209:10 *1253:C1 23 -4 *209:5 *1313:B1 26.0036 -*END - -*D_NET *210 0.00618257 -*CONN -*I *1298:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *1258:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1272:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1255:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1298:A2 0.000528241 -2 *1258:A2 0.000171578 -3 *1272:A2 0 -4 *1255:Y 0 -5 *210:33 0.000777394 -6 *210:25 0.000861622 -7 *210:5 0.000939197 -8 *1258:A2 *1258:B1 1.09903e-05 -9 *1258:A2 *213:5 5.79559e-05 -10 *1298:A2 *1292:B1 4.5051e-05 -11 *1298:A2 *1298:B1 0.000284234 -12 *1298:A2 *213:5 0.000126451 -13 *1298:A2 *213:15 8.3567e-05 -14 *1298:A2 *221:84 1.8288e-05 -15 *1298:A2 *235:7 5.50049e-05 -16 *210:25 *1272:B2 3.16665e-05 -17 *210:25 *1289:B2 7.62486e-05 -18 *210:25 *1304:A1 0 -19 *210:25 *213:28 0.000719961 -20 *210:25 *215:15 9.67842e-05 -21 *210:25 *220:21 2.68777e-05 -22 *210:25 *301:13 2.49495e-05 -23 *210:25 *330:18 2.6069e-05 -24 *210:33 *232:10 0.000111143 -25 *1258:A1 *1258:A2 4.7771e-05 -26 *17:22 *1258:A2 7.53303e-06 -27 *37:30 *210:25 3.87221e-06 -28 *163:91 *1298:A2 0.000308045 -29 *197:66 *210:25 1.73354e-05 -30 *202:38 *210:25 1.81898e-05 -31 *202:38 *210:33 0 -32 *204:8 *210:25 3.07013e-05 -33 *207:71 *210:25 0.00067585 -*RES -1 *1255:Y *210:5 38 -2 *210:5 *210:25 41.1506 -3 *210:25 *1272:A2 23 -4 *210:5 *210:33 16.5536 -5 *210:33 *1258:A2 26.3764 -6 *210:33 *1298:A2 33.6471 -*END - -*D_NET *211 0.0077279 -*CONN -*I *1295:C I *D sky130_fd_sc_hd__nand3_2 -*I *1310:C I *D sky130_fd_sc_hd__nand3_2 -*I *1257:B I *D sky130_fd_sc_hd__nand2_2 -*I *1284:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1256:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1295:C 7.37762e-05 -2 *1310:C 0 -3 *1257:B 9.89242e-05 -4 *1284:B1 0.000151135 -5 *1256:X 0 -6 *211:40 0.00147894 -7 *211:10 0.000367703 -8 *211:4 0.0015228 -9 *1257:B *212:5 3.7681e-05 -10 *1257:B *212:13 1.21972e-05 -11 *1257:B *212:55 3.17634e-05 -12 *1257:B *275:67 1.75562e-05 -13 *1257:B *372:5 0.000182826 -14 *1284:B1 *1247:A 9.67842e-05 -15 *1284:B1 *212:13 0.000178517 -16 *1284:B1 *275:67 8.23739e-05 -17 *1284:B1 *372:5 2.25809e-05 -18 *211:10 *220:12 0.000107212 -19 *211:40 *1166:A 9.5877e-05 -20 *211:40 *234:11 0.000146254 -21 *211:40 *236:8 6.61847e-05 -22 *211:40 *294:9 9.24795e-06 -23 *211:40 *372:13 0.000835412 -24 *1172:B *211:40 0.000153336 -25 *1243:B *1284:B1 5.3304e-05 -26 *1257:A *1257:B 4.0365e-05 -27 *1288:A *211:40 1.21972e-05 -28 *20:14 *1295:C 0.000189688 -29 *20:14 *211:40 4.89546e-05 -30 *26:9 *211:40 2.93707e-05 -31 *26:21 *211:40 3.584e-05 -32 *30:23 *1257:B 3.7681e-05 -33 *37:18 *211:10 5.50049e-05 -34 *37:18 *211:40 0.000296509 -35 *93:55 *211:40 0 -36 *139:89 *1295:C 0.000179144 -37 *139:89 *211:40 4.82567e-05 -38 *144:46 *211:40 0.000180224 -39 *162:63 *211:10 0.000107212 -40 *162:74 *211:40 0.000267173 -41 *163:18 *211:40 7.16547e-05 -42 *201:7 *1284:B1 0.000180224 -43 *208:21 *211:40 8.85074e-05 -44 *208:34 *211:40 3.75074e-05 -*RES -1 *1256:X *211:4 23 -2 *211:4 *211:10 32.175 -3 *211:10 *1284:B1 27.4329 -4 *211:10 *1257:B 26.3971 -5 *211:4 *211:40 49.8566 -6 *211:40 *1310:C 38 -7 *211:40 *1295:C 40.61 -*END - -*D_NET *212 0.00773158 -*CONN -*I *1258:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1272:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1262:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1303:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1278:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1257:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1258:B1 0.000109285 -2 *1272:B1 0.000141493 -3 *1262:A2 2.27521e-05 -4 *1303:A2 3.90531e-05 -5 *1277:A1 0 -6 *1278:A2 0 -7 *1257:Y 2.84133e-05 -8 *212:55 0.000866364 -9 *212:24 0.000107246 -10 *212:19 0.000431475 -11 *212:17 0.00083032 -12 *212:13 0.00128225 -13 *212:5 0.00143647 -14 *1272:B1 *1272:B2 1.62179e-05 -15 *1303:A2 *1277:A3 1.17425e-06 -16 *212:13 *1262:C1 8.1796e-05 -17 *212:13 *1272:B2 0.000224605 -18 *212:13 *215:15 0.000152363 -19 *212:13 *230:8 0.000117696 -20 *212:13 *275:58 6.32456e-05 -21 *212:13 *301:5 2.25419e-05 -22 *212:19 *1277:A3 4.18979e-05 -23 *212:19 *1303:B1 2.86398e-05 -24 *212:19 *1303:C1 5.12254e-05 -25 *212:24 *241:8 0 -26 *212:55 *216:41 0.000147441 -27 *212:55 *220:12 0 -28 *212:55 *372:13 0.000103852 -29 *1243:B *212:13 5.58473e-05 -30 *1257:A *212:5 1.75562e-05 -31 *1257:A *212:55 9.58714e-05 -32 *1257:B *212:5 3.7681e-05 -33 *1257:B *212:13 1.21972e-05 -34 *1257:B *212:55 3.17634e-05 -35 *1258:A2 *1258:B1 1.09903e-05 -36 *1262:A1 *212:17 0.000119975 -37 *1284:B1 *212:13 0.000178517 -38 *1303:A1 *212:17 8.4093e-05 -39 *14:20 *212:19 8.82053e-07 -40 *17:7 *212:55 0.000124075 -41 *37:24 *212:55 5.12639e-05 -42 *144:22 *212:55 1.38134e-05 -43 *162:63 *212:13 3.02306e-05 -44 *202:20 *212:13 3.85553e-05 -45 *203:26 *212:13 0.000149899 -46 *206:8 *212:24 4.77164e-06 -47 *206:17 *212:24 6.395e-05 -48 *206:30 *212:19 1.35923e-05 -49 *206:46 *1262:A2 1.30057e-05 -50 *206:46 *1303:A2 9.28426e-06 -51 *206:46 *212:13 4.15825e-05 -52 *206:46 *212:17 2.7868e-05 -53 *206:46 *212:19 1.60702e-05 -54 *206:60 *212:13 4.72549e-07 -55 *206:94 *1258:B1 6.83078e-05 -56 *206:94 *1272:B1 7.16429e-05 -*RES -1 *1257:Y *212:5 23.8493 -2 *212:5 *212:13 45.4736 -3 *212:13 *212:17 6.35929 -4 *212:17 *212:19 4.43286 -5 *212:19 *212:24 31.9057 -6 *212:24 *1278:A2 23 -7 *212:19 *1277:A1 23 -8 *212:17 *1303:A2 23.9477 -9 *212:13 *1262:A2 23.4971 -10 *212:5 *212:55 39.9014 -11 *212:55 *1272:B1 27.1429 -12 *212:55 *1258:B1 25.3614 -*END - -*D_NET *213 0.0130719 -*CONN -*I *1259:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1297:A I *D sky130_fd_sc_hd__and3_2 -*I *1258:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1259:B1 0.000115596 -2 *1297:A 0 -3 *1258:X 6.57255e-05 -4 *213:37 0.00225181 -5 *213:28 0.0029613 -6 *213:15 0.000533927 -7 *213:5 0.00142474 -8 *1259:B1 *1414:TE 0.000185623 -9 *1259:B1 *1419:A 0.000160027 -10 *213:15 *1292:B1 9.67842e-05 -11 *213:15 *1297:B 0.000131852 -12 *213:15 *1298:B1 2.02872e-05 -13 *213:15 *221:24 6.17437e-06 -14 *213:15 *221:26 0.000115 -15 *213:15 *233:61 9.41704e-05 -16 *213:15 *234:29 0.000134009 -17 *213:28 *1260:B 4.8112e-05 -18 *213:28 *220:21 1.63925e-05 -19 *213:28 *232:10 5.57914e-05 -20 *213:28 *233:27 4.45778e-05 -21 *213:28 *301:13 7.57211e-05 -22 *213:28 *330:18 8.24846e-05 -23 *213:37 *404:DIODE 2.38387e-06 -24 *213:37 *1282:B1 0.000142173 -25 *213:37 *1304:D1 0.000209415 -26 *213:37 *1414:A 0.000147656 -27 *213:37 *1419:A 1.35999e-05 -28 *213:37 *218:25 0.000446957 -29 *213:37 *226:132 8.48502e-06 -30 *213:37 *287:5 0.000472293 -31 *213:37 *328:9 0.000234534 -32 *1254:A2 *213:37 6.86689e-05 -33 *1258:A2 *213:5 5.79559e-05 -34 *1298:A2 *213:5 0.000126451 -35 *1298:A2 *213:15 8.3567e-05 -36 *17:22 *213:5 4.62989e-05 -37 *31:11 *1259:B1 0.000322648 -38 *31:11 *213:37 3.47991e-05 -39 *163:91 *213:28 5.80848e-05 -40 *164:69 *213:15 0.00021677 -41 *197:66 *213:28 7.85492e-05 -42 *200:39 *1259:B1 9.3688e-05 -43 *202:38 *213:28 0.000276928 -44 *206:60 *213:37 0.000563675 -45 *206:68 *213:37 2.62931e-05 -46 *210:25 *213:28 0.000719961 -*RES -1 *1258:X *213:5 25.0507 -2 *213:5 *213:15 40.1914 -3 *213:15 *1297:A 23 -4 *213:5 *213:28 36.0522 -5 *213:28 *213:37 48.5571 -6 *213:37 *1259:B1 28.3857 -*END - -*D_NET *214 0.00718965 -*CONN -*I *1287:B I *D sky130_fd_sc_hd__nand2_2 -*I *1261:A I *D sky130_fd_sc_hd__nand2_2 -*I *1260:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1287:B 0 -2 *1261:A 0 -3 *1260:Y 0 -4 *214:33 0.000792675 -5 *214:21 0.000531836 -6 *214:15 0.001209 -7 *214:4 0.00146983 -8 *214:15 *1260:B 6.3704e-06 -9 *214:15 *1300:B1 9.80101e-06 -10 *214:15 *231:9 0.000406974 -11 *214:15 *233:27 0.000531776 -12 *214:15 *357:8 0.000100486 -13 *214:21 *221:39 5.50049e-05 -14 *214:33 *226:102 8.36198e-05 -15 *214:33 *231:9 0.000566621 -16 *1287:A *214:33 0.000181131 -17 *1289:A2 *214:15 5.64492e-05 -18 *1298:A1 *214:33 0.000182826 -19 *17:7 *214:21 0 -20 *17:22 *214:21 5.3304e-05 -21 *18:5 *214:15 2.39452e-05 -22 *19:10 *214:33 0 -23 *24:22 *214:15 5.09387e-06 -24 *24:22 *214:21 6.92527e-05 -25 *37:24 *214:21 0.000507031 -26 *37:30 *214:21 0.000124066 -27 *93:70 *214:33 6.26298e-05 -28 *197:69 *214:33 8.30054e-05 -29 *202:38 *214:21 5.29522e-05 -30 *203:10 *214:21 1.01436e-05 -31 *203:26 *214:21 1.38251e-05 -*RES -1 *1260:Y *214:4 23 -2 *214:4 *214:15 41.89 -3 *214:15 *214:21 39.57 -4 *214:21 *1261:A 23 -5 *214:4 *214:33 43.4643 -6 *214:33 *1287:B 23 -*END - -*D_NET *215 0.00518051 -*CONN -*I *1270:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1304:B1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1262:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1261:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1270:B2 0.000270192 -2 *1304:B1 0 -3 *1262:C1 4.91521e-05 -4 *1261:Y 0.000401566 -5 *215:28 0.0001405 -6 *215:15 0.000443884 -7 *215:8 0.00092599 -8 *1262:C1 *301:5 7.88828e-05 -9 *1270:B2 *1270:A1 5.3304e-05 -10 *1270:B2 *220:21 0.00021898 -11 *1270:B2 *220:58 0.000100843 -12 *1270:B2 *233:27 5.5792e-05 -13 *215:8 *216:41 0.000226306 -14 *215:8 *220:12 7.68979e-05 -15 *215:8 *220:21 0.000195588 -16 *215:8 *221:39 0.000226024 -17 *215:8 *275:58 0.00048244 -18 *215:15 *1272:B2 1.89657e-05 -19 *215:15 *301:5 0.000286198 -20 *17:7 *1270:B2 4.69493e-05 -21 *17:7 *215:8 4.72229e-05 -22 *17:22 *215:8 1.22822e-05 -23 *22:15 *215:28 0.000154525 -24 *203:26 *215:15 7.85467e-05 -25 *204:8 *215:15 5.67058e-05 -26 *204:24 *215:28 2.26497e-05 -27 *206:46 *1262:C1 1.69081e-05 -28 *206:60 *215:28 0 -29 *206:73 *1270:B2 0.000149982 -30 *207:15 *215:28 1.22906e-05 -31 *210:25 *215:15 9.67842e-05 -32 *212:13 *1262:C1 8.1796e-05 -33 *212:13 *215:15 0.000152363 -*RES -1 *1261:Y *215:8 47.4043 -2 *215:8 *215:15 21.9807 -3 *215:15 *1262:C1 25.0714 -4 *215:15 *215:28 32.61 -5 *215:28 *1304:B1 23 -6 *215:8 *1270:B2 43.6964 -*END - -*D_NET *216 0.0128832 -*CONN -*I *1263:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1273:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1286:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1262:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1263:B1 0 -2 *1273:B1 0 -3 *1286:B1 1.89692e-05 -4 *1262:X 0.000118551 -5 *216:53 0.00277872 -6 *216:41 0.000495004 -7 *216:25 0.000642735 -8 *216:18 0.000546748 -9 *216:6 0.00331526 -10 *216:6 *217:22 7.96594e-05 -11 *216:6 *245:9 0.000194956 -12 *216:18 *217:16 2.26406e-05 -13 *216:18 *218:8 0 -14 *216:18 *245:9 2.05503e-05 -15 *216:18 *309:19 0.000262858 -16 *216:25 *1276:A 0.00014196 -17 *216:25 *273:38 5.50049e-05 -18 *216:25 *309:19 0.000245402 -19 *216:25 *317:21 8.09119e-05 -20 *216:41 *1273:B2 6.17437e-06 -21 *216:41 *1286:A1 3.87455e-05 -22 *216:41 *220:26 8.06804e-06 -23 *216:41 *221:39 4.60157e-05 -24 *216:41 *222:6 0.000159123 -25 *216:41 *275:58 1.41129e-05 -26 *216:41 *309:19 0.000168687 -27 *216:41 *317:21 1.41077e-05 -28 *216:41 *330:9 9.41704e-05 -29 *216:53 *1456:A 2.43996e-05 -30 *216:53 *330:18 0 -31 *1244:B *216:18 2.75333e-05 -32 *1286:A2 *216:41 0.000241687 -33 *17:7 *216:41 2.33694e-05 -34 *17:22 *216:41 4.21281e-05 -35 *30:13 *216:53 0.000468129 -36 *31:11 *216:53 0.000267448 -37 *37:24 *216:41 5.05668e-05 -38 *164:12 *216:18 2.05503e-05 -39 *164:15 *216:18 0.000223692 -40 *165:23 *216:53 0.000317195 -41 *202:11 *216:18 1.77747e-05 -42 *202:38 *216:41 1.00493e-05 -43 *206:94 *216:41 0.000192529 -44 *207:15 *216:18 1.79749e-05 -45 *207:15 *216:53 0.000342218 -46 *207:20 *216:53 0.000653061 -47 *212:55 *216:41 0.000147441 -48 *215:8 *216:41 0.000226306 -*RES -1 *1262:X *216:6 40.7861 -2 *216:6 *216:18 37.7782 -3 *216:18 *216:25 19.5986 -4 *216:25 *1286:B1 23.4971 -5 *216:25 *216:41 42.2836 -6 *216:41 *1273:B1 23 -7 *216:6 *216:53 38.2551 -8 *216:53 *1263:B1 23 -*END - -*D_NET *217 0.00857227 -*CONN -*I *1307:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1265:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1264:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1307:A1 0.000332862 -2 *1265:B1 0 -3 *1264:Y 0.000409842 -4 *217:31 0.001302 -5 *217:22 0.0021247 -6 *217:16 0.000899679 -7 *1307:A1 *226:132 4.51797e-05 -8 *1307:A1 *243:8 1.05441e-05 -9 *217:16 *218:8 7.76364e-06 -10 *217:16 *245:9 0.000155844 -11 *217:16 *294:9 0.000177305 -12 *217:22 *1435:Z 2.05503e-05 -13 *217:22 *227:8 9.10182e-06 -14 *217:22 *242:6 7.10697e-05 -15 *217:22 *245:9 9.75433e-05 -16 *217:31 *1409:A 0.000365093 -17 *217:31 *1429:TE 0.000258223 -18 *217:31 *1435:TE 9.84034e-05 -19 *217:31 *339:11 0.000145356 -20 *217:31 *343:10 3.58881e-05 -21 *217:31 *344:13 0.000340479 -22 *1275:A2 *217:16 0.000216631 -23 *36:24 *1307:A1 4.17773e-05 -24 *36:24 *217:31 5.18055e-05 -25 *36:32 *1307:A1 1.33899e-05 -26 *37:18 *217:16 6.08617e-05 -27 *146:5 *217:16 0.000136743 -28 *162:26 *217:22 0 -29 *164:41 *217:16 0.000339893 -30 *165:23 *217:16 1.16209e-05 -31 *165:23 *217:22 0.000212818 -32 *197:43 *217:31 0.000276734 -33 *197:66 *1307:A1 0.000200277 -34 *216:6 *217:22 7.96594e-05 -35 *216:18 *217:16 2.26406e-05 -*RES -1 *1264:Y *217:16 49.4446 -2 *217:16 *217:22 17.2179 -3 *217:22 *217:31 46.7548 -4 *217:31 *1265:B1 23 -5 *217:22 *1307:A1 47.4161 -*END - -*D_NET *218 0.0125285 -*CONN -*I *1292:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1290:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1281:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1302:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1267:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1266:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1292:A2 1.86979e-05 -2 *1290:A_N 0.000108824 -3 *1281:A2 2.57883e-05 -4 *1302:B2 0.000136826 -5 *1267:A2 0 -6 *1266:Y 0 -7 *218:56 0.00110431 -8 *218:38 0.000541981 -9 *218:25 0.000606899 -10 *218:9 0.00117457 -11 *218:8 0.00201138 -12 *218:5 0.00084629 -13 *1281:A2 *309:19 5.3304e-05 -14 *1281:A2 *317:13 1.23293e-05 -15 *1290:A_N *1260:B 8.30566e-06 -16 *1290:A_N *233:11 0.000143109 -17 *1290:A_N *271:31 3.41998e-06 -18 *1292:A2 *1260:B 1.15883e-05 -19 *1292:A2 *233:11 4.82567e-05 -20 *218:8 *245:9 0.00055081 -21 *218:9 *330:18 9.48022e-05 -22 *218:25 *227:8 2.88932e-05 -23 *218:25 *287:5 0.000411788 -24 *218:25 *330:18 6.44029e-05 -25 *218:38 *224:12 5.46257e-05 -26 *218:38 *227:8 0.000120258 -27 *218:56 *221:39 7.29504e-05 -28 *218:56 *301:13 0.00113048 -29 *218:56 *330:18 0.000215698 -30 *1244:B *218:8 0 -31 *16:14 *218:25 3.71514e-05 -32 *137:27 *218:8 2.14404e-05 -33 *137:40 *218:8 6.14509e-05 -34 *162:26 *1302:B2 1.7123e-05 -35 *162:26 *218:25 4.15206e-05 -36 *162:26 *218:38 3.34818e-06 -37 *162:42 *218:8 1.42891e-05 -38 *162:68 *218:56 0.00110697 -39 *162:74 *1290:A_N 1.15883e-05 -40 *163:34 *218:8 0.000102103 -41 *164:12 *218:8 1.28427e-05 -42 *164:12 *218:56 1.46398e-05 -43 *164:31 *1302:B2 6.53937e-05 -44 *164:41 *218:8 0 -45 *164:52 *1290:A_N 0.000140953 -46 *164:52 *1292:A2 1.28035e-05 -47 *165:23 *1302:B2 0.000141571 -48 *165:23 *218:38 0.00020471 -49 *202:38 *218:56 0.000142931 -50 *203:26 *218:56 4.76467e-05 -51 *207:15 *218:8 0 -52 *207:15 *218:9 8.58995e-05 -53 *207:15 *218:25 2.63934e-05 -54 *207:15 *218:56 0.000160448 -55 *213:37 *218:25 0.000446957 -56 *216:18 *218:8 0 -57 *217:16 *218:8 7.76364e-06 -*RES -1 *1266:Y *218:5 38 -2 *218:5 *218:8 22.7589 -3 *218:8 *218:9 0.5712 -4 *218:9 *218:25 31.748 -5 *218:25 *1267:A2 23 -6 *218:9 *218:38 13.9554 -7 *218:38 *1302:B2 40.61 -8 *218:38 *1281:A2 38.6214 -9 *218:8 *218:56 11.8341 -10 *218:56 *1290:A_N 40.4339 -11 *218:56 *1292:A2 38.6732 -*END - -*D_NET *219 0.00177218 -*CONN -*I *1268:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1267:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1268:B1 4.26531e-05 -2 *1267:X 0.000303795 -3 *219:8 0.000346448 -4 *1268:B1 *1427:A 0.000139352 -5 *1268:B1 *331:11 4.5051e-05 -6 *1268:B1 *350:10 5.50049e-05 -7 *219:8 *404:DIODE 5.58003e-05 -8 *14:9 *219:8 5.97612e-05 -9 *14:20 *219:8 9.09283e-05 -10 *15:14 *219:8 0.000546689 -11 *21:26 *219:8 8.66953e-05 -*RES -1 *1267:X *219:8 46.1614 -2 *219:8 *1268:B1 39.5743 -*END - -*D_NET *220 0.0130652 -*CONN -*I *1313:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1314:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1270:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1301:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1289:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1273:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1286:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1269:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1313:A1 0 -2 *1314:A1 2.35907e-05 -3 *1270:A1 6.70544e-05 -4 *1301:A1 5.97819e-05 -5 *1289:A1 0 -6 *1273:A1 0 -7 *1286:A1 3.99801e-05 -8 *1269:X 0 -9 *220:94 0.000105595 -10 *220:81 0.000289641 -11 *220:78 0.00119071 -12 *220:58 0.000294263 -13 *220:48 0.000452743 -14 *220:26 0.00081293 -15 *220:21 0.000823504 -16 *220:12 0.00123716 -17 *220:4 0.00193154 -18 *1270:A1 *233:27 6.62178e-05 -19 *1286:A1 *317:21 0.000139346 -20 *1301:A1 *233:27 5.00237e-05 -21 *1301:A1 *233:35 7.48516e-05 -22 *220:12 *273:47 0.000272918 -23 *220:12 *372:13 5.63246e-05 -24 *220:21 *301:13 4.30894e-05 -25 *220:21 *330:18 2.05503e-05 -26 *220:26 *330:9 2.03764e-06 -27 *220:48 *1289:B2 0.000168086 -28 *220:48 *1300:B1 4.30894e-05 -29 *220:48 *231:9 5.3304e-05 -30 *220:48 *233:27 9.84567e-05 -31 *220:48 *330:9 1.66049e-05 -32 *220:81 *1314:B1 0.000138859 -33 *220:81 *246:13 8.06804e-06 -34 *220:94 *246:13 1.05235e-05 -35 *1270:A2 *1270:A1 0.000165831 -36 *1270:A2 *1301:A1 0.000230723 -37 *1270:B2 *1270:A1 5.3304e-05 -38 *1270:B2 *220:21 0.00021898 -39 *1270:B2 *220:58 0.000100843 -40 *1272:A1 *220:21 7.07958e-05 -41 *1283:C1 *220:12 0 -42 *1286:A2 *1286:A1 7.12988e-05 -43 *1301:B1 *220:58 0 -44 *25:24 *220:78 0.000707535 -45 *26:21 *220:78 6.21151e-05 -46 *29:8 *220:94 0.000110258 -47 *37:30 *220:48 1.46586e-05 -48 *137:56 *220:12 8.73182e-05 -49 *139:26 *220:12 0.000186029 -50 *139:26 *220:78 0.000101481 -51 *139:83 *220:94 0.000108129 -52 *142:9 *220:78 0.00011777 -53 *144:22 *220:12 0 -54 *148:55 *220:12 0 -55 *162:63 *220:12 0.000239188 -56 *162:63 *220:21 7.88867e-05 -57 *163:18 *220:78 0.000354406 -58 *163:26 *220:78 0.000217285 -59 *168:32 *220:12 5.20619e-06 -60 *168:32 *220:78 0.000130151 -61 *170:19 *220:12 0 -62 *170:19 *220:78 2.38171e-05 -63 *202:38 *220:21 0 -64 *202:38 *220:48 0.000143421 -65 *206:68 *220:26 4.22275e-05 -66 *206:94 *220:26 0.000228233 -67 *207:71 *220:48 0.000104067 -68 *209:10 *220:78 3.06031e-05 -69 *210:25 *220:21 2.68777e-05 -70 *211:10 *220:12 0.000107212 -71 *212:55 *220:12 0 -72 *213:28 *220:21 1.63925e-05 -73 *215:8 *220:12 7.68979e-05 -74 *215:8 *220:21 0.000195588 -75 *216:41 *1286:A1 3.87455e-05 -76 *216:41 *220:26 8.06804e-06 -*RES -1 *1269:X *220:4 23 -2 *220:4 *220:12 31.24 -3 *220:12 *1286:A1 39.5743 -4 *220:12 *220:21 6.13143 -5 *220:21 *220:26 19.93 -6 *220:26 *1273:A1 23 -7 *220:26 *220:48 38.7207 -8 *220:48 *1289:A1 23 -9 *220:21 *220:58 17.175 -10 *220:58 *1301:A1 25.5271 -11 *220:58 *1270:A1 25.0352 -12 *220:4 *220:78 36.9022 -13 *220:78 *220:81 17.0507 -14 *220:81 *1314:A1 23.4971 -15 *220:81 *220:94 32.175 -16 *220:94 *1313:A1 23 -*END - -*D_NET *221 0.0113812 -*CONN -*I *1295:B I *D sky130_fd_sc_hd__nand3_2 -*I *1298:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *1290:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1304:A1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1272:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1276:A I *D sky130_fd_sc_hd__nand2_2 -*I *1296:B I *D sky130_fd_sc_hd__nand3b_2 -*I *1271:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1295:B 2.34823e-05 -2 *1298:B2 0 -3 *1290:B 0 -4 *1304:A1 0.000178871 -5 *1272:B2 0.000445695 -6 *1276:A 0.000158311 -7 *1296:B 6.23959e-05 -8 *1271:X 0.00033978 -9 *221:84 0.000167241 -10 *221:50 0.000688939 -11 *221:39 0.000933229 -12 *221:26 0.00088788 -13 *221:24 0.000582686 -14 *221:12 0.000538301 -15 *221:7 0.000601058 -16 *1276:A *309:19 5.25334e-05 -17 *1276:A *317:21 0.000521108 -18 *1296:B *1297:C 0.000168179 -19 *221:24 *1297:B 4.21281e-05 -20 *221:24 *1297:C 0.00023095 -21 *221:26 *1297:B 9.47227e-05 -22 *221:26 *233:61 2.93845e-05 -23 *221:39 *1190:B 0.000139346 -24 *221:39 *233:11 2.93747e-05 -25 *221:39 *233:61 2.02872e-05 -26 *221:39 *275:58 0.000112003 -27 *221:84 *1298:B1 5.24719e-05 -28 *221:84 *233:61 0 -29 *221:84 *315:8 0.000163361 -30 *1253:A1 *221:12 9.76261e-05 -31 *1253:B1 *1296:B 5.20202e-05 -32 *1253:B1 *221:24 2.08324e-05 -33 *1272:B1 *1272:B2 1.62179e-05 -34 *1298:A1 *221:84 1.37232e-05 -35 *1298:A2 *221:84 1.8288e-05 -36 *17:22 *221:39 0.000139442 -37 *19:10 *221:84 0.000163361 -38 *37:24 *221:39 4.60157e-05 -39 *92:67 *221:24 0 -40 *163:63 *221:39 0.000137651 -41 *164:12 *221:39 0.000711373 -42 *164:47 *221:39 8.56352e-05 -43 *164:69 *1295:B 0 -44 *164:69 *221:7 0.000204107 -45 *164:69 *221:12 4.39607e-05 -46 *164:69 *221:24 3.65917e-05 -47 *164:69 *221:26 2.44913e-05 -48 *164:69 *221:39 2.9938e-05 -49 *202:11 *1276:A 0.000269775 -50 *202:20 *1304:A1 2.44117e-05 -51 *202:20 *221:39 2.26497e-05 -52 *202:20 *221:50 4.49946e-05 -53 *202:38 *1304:A1 4.29974e-05 -54 *203:26 *1272:B2 2.02872e-05 -55 *203:26 *221:39 0.000475436 -56 *204:8 *1304:A1 2.28002e-05 -57 *207:15 *1304:A1 0.000270654 -58 *207:15 *221:39 4.15283e-06 -59 *207:15 *221:50 0.000109458 -60 *208:34 *1296:B 3.01937e-05 -61 *210:25 *1272:B2 3.16665e-05 -62 *210:25 *1304:A1 0 -63 *212:13 *1272:B2 0.000224605 -64 *213:15 *221:24 6.17437e-06 -65 *213:15 *221:26 0.000115 -66 *214:21 *221:39 5.50049e-05 -67 *215:8 *221:39 0.000226024 -68 *215:15 *1272:B2 1.89657e-05 -69 *216:25 *1276:A 0.00014196 -70 *216:41 *221:39 4.60157e-05 -71 *218:56 *221:39 7.29504e-05 -*RES -1 *1271:X *221:7 28.4064 -2 *221:7 *221:12 19.1014 -3 *221:12 *1296:B 40.2579 -4 *221:12 *221:24 19.8886 -5 *221:24 *221:26 3.95643 -6 *221:26 *221:39 43.6333 -7 *221:39 *1276:A 44.3593 -8 *221:39 *221:50 1.55357 -9 *221:50 *1272:B2 44.525 -10 *221:50 *1304:A1 42.0186 -11 *221:26 *1290:B 23 -12 *221:24 *221:84 34.35 -13 *221:84 *1298:B2 23 -14 *221:7 *1295:B 23.4971 -*END - -*D_NET *222 0.000722382 -*CONN -*I *1273:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1272:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1273:B2 2.54425e-05 -2 *1272:X 0.00010352 -3 *222:6 0.000128963 -4 *1273:B2 *330:9 1.21972e-05 -5 *17:7 *222:6 0.000210337 -6 *206:94 *1273:B2 5.58003e-05 -7 *206:94 *222:6 2.08253e-05 -8 *216:41 *1273:B2 6.17437e-06 -9 *216:41 *222:6 0.000159123 -*RES -1 *1272:X *222:6 40.9621 -2 *222:6 *1273:B2 38.6214 -*END - -*D_NET *223 0.000844478 -*CONN -*I *1275:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1274:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1275:B1 0 -2 *1274:Y 0.000210941 -3 *223:7 0.000210941 -4 *1238:A *223:7 0.000126847 -5 *37:18 *223:7 0.00012359 -6 *197:5 *223:7 7.88828e-05 -7 *197:21 *223:7 5.41385e-05 -8 *197:28 *223:7 3.91386e-05 -*RES -1 *1274:Y *223:7 29.3593 -2 *223:7 *1275:B1 23 -*END - -*D_NET *224 0.00208843 -*CONN -*I *1303:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1276:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1303:B1 2.02238e-05 -2 *1277:A3 8.13351e-05 -3 *1276:Y 0.000424968 -4 *224:14 0.000101559 -5 *224:12 0.000424968 -6 *1277:A3 *1303:C1 9.67842e-05 -7 *224:12 *227:8 9.28078e-06 -8 *224:12 *309:19 0.000224367 -9 *224:12 *317:13 0.000138607 -10 *224:12 *317:21 4.34973e-05 -11 *1277:A2 *1277:A3 0 -12 *1281:B1 *224:12 8.22421e-06 -13 *1303:A2 *1277:A3 1.17425e-06 -14 *14:20 *1277:A3 0 -15 *162:26 *224:12 0.000136486 -16 *206:46 *1277:A3 0.000228626 -17 *206:46 *1303:B1 2.31687e-05 -18 *212:19 *1277:A3 4.18979e-05 -19 *212:19 *1303:B1 2.86398e-05 -20 *218:38 *224:12 5.46257e-05 -*RES -1 *1276:Y *224:12 45.3329 -2 *224:12 *224:14 15 -3 *224:14 *1277:A3 26.0243 -4 *224:14 *1303:B1 23.6214 -*END - -*D_NET *225 0.000453941 -*CONN -*I *1279:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1278:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1279:B1 0 -2 *1278:X 0.000146229 -3 *225:8 0.000146229 -4 *225:8 *309:21 2.93845e-05 -5 *15:19 *225:8 4.38375e-05 -6 *36:24 *225:8 1.01436e-05 -7 *197:28 *225:8 7.81176e-05 -*RES -1 *1278:X *225:8 40.8793 -2 *225:8 *1279:B1 38 -*END - -*D_NET *226 0.0282472 -*CONN -*I *411:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1309:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *408:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1294:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1300:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1282:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *404:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1312:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *418:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *415:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1317:A I *D sky130_fd_sc_hd__nor2_2 -*I *1318:A I *D sky130_fd_sc_hd__nor2_2 -*I *425:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *424:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1280:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *411:DIODE 0.00059104 -2 *1309:A1 0 -3 *408:DIODE 0 -4 *1294:A1 0 -5 *1300:A1 0 -6 *1282:A1 0 -7 *404:DIODE 0.000629945 -8 *1312:A1 0 -9 *418:DIODE 0.000336995 -10 *415:DIODE 0 -11 *1317:A 0 -12 *1318:A 0 -13 *425:DIODE 0 -14 *424:DIODE 8.70387e-05 -15 *1280:X 0.00123629 -16 *226:132 0.00157807 -17 *226:125 0.00156342 -18 *226:114 0.00123124 -19 *226:108 0.000957895 -20 *226:102 0.000774182 -21 *226:94 0.000729352 -22 *226:88 0.000484792 -23 *226:85 0.00135183 -24 *226:78 0.00164025 -25 *226:64 0.00157055 -26 *226:43 0.000128559 -27 *226:37 0.000595058 -28 *226:24 0.000743612 -29 *226:17 0.00035551 -30 *226:10 0.000348865 -31 *226:7 0.000349868 -32 *226:5 0.00123629 -33 *404:DIODE *1282:B1 5.22351e-05 -34 *404:DIODE *287:5 0.00017504 -35 *411:DIODE *1442:A 8.1796e-05 -36 *411:DIODE *1442:Z 6.17437e-06 -37 *411:DIODE *1443:Z 0 -38 *411:DIODE *1446:A 0 -39 *411:DIODE *246:18 1.61983e-05 -40 *411:DIODE *357:28 0 -41 *411:DIODE *358:10 8.08204e-05 -42 *418:DIODE *1459:B 9.41704e-05 -43 *418:DIODE *371:82 0.000188927 -44 *226:5 *1331:B 5.42229e-05 -45 *226:5 *1356:CLK 3.16784e-05 -46 *226:5 *1461:TE 0.000190572 -47 *226:10 *275:8 0 -48 *226:10 *371:82 0 -49 *226:24 *371:82 0.000265771 -50 *226:37 *1318:B 0.000347037 -51 *226:37 *371:72 0.000139882 -52 *226:37 *371:82 1.13812e-05 -53 *226:43 *1237:A_N 8.12694e-05 -54 *226:64 *275:8 0 -55 *226:64 *371:82 0 -56 *226:78 *246:18 9.67823e-05 -57 *226:78 *275:8 0 -58 *226:78 *275:15 0 -59 *226:78 *296:14 0 -60 *226:78 *298:16 0.000172557 -61 *226:78 *302:11 0 -62 *226:78 *368:12 5.26204e-05 -63 *226:78 *371:82 0.000216471 -64 *226:85 *246:18 0.000244453 -65 *226:85 *289:8 6.35513e-05 -66 *226:85 *290:20 0.000114656 -67 *226:85 *296:14 0 -68 *226:85 *296:27 0 -69 *226:85 *360:8 0.000138718 -70 *226:85 *363:29 0 -71 *226:94 *246:18 8.14696e-05 -72 *226:94 *360:8 0.000188711 -73 *226:94 *363:17 4.44894e-05 -74 *226:94 *365:11 2.48995e-05 -75 *226:94 *365:15 0.000278295 -76 *226:94 *365:22 1.0092e-05 -77 *226:102 *363:17 0.000293854 -78 *226:108 *1400:TE 8.19053e-05 -79 *226:108 *315:8 0.000161414 -80 *226:114 *1300:B1 6.17437e-06 -81 *226:114 *1401:TE 5.41385e-05 -82 *226:114 *312:6 0.000110803 -83 *226:114 *323:6 7.52305e-05 -84 *226:114 *349:20 0.000152933 -85 *226:125 *1300:B1 5.40241e-05 -86 *226:125 *1406:A 9.84851e-05 -87 *226:125 *318:8 0.000170111 -88 *226:125 *349:20 0.000188203 -89 *226:132 *1282:B1 2.1819e-05 -90 *226:132 *1304:D1 0.000405652 -91 *226:132 *243:8 3.00054e-05 -92 *226:132 *245:9 0 -93 *226:132 *344:13 0.000357147 -94 *226:132 *351:8 4.41821e-06 -95 *1106:A *226:37 7.06812e-05 -96 *1106:A *226:43 0.000139647 -97 *1201:B1 *418:DIODE 2.02872e-05 -98 *1235:S *226:43 1.94693e-05 -99 *1267:B1 *404:DIODE 5.3304e-05 -100 *1280:A *226:5 0.000102301 -101 *1299:B1 *226:102 0.000116755 -102 *1307:A1 *226:132 4.51797e-05 -103 *1356:D *226:5 0.000119467 -104 *1356:RESET_B *226:5 2.85465e-05 -105 *16:8 *226:132 0.000450162 -106 *16:14 *404:DIODE 0.000236607 -107 *17:7 *226:125 0.000307097 -108 *18:25 *226:88 9.6485e-05 -109 *18:25 *226:108 0.000267765 -110 *19:5 *226:108 0.000229809 -111 *24:31 *226:132 0.000514591 -112 *24:51 *226:132 0.000128273 -113 *27:20 *226:78 0 -114 *28:10 *226:43 1.08928e-05 -115 *36:27 *226:132 6.81834e-06 -116 *36:32 *226:132 1.88408e-05 -117 *150:13 *226:24 0.000138741 -118 *150:13 *226:37 6.35124e-05 -119 *150:13 *226:78 0 -120 *162:26 *404:DIODE 0.000165056 -121 *197:53 *226:132 1.43662e-05 -122 *197:66 *226:102 0.000313781 -123 *197:69 *226:102 8.65972e-05 -124 *213:37 *404:DIODE 2.38387e-06 -125 *213:37 *226:132 8.48502e-06 -126 *214:33 *226:102 8.36198e-05 -127 *219:8 *404:DIODE 5.58003e-05 -*RES -1 *1280:X *226:5 39.82 -2 *226:5 *226:7 15 -3 *226:7 *226:10 17.9621 -4 *226:10 *424:DIODE 24.0979 -5 *226:10 *226:17 1.09786 -6 *226:17 *226:24 21.6907 -7 *226:24 *226:37 23.5757 -8 *226:37 *226:43 32.8793 -9 *226:43 *425:DIODE 23 -10 *226:37 *1318:A 23 -11 *226:24 *1317:A 38 -12 *226:17 *415:DIODE 23 -13 *226:7 *226:64 17.9621 -14 *226:64 *418:DIODE 27.4329 -15 *226:64 *226:78 35.4657 -16 *226:78 *1312:A1 38 -17 *226:78 *226:85 12.8221 -18 *226:85 *226:88 17.5271 -19 *226:88 *226:94 37.5193 -20 *226:94 *226:102 39.5907 -21 *226:102 *226:108 36.3179 -22 *226:108 *226:114 39.8807 -23 *226:114 *226:125 41.8279 -24 *226:125 *226:132 48.7257 -25 *226:132 *404:DIODE 34.7864 -26 *226:132 *1282:A1 23 -27 *226:114 *1300:A1 23 -28 *226:102 *1294:A1 23 -29 *226:94 *408:DIODE 23 -30 *226:88 *1309:A1 23 -31 *226:85 *411:DIODE 49.2686 -*END - -*D_NET *227 0.00136647 -*CONN -*I *1282:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1281:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1282:B1 9.28658e-05 -2 *1281:X 0.000303522 -3 *227:8 0.000396388 -4 *227:8 *242:6 0.00013014 -5 *227:8 *317:13 5.56356e-05 -6 *404:DIODE *1282:B1 5.22351e-05 -7 *165:23 *227:8 4.15283e-06 -8 *213:37 *1282:B1 0.000142173 -9 *217:22 *227:8 9.10182e-06 -10 *218:25 *227:8 2.88932e-05 -11 *218:38 *227:8 0.000120258 -12 *224:12 *227:8 9.28078e-06 -13 *226:132 *1282:B1 2.1819e-05 -*RES -1 *1281:X *227:8 42.9921 -2 *227:8 *1282:B1 40.4236 -*END - -*D_NET *228 0.000680416 -*CONN -*I *1285:B I *D sky130_fd_sc_hd__and3b_2 -*I *1283:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1285:B 0.000145942 -2 *1283:X 4.83154e-05 -3 *228:6 0.000194257 -4 *1285:B *294:9 0.000180218 -5 *228:6 *275:86 8.62783e-05 -6 *93:8 *228:6 2.54046e-05 -*RES -1 *1283:X *228:6 39.2014 -2 *228:6 *1285:B 40.0507 -*END - -*D_NET *229 0.000444054 -*CONN -*I *1285:C I *D sky130_fd_sc_hd__and3b_2 -*I *1284:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1285:C 5.13106e-06 -2 *1284:Y 6.96887e-05 -3 *229:6 7.48198e-05 -4 *229:6 *273:38 0.000134012 -5 *1306:A *229:6 0.000134012 -6 *37:18 *1285:C 1.64354e-05 -7 *146:5 *1285:C 9.95543e-06 -*RES -1 *1284:Y *229:6 39.9057 -2 *229:6 *1285:C 38.1916 -*END - -*D_NET *230 0.00116226 -*CONN -*I *1286:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1285:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *1286:B2 0 -2 *1285:X 0.000306208 -3 *230:8 0.000306208 -4 *230:8 *273:38 2.83433e-05 -5 *230:8 *275:86 0.000204729 -6 *1243:B *230:8 3.43537e-05 -7 *37:18 *230:8 2.64616e-05 -8 *93:8 *230:8 2.76611e-06 -9 *146:5 *230:8 5.50106e-05 -10 *202:20 *230:8 8.04857e-05 -11 *212:13 *230:8 0.000117696 -*RES -1 *1285:X *230:8 44.4007 -2 *230:8 *1286:B2 38 -*END - -*D_NET *231 0.00335706 -*CONN -*I *1289:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1287:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1289:B1 0 -2 *1287:Y 0.000210777 -3 *231:9 0.000447138 -4 *231:6 0.000657915 -5 *231:6 *237:6 0.000217147 -6 *231:9 *1260:B 0.000189857 -7 *231:9 *233:27 2.02872e-05 -8 *1289:A2 *231:9 3.27019e-05 -9 *18:25 *231:9 2.72604e-05 -10 *24:11 *231:9 0.000470273 -11 *197:69 *231:6 5.68089e-05 -12 *214:15 *231:9 0.000406974 -13 *214:33 *231:9 0.000566621 -14 *220:48 *231:9 5.3304e-05 -*RES -1 *1287:Y *231:6 42.3707 -2 *231:6 *231:9 28.9614 -3 *231:9 *1289:B1 23 -*END - -*D_NET *232 0.00459173 -*CONN -*I *1300:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1289:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1288:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1300:B1 0.000471527 -2 *1289:B2 0.00018045 -3 *1288:X 1.91252e-05 -4 *232:10 0.00125623 -5 *232:7 0.000623383 -6 *1300:B1 *233:27 0.000233285 -7 *1300:B1 *337:6 0 -8 *1300:B1 *349:20 0.000100061 -9 *232:7 *294:18 5.50106e-05 -10 *232:10 *1170:A 5.79466e-05 -11 *232:10 *233:27 7.17777e-05 -12 *232:10 *275:58 9.88303e-05 -13 *1172:B *232:7 5.3304e-05 -14 *1258:A1 *232:10 0.000180894 -15 *18:5 *1300:B1 2.22305e-05 -16 *21:11 *1300:B1 2.51382e-05 -17 *21:20 *1300:B1 0.000209527 -18 *37:24 *232:10 0 -19 *144:46 *232:10 0.000131563 -20 *163:57 *232:10 0.000112531 -21 *163:63 *232:10 5.9549e-05 -22 *163:91 *232:10 6.19808e-05 -23 *170:39 *232:10 0 -24 *203:10 *232:10 0 -25 *208:21 *232:10 4.30172e-05 -26 *210:25 *1289:B2 7.62486e-05 -27 *210:33 *232:10 0.000111143 -28 *213:28 *232:10 5.57914e-05 -29 *214:15 *1300:B1 9.80101e-06 -30 *220:48 *1289:B2 0.000168086 -31 *220:48 *1300:B1 4.30894e-05 -32 *226:114 *1300:B1 6.17437e-06 -33 *226:125 *1300:B1 5.40241e-05 -*RES -1 *1288:X *232:7 38.6214 -2 *232:7 *232:10 12.3871 -3 *232:10 *1289:B2 42.06 -4 *232:10 *1300:B1 47.6943 -*END - -*D_NET *233 0.00764922 -*CONN -*I *1298:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *1293:B I *D sky130_fd_sc_hd__and3_2 -*I *1301:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1304:C1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1290:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1298:C1 0 -2 *1293:B 0 -3 *1301:B2 2.35907e-05 -4 *1304:C1 0 -5 *1290:Y 0 -6 *233:61 0.000366737 -7 *233:35 0.000434527 -8 *233:27 0.00126808 -9 *233:11 0.00106934 -10 *233:4 0.000626117 -11 *233:11 *1260:B 3.11094e-05 -12 *233:11 *330:9 5.50049e-05 -13 *233:27 *1260:B 9.40497e-06 -14 *233:27 *330:9 0.000223698 -15 *233:27 *357:8 1.41129e-05 -16 *233:35 *324:21 1.16551e-05 -17 *233:61 *1298:B1 0.000149429 -18 *233:61 *234:29 0.000107218 -19 *1270:A1 *233:27 6.62178e-05 -20 *1270:A2 *233:27 2.18604e-05 -21 *1270:B2 *233:27 5.5792e-05 -22 *1290:A_N *233:11 0.000143109 -23 *1292:A2 *233:11 4.82567e-05 -24 *1292:B2 *233:11 6.0808e-05 -25 *1300:B1 *233:27 0.000233285 -26 *1301:A1 *233:27 5.00237e-05 -27 *1301:A1 *233:35 7.48516e-05 -28 *1301:A2 *233:35 3.53859e-05 -29 *1301:B1 *233:35 0.000130861 -30 *16:8 *233:35 9.79925e-05 -31 *17:7 *233:35 0 -32 *22:15 *233:35 0 -33 *24:31 *1301:B2 0 -34 *24:31 *233:27 0.00049883 -35 *24:31 *233:35 0.000145171 -36 *37:24 *233:27 2.81066e-05 -37 *93:70 *233:11 6.49733e-05 -38 *93:70 *233:61 0.000105752 -39 *162:74 *233:11 9.29484e-05 -40 *164:69 *233:11 5.3304e-05 -41 *164:69 *233:61 9.41704e-05 -42 *204:24 *233:35 2.193e-05 -43 *206:60 *233:35 5.61255e-06 -44 *207:15 *233:35 0.000189857 -45 *213:15 *233:61 9.41704e-05 -46 *213:28 *233:27 4.45778e-05 -47 *214:15 *233:27 0.000531776 -48 *220:48 *233:27 9.84567e-05 -49 *221:26 *233:61 2.93845e-05 -50 *221:39 *233:11 2.93747e-05 -51 *221:39 *233:61 2.02872e-05 -52 *221:84 *233:61 0 -53 *231:9 *233:27 2.02872e-05 -54 *232:10 *233:27 7.17777e-05 -*RES -1 *1290:Y *233:4 23 -2 *233:4 *233:11 35.6136 -3 *233:11 *233:27 49.4093 -4 *233:27 *233:35 37.7886 -5 *233:35 *1304:C1 23 -6 *233:27 *1301:B2 23.4971 -7 *233:11 *1293:B 23 -8 *233:4 *233:61 36.6493 -9 *233:61 *1298:C1 23 -*END - -*D_NET *234 0.00406008 -*CONN -*I *1298:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *1292:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1296:A_N I *D sky130_fd_sc_hd__nand3b_2 -*I *1308:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1291:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1298:B1 0.000155883 -2 *1292:B1 3.17174e-05 -3 *1296:A_N 0 -4 *1308:A3 0 -5 *1291:Y 5.5367e-05 -6 *234:29 0.000455781 -7 *234:17 0.000124517 -8 *234:11 0.000373471 -9 *234:7 0.000572501 -10 *234:11 *236:8 0 -11 *234:17 *1308:B1 7.53384e-06 -12 *234:17 *294:23 5.3304e-05 -13 *234:29 *1297:B 6.26767e-05 -14 *234:29 *236:8 0 -15 *390:DIODE *234:7 7.15126e-05 -16 *1298:A2 *1292:B1 4.5051e-05 -17 *1298:A2 *1298:B1 0.000284234 -18 *1308:A2 *234:17 1.40958e-05 -19 *19:10 *234:17 0.000179917 -20 *30:23 *234:7 0.000137651 -21 *30:28 *234:17 0.000182148 -22 *93:55 *234:11 8.18889e-05 -23 *93:55 *234:29 3.11378e-05 -24 *93:70 *234:29 0.000107823 -25 *162:74 *234:11 6.08617e-05 -26 *208:34 *234:11 0.000264553 -27 *211:40 *234:11 0.000146254 -28 *213:15 *1292:B1 9.67842e-05 -29 *213:15 *1298:B1 2.02872e-05 -30 *213:15 *234:29 0.000134009 -31 *221:84 *1298:B1 5.24719e-05 -32 *233:61 *1298:B1 0.000149429 -33 *233:61 *234:29 0.000107218 -*RES -1 *1291:Y *234:7 39.5743 -2 *234:7 *234:11 20.6136 -3 *234:11 *234:17 33.2314 -4 *234:17 *1308:A3 23 -5 *234:11 *1296:A_N 23 -6 *234:7 *234:29 20.7793 -7 *234:29 *1292:B1 24.0979 -8 *234:29 *1298:B1 27.5209 -*END - -*D_NET *235 0.00281199 -*CONN -*I *1293:C I *D sky130_fd_sc_hd__and3_2 -*I *1297:B I *D sky130_fd_sc_hd__and3_2 -*I *1292:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1293:C 0.000338649 -2 *1297:B 0.000529359 -3 *1292:X 4.25104e-05 -4 *235:7 0.000910518 -5 *1293:C *236:5 7.48505e-05 -6 *1293:C *317:24 7.79673e-05 -7 *1293:C *323:6 3.673e-05 -8 *1293:C *363:17 4.84155e-05 -9 *1297:B *236:8 4.31682e-05 -10 *1297:B *317:24 0.000220472 -11 *1298:A2 *235:7 5.50049e-05 -12 *93:70 *1297:B 7.59932e-06 -13 *163:91 *1293:C 9.07385e-05 -14 *163:91 *1297:B 4.6318e-06 -15 *213:15 *1297:B 0.000131852 -16 *221:24 *1297:B 4.21281e-05 -17 *221:26 *1297:B 9.47227e-05 -18 *234:29 *1297:B 6.26767e-05 -*RES -1 *1292:X *235:7 38.6214 -2 *235:7 *1297:B 46.4929 -3 *235:7 *1293:C 43.9657 -*END - -*D_NET *236 0.0050145 -*CONN -*I *1294:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1308:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1314:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1293:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1294:B1 0 -2 *1308:B1 4.25356e-05 -3 *1314:B1 0.000415272 -4 *1293:X 0.000117978 -5 *236:8 0.00105118 -6 *236:5 0.000711347 -7 *1308:B1 *294:23 2.63049e-05 -8 *1314:B1 *1314:B2 0.000158557 -9 *1314:B1 *246:13 2.62931e-05 -10 *236:5 *363:17 0.000267768 -11 *236:8 *315:8 0.000565671 -12 *236:8 *317:24 0.00039502 -13 *1293:C *236:5 7.48505e-05 -14 *1297:B *236:8 4.31682e-05 -15 *1308:A2 *1308:B1 9.5877e-05 -16 *1308:A2 *1314:B1 0.000193212 -17 *19:10 *236:8 1.09548e-05 -18 *25:24 *236:8 3.02231e-05 -19 *30:28 *1314:B1 5.57593e-05 -20 *30:28 *236:8 0.00043943 -21 *93:55 *236:8 0 -22 *93:90 *1314:B1 5.796e-05 -23 *197:66 *236:5 6.17437e-06 -24 *198:41 *236:8 1.63925e-05 -25 *211:40 *236:8 6.61847e-05 -26 *220:81 *1314:B1 0.000138859 -27 *234:11 *236:8 0 -28 *234:17 *1308:B1 7.53384e-06 -29 *234:29 *236:8 0 -*RES -1 *1293:X *236:5 26.0036 -2 *236:5 *236:8 29.5829 -3 *236:8 *1314:B1 45.83 -4 *236:8 *1308:B1 39.0979 -5 *236:5 *1294:B1 23 -*END - -*D_NET *237 0.00180765 -*CONN -*I *1299:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1295:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1299:A1 0 -2 *1295:Y 0.000351395 -3 *237:6 0.000351395 -4 *237:6 *239:20 0.000340813 -5 *237:6 *240:10 8.43562e-05 -6 *237:6 *247:6 0.000218952 -7 *26:31 *237:6 0.000221184 -8 *139:89 *237:6 2.24048e-05 -9 *197:69 *237:6 0 -10 *231:6 *237:6 0.000217147 -*RES -1 *1295:Y *237:6 47.3007 -2 *237:6 *1299:A1 38 -*END - -*D_NET *238 0.00241407 -*CONN -*I *1297:C I *D sky130_fd_sc_hd__and3_2 -*I *1314:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1296:Y O *D sky130_fd_sc_hd__nand3b_2 -*CAP -1 *1297:C 0.000246532 -2 *1314:B2 0.000230612 -3 *1296:Y 0 -4 *238:5 0.000477144 -5 *1314:B2 *246:13 0.000184527 -6 *1314:B2 *294:23 7.42621e-05 -7 *1296:B *1297:C 0.000168179 -8 *1308:A2 *1314:B2 7.53384e-06 -9 *1314:B1 *1314:B2 0.000158557 -10 *19:10 *1297:C 0.000488098 -11 *19:10 *1314:B2 0.000134012 -12 *164:69 *1297:C 0 -13 *208:34 *1297:C 1.09111e-05 -14 *208:34 *1314:B2 2.75733e-06 -15 *209:10 *1314:B2 0 -16 *221:24 *1297:C 0.00023095 -*RES -1 *1296:Y *238:5 38 -2 *238:5 *1314:B2 44.38 -3 *238:5 *1297:C 44.8357 -*END - -*D_NET *239 0.00172683 -*CONN -*I *1299:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1297:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1299:A2 0 -2 *1311:A1 0 -3 *1297:X 0.000189009 -4 *239:20 0.000242291 -5 *239:9 0.0004313 -6 *239:9 *1311:A3 9.84794e-05 -7 *239:20 *240:20 0.000164742 -8 *1311:B2 *239:9 9.8971e-06 -9 *1311:B2 *239:20 3.5022e-06 -10 *20:14 *239:20 2.01506e-05 -11 *26:31 *239:20 1.6318e-05 -12 *139:89 *239:20 0.000111852 -13 *164:69 *239:9 9.84794e-05 -14 *237:6 *239:20 0.000340813 -*RES -1 *1297:X *239:9 26.9979 -2 *239:9 *1311:A1 23 -3 *239:9 *239:20 35.8207 -4 *239:20 *1299:A2 23 -*END - -*D_NET *240 0.00147657 -*CONN -*I *1299:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1298:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *1299:A3 0 -2 *1311:A2 0 -3 *1298:X 8.29085e-05 -4 *240:20 0.000204736 -5 *240:10 0.000120632 -6 *240:5 0.000408277 -7 *1287:A *240:5 0.000139352 -8 *1287:A *240:20 8.43466e-05 -9 *1298:A1 *240:20 2.43944e-05 -10 *20:14 *240:20 7.84704e-05 -11 *197:69 *240:10 8.43562e-05 -12 *237:6 *240:10 8.43562e-05 -13 *239:20 *240:20 0.000164742 -*RES -1 *1298:X *240:5 24.5743 -2 *240:5 *240:10 32.61 -3 *240:10 *1311:A2 23 -4 *240:5 *240:20 34.3086 -5 *240:20 *1299:A3 23 -*END - -*D_NET *241 0.00120425 -*CONN -*I *1303:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1302:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1303:C1 3.41136e-05 -2 *1302:X 0.000307998 -3 *241:8 0.000342111 -4 *241:8 *372:5 5.3304e-05 -5 *1248:B *241:8 2.93747e-05 -6 *1277:A3 *1303:C1 9.67842e-05 -7 *162:26 *241:8 8.17174e-05 -8 *163:39 *241:8 0.000207619 -9 *206:8 *241:8 0 -10 *206:17 *241:8 0 -11 *212:19 *1303:C1 5.12254e-05 -12 *212:24 *241:8 0 -*RES -1 *1302:X *241:8 44.0486 -2 *241:8 *1303:C1 39.0979 -*END - -*D_NET *242 0.00141544 -*CONN -*I *1304:D1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1303:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1304:D1 0.000119945 -2 *1303:X 0.000104381 -3 *242:6 0.000224326 -4 *16:8 *1304:D1 5.00237e-05 -5 *206:60 *1304:D1 0.000100486 -6 *213:37 *1304:D1 0.000209415 -7 *217:22 *242:6 7.10697e-05 -8 *226:132 *1304:D1 0.000405652 -9 *227:8 *242:6 0.00013014 -*RES -1 *1303:X *242:6 40.2579 -2 *242:6 *1304:D1 43.3857 -*END - -*D_NET *243 0.000481299 -*CONN -*I *1307:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1304:X O *D sky130_fd_sc_hd__o2111a_2 -*CAP -1 *1307:A2 0 -2 *1304:X 0.000128948 -3 *243:8 0.000128948 -4 *1307:A1 *243:8 1.05441e-05 -5 *16:8 *243:8 0.000100486 -6 *36:27 *243:8 8.23679e-05 -7 *226:132 *243:8 3.00054e-05 -*RES -1 *1304:X *243:8 40.2993 -2 *243:8 *1307:A2 38 -*END - -*D_NET *244 0.000712779 -*CONN -*I *1306:B I *D sky130_fd_sc_hd__nand2_2 -*I *1305:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1306:B 2.32701e-05 -2 *1305:Y 9.65377e-05 -3 *244:6 0.000119808 -4 *1266:B *244:6 0.000183278 -5 *1306:A *1306:B 5.3304e-05 -6 *146:5 *1306:B 5.3304e-05 -7 *163:34 *244:6 0.000183278 -*RES -1 *1305:Y *244:6 40.61 -2 *244:6 *1306:B 38.6214 -*END - -*D_NET *245 0.00332818 -*CONN -*I *1307:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1306:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1307:A3 0 -2 *1306:Y 0 -3 *245:9 0.000771175 -4 *245:5 0.000771175 -5 *245:9 *1409:A 9.66668e-05 -6 *245:9 *1435:Z 2.40576e-05 -7 *245:9 *324:21 1.57556e-05 -8 *245:9 *344:13 0.000313785 -9 *1307:B1 *245:9 3.28708e-05 -10 *24:31 *245:9 0.000138859 -11 *24:51 *245:9 4.61293e-05 -12 *197:53 *245:9 4.73113e-05 -13 *197:66 *245:9 5.069e-05 -14 *204:24 *245:9 0 -15 *206:60 *245:9 0 -16 *216:6 *245:9 0.000194956 -17 *216:18 *245:9 2.05503e-05 -18 *217:16 *245:9 0.000155844 -19 *217:22 *245:9 9.75433e-05 -20 *218:8 *245:9 0.00055081 -21 *226:132 *245:9 0 -*RES -1 *1306:Y *245:5 38 -2 *245:5 *245:9 32.3586 -3 *245:9 *1307:A3 23 -*END - -*D_NET *246 0.00485615 -*CONN -*I *1309:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1308:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1309:B1 0 -2 *1308:X 0.000537831 -3 *246:18 0.000691926 -4 *246:13 0.00122976 -5 *246:13 *294:23 0.000688245 -6 *246:13 *373:7 0.000221084 -7 *246:18 *1380:TE 0.000114645 -8 *246:18 *294:29 6.88756e-05 -9 *246:18 *295:8 8.16459e-05 -10 *246:18 *360:8 6.6869e-05 -11 *246:18 *361:9 3.03959e-05 -12 *246:18 *365:22 0.000214213 -13 *411:DIODE *246:18 1.61983e-05 -14 *421:DIODE *246:13 0.00022109 -15 *1314:A2 *246:13 2.12581e-05 -16 *1314:B1 *246:13 2.62931e-05 -17 *1314:B2 *246:13 0.000184527 -18 *25:11 *246:18 0 -19 *220:81 *246:13 8.06804e-06 -20 *220:94 *246:13 1.05235e-05 -21 *226:78 *246:18 9.67823e-05 -22 *226:85 *246:18 0.000244453 -23 *226:94 *246:18 8.14696e-05 -*RES -1 *1308:X *246:13 36.2157 -2 *246:13 *246:18 47.4 -3 *246:18 *1309:B1 23 -*END - -*D_NET *247 0.00112446 -*CONN -*I *1311:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1310:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1311:A3 2.71027e-05 -2 *1310:Y 0.000224442 -3 *247:6 0.000251545 -4 *1253:A1 *247:6 3.18062e-05 -5 *1311:B2 *1311:A3 3.71251e-05 -6 *26:31 *247:6 8.70572e-05 -7 *92:54 *247:6 9.1813e-05 -8 *92:67 *247:6 2.36804e-05 -9 *197:69 *247:6 3.2457e-05 -10 *237:6 *247:6 0.000218952 -11 *239:9 *1311:A3 9.84794e-05 -*RES -1 *1310:Y *247:6 43.4271 -2 *247:6 *1311:A3 39.0979 -*END - -*D_NET *248 0.00598502 -*CONN -*I *1324:A I *D sky130_fd_sc_hd__buf_2 -*I *1316:A I *D sky130_fd_sc_hd__buf_2 -*I *1342:B I *D sky130_fd_sc_hd__nor2_2 -*I *1333:A I *D sky130_fd_sc_hd__buf_2 -*I *1344:B I *D sky130_fd_sc_hd__nor2_2 -*I *1343:B I *D sky130_fd_sc_hd__nor2_2 -*I *1315:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1324:A 0.000108012 -2 *1316:A 0 -3 *1342:B 0 -4 *1333:A 0 -5 *1344:B 3.26709e-05 -6 *1343:B 2.18824e-05 -7 *1315:Y 0.000213355 -8 *248:49 0.000108012 -9 *248:40 0.000110911 -10 *248:35 0.00046744 -11 *248:28 0.000543676 -12 *248:17 0.00115604 -13 *248:5 0.00117146 -14 *1324:A *252:39 0.000272383 -15 *1344:B *253:64 1.84492e-05 -16 *248:5 *258:43 3.95657e-05 -17 *248:17 *1343:A 5.5792e-05 -18 *248:17 *253:11 5.90996e-05 -19 *248:17 *253:48 8.01844e-05 -20 *248:17 *253:60 1.47394e-05 -21 *248:28 *253:60 3.23131e-05 -22 *248:35 *1111:A0 0 -23 *248:35 *250:10 0.000161748 -24 *248:35 *258:12 0.00023406 -25 *248:35 *259:11 0.000152796 -26 *248:35 *259:42 0 -27 *248:40 *252:13 2.22079e-05 -28 *248:40 *252:39 0.000141879 -29 *248:40 *257:53 0 -30 *1367:RESET_B *248:17 0.000310306 -31 *39:16 *248:5 5.3304e-05 -32 *61:8 *248:5 0.000320094 -33 *102:8 *248:5 8.26478e-05 -*RES -1 *1315:Y *248:5 28.3857 -2 *248:5 *1343:B 23.4971 -3 *248:5 *248:17 15.08 -4 *248:17 *1344:B 23.8493 -5 *248:17 *248:28 18.0036 -6 *248:28 *248:35 23.3686 -7 *248:35 *248:40 32.61 -8 *248:40 *1333:A 23 -9 *248:35 *1342:B 23 -10 *248:28 *248:49 15 -11 *248:49 *1316:A 23 -12 *248:49 *1324:A 26.0036 -*END - -*D_NET *249 0.00926948 -*CONN -*I *1325:A I *D sky130_fd_sc_hd__nor2_2 -*I *1320:A I *D sky130_fd_sc_hd__nor2_2 -*I *1323:A I *D sky130_fd_sc_hd__nor2_2 -*I *1322:A I *D sky130_fd_sc_hd__nor2_2 -*I *1326:A I *D sky130_fd_sc_hd__nor2_2 -*I *1321:A I *D sky130_fd_sc_hd__nor2_2 -*I *1327:A I *D sky130_fd_sc_hd__nor2_2 -*I *1319:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1325:A 4.72412e-05 -2 *1320:A 2.83791e-05 -3 *1323:A 0 -4 *1322:A 2.31214e-05 -5 *1326:A 0.000187018 -6 *1321:A 0.000228506 -7 *1327:A 0.000147366 -8 *1319:X 0.000197439 -9 *249:40 0.00178593 -10 *249:29 0.00159798 -11 *249:25 0.00023873 -12 *249:24 0.000314836 -13 *249:20 0.000412489 -14 *249:5 0.000457879 -15 *1320:A *371:40 5.3304e-05 -16 *1321:A *1321:B 0 -17 *1321:A *256:22 9.01041e-05 -18 *1322:A *1322:B 2.93104e-06 -19 *1325:A *250:38 0.000192342 -20 *1325:A *252:57 0.000228903 -21 *1326:A *1321:B 0 -22 *1326:A *250:10 5.58975e-06 -23 *1326:A *259:6 0 -24 *1326:A *259:42 0 -25 *1326:A *371:8 0 -26 *1327:A *254:202 7.79288e-05 -27 *1327:A *271:5 7.61229e-06 -28 *249:20 *1331:B 8.89773e-05 -29 *249:20 *250:38 0.000162263 -30 *249:25 *371:40 9.84794e-05 -31 *249:29 *1323:B 2.93104e-06 -32 *249:29 *371:32 0.000180812 -33 *249:29 *371:40 6.67283e-05 -34 *249:40 *254:84 4.79612e-05 -35 *249:40 *257:32 0.000833709 -36 *249:40 *371:24 0.000138432 -37 *249:40 *371:32 7.41133e-05 -38 *44:8 *249:40 0.000100061 -39 *46:6 *249:24 0 -40 *47:8 *1326:A 0.000114074 -41 *48:8 *1327:A 5.3304e-05 -42 *48:8 *249:5 0.000259155 -43 *193:8 *249:40 2.93845e-05 -44 *198:60 *249:20 7.75961e-05 -45 *198:65 *249:20 0.000189903 -46 *198:65 *249:24 0.000425964 -*RES -1 *1319:X *249:5 26.0036 -2 *249:5 *1327:A 26.0657 -3 *249:5 *249:20 18.6664 -4 *249:20 *249:24 20.7793 -5 *249:24 *249:25 1.09786 -6 *249:25 *249:29 5.40643 -7 *249:29 *249:40 37.5682 -8 *249:40 *1321:A 42.6089 -9 *249:40 *1326:A 41.8425 -10 *249:29 *1322:A 23.4971 -11 *249:25 *1323:A 23 -12 *249:24 *1320:A 23.6214 -13 *249:20 *1325:A 40.5271 -*END - -*D_NET *250 0.00820108 -*CONN -*I *1332:B I *D sky130_fd_sc_hd__nor2_2 -*I *1329:B I *D sky130_fd_sc_hd__nor2_2 -*I *1331:B I *D sky130_fd_sc_hd__nor2_2 -*I *1327:B I *D sky130_fd_sc_hd__nor2_2 -*I *1325:B I *D sky130_fd_sc_hd__nor2_2 -*I *1330:B I *D sky130_fd_sc_hd__nor2_2 -*I *1326:B I *D sky130_fd_sc_hd__nor2_2 -*I *1324:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1332:B 0.000220246 -2 *1329:B 0 -3 *1331:B 0.00047106 -4 *1327:B 0 -5 *1325:B 1.96722e-05 -6 *1330:B 2.05149e-05 -7 *1326:B 0 -8 *1324:X 0 -9 *250:58 0.000316647 -10 *250:38 0.000708316 -11 *250:27 0.000568146 -12 *250:19 0.000989731 -13 *250:16 0.000818565 -14 *250:10 0.000260157 -15 *250:4 0.000324324 -16 *1325:B *252:57 1.12796e-05 -17 *1330:B *251:42 3.28357e-06 -18 *1331:B *271:8 0.000166666 -19 *1332:B *1329:A 0.000188526 -20 *1332:B *251:42 3.62915e-05 -21 *1332:B *253:64 4.19157e-05 -22 *250:10 *252:39 0.000398497 -23 *250:10 *259:42 0 -24 *250:10 *371:8 0.000228903 -25 *250:19 *251:42 0.000252171 -26 *250:19 *252:39 2.64616e-05 -27 *250:19 *252:47 0.000170091 -28 *250:27 *251:30 0.000188836 -29 *250:27 *251:42 6.67283e-05 -30 *250:27 *252:47 4.03448e-05 -31 *250:27 *252:57 0.000261614 -32 *250:38 *252:57 4.19743e-05 -33 *1226:B *250:19 0.000189848 -34 *1280:A *1331:B 9.67842e-05 -35 *1325:A *250:38 0.000192342 -36 *1326:A *250:10 5.58975e-06 -37 *85:65 *250:16 8.68922e-05 -38 *85:65 *250:58 0.000165394 -39 *198:60 *1331:B 0.000156061 -40 *226:5 *1331:B 5.42229e-05 -41 *248:35 *250:10 0.000161748 -42 *249:20 *1331:B 8.89773e-05 -43 *249:20 *250:38 0.000162263 -*RES -1 *1324:X *250:4 23 -2 *250:4 *250:10 37.0429 -3 *250:10 *1326:B 23 -4 *250:4 *250:16 16.2014 -5 *250:16 *250:19 28.0086 -6 *250:19 *1330:B 23.4971 -7 *250:19 *250:27 7.29143 -8 *250:27 *1325:B 23.4971 -9 *250:27 *250:38 20.2614 -10 *250:38 *1327:B 38 -11 *250:38 *1331:B 47.4043 -12 *250:16 *250:58 17.2579 -13 *250:58 *1329:B 23 -14 *250:58 *1332:B 27.9507 -*END - -*D_NET *251 0.00760252 -*CONN -*I *1331:A I *D sky130_fd_sc_hd__nor2_2 -*I *1335:A I *D sky130_fd_sc_hd__nor2_2 -*I *1334:A I *D sky130_fd_sc_hd__nor2_2 -*I *1330:A I *D sky130_fd_sc_hd__nor2_2 -*I *1332:A I *D sky130_fd_sc_hd__nor2_2 -*I *1329:A I *D sky130_fd_sc_hd__nor2_2 -*I *1336:A I *D sky130_fd_sc_hd__nor2_2 -*I *1328:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1331:A 0.000389525 -2 *1335:A 7.0677e-05 -3 *1334:A 0 -4 *1330:A 0 -5 *1332:A 0 -6 *1329:A 4.27425e-05 -7 *1336:A 0 -8 *1328:X 0.000287243 -9 *251:62 0.000520576 -10 *251:42 0.000463317 -11 *251:30 0.000460806 -12 *251:23 4.02313e-05 -13 *251:21 0.000724378 -14 *251:15 0.000855708 -15 *251:8 0.000358198 -16 *1329:A *253:64 9.53319e-05 -17 *1331:A *1356:CLK 0 -18 *1335:A *252:57 5.67058e-05 -19 *251:8 *252:70 6.07611e-06 -20 *251:8 *254:138 0 -21 *251:15 *252:70 8.51225e-05 -22 *251:21 *252:47 5.3708e-05 -23 *251:21 *252:57 0.000369599 -24 *251:30 *252:47 0.000128676 -25 *251:42 *252:47 0.000582402 -26 *251:42 *253:64 0.000457961 -27 *251:42 *258:66 1.14452e-05 -28 *251:62 *252:70 3.0647e-05 -29 *1221:B *251:42 9.02616e-06 -30 *1330:B *251:42 3.28357e-06 -31 *1332:B *1329:A 0.000188526 -32 *1332:B *251:42 3.62915e-05 -33 *46:6 *251:21 0 -34 *48:8 *1331:A 6.88788e-05 -35 *55:6 *251:8 0.000294807 -36 *71:8 *1331:A 8.41115e-05 -37 *198:60 *1331:A 7.8852e-05 -38 *198:65 *1331:A 4.63936e-05 -39 *198:65 *251:8 0.000116663 -40 *198:65 *251:15 5.19048e-05 -41 *198:65 *251:62 2.49677e-05 -42 *250:19 *251:42 0.000252171 -43 *250:27 *251:30 0.000188836 -44 *250:27 *251:42 6.67283e-05 -*RES -1 *1328:X *251:8 43.8207 -2 *251:8 *1336:A 38 -3 *251:8 *251:15 2.25786 -4 *251:15 *251:21 40.3986 -5 *251:21 *251:23 15 -6 *251:23 *251:30 2.50643 -7 *251:30 *251:42 44.8521 -8 *251:42 *1329:A 25.0507 -9 *251:42 *1332:A 23 -10 *251:30 *1330:A 23 -11 *251:23 *1334:A 23 -12 *251:15 *251:62 1.20143 -13 *251:62 *1335:A 39.2429 -14 *251:62 *1331:A 46.2443 -*END - -*D_NET *252 0.0144223 -*CONN -*I *1336:B I *D sky130_fd_sc_hd__nor2_2 -*I *1339:B I *D sky130_fd_sc_hd__nor2_2 -*I *1338:B I *D sky130_fd_sc_hd__nor2_2 -*I *1335:B I *D sky130_fd_sc_hd__nor2_2 -*I *1334:B I *D sky130_fd_sc_hd__nor2_2 -*I *1341:B I *D sky130_fd_sc_hd__nor2_2 -*I *1340:B I *D sky130_fd_sc_hd__nor2_2 -*I *1333:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1336:B 0 -2 *1339:B 0 -3 *1338:B 0 -4 *1335:B 2.98683e-05 -5 *1334:B 0 -6 *1341:B 0 -7 *1340:B 0 -8 *1333:X 0 -9 *252:92 0.000537295 -10 *252:79 0.00133393 -11 *252:70 0.00095128 -12 *252:57 0.000393673 -13 *252:47 0.00104949 -14 *252:39 0.00146002 -15 *252:22 0.0002304 -16 *252:13 0.000888197 -17 *252:4 0.00127748 -18 *252:13 *1120:A 0.000141954 -19 *252:13 *257:32 1.94812e-05 -20 *252:13 *257:53 3.2457e-05 -21 *252:13 *261:10 8.16356e-05 -22 *252:22 *1341:A 5.50049e-05 -23 *252:39 *253:53 0.000215791 -24 *252:39 *257:32 5.29672e-05 -25 *252:39 *259:6 3.56537e-05 -26 *252:39 *259:42 6.02277e-06 -27 *252:39 *262:7 0.000119372 -28 *252:70 *1362:CLK 0.000108569 -29 *252:70 *254:138 6.07611e-06 -30 *252:79 *1345:CLK 0.000272383 -31 *252:79 *253:71 0 -32 *252:92 *1104:A 4.21378e-05 -33 *252:92 *1360:CLK 0 -34 *252:92 *267:11 0.000112665 -35 *1226:B *252:47 0.000103637 -36 *1324:A *252:39 0.000272383 -37 *1325:A *252:57 0.000228903 -38 *1325:B *252:57 1.12796e-05 -39 *1335:A *252:57 5.67058e-05 -40 *1345:D *252:79 7.4416e-05 -41 *1362:RESET_B *252:92 7.73942e-05 -42 *29:8 *252:79 0.000584781 -43 *54:8 *252:57 3.7122e-06 -44 *54:8 *252:70 3.3577e-05 -45 *58:6 *252:22 0.000113624 -46 *59:8 *252:13 0.000228909 -47 *60:6 *252:39 6.18132e-05 -48 *65:8 *252:92 0 -49 *84:26 *252:22 0.000222104 -50 *94:8 *252:13 5.67058e-05 -51 *97:11 *252:13 0.00015892 -52 *97:11 *252:22 0.000320356 -53 *248:40 *252:13 2.22079e-05 -54 *248:40 *252:39 0.000141879 -55 *250:10 *252:39 0.000398497 -56 *250:19 *252:39 2.64616e-05 -57 *250:19 *252:47 0.000170091 -58 *250:27 *252:47 4.03448e-05 -59 *250:27 *252:57 0.000261614 -60 *250:38 *252:57 4.19743e-05 -61 *251:8 *252:70 6.07611e-06 -62 *251:15 *252:70 8.51225e-05 -63 *251:21 *252:47 5.3708e-05 -64 *251:21 *252:57 0.000369599 -65 *251:30 *252:47 0.000128676 -66 *251:42 *252:47 0.000582402 -67 *251:62 *252:70 3.0647e-05 -*RES -1 *1333:X *252:4 23 -2 *252:4 *252:13 44.5414 -3 *252:13 *252:22 36.9393 -4 *252:22 *1340:B 23 -5 *252:13 *1341:B 23 -6 *252:4 *252:39 45.515 -7 *252:39 *252:47 17.9593 -8 *252:47 *1334:B 23 -9 *252:47 *252:57 8.88643 -10 *252:57 *1335:B 23.4971 -11 *252:57 *252:70 34.06 -12 *252:70 *252:79 46.7786 -13 *252:79 *1338:B 23 -14 *252:79 *252:92 39.5493 -15 *252:92 *1339:B 23 -16 *252:70 *1336:B 23 -*END - -*D_NET *253 0.0175704 -*CONN -*I *1344:A I *D sky130_fd_sc_hd__nor2_2 -*I *1338:A I *D sky130_fd_sc_hd__nor2_2 -*I *1339:A I *D sky130_fd_sc_hd__nor2_2 -*I *1342:A I *D sky130_fd_sc_hd__nor2_2 -*I *1341:A I *D sky130_fd_sc_hd__nor2_2 -*I *1340:A I *D sky130_fd_sc_hd__nor2_2 -*I *1343:A I *D sky130_fd_sc_hd__nor2_2 -*I *1337:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1344:A 0 -2 *1338:A 0 -3 *1339:A 0.000346501 -4 *1342:A 0 -5 *1341:A 2.95294e-05 -6 *1340:A 0.000225454 -7 *1343:A 2.38769e-05 -8 *1337:X 0 -9 *253:71 0.00108697 -10 *253:64 0.00341137 -11 *253:60 0.00289671 -12 *253:53 0.000256596 -13 *253:48 0.000961877 -14 *253:24 0.000962225 -15 *253:11 0.00101598 -16 *253:8 0.00135648 -17 *253:5 0.000592146 -18 *1339:A *266:8 5.36749e-05 -19 *1339:A *266:22 0 -20 *253:8 *1151:B 0 -21 *253:8 *259:62 0 -22 *253:8 *264:14 0 -23 *253:24 *1364:CLK 5.6729e-05 -24 *253:24 *254:45 1.44813e-05 -25 *253:24 *254:48 0.000103531 -26 *253:24 *262:8 0 -27 *253:24 *262:44 0.000139647 -28 *253:53 *259:42 0.000213762 -29 *253:64 *254:190 0.000174797 -30 *253:64 *254:202 0.000699706 -31 *253:64 *271:5 3.13016e-05 -32 *253:71 *266:22 0 -33 *253:71 *371:55 0.000326983 -34 *1329:A *253:64 9.53319e-05 -35 *1332:B *253:64 4.19157e-05 -36 *1344:B *253:64 1.84492e-05 -37 *1359:D *253:64 0.000147656 -38 *1362:RESET_B *253:71 0 -39 *1367:RESET_B *253:48 2.84536e-05 -40 *1367:RESET_B *253:60 0.000124033 -41 *29:8 *1339:A 0 -42 *29:8 *253:71 0 -43 *49:8 *253:64 2.10502e-05 -44 *56:6 *1339:A 8.76088e-05 -45 *57:7 *1339:A 2.93845e-05 -46 *60:6 *253:53 0 -47 *79:8 *1340:A 0.000164833 -48 *79:8 *253:24 0.000166522 -49 *80:8 *253:8 0.000142418 -50 *82:8 *253:8 8.4047e-05 -51 *84:26 *1340:A 0 -52 *84:26 *253:24 0 -53 *86:8 *253:8 0 -54 *88:8 *253:8 0.000137061 -55 *88:8 *253:24 0 -56 *90:13 *1340:A 0.000187129 -57 *94:8 *253:24 0 -58 *110:6 *253:24 0.000143244 -59 *136:12 *253:8 0 -60 *248:17 *1343:A 5.5792e-05 -61 *248:17 *253:11 5.90996e-05 -62 *248:17 *253:48 8.01844e-05 -63 *248:17 *253:60 1.47394e-05 -64 *248:28 *253:60 3.23131e-05 -65 *251:42 *253:64 0.000457961 -66 *252:22 *1341:A 5.50049e-05 -67 *252:39 *253:53 0.000215791 -68 *252:79 *253:71 0 -*RES -1 *1337:X *253:5 38 -2 *253:5 *253:8 27.47 -3 *253:8 *253:11 4.205 -4 *253:11 *1343:A 23.6214 -5 *253:11 *253:24 29.645 -6 *253:24 *1340:A 43.365 -7 *253:24 *1341:A 38.6214 -8 *253:8 *253:48 7.95429 -9 *253:48 *253:53 36.1314 -10 *253:53 *1342:A 23 -11 *253:48 *253:60 4.93 -12 *253:60 *253:64 39.1086 -13 *253:64 *253:71 28.9407 -14 *253:71 *1339:A 45.0843 -15 *253:71 *1338:A 38 -16 *253:60 *1344:A 23 -*END - -*D_NET *254 0.0298472 -*CONN -*I *1366:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1365:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1359:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1356:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1353:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1355:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1358:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1351:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1350:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1360:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1362:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1345:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1347:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1346:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1361:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1349:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1348:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1352:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1357:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1354:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1367:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1364:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1363:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1368:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1455:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *1366:CLK 0 -2 *1365:CLK 6.57922e-05 -3 *1359:CLK 0 -4 *1356:CLK 0.000173922 -5 *1353:CLK 0.000372674 -6 *1355:CLK 0 -7 *1358:CLK 0 -8 *1351:CLK 0.000188803 -9 *1350:CLK 8.99443e-05 -10 *1360:CLK 0.000439934 -11 *1362:CLK 0.000116434 -12 *1345:CLK 0.000101314 -13 *1347:CLK 0 -14 *1346:CLK 0.00016963 -15 *1361:CLK 0.000172776 -16 *1349:CLK 4.01155e-05 -17 *1348:CLK 0.000426228 -18 *1352:CLK 0 -19 *1357:CLK 0 -20 *1354:CLK 0 -21 *1367:CLK 0 -22 *1364:CLK 8.97726e-05 -23 *1363:CLK 0 -24 *1368:A 0.000227699 -25 *1455:Y 0.000271996 -26 *254:205 0.000677896 -27 *254:202 0.000421213 -28 *254:190 0.000680758 -29 *254:178 0.000861758 -30 *254:138 0.000390034 -31 *254:131 0.000285589 -32 *254:122 0.000384227 -33 *254:114 0.000274071 -34 *254:112 0.000868537 -35 *254:104 0.00115181 -36 *254:93 0.00127714 -37 *254:89 0.000519201 -38 *254:84 0.00066916 -39 *254:75 0.000792604 -40 *254:70 0.00122902 -41 *254:64 0.00075681 -42 *254:58 0.000983253 -43 *254:48 0.000509623 -44 *254:45 0.000442306 -45 *254:34 0.0010409 -46 *254:16 0.000431308 -47 *254:13 0.00140655 -48 *254:8 0.000801568 -49 *1348:CLK *256:7 9.24795e-06 -50 *1350:CLK *257:9 0.000163469 -51 *1351:CLK *258:5 2.93845e-05 -52 *1356:CLK *276:8 0.000138718 -53 *1360:CLK *265:8 1.32289e-05 -54 *1361:CLK *265:8 4.5882e-05 -55 *1365:CLK *258:17 0.000127821 -56 *254:8 *1455:A 3.7122e-06 -57 *254:45 *258:17 0.000223698 -58 *254:45 *262:44 2.95125e-05 -59 *254:75 *1225:A1 0 -60 *254:75 *259:28 0.000243486 -61 *254:84 *1225:A1 0.000136743 -62 *254:84 *257:32 0.000182483 -63 *254:84 *371:24 0.00083064 -64 *254:89 *1220:A 0 -65 *254:89 *257:23 0 -66 *254:93 *1220:A 0 -67 *254:112 *265:8 4.12995e-05 -68 *254:178 *273:7 9.36522e-05 -69 *254:190 *271:5 0.000268625 -70 *254:202 *271:5 0.000140312 -71 clockp[1] *254:8 0 -72 *375:DIODE *254:8 2.7728e-05 -73 *427:DIODE *1346:CLK 1.94693e-05 -74 *427:DIODE *1361:CLK 0 -75 *427:DIODE *254:122 0 -76 *1108:A *254:58 9.84851e-05 -77 *1126:A1 *254:34 0.000431097 -78 *1126:B1 *254:34 0.000181919 -79 *1134:A *254:8 1.05658e-05 -80 *1141:A *254:34 0.000185152 -81 *1146:A *254:16 0.000141879 -82 *1149:A1 *254:13 0.000111814 -83 *1149:A2 *254:8 6.33771e-05 -84 *1149:A2 *254:13 0.000296176 -85 *1177:A1 *1368:A 0 -86 *1177:A1 *254:16 0 -87 *1177:A2 *254:16 0.000215791 -88 *1221:B *1351:CLK 0 -89 *1221:B *254:75 0 -90 *1225:A2 *254:84 6.9805e-05 -91 *1227:B1 *254:93 0 -92 *1228:A *254:89 2.26406e-05 -93 *1319:A *254:202 0.000142678 -94 *1327:A *254:202 7.79288e-05 -95 *1331:A *1356:CLK 0 -96 *1350:D *1350:CLK 6.17437e-06 -97 *1351:D *1351:CLK 2.93845e-05 -98 *1354:RESET_B *254:64 0.000174037 -99 *1354:RESET_B *254:70 6.17437e-06 -100 *1356:RESET_B *1356:CLK 4.96717e-05 -101 *1357:D *254:70 7.88926e-05 -102 *1358:D *254:178 8.1796e-05 -103 *1358:D *254:190 8.1796e-05 -104 *1360:D *1360:CLK 7.24484e-05 -105 *6:15 *1368:A 0 -106 *7:19 *254:8 0 -107 *38:5 *1361:CLK 0 -108 *48:8 *1356:CLK 1.94522e-05 -109 *48:8 *254:202 7.14509e-05 -110 *48:8 *254:205 5.29477e-05 -111 *52:6 *254:75 0 -112 *53:6 *254:190 0 -113 *55:6 *1361:CLK 1.19692e-05 -114 *55:6 *254:122 5.3365e-05 -115 *55:6 *254:131 6.11183e-05 -116 *55:6 *254:138 4.13434e-05 -117 *67:9 *1348:CLK 0.00015337 -118 *67:9 *254:104 0.000262948 -119 *77:6 *1356:CLK 0 -120 *77:6 *254:205 0 -121 *78:8 *254:16 3.21223e-05 -122 *82:8 *254:58 2.64616e-05 -123 *84:26 *1364:CLK 5.26101e-05 -124 *84:26 *254:45 3.95159e-06 -125 *85:19 *1348:CLK 9.67842e-05 -126 *85:19 *254:89 0 -127 *85:19 *254:93 0 -128 *85:32 *1348:CLK 5.04244e-05 -129 *85:43 *1351:CLK 0 -130 *85:65 *254:75 0 -131 *88:8 *254:48 0.000105796 -132 *88:8 *254:58 0.000109047 -133 *91:8 *1368:A 1.94693e-05 -134 *91:8 *254:16 0 -135 *96:27 *254:16 0 -136 *98:5 *254:34 4.17736e-05 -137 *98:18 *254:45 0.000431861 -138 *102:8 *254:58 0 -139 *108:6 *254:8 8.62783e-05 -140 *110:6 *254:45 0.000348456 -141 *115:5 *254:13 0.000135297 -142 *115:13 *254:8 4.54723e-05 -143 *115:13 *254:13 1.44813e-05 -144 *117:10 *254:13 8.51329e-05 -145 *121:6 *254:13 0.000190591 -146 *123:9 *254:8 0 -147 *187:10 *254:75 0 -148 *191:8 *254:75 0.000393634 -149 *192:8 *254:89 5.86797e-05 -150 *193:8 *254:89 1.34354e-05 -151 *193:8 *254:93 6.03634e-05 -152 *226:5 *1356:CLK 3.16784e-05 -153 *249:40 *254:84 4.79612e-05 -154 *251:8 *254:138 0 -155 *252:70 *1362:CLK 0.000108569 -156 *252:70 *254:138 6.07611e-06 -157 *252:79 *1345:CLK 0.000272383 -158 *252:92 *1360:CLK 0 -159 *253:24 *1364:CLK 5.6729e-05 -160 *253:24 *254:45 1.44813e-05 -161 *253:24 *254:48 0.000103531 -162 *253:64 *254:190 0.000174797 -163 *253:64 *254:202 0.000699706 -*RES -1 *1455:Y *254:8 43.3443 -2 *254:8 *254:13 26.3929 -3 *254:13 *254:16 20.075 -4 *254:16 *1368:A 41.9357 -5 *254:16 *1363:CLK 38 -6 *254:13 *254:34 27.5321 -7 *254:34 *1364:CLK 40.2579 -8 *254:34 *254:45 23.6586 -9 *254:45 *254:48 17.9621 -10 *254:48 *1367:CLK 38 -11 *254:48 *254:58 22.395 -12 *254:58 *1354:CLK 23 -13 *254:58 *254:64 11.1029 -14 *254:64 *1357:CLK 23 -15 *254:64 *254:70 3.48 -16 *254:70 *254:75 41.0614 -17 *254:75 *1352:CLK 23 -18 *254:75 *254:84 24.6943 -19 *254:84 *254:89 4.08071 -20 *254:89 *254:93 17.61 -21 *254:93 *1348:CLK 31.265 -22 *254:93 *254:104 10.1707 -23 *254:104 *1349:CLK 23.8493 -24 *254:104 *254:112 5.86214 -25 *254:112 *254:114 15 -26 *254:114 *1361:CLK 41.6664 -27 *254:114 *254:122 2.25786 -28 *254:122 *1346:CLK 41.0036 -29 *254:122 *254:131 2.61 -30 *254:131 *1347:CLK 38 -31 *254:131 *254:138 2.96214 -32 *254:138 *1345:CLK 41.0036 -33 *254:138 *1362:CLK 40.9621 -34 *254:112 *1360:CLK 29.235 -35 *254:89 *1350:CLK 40.0507 -36 *254:84 *1351:CLK 41.2314 -37 *254:70 *254:178 9.67357 -38 *254:178 *1358:CLK 23 -39 *254:178 *254:190 40.0464 -40 *254:190 *1355:CLK 23 -41 *254:190 *254:202 11.0407 -42 *254:202 *254:205 17.61 -43 *254:205 *1353:CLK 43.8829 -44 *254:205 *1356:CLK 42.06 -45 *254:202 *1359:CLK 23 -46 *254:45 *1365:CLK 24.5743 -47 *254:8 *1366:CLK 38 -*END - -*D_NET *255 0.0039019 -*CONN -*I *1122:A I *D sky130_fd_sc_hd__and2_2 -*I *1115:A I *D sky130_fd_sc_hd__buf_2 -*I *1348:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1122:A 0 -2 *1115:A 0.00025914 -3 *1348:Q 9.19257e-05 -4 *255:22 0.00136422 -5 *255:7 0.00171529 -6 *1115:A *1113:A0 0 -7 *1115:A *256:29 0 -8 *255:22 *1125:B 3.88766e-05 -9 *255:22 *256:22 1.3706e-05 -10 *255:22 *256:29 0.000230007 -11 *255:22 *261:7 2.93747e-05 -12 *90:13 *1115:A 4.73208e-05 -13 *90:52 *1115:A 1.3523e-05 -14 *99:5 *255:22 9.85114e-05 -*RES -1 *1348:Q *255:7 39.0979 -2 *255:7 *1115:A 43.1164 -3 *255:7 *255:22 33.3943 -4 *255:22 *1122:A 23 -*END - -*D_NET *256 0.00660805 -*CONN -*I *1225:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1220:B I *D sky130_fd_sc_hd__and3_2 -*I *1125:A I *D sky130_fd_sc_hd__and2_2 -*I *1123:A I *D sky130_fd_sc_hd__xor2_2 -*I *1113:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1230:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1349:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1225:A1 0.0001542 -2 *1220:B 0 -3 *1125:A 0 -4 *1123:A 0 -5 *1113:A0 0.000191167 -6 *1230:A 0.000118473 -7 *1349:Q 0.000395423 -8 *256:29 0.000370971 -9 *256:22 0.00102548 -10 *256:18 0.0009578 -11 *256:15 0.000528857 -12 *256:7 0.000776431 -13 *1113:A0 *1113:A1 6.63801e-05 -14 *1225:A1 *257:32 6.91235e-05 -15 *256:18 *1220:A 3.22118e-05 -16 *256:22 *1220:A 2.72257e-05 -17 *256:22 *1321:B 1.94812e-05 -18 *256:29 *1113:A1 6.02277e-06 -19 *256:29 *1125:B 0.000186059 -20 *256:29 *261:10 8.41012e-05 -21 *1115:A *1113:A0 0 -22 *1115:A *256:29 0 -23 *1225:A2 *1225:A1 8.68925e-05 -24 *1225:A2 *256:15 4.94134e-05 -25 *1321:A *256:22 9.01041e-05 -26 *1348:CLK *256:7 9.24795e-06 -27 *67:9 *256:7 0.000442766 -28 *85:19 *256:7 3.34247e-05 -29 *85:19 *256:15 0.000100543 -30 *85:32 *256:7 1.24376e-05 -31 *90:13 *1113:A0 5.2894e-05 -32 *90:71 *1230:A 4.3407e-05 -33 *90:71 *256:15 7.03421e-05 -34 *187:7 *256:18 6.17437e-06 -35 *187:10 *1225:A1 0.00015795 -36 *187:10 *256:15 6.25829e-05 -37 *191:8 *1225:A1 0 -38 *191:20 *1230:A 0 -39 *191:20 *256:15 0 -40 *254:75 *1225:A1 0 -41 *254:84 *1225:A1 0.000136743 -42 *255:22 *256:22 1.3706e-05 -43 *255:22 *256:29 0.000230007 -*RES -1 *1349:Q *256:7 44.3386 -2 *256:7 *1230:A 40.2579 -3 *256:7 *256:15 4.72286 -4 *256:15 *256:18 16.5743 -5 *256:18 *256:22 11.8279 -6 *256:22 *256:29 20.6343 -7 *256:29 *1113:A0 42.1843 -8 *256:29 *1123:A 38 -9 *256:22 *1125:A 23 -10 *256:18 *1220:B 23 -11 *256:15 *1225:A1 41.8321 -*END - -*D_NET *257 0.00902615 -*CONN -*I *1225:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1121:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1111:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1124:A I *D sky130_fd_sc_hd__and2_2 -*I *1136:A I *D sky130_fd_sc_hd__nor2_2 -*I *1220:A I *D sky130_fd_sc_hd__and3_2 -*I *1350:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1225:B1 0 -2 *1121:A_N 0 -3 *1111:A0 0.000336037 -4 *1124:A 0 -5 *1136:A 0.000163526 -6 *1220:A 0.000364607 -7 *1350:Q 0.000874185 -8 *257:53 0.000528059 -9 *257:34 0.000223149 -10 *257:32 0.00110756 -11 *257:23 0.000964339 -12 *257:11 0.000473025 -13 *257:9 0.000874185 -14 *1111:A0 *258:12 4.79612e-05 -15 *1136:A *262:32 0.00030408 -16 *257:32 *371:24 9.39858e-05 -17 *257:53 *1120:A 0 -18 *257:53 *262:8 0 -19 *1225:A1 *257:32 6.91235e-05 -20 *1225:A2 *257:32 0.000181125 -21 *1227:A1 *1220:A 4.5051e-05 -22 *1227:A2 *1220:A 5.67058e-05 -23 *1227:B1 *1220:A 5.50106e-05 -24 *1227:B1 *257:9 2.65879e-05 -25 *1350:CLK *257:9 0.000163469 -26 *1350:D *257:9 3.40025e-05 -27 *85:19 *1220:A 0 -28 *95:7 *1111:A0 0.000134053 -29 *98:5 *1136:A 0.000230604 -30 *187:7 *1220:A 2.93845e-05 -31 *190:6 *1220:A 6.48142e-05 -32 *190:6 *257:23 0.000129504 -33 *192:8 *257:9 0.000271476 -34 *248:35 *1111:A0 0 -35 *248:40 *257:53 0 -36 *249:40 *257:32 0.000833709 -37 *252:13 *257:32 1.94812e-05 -38 *252:13 *257:53 3.2457e-05 -39 *252:39 *257:32 5.29672e-05 -40 *254:84 *257:32 0.000182483 -41 *254:89 *1220:A 0 -42 *254:89 *257:23 0 -43 *254:93 *1220:A 0 -44 *256:18 *1220:A 3.22118e-05 -45 *256:22 *1220:A 2.72257e-05 -*RES -1 *1350:Q *257:9 37.1271 -2 *257:9 *257:11 15 -3 *257:11 *1220:A 45.0636 -4 *257:11 *257:23 17.2579 -5 *257:23 *257:32 22.3921 -6 *257:32 *257:34 1.09786 -7 *257:34 *1136:A 28.075 -8 *257:34 *1124:A 23 -9 *257:32 *257:53 32.9621 -10 *257:53 *1111:A0 29.3593 -11 *257:53 *1121:A_N 23 -12 *257:23 *1225:B1 23 -*END - -*D_NET *258 0.0114884 -*CONN -*I *1224:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1221:A I *D sky130_fd_sc_hd__and2_2 -*I *1153:A I *D sky130_fd_sc_hd__and2_2 -*I *1127:A I *D sky130_fd_sc_hd__nor2_2 -*I *1128:A I *D sky130_fd_sc_hd__nand2_2 -*I *1109:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1351:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1224:A1 7.51427e-05 -2 *1221:A 0 -3 *1153:A 0.000213728 -4 *1127:A 0 -5 *1128:A 0 -6 *1109:A0 5.78708e-05 -7 *1351:Q 0.000842197 -8 *258:66 9.5009e-05 -9 *258:49 9.77022e-05 -10 *258:43 0.000324906 -11 *258:35 0.000482825 -12 *258:28 0.000205878 -13 *258:17 0.00068972 -14 *258:12 0.00209558 -15 *258:7 0.00185494 -16 *258:5 0.00104956 -17 *1109:A0 *1109:A1 9.88574e-06 -18 *1153:A *1153:B 0.000227208 -19 *1153:A *263:17 5.62433e-05 -20 *258:12 *259:11 5.6357e-05 -21 *258:12 *259:23 9.30054e-05 -22 *258:12 *262:8 2.5415e-05 -23 *258:17 *1109:A1 2.45549e-06 -24 *258:28 *263:8 2.46399e-05 -25 *258:28 *263:17 5.75756e-06 -26 *258:35 *263:17 4.00203e-05 -27 *258:49 *1127:B 0.000271906 -28 *1111:A0 *258:12 4.79612e-05 -29 *1221:B *258:66 6.68793e-05 -30 *1224:A2 *1224:A1 5.90675e-06 -31 *1224:A2 *258:7 5.14746e-06 -32 *1351:CLK *258:5 2.93845e-05 -33 *1351:D *258:5 6.4652e-05 -34 *1365:CLK *258:17 0.000127821 -35 *1366:RESET_B *1109:A0 5.37348e-06 -36 *7:41 *1153:A 0.000173452 -37 *7:41 *258:49 0.000272248 -38 *61:8 *258:28 0.000108129 -39 *84:32 *258:28 3.70187e-05 -40 *85:52 *1224:A1 0 -41 *85:52 *258:12 0.000607156 -42 *88:8 *258:12 8.62876e-05 -43 *95:7 *258:12 0.000141954 -44 *95:8 *258:43 0.000110913 -45 *98:18 *258:28 0 -46 *100:6 *258:28 2.76413e-05 -47 *100:6 *258:43 0.000108681 -48 *102:8 *1153:A 0 -49 *102:8 *258:35 0 -50 *103:19 *258:49 5.58975e-06 -51 *112:6 *258:49 2.46933e-05 -52 *189:7 *258:7 1.32939e-05 -53 *189:7 *258:12 1.14876e-05 -54 *248:5 *258:43 3.95657e-05 -55 *248:35 *258:12 0.00023406 -56 *251:42 *258:66 1.14452e-05 -57 *254:45 *258:17 0.000223698 -*RES -1 *1351:Q *258:5 34.5793 -2 *258:5 *258:7 2.05071 -3 *258:7 *258:12 38.7386 -4 *258:12 *258:17 22.7886 -5 *258:17 *1109:A0 24.1186 -6 *258:17 *258:28 18.3143 -7 *258:28 *1128:A 38 -8 *258:28 *258:35 1.20143 -9 *258:35 *258:43 48.6043 -10 *258:43 *258:49 33.8529 -11 *258:49 *1127:A 23 -12 *258:35 *1153:A 43.3857 -13 *258:7 *258:66 31.9057 -14 *258:66 *1221:A 23 -15 *258:5 *1224:A1 24.7348 -*END - -*D_NET *259 0.00625812 -*CONN -*I *1107:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1151:A I *D sky130_fd_sc_hd__xor2_2 -*I *1159:A I *D sky130_fd_sc_hd__nand2_2 -*I *1223:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1226:A I *D sky130_fd_sc_hd__nand2_2 -*I *1222:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1352:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1107:A0 0 -2 *1151:A 0 -3 *1159:A 0.000107465 -4 *1223:A_N 1.31139e-05 -5 *1226:A 0 -6 *1222:A1 0 -7 *1352:Q 7.97676e-05 -8 *259:62 0.000120835 -9 *259:48 0.000591265 -10 *259:42 0.00102249 -11 *259:28 0.000147821 -12 *259:23 0.000329837 -13 *259:11 0.000428903 -14 *259:6 0.000999296 -15 *1159:A *1159:B 5.67058e-05 -16 *259:42 *264:6 0.000299178 -17 *259:42 *264:9 2.4754e-05 -18 *259:42 *264:14 5.70419e-05 -19 *259:42 *277:6 0 -20 *259:48 *1159:B 4.17736e-05 -21 *259:48 *264:14 0 -22 *259:62 *1151:B 0.000194662 -23 *259:62 *284:35 2.93747e-05 -24 *1222:A2 *1223:A_N 4.34745e-05 -25 *1222:A2 *259:11 3.91386e-05 -26 *1222:A2 *259:23 0.000217518 -27 *1222:B1 *259:23 5.50049e-05 -28 *1226:B *259:28 0 -29 *1326:A *259:6 0 -30 *1326:A *259:42 0 -31 *47:8 *259:11 9.64943e-05 -32 *72:8 *259:42 0 -33 *72:8 *259:48 0.000108951 -34 *85:52 *1223:A_N 2.93845e-05 -35 *85:52 *259:23 0.000180833 -36 *85:65 *259:42 0.000141954 -37 *183:6 *259:48 0 -38 *188:9 *259:28 0 -39 *248:35 *259:11 0.000152796 -40 *248:35 *259:42 0 -41 *250:10 *259:42 0 -42 *252:39 *259:6 3.56537e-05 -43 *252:39 *259:42 6.02277e-06 -44 *253:8 *259:62 0 -45 *253:53 *259:42 0.000213762 -46 *254:75 *259:28 0.000243486 -47 *258:12 *259:11 5.6357e-05 -48 *258:12 *259:23 9.30054e-05 -*RES -1 *1352:Q *259:6 39.5536 -2 *259:6 *259:11 20.8829 -3 *259:11 *1222:A1 23 -4 *259:11 *259:23 5.57214 -5 *259:23 *259:28 33.3143 -6 *259:28 *1226:A 23 -7 *259:23 *1223:A_N 23.6214 -8 *259:6 *259:42 29.7693 -9 *259:42 *259:48 36.67 -10 *259:48 *1159:A 25.0714 -11 *259:48 *259:62 32.8793 -12 *259:62 *1151:A 23 -13 *259:42 *1107:A0 23 -*END - -*D_NET *260 0.00509964 -*CONN -*I *1122:B I *D sky130_fd_sc_hd__and2_2 -*I *1116:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1145:B I *D sky130_fd_sc_hd__nor2_2 -*I *1144:B I *D sky130_fd_sc_hd__nand2_2 -*I *1363:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1122:B 0 -2 *1116:A1 0 -3 *1145:B 0 -4 *1144:B 0.000400646 -5 *1363:Q 0 -6 *260:44 0.000156291 -7 *260:33 0.000267207 -8 *260:23 0.000107234 -9 *260:7 0.00106261 -10 *260:5 0.00101907 -11 *260:4 0.000353422 -12 clockp[1] *260:23 0.000123889 -13 *1116:A0 *260:33 3.05299e-05 -14 *1177:A1 *260:7 0.000165806 -15 *1177:A2 *1144:B 0.000225393 -16 *1177:A2 *260:7 4.17736e-05 -17 *1177:A2 *260:23 0.000136486 -18 *1177:B1 *1144:B 4.13534e-05 -19 *1363:RESET_B *260:5 0.000133138 -20 *1363:RESET_B *260:44 4.17736e-05 -21 *39:16 *260:33 0.000355708 -22 *78:8 *260:23 2.64616e-05 -23 *84:18 *260:33 3.64337e-05 -24 *84:26 *260:44 7.03766e-05 -25 *90:31 *1144:B 6.97794e-05 -26 *90:31 *260:5 5.41248e-06 -27 *90:31 *260:7 1.74025e-05 -28 *91:8 *260:23 2.12347e-06 -29 *97:11 *260:44 1.14876e-05 -30 *97:12 *260:44 3.31683e-05 -31 *97:25 *260:44 9.48381e-06 -32 *118:8 *1144:B 5.67058e-05 -33 *151:8 *1144:B 9.84794e-05 -*RES -1 *1363:Q *260:4 23 -2 *260:4 *260:5 2.52714 -3 *260:5 *260:7 7.76786 -4 *260:7 *1144:B 30.3329 -5 *260:7 *260:23 32.5271 -6 *260:23 *1145:B 23 -7 *260:5 *260:33 35.8207 -8 *260:33 *1116:A1 23 -9 *260:4 *260:44 33.0036 -10 *260:44 *1122:B 23 -*END - -*D_NET *261 0.00141119 -*CONN -*I *1125:B I *D sky130_fd_sc_hd__and2_2 -*I *1123:B I *D sky130_fd_sc_hd__xor2_2 -*I *1113:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1364:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1125:B 7.84676e-05 -2 *1123:B 0 -3 *1113:A1 0.000186434 -4 *1364:Q 4.63196e-05 -5 *261:10 0.000282903 -6 *261:7 0.000221256 -7 *1113:A0 *1113:A1 6.63801e-05 -8 *84:46 *1113:A1 1.14452e-05 -9 *99:5 *1125:B 7.24484e-05 -10 *99:5 *261:7 1.94693e-05 -11 *252:13 *261:10 8.16356e-05 -12 *255:22 *1125:B 3.88766e-05 -13 *255:22 *261:7 2.93747e-05 -14 *256:29 *1113:A1 6.02277e-06 -15 *256:29 *1125:B 0.000186059 -16 *256:29 *261:10 8.41012e-05 -*RES -1 *1364:Q *261:7 24.1186 -2 *261:7 *261:10 17.61 -3 *261:10 *1113:A1 42.2879 -4 *261:10 *1123:B 15 -5 *261:7 *1125:B 26.0036 -*END - -*D_NET *262 0.00473562 -*CONN -*I *1111:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1136:B I *D sky130_fd_sc_hd__nor2_2 -*I *1124:B I *D sky130_fd_sc_hd__and2_2 -*I *1120:A I *D sky130_fd_sc_hd__inv_2 -*I *1365:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1111:A1 0 -2 *1136:B 2.46113e-05 -3 *1124:B 0 -4 *1120:A 0.000286052 -5 *1365:Q 0.000604186 -6 *262:44 0.000114169 -7 *262:32 0.000396357 -8 *262:19 0.000257576 -9 *262:8 0.000532078 -10 *262:7 0.000850212 -11 *1126:B1 *262:32 0 -12 *1136:A *262:32 0.00030408 -13 *60:6 *262:8 0 -14 *79:8 *1120:A 0 -15 *94:8 *1120:A 0.000374011 -16 *94:8 *262:8 0.000181023 -17 *95:7 *262:44 1.05235e-05 -18 *97:11 *1120:A 0.000143655 -19 *98:5 *1136:B 4.34745e-05 -20 *98:5 *262:32 7.14334e-05 -21 *110:6 *262:44 8.62783e-05 -22 *252:13 *1120:A 0.000141954 -23 *252:39 *262:7 0.000119372 -24 *253:24 *262:8 0 -25 *253:24 *262:44 0.000139647 -26 *254:45 *262:44 2.95125e-05 -27 *257:53 *1120:A 0 -28 *257:53 *262:8 0 -29 *258:12 *262:8 2.5415e-05 -*RES -1 *1365:Q *262:7 45.7679 -2 *262:7 *262:8 4.72286 -3 *262:8 *1120:A 45.3536 -4 *262:8 *262:19 15 -5 *262:19 *1124:B 23 -6 *262:19 *262:32 5.73786 -7 *262:32 *1136:B 23.6214 -8 *262:32 *262:44 32.5271 -9 *262:44 *1111:A1 23 -*END - -*D_NET *263 0.00388797 -*CONN -*I *1109:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1153:B I *D sky130_fd_sc_hd__and2_2 -*I *1127:B I *D sky130_fd_sc_hd__nor2_2 -*I *1128:B I *D sky130_fd_sc_hd__nand2_2 -*I *1366:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1109:A1 9.08209e-05 -2 *1153:B 7.49728e-05 -3 *1127:B 0.00019477 -4 *1128:B 0 -5 *1366:Q 0.000277353 -6 *263:17 0.000423969 -7 *263:8 0.000214588 -8 *263:5 0.000428535 -9 *1109:A0 *1109:A1 9.88574e-06 -10 *1131:A1 *1127:B 9.67842e-05 -11 *1131:A2 *1127:B 6.47194e-05 -12 *1131:A2 *1153:B 2.32101e-05 -13 *1153:A *1153:B 0.000227208 -14 *1153:A *263:17 5.62433e-05 -15 *1366:RESET_B *1109:A1 4.21921e-05 -16 *1366:RESET_B *263:5 0.000354888 -17 *7:41 *1127:B 3.59414e-05 -18 *7:41 *1153:B 1.03836e-05 -19 *61:8 *263:8 8.57577e-05 -20 *100:6 *263:8 0.000137754 -21 *100:6 *263:17 0.000179337 -22 *100:17 *263:17 5.94125e-05 -23 *101:10 *1127:B 0.000124126 -24 *101:10 *1153:B 0.000272383 -25 *103:19 *1127:B 5.79559e-05 -26 *258:17 *1109:A1 2.45549e-06 -27 *258:28 *263:8 2.46399e-05 -28 *258:28 *263:17 5.75756e-06 -29 *258:35 *263:17 4.00203e-05 -30 *258:49 *1127:B 0.000271906 -*RES -1 *1366:Q *263:5 26.9564 -2 *263:5 *263:8 16.9057 -3 *263:8 *1128:B 38 -4 *263:8 *263:17 18.3143 -5 *263:17 *1127:B 28.9036 -6 *263:17 *1153:B 26.48 -7 *263:5 *1109:A1 24.0979 -*END - -*D_NET *264 0.00285224 -*CONN -*I *1107:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1151:B I *D sky130_fd_sc_hd__xor2_2 -*I *1159:B I *D sky130_fd_sc_hd__nand2_2 -*I *1367:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1107:A1 0 -2 *1151:B 0.00021327 -3 *1159:B 4.26123e-05 -4 *1367:Q 0.000165965 -5 *264:14 0.000658382 -6 *264:9 0.000492678 -7 *264:6 0.000256143 -8 *1151:B *284:35 2.9853e-05 -9 *1159:A *1159:B 5.67058e-05 -10 *72:8 *264:6 0 -11 *72:8 *264:14 7.31082e-05 -12 *85:65 *264:9 9.84794e-05 -13 *86:8 *264:14 0.000147633 -14 *253:8 *1151:B 0 -15 *253:8 *264:14 0 -16 *259:42 *264:6 0.000299178 -17 *259:42 *264:9 2.4754e-05 -18 *259:42 *264:14 5.70419e-05 -19 *259:48 *1159:B 4.17736e-05 -20 *259:48 *264:14 0 -21 *259:62 *1151:B 0.000194662 -*RES -1 *1367:Q *264:6 42.0186 -2 *264:6 *264:9 16.5743 -3 *264:9 *264:14 22.4986 -4 *264:14 *1159:B 39.0979 -5 *264:14 *1151:B 19.2568 -6 *264:9 *1107:A1 23 -*END - -*D_NET *265 0.00115541 -*CONN -*I *1361:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1360:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1361:D 0 -2 *1360:Q 0.000456566 -3 *265:8 0.000456566 -4 *1360:CLK *265:8 1.32289e-05 -5 *1361:CLK *265:8 4.5882e-05 -6 *38:5 *265:8 0.000141869 -7 *254:112 *265:8 4.12995e-05 -*RES -1 *1360:Q *265:8 45.2914 -2 *265:8 *1361:D 38 -*END - -*D_NET *266 0.00464593 -*CONN -*I *1362:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1104:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1361:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1362:D 0 -2 *1104:A 0.000217011 -3 *1361:Q 0.000295631 -4 *266:22 0.000860353 -5 *266:8 0.00137299 -6 *1104:A *267:11 0.000184527 -7 *266:22 *371:55 0 -8 *266:22 *371:56 0.000231039 -9 *1339:A *266:8 5.36749e-05 -10 *1339:A *266:22 0 -11 *1362:RESET_B *266:8 0.000271129 -12 *1362:RESET_B *266:22 0.000988467 -13 *54:8 *266:22 0.000128964 -14 *56:6 *266:8 0 -15 *252:92 *1104:A 4.21378e-05 -16 *253:71 *266:22 0 -*RES -1 *1361:Q *266:8 43.2407 -2 *266:8 *1104:A 42.4536 -3 *266:8 *266:22 35.6107 -4 *266:22 *1362:D 23 -*END - -*D_NET *267 0.0027491 -*CONN -*I *1104:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1362:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1104:B 0 -2 *1362:Q 0.000176572 -3 *267:11 0.000428471 -4 *267:7 0.000605043 -5 *267:7 *371:56 0.000226226 -6 *1104:A *267:11 0.000184527 -7 *1362:RESET_B *267:11 0.000763572 -8 *40:6 *267:11 2.60152e-05 -9 *63:8 *267:11 0.000139647 -10 *64:6 *267:11 4.59027e-05 -11 *65:8 *267:11 0 -12 *85:11 *267:11 4.04634e-05 -13 *252:92 *267:11 0.000112665 -*RES -1 *1362:Q *267:7 40.5271 -2 *267:7 *267:11 27.4079 -3 *267:11 *1104:B 23 -*END - -*D_NET *268 0.00252187 -*CONN -*I *1237:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1176:B I *D sky130_fd_sc_hd__and4b_2 -*I *1235:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1345:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1237:A_N 0.000255858 -2 *1176:B 0.000140983 -3 *1235:A0 0 -4 *1345:Q 0.000482422 -5 *268:15 0.000460099 -6 *268:7 0.00054568 -7 *268:7 *1235:A1 3.70553e-06 -8 *268:15 *1235:A1 5.37348e-06 -9 *1106:A *1176:B 6.7177e-06 -10 *1106:A *1237:A_N 1.80023e-05 -11 *1235:S *268:15 5.3304e-05 -12 *1345:D *268:7 6.17437e-06 -13 *1345:RESET_B *268:7 9.88574e-06 -14 *41:6 *1176:B 0.000164282 -15 *41:6 *1237:A_N 0.000236307 -16 *84:60 *1176:B 4.3407e-05 -17 *196:8 *268:7 8.39977e-06 -18 *226:43 *1237:A_N 8.12694e-05 -*RES -1 *1345:Q *268:7 29.235 -2 *268:7 *1235:A0 23 -3 *268:7 *268:15 16.0979 -4 *268:15 *1176:B 40.8793 -5 *268:15 *1237:A_N 43.4271 -*END - -*D_NET *269 0.00207294 -*CONN -*I *1233:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1235:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1176:D I *D sky130_fd_sc_hd__and4b_2 -*I *1346:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1233:A0 5.96366e-05 -2 *1235:A1 0.00015555 -3 *1176:D 0 -4 *1346:Q 0.000322745 -5 *269:8 0.000271727 -6 *269:5 0.000498559 -7 *1235:A1 *270:15 9.26005e-05 -8 *269:8 *270:15 0.000245621 -9 *1176:A_N *269:8 0 -10 *1346:RESET_B *1233:A0 2.93845e-05 -11 *1346:RESET_B *269:5 0.000243237 -12 *41:6 *1235:A1 3.3746e-05 -13 *65:8 *269:8 5.19151e-05 -14 *195:6 *269:8 5.91383e-05 -15 *196:8 *1235:A1 0 -16 *268:7 *1235:A1 3.70553e-06 -17 *268:15 *1235:A1 5.37348e-06 -*RES -1 *1346:Q *269:5 27.9093 -2 *269:5 *269:8 18.6664 -3 *269:8 *1176:D 38 -4 *269:8 *1235:A1 40.8793 -5 *269:5 *1233:A0 24.1186 -*END - -*D_NET *270 0.0024978 -*CONN -*I *1176:C I *D sky130_fd_sc_hd__and4b_2 -*I *1233:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1347:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1176:C 0 -2 *1233:A1 0 -3 *1347:Q 0.000617455 -4 *270:15 0.000189685 -5 *270:5 0.00080714 -6 *1176:A_N *270:15 6.12496e-06 -7 *1235:A1 *270:15 9.26005e-05 -8 *1346:RESET_B *270:15 1.24376e-05 -9 *41:6 *270:15 0.000280856 -10 *65:11 *270:5 0.000190871 -11 *150:7 *270:5 5.50106e-05 -12 *269:8 *270:15 0.000245621 -*RES -1 *1347:Q *270:5 31.2443 -2 *270:5 *270:15 35.7586 -3 *270:15 *1233:A1 23 -4 *270:5 *1176:C 23 -*END - -*D_NET *271 0.0103331 -*CONN -*I *1213:B1 I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1166:A I *D sky130_fd_sc_hd__inv_2 -*I *1260:B I *D sky130_fd_sc_hd__nor2_2 -*I *1190:B I *D sky130_fd_sc_hd__nand2_2 -*I *1209:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1355:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1213:B1 0 -2 *1166:A 7.4585e-05 -3 *1260:B 0.000495007 -4 *1190:B 3.80201e-05 -5 *1209:A0 4.53145e-05 -6 *1355:Q 0.000809582 -7 *271:31 0.000843492 -8 *271:25 0.000916198 -9 *271:12 0.000770679 -10 *271:8 0.000680498 -11 *271:5 0.00129586 -12 *271:8 *274:8 0 -13 *271:25 *275:30 0.000515462 -14 *271:31 *275:30 0.000167038 -15 *271:31 *275:42 5.80693e-05 -16 *1219:A2 *271:8 7.03317e-05 -17 *1219:A2 *271:12 3.0647e-05 -18 *1290:A_N *1260:B 8.30566e-06 -19 *1290:A_N *271:31 3.41998e-06 -20 *1292:A2 *1260:B 1.15883e-05 -21 *1327:A *271:5 7.61229e-06 -22 *1331:B *271:8 0.000166666 -23 *1355:D *271:8 0 -24 *24:11 *1260:B 0.000189857 -25 *24:22 *1260:B 2.26497e-05 -26 *93:55 *1166:A 2.82089e-05 -27 *93:55 *271:25 0.000207753 -28 *93:55 *271:31 1.09547e-05 -29 *136:70 *1209:A0 8.01233e-05 -30 *136:70 *271:8 6.07611e-06 -31 *136:70 *271:12 4.03062e-05 -32 *140:8 *271:25 3.19892e-06 -33 *140:17 *271:25 4.12494e-05 -34 *155:18 *271:12 7.56417e-05 -35 *155:18 *271:25 0.000161087 -36 *162:74 *271:31 0.000331786 -37 *163:57 *271:31 0 -38 *163:91 *1260:B 0.000243182 -39 *163:91 *271:31 4.62763e-05 -40 *164:47 *1190:B 0.000139346 -41 *164:52 *1260:B 0.000156917 -42 *168:32 *271:25 2.33476e-05 -43 *171:9 *1209:A0 0.000139352 -44 *180:6 *271:12 3.70094e-05 -45 *180:6 *271:25 0.000284195 -46 *198:58 *271:8 0 -47 *198:58 *271:12 0 -48 *198:60 *271:8 0 -49 *208:34 *1166:A 9.5877e-05 -50 *211:40 *1166:A 9.5877e-05 -51 *213:28 *1260:B 4.8112e-05 -52 *214:15 *1260:B 6.3704e-06 -53 *221:39 *1190:B 0.000139346 -54 *231:9 *1260:B 0.000189857 -55 *233:11 *1260:B 3.11094e-05 -56 *233:27 *1260:B 9.40497e-06 -57 *253:64 *271:5 3.13016e-05 -58 *254:190 *271:5 0.000268625 -59 *254:202 *271:5 0.000140312 -*RES -1 *1355:Q *271:5 35.0557 -2 *271:5 *271:8 25.3571 -3 *271:8 *271:12 3.58357 -4 *271:12 *1209:A0 39.5743 -5 *271:12 *271:25 12.7082 -6 *271:25 *271:31 7.3225 -7 *271:31 *1190:B 39.5743 -8 *271:31 *1260:B 48.295 -9 *271:25 *1166:A 40.0404 -10 *271:8 *1213:B1 38 -*END - -*D_NET *272 0.00511671 -*CONN -*I *1165:A I *D sky130_fd_sc_hd__inv_2 -*I *1189:A I *D sky130_fd_sc_hd__buf_2 -*I *1356:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1165:A 7.13041e-05 -2 *1189:A 0 -3 *1356:Q 0.000275787 -4 *272:23 0.000498554 -5 *272:10 0.00102964 -6 *272:7 0.000735565 -7 *272:7 *1461:TE 0.000102957 -8 *272:10 *1461:TE 0.00010404 -9 *272:10 *275:8 0.000428691 -10 *272:10 *275:15 2.34364e-05 -11 *272:10 *301:17 0.000212971 -12 *272:10 *372:14 0.000249791 -13 *272:10 *373:11 0.000659314 -14 *1313:A2 *1165:A 0.000140935 -15 *1313:A2 *272:23 0.000100355 -16 *1313:B1 *272:23 0.000194648 -17 *20:14 *272:10 3.07161e-05 -18 *26:21 *272:23 2.53835e-05 -19 *92:30 *272:23 4.41821e-06 -20 *92:43 *272:23 4.78027e-05 -21 *139:5 *272:23 7.65771e-06 -22 *139:10 *272:23 3.71887e-05 -23 *173:6 *272:10 0.000135557 -24 *209:10 *272:23 0 -*RES -1 *1356:Q *272:7 41.48 -2 *272:7 *272:10 30.2871 -3 *272:10 *272:23 37.9957 -4 *272:23 *1189:A 23 -5 *272:10 *1165:A 24.5743 -*END - -*D_NET *273 0.00917055 -*CONN -*I *1245:C I *D sky130_fd_sc_hd__and3_2 -*I *1171:B I *D sky130_fd_sc_hd__nor2_2 -*I *1244:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *1186:A I *D sky130_fd_sc_hd__and2_2 -*I *1163:A I *D sky130_fd_sc_hd__buf_2 -*I *1357:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1245:C 0.00030675 -2 *1171:B 0 -3 *1244:A_N 0 -4 *1186:A 0 -5 *1163:A 0 -6 *1357:Q 0.000157335 -7 *273:47 0.000733076 -8 *273:38 0.000636411 -9 *273:30 0.00137159 -10 *273:18 0.000249954 -11 *273:10 0.000249954 -12 *273:8 0.000868045 -13 *273:7 0.000716529 -14 *273:8 *1164:B 0 -15 *273:8 *1174:B 0 -16 *273:18 *1164:A 4.21594e-06 -17 *273:18 *1164:B 8.66123e-05 -18 *273:38 *275:86 0.000221472 -19 *273:38 *309:19 5.50049e-05 -20 *1168:C *273:18 0 -21 *1168:C *273:30 0 -22 *1175:A *273:30 0.000109206 -23 *1182:A2 *273:47 0 -24 *1186:B *273:8 1.05441e-05 -25 *1186:B *273:30 2.26406e-05 -26 *1284:A2 *273:38 0 -27 *1306:A *273:38 1.98354e-05 -28 *5:90 *273:38 0.000128083 -29 *5:90 *273:47 0.000325427 -30 *5:96 *273:47 0.000348529 -31 *5:113 *273:47 0.000127747 -32 *25:24 *273:47 2.21614e-05 -33 *75:8 *273:8 0 -34 *76:6 *273:8 0 -35 *92:67 *273:38 9.85586e-06 -36 *93:30 *1245:C 1.11087e-05 -37 *135:41 *273:18 7.57281e-06 -38 *138:25 *273:18 0 -39 *139:26 *273:38 5.45541e-05 -40 *139:26 *273:47 0.000186133 -41 *140:32 *273:30 2.35118e-06 -42 *140:32 *273:38 8.4898e-05 -43 *142:9 *273:38 0.000119128 -44 *144:46 *1245:C 0 -45 *145:15 *273:47 2.72445e-06 -46 *148:24 *273:8 0.000118021 -47 *148:55 *273:30 6.67731e-05 -48 *148:55 *273:38 0 -49 *159:8 *273:8 0.000373109 -50 *159:8 *273:18 0.000269775 -51 *170:7 *273:47 5.40732e-05 -52 *170:19 *1245:C 0.000163048 -53 *170:19 *273:47 8.02614e-05 -54 *170:39 *1245:C 7.06771e-05 -55 *182:13 *273:18 0.000119313 -56 *202:11 *273:38 0 -57 *202:20 *273:38 2.2119e-05 -58 *208:21 *1245:C 0 -59 *216:25 *273:38 5.50049e-05 -60 *220:12 *273:47 0.000272918 -61 *229:6 *273:38 0.000134012 -62 *230:8 *273:38 2.83433e-05 -63 *254:178 *273:7 9.36522e-05 -*RES -1 *1357:Q *273:7 40.5271 -2 *273:7 *273:8 10.7093 -3 *273:8 *273:10 15 -4 *273:10 *273:18 36.4629 -5 *273:18 *1163:A 23 -6 *273:10 *1186:A 23 -7 *273:8 *273:30 20.5721 -8 *273:30 *273:38 43.1329 -9 *273:38 *1244:A_N 23 -10 *273:30 *273:47 27.1593 -11 *273:47 *1171:B 38 -12 *273:47 *1245:C 43.8414 -*END - -*D_NET *274 0.00734082 -*CONN -*I *1119:A I *D sky130_fd_sc_hd__inv_2 -*I *1283:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1305:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1171:A I *D sky130_fd_sc_hd__nor2_2 -*I *1204:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1358:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1119:A 0 -2 *1283:A1 3.34028e-05 -3 *1305:B1 0.000351382 -4 *1171:A 0 -5 *1204:A0 0.000119544 -6 *1358:Q 0.00041632 -7 *274:34 0.000782079 -8 *274:30 0.000526496 -9 *274:20 0.000837773 -10 *274:8 0.00124443 -11 *1204:A0 *276:21 0.000318659 -12 *274:20 *275:30 0 -13 *1182:A2 *274:20 0.000355344 -14 *1219:A2 *274:20 0 -15 *1283:A2 *1283:A1 3.83149e-05 -16 *1283:A2 *1305:B1 9.79925e-05 -17 *1283:B1 *1283:A1 5.09193e-06 -18 *1283:B1 *274:34 2.02771e-05 -19 *1283:C1 *274:34 0.000174717 -20 *1355:D *274:8 0.000354769 -21 *1355:D *274:20 0.000157299 -22 *93:8 *274:34 3.26888e-06 -23 *93:30 *274:20 0.000184405 -24 *93:30 *274:30 9.30054e-05 -25 *93:30 *274:34 2.75275e-06 -26 *93:35 *274:20 0.000110907 -27 *136:38 *274:20 0.000215801 -28 *137:47 *1305:B1 1.46041e-05 -29 *137:47 *274:34 1.86585e-05 -30 *142:17 *274:20 0.000228838 -31 *142:17 *274:30 0.000111857 -32 *145:5 *274:20 1.71761e-05 -33 *145:5 *274:30 0.000100069 -34 *145:15 *274:20 9.65309e-06 -35 *155:18 *274:20 0 -36 *157:48 *1204:A0 5.79559e-05 -37 *158:20 *274:20 0 -38 *163:26 *1305:B1 0.000135915 -39 *163:57 *274:20 2.05503e-05 -40 *181:8 *274:20 0.000114417 -41 *182:18 *274:20 6.70914e-05 -42 *271:8 *274:8 0 -*RES -1 *1358:Q *274:8 45.7264 -2 *274:8 *1204:A0 41.48 -3 *274:8 *274:20 31.7579 -4 *274:20 *1171:A 23 -5 *274:20 *274:30 3.99786 -6 *274:30 *274:34 6.24018 -7 *274:34 *1305:B1 27.9248 -8 *274:34 *1283:A1 23.8493 -9 *274:30 *1119:A 23 -*END - -*D_NET *275 0.0153273 -*CONN -*I *1168:A I *D sky130_fd_sc_hd__nand4_2 -*I *1247:A I *D sky130_fd_sc_hd__nor2_2 -*I *1243:A I *D sky130_fd_sc_hd__nor2_2 -*I *1170:A I *D sky130_fd_sc_hd__inv_2 -*I *1291:A I *D sky130_fd_sc_hd__nand2_2 -*I *1118:A I *D sky130_fd_sc_hd__buf_2 -*I *1359:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1168:A 0 -2 *1247:A 0.000235797 -3 *1243:A 0 -4 *1170:A 7.16086e-05 -5 *1291:A 0 -6 *1118:A 0 -7 *1359:Q 0.000617223 -8 *275:86 0.000420467 -9 *275:67 0.000748525 -10 *275:60 9.22607e-05 -11 *275:58 0.00088427 -12 *275:42 0.00105818 -13 *275:30 0.00142896 -14 *275:15 0.00172199 -15 *275:8 0.00101256 -16 *1247:A *372:5 0.000137794 -17 *275:15 *373:11 0.000247687 -18 *275:58 *309:19 9.41704e-05 -19 *275:67 *372:5 0.000221997 -20 *390:DIODE *275:30 0.000135008 -21 *1183:A *275:30 5.50106e-05 -22 *1200:B1 *275:30 0.000272383 -23 *1243:B *275:58 9.28078e-06 -24 *1243:B *275:67 5.3304e-05 -25 *1245:B *275:42 0.0002246 -26 *1257:B *275:67 1.75562e-05 -27 *1283:A2 *275:86 0.000141493 -28 *1284:B1 *1247:A 9.67842e-05 -29 *1284:B1 *275:67 8.23739e-05 -30 *5:113 *275:30 0.000400875 -31 *20:14 *275:8 0 -32 *29:8 *275:8 0 -33 *30:23 *1170:A 5.3304e-05 -34 *30:23 *275:42 0.0002246 -35 *92:7 *275:30 0.000140259 -36 *93:35 *275:30 2.44132e-05 -37 *142:9 *275:86 0.000116762 -38 *148:55 *275:86 1.34825e-05 -39 *155:10 *275:30 0.000156637 -40 *155:18 *275:30 0.000216149 -41 *162:63 *275:58 0.000154386 -42 *162:63 *275:86 0 -43 *163:26 *275:86 1.09783e-05 -44 *163:34 *1247:A 5.50049e-05 -45 *163:57 *1170:A 5.58473e-05 -46 *163:57 *275:30 0 -47 *163:57 *275:42 0 -48 *163:57 *275:58 0.000107218 -49 *163:63 *275:58 9.64943e-05 -50 *170:39 *1247:A 0.000108 -51 *171:9 *275:30 0.000368947 -52 *171:21 *275:30 0.000114846 -53 *172:9 *275:30 9.84794e-05 -54 *201:7 *1247:A 0.000195598 -55 *212:13 *275:58 6.32456e-05 -56 *215:8 *275:58 0.00048244 -57 *216:41 *275:58 1.41129e-05 -58 *221:39 *275:58 0.000112003 -59 *226:10 *275:8 0 -60 *226:64 *275:8 0 -61 *226:78 *275:8 0 -62 *226:78 *275:15 0 -63 *228:6 *275:86 8.62783e-05 -64 *230:8 *275:86 0.000204729 -65 *232:10 *1170:A 5.79466e-05 -66 *232:10 *275:58 9.88303e-05 -67 *271:25 *275:30 0.000515462 -68 *271:31 *275:30 0.000167038 -69 *271:31 *275:42 5.80693e-05 -70 *272:10 *275:8 0.000428691 -71 *272:10 *275:15 2.34364e-05 -72 *273:38 *275:86 0.000221472 -73 *274:20 *275:30 0 -*RES -1 *1359:Q *275:8 49.7036 -2 *275:8 *275:15 22.1671 -3 *275:15 *1118:A 23 -4 *275:15 *275:30 43.275 -5 *275:30 *1291:A 38 -6 *275:30 *275:42 33.3764 -7 *275:42 *1170:A 39.4707 -8 *275:42 *275:58 43.9614 -9 *275:58 *275:60 15 -10 *275:60 *1243:A 23 -11 *275:60 *275:67 3.02429 -12 *275:67 *1247:A 30.0221 -13 *275:67 *275:86 38.5964 -14 *275:86 *1168:A 23 -*END - -*D_NET *276 0.00702015 -*CONN -*I *1218:A I *D sky130_fd_sc_hd__nand2_2 -*I *1219:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1164:B I *D sky130_fd_sc_hd__and2_2 -*I *1174:B I *D sky130_fd_sc_hd__nor2_2 -*I *1353:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1218:A 0 -2 *1219:A1 0 -3 *1164:B 0.00042366 -4 *1174:B 0.000142192 -5 *1353:Q 0.000607626 -6 *276:21 0.00138568 -7 *276:15 0.00108038 -8 *276:13 0.000427171 -9 *276:8 0.000774249 -10 *1164:B *1164:A 0 -11 *1174:B *1164:A 0 -12 *1201:A1 *276:8 0 -13 *1201:A2 *276:13 0.000272377 -14 *1204:A0 *276:21 0.000318659 -15 *1208:A *276:21 6.17437e-06 -16 *1219:B1 *276:15 0.000267167 -17 *1356:CLK *276:8 0.000138718 -18 *29:8 *276:8 0 -19 *71:8 *276:8 0 -20 *75:8 *1174:B 5.36457e-05 -21 *75:8 *276:21 9.84851e-05 -22 *77:6 *276:8 0 -23 *138:8 *1164:B 8.4047e-05 -24 *157:13 *276:8 0 -25 *157:13 *276:13 2.86356e-05 -26 *157:13 *276:15 5.50106e-05 -27 *157:48 *276:21 0.000512037 -28 *159:8 *1164:B 2.4754e-05 -29 *169:8 *1164:B 2.26385e-05 -30 *174:6 *276:8 1.58805e-05 -31 *177:8 *276:21 9.84851e-05 -32 *182:13 *1164:B 9.58714e-05 -33 *198:58 *276:8 0 -34 *273:8 *1164:B 0 -35 *273:8 *1174:B 0 -36 *273:18 *1164:B 8.66123e-05 -*RES -1 *1353:Q *276:8 46.9071 -2 *276:8 *276:13 18.9771 -3 *276:13 *276:15 5.38571 -4 *276:15 *276:21 32.6693 -5 *276:21 *1174:B 40.61 -6 *276:21 *1164:B 45.5814 -7 *276:15 *1219:A1 23 -8 *276:13 *1218:A 23 -*END - -*D_NET *277 0.00339649 -*CONN -*I *1164:A I *D sky130_fd_sc_hd__and2_2 -*I *1174:A I *D sky130_fd_sc_hd__nor2_2 -*I *1216:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1354:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1164:A 0.000313649 -2 *1174:A 6.51366e-05 -3 *1216:A0 0 -4 *1354:Q 0.000173038 -5 *277:21 0.000661799 -6 *277:14 0.00021261 -7 *277:8 0.000495623 -8 *277:6 0.000173038 -9 *1164:B *1164:A 0 -10 *1174:B *1164:A 0 -11 *1214:A *1174:A 5.50049e-05 -12 *1214:A *277:21 9.24795e-06 -13 *75:8 *1164:A 8.62876e-05 -14 *75:8 *1174:A 9.03784e-05 -15 *85:65 *277:6 0 -16 *138:19 *1164:A 0.000422629 -17 *138:19 *1174:A 6.25829e-05 -18 *138:19 *277:6 0 -19 *138:19 *277:14 7.87782e-05 -20 *138:19 *277:21 0.000193575 -21 *138:25 *1164:A 0.000135557 -22 *169:8 *1164:A 0.000163343 -23 *259:42 *277:6 0 -24 *273:18 *1164:A 4.21594e-06 -*RES -1 *1354:Q *277:6 40.9621 -2 *277:6 *277:8 15 -3 *277:8 *277:14 33.48 -4 *277:14 *1216:A0 23 -5 *277:8 *277:21 19.4329 -6 *277:21 *1174:A 39.8229 -7 *277:21 *1164:A 45.54 -*END - -*D_NET *278 0.000267435 -*CONN -*I *1455:A I *D sky130_fd_sc_hd__clkinv_8 -*I *1454:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1455:A 0.000103509 -2 *1454:Y 0.000103509 -3 *1455:A *284:59 5.67058e-05 -4 *254:8 *1455:A 3.7122e-06 -*RES -1 *1454:Y *1455:A 48.0507 -*END - -*D_NET *279 0.000238185 -*CONN -*I *1457:A I *D sky130_fd_sc_hd__clkinv_8 -*I *1456:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1457:A 0 -2 *1456:Y 0.000119093 -3 *279:6 0.000119093 -4 *279:6 *286:12 0 -*RES -1 *1456:Y *279:6 40.2579 -2 *279:6 *1457:A 38 -*END - -*D_NET *280 0.000573136 -*CONN -*I *1369:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1457:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *1369:A 0 -2 *1457:Y 0.000286568 -3 *280:6 0.000286568 -4 *374:DIODE *280:6 0 -*RES -1 *1457:Y *280:6 43.4271 -2 *280:6 *1369:A 38 -*END - -*D_NET *281 0.0014739 -*CONN -*I *1373:A I *D sky130_fd_sc_hd__einvp_2 -*I *1371:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1373:A 3.60928e-05 -2 *1371:X 0.000352691 -3 *281:6 0.000388784 -4 *1373:A *1376:A 0.000141954 -5 *281:6 *1371:A 0.000145164 -6 *281:6 *282:6 5.86121e-05 -7 *281:6 *288:8 0.000101192 -8 *1192:B *281:6 6.16531e-05 -9 *154:11 *1373:A 0.000187752 -*RES -1 *1371:X *281:6 45.8921 -2 *281:6 *1373:A 40.0507 -*END - -*D_NET *282 0.0011756 -*CONN -*I *1373:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1376:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1375:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1373:Z 0 -2 *1376:A 0.000189508 -3 *1375:Z 0.000127849 -4 *282:8 0.000189508 -5 *282:6 0.000127849 -6 *1376:A *1373:TE 9.84851e-05 -7 *1192:B *1376:A 0.000181125 -8 *1373:A *1376:A 0.000141954 -9 *154:11 *1376:A 6.07078e-05 -10 *281:6 *282:6 5.86121e-05 -*RES -1 *1375:Z *282:6 40.61 -2 *282:6 *282:8 15 -3 *282:8 *1376:A 27.9507 -4 *282:8 *1373:Z 23 -*END - -*D_NET *283 0.00162378 -*CONN -*I *1372:A I *D sky130_fd_sc_hd__einvp_2 -*I *1376:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1372:A 0.000256504 -2 *1376:Y 0.000122338 -3 *283:6 0.000378842 -4 *1372:A *1391:A 0.000186051 -5 *1372:A *286:5 0.000135143 -6 *283:6 *1373:TE 0.000167848 -7 *283:6 *287:8 0.000168685 -8 *12:16 *283:6 0.000141201 -9 *199:8 *283:6 6.71651e-05 -*RES -1 *1376:Y *283:6 42.3707 -2 *283:6 *1372:A 42.9093 -*END - -*D_NET *284 0.0196425 -*CONN -*I *428:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1370:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *429:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1454:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1463:Z O *D sky130_fd_sc_hd__einvn_8 -*I *1461:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1466:Z O *D sky130_fd_sc_hd__einvp_1 -*CAP -1 *428:DIODE 0.000248627 -2 *1370:A 4.88358e-05 -3 *429:DIODE 0 -4 *1454:A 0 -5 *1463:Z 0.000247274 -6 *1461:Z 0 -7 *1466:Z 0.000392602 -8 *284:66 0.000407755 -9 *284:59 0.000695905 -10 *284:45 0.00152651 -11 *284:35 0.00321663 -12 *284:33 0.00412299 -13 *284:15 0.00214902 -14 *284:8 0.00044709 -15 *1370:A *288:8 5.40241e-05 -16 *284:8 *1460:A 0 -17 *284:8 *369:8 0.000141888 -18 *284:8 *370:8 0.00019092 -19 *284:33 *1462:TE 0.000128073 -20 *284:33 *1462:Z 9.41704e-05 -21 *284:33 *368:7 9.84794e-05 -22 *284:33 *373:11 7.43209e-05 -23 *419:DIODE *284:8 4.21594e-06 -24 *419:DIODE *284:15 4.58924e-05 -25 *419:DIODE *284:33 6.86023e-06 -26 *1106:A *284:8 5.41385e-05 -27 *1139:A1 *284:59 3.34129e-05 -28 *1139:A3 *284:59 1.24376e-05 -29 *1140:A *284:59 0.000228903 -30 *1140:B *284:59 7.44161e-06 -31 *1151:B *284:35 2.9853e-05 -32 *1155:B *284:35 2.93845e-05 -33 *1156:A *284:45 0.000182826 -34 *1156:B *284:45 0.000191726 -35 *1158:B *428:DIODE 1.01436e-05 -36 *1158:B *284:66 0.000195248 -37 *1179:A1 *284:45 0 -38 *1192:B *428:DIODE 0 -39 *1198:A *284:33 0.000144067 -40 *1199:B *284:33 0.00026025 -41 *1202:B1 *284:35 5.3304e-05 -42 *1203:B *284:35 6.46633e-05 -43 *1206:A *284:35 1.22822e-05 -44 *1213:B2 *284:33 9.67842e-05 -45 *1215:B *284:35 5.03619e-05 -46 *1455:A *284:59 5.67058e-05 -47 *10:21 *284:45 1.65699e-05 -48 *11:10 *284:59 4.70182e-05 -49 *27:20 *284:33 8.74263e-05 -50 *28:10 *284:8 0 -51 *41:6 *284:8 0 -52 *108:6 *284:59 2.96281e-05 -53 *112:6 *284:45 0 -54 *113:31 *284:45 4.90891e-05 -55 *125:5 *284:35 0.000151601 -56 *125:12 *284:35 5.41385e-05 -57 *129:21 *284:35 2.44795e-05 -58 *129:21 *284:45 5.69373e-05 -59 *134:19 *284:45 0 -60 *135:8 *428:DIODE 0 -61 *136:70 *284:33 3.34247e-05 -62 *138:13 *284:35 0.000180218 -63 *150:13 *284:8 0.000753245 -64 *150:13 *284:15 0.000132665 -65 *150:13 *284:33 0.000287723 -66 *152:10 *284:45 0.000621441 -67 *153:6 *284:66 0 -68 *154:11 *428:DIODE 0.000188927 -69 *157:32 *284:33 0.000199762 -70 *157:39 *284:33 0.000110097 -71 *157:39 *284:35 4.16884e-05 -72 *161:11 *284:35 2.93747e-05 -73 *169:20 *284:35 0.000366963 -74 *169:32 *284:35 1.69977e-05 -75 *176:8 *284:35 8.06804e-06 -76 *178:8 *284:35 1.94693e-05 -77 *184:8 *284:35 5.01469e-05 -78 *259:62 *284:35 2.93747e-05 -*RES -1 *1466:Z *284:8 49.1029 -2 *284:8 *1461:Z 38 -3 *284:8 *284:15 1.90571 -4 *284:15 *1463:Z 41.48 -5 *284:15 *284:33 46.7446 -6 *284:33 *284:35 40.4032 -7 *284:35 *284:45 47.0064 -8 *284:45 *1454:A 23 -9 *284:45 *284:59 40.44 -10 *284:59 *429:DIODE 23 -11 *284:35 *284:66 17.61 -12 *284:66 *1370:A 39.0979 -13 *284:66 *428:DIODE 41.9564 -*END - -*D_NET *285 0.00102063 -*CONN -*I *1391:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1372:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1374:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1391:A 6.71261e-05 -2 *1372:Z 0 -3 *1374:Z 0.000109263 -4 *285:9 0.000145611 -5 *285:6 0.000187748 -6 *1391:A *286:5 0.000230484 -7 *285:9 *286:5 7.12098e-05 -8 *1372:A *1391:A 0.000186051 -9 *12:11 *285:6 2.31365e-05 -*RES -1 *1374:Z *285:6 39.9057 -2 *285:6 *285:9 16.5743 -3 *285:9 *1372:Z 23 -4 *285:9 *1391:A 26.0243 -*END - -*D_NET *286 0.00261375 -*CONN -*I *1372:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1374:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1241:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1372:TE 0 -2 *1374:TE_B 0 -3 *1241:Y 0.000252435 -4 *286:12 0.000516144 -5 *286:5 0.000768579 -6 *286:5 *310:8 9.84851e-05 -7 *1372:A *286:5 0.000135143 -8 *1391:A *286:5 0.000230484 -9 *5:8 *286:12 0 -10 *13:18 *286:5 0.00040997 -11 *13:18 *286:12 0.000131304 -12 *279:6 *286:12 0 -13 *285:9 *286:5 7.12098e-05 -*RES -1 *1241:Y *286:5 32.1971 -2 *286:5 *286:12 40.2536 -3 *286:12 *1374:TE_B 23 -4 *286:5 *1372:TE 23 -*END - -*D_NET *287 0.00594058 -*CONN -*I *1375:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1373:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1282:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1375:TE_B 0 -2 *1373:TE 0.000201827 -3 *1282:X 0.000410667 -4 *287:8 0.00107987 -5 *287:5 0.00128871 -6 *1373:TE *288:8 6.95724e-05 -7 *287:5 *1418:A 6.10547e-05 -8 *287:5 *322:8 4.839e-05 -9 *287:8 *1371:A 5.80992e-05 -10 *287:8 *1392:A 0.000215791 -11 *287:8 *288:8 6.5117e-06 -12 *287:8 *309:32 0 -13 *287:8 *310:8 0.000164282 -14 *287:8 *328:6 7.00104e-05 -15 *404:DIODE *287:5 0.00017504 -16 *1254:A2 *287:5 0.000100486 -17 *1267:B1 *287:5 5.3304e-05 -18 *1376:A *1373:TE 9.84851e-05 -19 *5:14 *287:8 0 -20 *12:16 *1373:TE 3.72639e-05 -21 *13:13 *287:8 0 -22 *13:18 *287:8 0 -23 *16:14 *287:5 2.31687e-05 -24 *154:11 *1373:TE 9.84851e-05 -25 *162:26 *287:5 0.000323904 -26 *206:24 *287:5 0.000135037 -27 *213:37 *287:5 0.000472293 -28 *218:25 *287:5 0.000411788 -29 *283:6 *1373:TE 0.000167848 -30 *283:6 *287:8 0.000168685 -*RES -1 *1282:X *287:5 37.4379 -2 *287:5 *287:8 31.6957 -3 *287:8 *1373:TE 43.0336 -4 *287:8 *1375:TE_B 38 -*END - -*D_NET *288 0.00318408 -*CONN -*I *1371:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1375:A I *D sky130_fd_sc_hd__einvn_4 -*I *1374:A I *D sky130_fd_sc_hd__einvn_8 -*I *1370:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1371:A 0.000220704 -2 *1375:A 0 -3 *1374:A 9.09308e-05 -4 *1370:X 0.000520652 -5 *288:11 0.000273606 -6 *288:8 0.000924031 -7 *1371:A *1392:A 1.37349e-05 -8 *1371:A *310:8 7.91493e-05 -9 *1158:B *288:8 2.93845e-05 -10 *1192:B *288:8 0.000188926 -11 *1370:A *288:8 5.40241e-05 -12 *1373:TE *288:8 6.95724e-05 -13 *12:11 *1374:A 0.000191255 -14 *12:11 *288:11 0.000162138 -15 *12:16 *288:8 0 -16 *135:13 *288:8 5.50106e-05 -17 *281:6 *1371:A 0.000145164 -18 *281:6 *288:8 0.000101192 -19 *287:8 *1371:A 5.80992e-05 -20 *287:8 *288:8 6.5117e-06 -*RES -1 *1370:X *288:8 47.3836 -2 *288:8 *288:11 18.48 -3 *288:11 *1374:A 25.5479 -4 *288:11 *1375:A 23 -5 *288:8 *1371:A 43.4271 -*END - -*D_NET *289 0.000797802 -*CONN -*I *1380:A I *D sky130_fd_sc_hd__einvp_2 -*I *1378:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1380:A 0 -2 *1378:X 0.000266328 -3 *289:8 0.000266328 -4 *289:8 *1450:TE 9.67842e-05 -5 *289:8 *363:29 0.000104811 -6 *226:85 *289:8 6.35513e-05 -*RES -1 *1378:X *289:8 43.4893 -2 *289:8 *1380:A 38 -*END - -*D_NET *290 0.00150993 -*CONN -*I *1380:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1383:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1382:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1380:Z 0 -2 *1383:A 0 -3 *1382:Z 0 -4 *290:20 0.000114586 -5 *290:11 0.000434613 -6 *290:4 0.000549199 -7 *290:11 *295:8 0.000139657 -8 *290:20 *1377:A 0 -9 *290:20 *296:14 1.19796e-05 -10 *290:20 *296:27 0 -11 *25:11 *290:11 0 -12 *208:45 *290:11 0.000145236 -13 *226:85 *290:20 0.000114656 -*RES -1 *1382:Z *290:4 23 -2 *290:4 *290:11 37.4364 -3 *290:11 *1383:A 23 -4 *290:4 *290:20 32.9621 -5 *290:20 *1380:Z 23 -*END - -*D_NET *291 0.00163521 -*CONN -*I *1379:A I *D sky130_fd_sc_hd__einvp_2 -*I *1383:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1379:A 0 -2 *1383:Y 0.000513935 -3 *291:9 0.000513935 -4 *291:9 *294:29 0.000441076 -5 *27:20 *291:9 6.89706e-05 -6 *208:45 *291:9 9.72944e-05 -*RES -1 *1383:Y *291:9 33.3157 -2 *291:9 *1379:A 23 -*END - -*D_NET *292 0.00130047 -*CONN -*I *1449:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1377:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1451:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1449:Z 3.27105e-05 -2 *1377:A 0.0001735 -3 *1451:Z 0.000241003 -4 *292:6 0.000447213 -5 *1377:A *296:14 0 -6 *1377:A *296:27 0.000196549 -7 *1449:Z *362:5 5.50106e-05 -8 *292:6 *296:27 0.000154486 -9 *292:6 *363:29 0 -10 *290:20 *1377:A 0 -*RES -1 *1451:Z *292:6 42.7229 -2 *292:6 *1377:A 41.6664 -3 *292:6 *1449:Z 38.6214 -*END - -*D_NET *293 0.00076047 -*CONN -*I *1379:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1384:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1381:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1379:Z 8.48014e-05 -2 *1384:A 0.000165177 -3 *1381:Z 4.4471e-05 -4 *293:7 0.000294449 -5 *1313:A2 *1384:A 5.82853e-05 -6 *27:20 *1379:Z 4.41619e-05 -7 *27:20 *1384:A 6.91237e-05 -*RES -1 *1381:Z *293:7 38.6214 -2 *293:7 *1384:A 41.5836 -3 *293:7 *1379:Z 39.9057 -*END - -*D_NET *294 0.01155 -*CONN -*I *1381:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1379:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1275:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1381:TE_B 0 -2 *1379:TE 0 -3 *1275:X 0.00121759 -4 *294:29 0.000269238 -5 *294:23 0.00142538 -6 *294:18 0.0016282 -7 *294:9 0.00168964 -8 *294:23 *373:7 0.000221084 -9 *294:29 *373:11 0 -10 *1172:B *294:9 0.000119565 -11 *1172:B *294:18 3.13111e-05 -12 *1275:A2 *294:9 5.94261e-05 -13 *1285:B *294:9 0.000180218 -14 *1288:A *294:9 0.000183502 -15 *1308:A2 *294:23 1.30542e-05 -16 *1308:B1 *294:23 2.63049e-05 -17 *1314:B2 *294:23 7.42621e-05 -18 *5:74 *294:9 9.41704e-05 -19 *5:90 *294:9 0.000559366 -20 *25:11 *294:29 0 -21 *27:20 *294:29 0.000143655 -22 *37:18 *294:9 2.98648e-05 -23 *93:55 *294:18 8.62783e-05 -24 *93:90 *294:23 6.82582e-05 -25 *140:8 *294:18 8.4047e-05 -26 *146:5 *294:9 0.00136885 -27 *208:21 *294:18 0.00026025 -28 *208:34 *294:18 4.05745e-05 -29 *208:45 *294:29 0.000182832 -30 *211:40 *294:9 9.24795e-06 -31 *217:16 *294:9 0.000177305 -32 *232:7 *294:18 5.50106e-05 -33 *234:17 *294:23 5.3304e-05 -34 *246:13 *294:23 0.000688245 -35 *246:18 *294:29 6.88756e-05 -36 *291:9 *294:29 0.000441076 -*RES -1 *1275:X *294:9 49.5143 -2 *294:9 *294:18 37.0843 -3 *294:18 *294:23 17.69 -4 *294:23 *294:29 37.8714 -5 *294:29 *1379:TE 23 -6 *294:23 *1381:TE_B 23 -*END - -*D_NET *295 0.0010376 -*CONN -*I *1380:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1382:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1312:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1380:TE 0.000166406 -2 *1382:TE_B 0 -3 *1312:X 0.000107674 -4 *295:8 0.00027408 -5 *1380:TE *362:5 9.67842e-05 -6 *25:11 *1380:TE 0 -7 *208:45 *295:8 5.67058e-05 -8 *246:18 *1380:TE 0.000114645 -9 *246:18 *295:8 8.16459e-05 -10 *290:11 *295:8 0.000139657 -*RES -1 *1312:X *295:8 40.8793 -2 *295:8 *1382:TE_B 38 -3 *295:8 *1380:TE 42.06 -*END - -*D_NET *296 0.00204183 -*CONN -*I *1378:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1382:A I *D sky130_fd_sc_hd__einvn_4 -*I *1381:A I *D sky130_fd_sc_hd__einvn_8 -*I *1377:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1378:A 0 -2 *1382:A 0 -3 *1381:A 0 -4 *1377:X 0 -5 *296:27 0.000255032 -6 *296:14 0.000285807 -7 *296:9 0.000461005 -8 *296:4 0.000430229 -9 *296:27 *363:29 0 -10 *1377:A *296:14 0 -11 *1377:A *296:27 0.000196549 -12 *27:20 *296:14 0.000246739 -13 *226:78 *296:14 0 -14 *226:85 *296:14 0 -15 *226:85 *296:27 0 -16 *290:20 *296:14 1.19796e-05 -17 *290:20 *296:27 0 -18 *292:6 *296:27 0.000154486 -*RES -1 *1377:X *296:4 23 -2 *296:4 *296:9 3.39714 -3 *296:9 *296:14 35.7793 -4 *296:14 *1381:A 23 -5 *296:9 *1382:A 23 -6 *296:4 *296:27 35.3443 -7 *296:27 *1378:A 23 -*END - -*D_NET *297 0.00143734 -*CONN -*I *1387:A I *D sky130_fd_sc_hd__einvp_2 -*I *1385:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1387:A 0.000146658 -2 *1385:X 0.00014414 -3 *297:6 0.000290799 -4 *297:6 *300:14 0.00019747 -5 *297:6 *303:6 0.000168447 -6 *297:6 *303:10 0.00014411 -7 *1313:A2 *1387:A 0.000320089 -8 *27:20 *297:6 2.56281e-05 -9 *28:10 *297:6 0 -*RES -1 *1385:X *297:6 42.7229 -2 *297:6 *1387:A 41.48 -*END - -*D_NET *298 0.00140497 -*CONN -*I *1387:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1390:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1389:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1387:Z 0 -2 *1390:A 0.000243049 -3 *1389:Z 7.32805e-05 -4 *298:16 0.00019492 -5 *298:5 0.00051125 -6 *1390:A *299:8 0.000101762 -7 *1390:A *302:11 5.50106e-05 -8 *27:20 *298:16 0 -9 *150:13 *298:16 5.31444e-05 -10 *226:78 *298:16 0.000172557 -*RES -1 *1389:Z *298:5 24.0979 -2 *298:5 *1390:A 27.4329 -3 *298:5 *298:16 34.7229 -4 *298:16 *1387:Z 23 -*END - -*D_NET *299 0.000606012 -*CONN -*I *1386:A I *D sky130_fd_sc_hd__einvp_2 -*I *1390:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1386:A 0 -2 *1390:Y 0.000196664 -3 *299:8 0.000196664 -4 *299:8 *302:11 0.000110922 -5 *299:8 *373:11 0 -6 *1390:A *299:8 0.000101762 -*RES -1 *1390:Y *299:8 41.1279 -2 *299:8 *1386:A 38 -*END - -*D_NET *300 0.00384413 -*CONN -*I *1464:A I *D sky130_fd_sc_hd__einvn_4 -*I *1463:A I *D sky130_fd_sc_hd__einvn_8 -*I *1460:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1386:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1388:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1464:A 0.000220119 -2 *1463:A 0 -3 *1460:A 0.000190175 -4 *1386:Z 0.000516386 -5 *1388:Z 3.92454e-05 -6 *300:16 0.000252676 -7 *300:14 0.000559355 -8 *300:5 0.000832366 -9 *1386:Z *1388:TE_B 0.000102052 -10 *1460:A *367:8 0.000162169 -11 *1464:A *1462:TE 0.000136743 -12 *1464:A *1462:Z 0.0001164 -13 *300:14 *303:10 1.22906e-05 -14 *300:14 *367:8 1.01436e-05 -15 *300:16 *367:8 0.000169674 -16 *419:DIODE *1460:A 9.84173e-05 -17 *27:20 *1460:A 1.4796e-05 -18 *27:20 *300:14 9.58067e-05 -19 *27:20 *300:16 5.19151e-05 -20 *28:10 *1460:A 0 -21 *28:10 *300:14 0 -22 *150:13 *1386:Z 6.59263e-05 -23 *284:8 *1460:A 0 -24 *297:6 *300:14 0.00019747 -*RES -1 *1388:Z *300:5 23.6214 -2 *300:5 *1386:Z 31.2443 -3 *300:5 *300:14 21.8357 -4 *300:14 *300:16 2.25786 -5 *300:16 *1460:A 42.4121 -6 *300:16 *1463:A 38 -7 *300:14 *1464:A 41.9564 -*END - -*D_NET *301 0.0135998 -*CONN -*I *1386:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1388:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1277:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1386:TE 0 -2 *1388:TE_B 0.000174874 -3 *1277:X 0.00138445 -4 *301:17 0.00080337 -5 *301:13 0.00231272 -6 *301:5 0.00306867 -7 *301:13 *317:21 6.69724e-05 -8 *301:17 *364:6 0.000169674 -9 *301:17 *372:14 0.0006332 -10 *301:17 *373:11 0.000102277 -11 *1262:C1 *301:5 7.88828e-05 -12 *1309:A2 *301:17 4.97441e-05 -13 *1386:Z *1388:TE_B 0.000102052 -14 *20:14 *301:17 0.000226101 -15 *22:8 *301:13 0 -16 *25:11 *301:17 0.000684602 -17 *92:67 *301:13 0 -18 *150:13 *1388:TE_B 8.96976e-05 -19 *150:21 *1388:TE_B 0.000229801 -20 *150:21 *301:17 2.32004e-05 -21 *162:68 *301:13 4.35362e-05 -22 *197:66 *301:13 0 -23 *202:38 *301:13 0.000565162 -24 *204:8 *301:5 5.50106e-05 -25 *206:24 *301:5 9.41704e-05 -26 *206:30 *301:5 0.00014196 -27 *206:46 *301:5 0.000699883 -28 *206:60 *301:5 3.84478e-06 -29 *210:25 *301:13 2.49495e-05 -30 *212:13 *301:5 2.25419e-05 -31 *213:28 *301:13 7.57211e-05 -32 *215:15 *301:5 0.000286198 -33 *218:56 *301:13 0.00113048 -34 *220:21 *301:13 4.30894e-05 -35 *272:10 *301:17 0.000212971 -*RES -1 *1277:X *301:5 43.155 -2 *301:5 *301:13 36.366 -3 *301:13 *301:17 32.8454 -4 *301:17 *1388:TE_B 27.93 -5 *301:17 *1386:TE 23 -*END - -*D_NET *302 0.0019064 -*CONN -*I *1387:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1389:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1313:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1387:TE 4.05413e-05 -2 *1389:TE_B 0 -3 *1313:X 0.000202996 -4 *302:11 0.00032152 -5 *302:5 0.000565057 -6 *302:11 *373:11 0 -7 *1313:A2 *1387:TE 5.90953e-05 -8 *1313:A2 *302:5 0.000332155 -9 *1390:A *302:11 5.50106e-05 -10 *139:5 *302:5 0.000219101 -11 *226:78 *302:11 0 -12 *299:8 *302:11 0.000110922 -*RES -1 *1313:X *302:5 27.9093 -2 *302:5 *302:11 35.6964 -3 *302:11 *1389:TE_B 23 -4 *302:5 *1387:TE 24.0927 -*END - -*D_NET *303 0.00127251 -*CONN -*I *1388:A I *D sky130_fd_sc_hd__einvn_8 -*I *1385:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1389:A I *D sky130_fd_sc_hd__einvn_4 -*I *1384:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1388:A 0 -2 *1385:A 0.000118659 -3 *1389:A 7.80244e-05 -4 *1384:X 5.27767e-05 -5 *303:10 0.00025771 -6 *303:6 0.000113803 -7 *27:20 *303:6 0.000157001 -8 *27:20 *303:10 0.000169683 -9 *297:6 *303:6 0.000168447 -10 *297:6 *303:10 0.00014411 -11 *300:14 *303:10 1.22906e-05 -*RES -1 *1384:X *303:6 40.2579 -2 *303:6 *303:10 17.2579 -3 *303:10 *1389:A 24.0979 -4 *303:10 *1385:A 25.0714 -5 *303:6 *1388:A 38 -*END - -*D_NET *304 0.00146921 -*CONN -*I *1394:A I *D sky130_fd_sc_hd__einvp_2 -*I *1392:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1394:A 0 -2 *1392:X 0.000452654 -3 *304:8 0.000452654 -4 *304:8 *1398:A 0.000133542 -5 *304:8 *305:6 0.000135011 -6 *30:13 *304:8 0.000295351 -*RES -1 *1392:X *304:8 45.7679 -2 *304:8 *1394:A 38 -*END - -*D_NET *305 0.000916837 -*CONN -*I *1394:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1397:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1396:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1394:Z 0 -2 *1397:A 9.78465e-05 -3 *1396:Z 0.000173395 -4 *305:8 9.78465e-05 -5 *305:6 0.000173395 -6 *1397:A *1398:A 9.67842e-05 -7 *305:6 *1398:A 2.27167e-05 -8 *305:6 *307:8 2.96051e-05 -9 *305:6 *309:32 0 -10 *36:16 *1397:A 9.02364e-05 -11 *304:8 *305:6 0.000135011 -*RES -1 *1396:Z *305:6 41.3143 -2 *305:6 *305:8 15 -3 *305:8 *1397:A 25.0507 -4 *305:8 *1394:Z 23 -*END - -*D_NET *306 0.000484625 -*CONN -*I *1393:A I *D sky130_fd_sc_hd__einvp_2 -*I *1397:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1393:A 0 -2 *1397:Y 0.000117261 -3 *306:8 0.000117261 -4 *36:16 *306:8 0.000191625 -5 *37:13 *306:8 5.84774e-05 -*RES -1 *1397:Y *306:8 40.5271 -2 *306:8 *1393:A 38 -*END - -*D_NET *307 0.00249702 -*CONN -*I *1398:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1393:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1395:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1398:A 0.00037871 -2 *1393:Z 0.000162432 -3 *1395:Z 0.00026615 -4 *307:8 0.000807291 -5 *1398:A *1394:TE 7.88416e-05 -6 *1398:A *309:21 2.93845e-05 -7 *307:8 *310:19 0 -8 *1397:A *1398:A 9.67842e-05 -9 *5:8 *307:8 0 -10 *5:11 *307:8 3.59965e-05 -11 *30:13 *1393:Z 5.3304e-05 -12 *30:13 *1398:A 3.74268e-05 -13 *30:13 *307:8 3.47781e-05 -14 *36:16 *1398:A 6.23499e-05 -15 *36:24 *1398:A 6.82228e-06 -16 *37:13 *1398:A 0.000140774 -17 *37:13 *307:8 7.55491e-05 -18 *200:5 *1393:Z 4.45644e-05 -19 *304:8 *1398:A 0.000133542 -20 *305:6 *1398:A 2.27167e-05 -21 *305:6 *307:8 2.96051e-05 -*RES -1 *1395:Z *307:8 43.1164 -2 *307:8 *1393:Z 40.5271 -3 *307:8 *1398:A 46.3479 -*END - -*D_NET *308 0.000639151 -*CONN -*I *1393:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1395:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1250:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1393:TE 4.31195e-05 -2 *1395:TE_B 0 -3 *1250:X 5.70606e-05 -4 *308:10 0.000137604 -5 *308:5 0.000237784 -6 *308:10 *1456:A 0 -7 *388:DIODE *308:10 0 -8 *5:8 *308:10 0 -9 *36:8 *308:10 6.4393e-05 -10 *200:7 *1393:TE 4.79641e-05 -11 *200:7 *308:5 5.12254e-05 -*RES -1 *1250:X *308:5 24.0979 -2 *308:5 *308:10 32.61 -3 *308:10 *1395:TE_B 23 -4 *308:5 *1393:TE 24.0979 -*END - -*D_NET *309 0.00665247 -*CONN -*I *1396:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1394:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1286:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1396:TE_B 0 -2 *1394:TE 3.75547e-05 -3 *1286:X 0.00108048 -4 *309:32 0.00019385 -5 *309:21 0.000557926 -6 *309:19 0.001407 -7 *309:19 *317:13 4.19108e-05 -8 *309:19 *317:21 2.25809e-05 -9 *309:21 *1415:A 2.4754e-05 -10 *309:21 *1415:Z 0.000141052 -11 *309:21 *317:13 0.000248557 -12 *309:32 *1392:A 0 -13 *1276:A *309:19 5.25334e-05 -14 *1278:A1 *309:19 6.6978e-05 -15 *1281:A1 *309:19 1.21972e-05 -16 *1281:A2 *309:19 5.3304e-05 -17 *1281:B1 *309:19 9.70259e-06 -18 *1398:A *1394:TE 7.88416e-05 -19 *1398:A *309:21 2.93845e-05 -20 *15:14 *309:21 9.91895e-05 -21 *36:24 *1394:TE 7.86097e-05 -22 *36:24 *309:21 0.000551515 -23 *164:15 *309:19 4.21378e-05 -24 *164:31 *309:19 0.000147187 -25 *202:5 *309:19 5.74886e-05 -26 *202:11 *309:19 0.000269775 -27 *202:20 *309:19 0.00026808 -28 *216:18 *309:19 0.000262858 -29 *216:25 *309:19 0.000245402 -30 *216:41 *309:19 0.000168687 -31 *224:12 *309:19 0.000224367 -32 *225:8 *309:21 2.93845e-05 -33 *273:38 *309:19 5.50049e-05 -34 *275:58 *309:19 9.41704e-05 -35 *287:8 *309:32 0 -36 *305:6 *309:32 0 -*RES -1 *1286:X *309:19 45.9307 -2 *309:19 *309:21 12.5321 -3 *309:21 *1394:TE 24.5743 -4 *309:21 *309:32 32.9621 -5 *309:32 *1396:TE_B 23 -*END - -*D_NET *310 0.00267237 -*CONN -*I *1392:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1395:A I *D sky130_fd_sc_hd__einvn_8 -*I *1396:A I *D sky130_fd_sc_hd__einvn_4 -*I *1391:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1392:A 0.000127119 -2 *1395:A 0 -3 *1396:A 0 -4 *1391:X 0.000101622 -5 *310:19 0.000215936 -6 *310:13 0.000494087 -7 *310:8 0.000506893 -8 *1371:A *1392:A 1.37349e-05 -9 *1371:A *310:8 7.91493e-05 -10 *5:11 *310:13 0.000272227 -11 *13:18 *310:8 9.67842e-05 -12 *30:13 *310:19 0.000100607 -13 *200:5 *310:19 0.000185648 -14 *286:5 *310:8 9.84851e-05 -15 *287:8 *1392:A 0.000215791 -16 *287:8 *310:8 0.000164282 -17 *307:8 *310:19 0 -18 *309:32 *1392:A 0 -*RES -1 *1391:X *310:8 41.3557 -2 *310:8 *310:13 20.8829 -3 *310:13 *310:19 34.3086 -4 *310:19 *1396:A 23 -5 *310:13 *1395:A 23 -6 *310:8 *1392:A 40.9621 -*END - -*D_NET *311 0.00118262 -*CONN -*I *1401:A I *D sky130_fd_sc_hd__einvp_2 -*I *1399:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1401:A 0 -2 *1399:X 0.000453342 -3 *311:7 0.000453342 -4 *311:7 *1440:A 0.000196652 -5 *311:7 *349:20 7.9284e-05 -*RES -1 *1399:X *311:7 29.8357 -2 *311:7 *1401:A 23 -*END - -*D_NET *312 0.00304118 -*CONN -*I *1404:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1401:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1403:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1404:A 0.000617711 -2 *1401:Z 3.27378e-05 -3 *1403:Z 0.000191443 -4 *312:8 0.000650449 -5 *312:6 0.000191443 -6 *1401:Z *349:20 5.50049e-05 -7 *1404:A *1440:A 0.000111779 -8 *1404:A *1442:Z 0.000101764 -9 *1404:A *313:5 0.000761574 -10 *1404:A *349:20 0.000216471 -11 *312:6 *1400:TE 0 -12 *312:6 *1401:TE 0 -13 *18:5 *312:6 0 -14 *19:5 *312:6 0 -15 *226:114 *312:6 0.000110803 -*RES -1 *1403:Z *312:6 40.9621 -2 *312:6 *312:8 15 -3 *312:8 *1401:Z 23.6214 -4 *312:8 *1404:A 37.9557 -*END - -*D_NET *313 0.00149816 -*CONN -*I *1400:A I *D sky130_fd_sc_hd__einvp_2 -*I *1404:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1400:A 0 -2 *1404:Y 0.000177025 -3 *313:5 0.000177025 -4 *313:5 *1399:A 9.57321e-05 -5 *313:5 *1440:A 0.00026495 -6 *313:5 *1442:Z 2.18506e-05 -7 *1404:A *313:5 0.000761574 -*RES -1 *1404:Y *313:5 30.7679 -2 *313:5 *1400:A 23 -*END - -*D_NET *314 0.00168496 -*CONN -*I *1400:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1405:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1402:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1400:Z 0 -2 *1405:A 5.13927e-05 -3 *1402:Z 0.000118575 -4 *314:20 0.000104408 -5 *314:9 0.000372549 -6 *314:6 0.000335323 -7 *1405:A *357:13 5.76359e-05 -8 *314:6 *1399:A 0.000114237 -9 *314:6 *1402:A 0.00011196 -10 *314:6 *359:6 8.0612e-06 -11 *314:9 *357:13 4.24114e-05 -12 *314:9 *357:28 0.00031627 -13 *19:5 *314:20 4.01283e-05 -14 *20:9 *314:6 1.20051e-05 -15 *24:11 *314:20 0 -*RES -1 *1402:Z *314:6 40.9621 -2 *314:6 *314:9 19.4329 -3 *314:9 *1405:A 24.0979 -4 *314:9 *314:20 31.9057 -5 *314:20 *1400:Z 23 -*END - -*D_NET *315 0.00296089 -*CONN -*I *1400:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1402:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1253:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1400:TE 0.000265925 -2 *1402:TE_B 0 -3 *1253:X 0.000424143 -4 *315:8 0.000690068 -5 *1400:TE *1403:A 4.20525e-05 -6 *1400:TE *317:24 6.0509e-05 -7 *315:8 *317:24 4.84026e-05 -8 *1253:A2 *315:8 2.93845e-05 -9 *19:5 *1400:TE 0.000158099 -10 *19:5 *315:8 8.22508e-06 -11 *19:10 *315:8 0.000186558 -12 *30:23 *315:8 6.17437e-06 -13 *197:66 *315:8 6.8999e-05 -14 *221:84 *315:8 0.000163361 -15 *226:108 *1400:TE 8.19053e-05 -16 *226:108 *315:8 0.000161414 -17 *236:8 *315:8 0.000565671 -18 *312:6 *1400:TE 0 -*RES -1 *1253:X *315:8 48.9786 -2 *315:8 *1402:TE_B 38 -3 *315:8 *1400:TE 43.7793 -*END - -*D_NET *316 0.000978473 -*CONN -*I *1401:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1403:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1289:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1401:TE 0.000159214 -2 *1403:TE_B 0 -3 *1289:X 0.000142198 -4 *316:8 0.000301412 -5 *1401:TE *349:20 9.84851e-05 -6 *18:5 *1401:TE 8.49906e-05 -7 *18:5 *316:8 7.97499e-05 -8 *18:25 *316:8 5.82853e-05 -9 *226:114 *1401:TE 5.41385e-05 -10 *312:6 *1401:TE 0 -*RES -1 *1289:X *316:8 40.8793 -2 *316:8 *1403:TE_B 38 -3 *316:8 *1401:TE 41.7079 -*END - -*D_NET *317 0.0142905 -*CONN -*I *1403:A I *D sky130_fd_sc_hd__einvn_4 -*I *1399:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1402:A I *D sky130_fd_sc_hd__einvn_8 -*I *1398:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1403:A 3.46637e-05 -2 *1399:A 0.000194457 -3 *1402:A 0.000104892 -4 *1398:X 0.00139902 -5 *317:29 0.000806275 -6 *317:24 0.00095772 -7 *317:21 0.00229314 -8 *317:13 0.00327603 -9 *1399:A *1440:A 6.55999e-05 -10 *1399:A *359:6 0 -11 *1403:A *323:6 7.74981e-05 -12 *317:13 *1415:A 0.000139346 -13 *317:13 *1415:Z 2.18409e-05 -14 *317:24 *323:6 0.000306819 -15 *317:29 *357:13 0.000220216 -16 *317:29 *357:28 9.03378e-05 -17 *1276:A *317:21 0.000521108 -18 *1278:A1 *317:13 9.91701e-05 -19 *1281:A1 *317:13 2.64616e-05 -20 *1281:A2 *317:13 1.23293e-05 -21 *1281:B1 *317:13 9.70259e-06 -22 *1286:A1 *317:21 0.000139346 -23 *1286:A2 *317:21 8.39179e-05 -24 *1293:C *317:24 7.79673e-05 -25 *1297:B *317:24 0.000220472 -26 *1400:TE *1403:A 4.20525e-05 -27 *1400:TE *317:24 6.0509e-05 -28 *15:14 *317:13 0.000178517 -29 *17:22 *317:21 0.000421153 -30 *20:9 *1399:A 0 -31 *20:9 *1402:A 0 -32 *24:11 *1399:A 0.000123729 -33 *24:11 *1402:A 5.71629e-05 -34 *92:67 *317:21 0.000181118 -35 *162:68 *317:21 0.0002969 -36 *164:12 *317:21 0.000156028 -37 *197:66 *317:24 7.11277e-05 -38 *203:26 *317:21 4.57887e-05 -39 *216:25 *317:21 8.09119e-05 -40 *216:41 *317:21 1.41077e-05 -41 *224:12 *317:13 0.000138607 -42 *224:12 *317:21 4.34973e-05 -43 *227:8 *317:13 5.56356e-05 -44 *236:8 *317:24 0.00039502 -45 *301:13 *317:21 6.69724e-05 -46 *309:19 *317:13 4.19108e-05 -47 *309:19 *317:21 2.25809e-05 -48 *309:21 *317:13 0.000248557 -49 *313:5 *1399:A 9.57321e-05 -50 *314:6 *1399:A 0.000114237 -51 *314:6 *1402:A 0.00011196 -52 *315:8 *317:24 4.84026e-05 -*RES -1 *1398:X *317:13 43.8386 -2 *317:13 *317:21 43.61 -3 *317:21 *317:24 18.1811 -4 *317:24 *317:29 37.2914 -5 *317:29 *1402:A 40.2579 -6 *317:29 *1399:A 43.2407 -7 *317:24 *1403:A 39.2014 -*END - -*D_NET *318 0.00119721 -*CONN -*I *1408:A I *D sky130_fd_sc_hd__einvp_2 -*I *1406:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1408:A 9.80912e-05 -2 *1406:X 0.000290375 -3 *318:8 0.000388466 -4 *1408:A *319:9 0.000145171 -5 *318:8 *319:15 3.24599e-05 -6 *318:8 *349:20 4.75206e-05 -7 *21:20 *1408:A 2.50118e-05 -8 *226:125 *318:8 0.000170111 -*RES -1 *1406:X *318:8 42.5571 -2 *318:8 *1408:A 40.0507 -*END - -*D_NET *319 0.00143036 -*CONN -*I *1408:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1411:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1410:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1408:Z 0 -2 *1411:A 0 -3 *1410:Z 0.00021735 -4 *319:15 0.00013729 -5 *319:9 0.00035464 -6 *319:9 *1408:TE 0.000143528 -7 *319:9 *324:5 0.000100486 -8 *319:15 *320:6 4.08641e-05 -9 *319:15 *352:38 0 -10 *1408:A *319:9 0.000145171 -11 *21:20 *319:9 0.000203568 -12 *36:32 *319:15 5.50049e-05 -13 *318:8 *319:15 3.24599e-05 -*RES -1 *1410:Z *319:9 29.0279 -2 *319:9 *319:15 32.5271 -3 *319:15 *1411:A 23 -4 *319:9 *1408:Z 23 -*END - -*D_NET *320 0.00395185 -*CONN -*I *1407:A I *D sky130_fd_sc_hd__einvp_2 -*I *1411:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1407:A 0 -2 *1411:Y 6.55145e-05 -3 *320:11 0.00116813 -4 *320:6 0.00123364 -5 *320:6 *352:38 0 -6 *320:11 *1438:A 2.95526e-05 -7 *320:11 *347:8 0.000183547 -8 *320:11 *352:21 0.000588987 -9 *320:11 *352:33 0.000580994 -10 *21:20 *320:11 6.06279e-05 -11 *319:15 *320:6 4.08641e-05 -*RES -1 *1411:Y *320:6 39.2014 -2 *320:6 *320:11 33.27 -3 *320:11 *1407:A 23 -*END - -*D_NET *321 0.00136195 -*CONN -*I *1412:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1407:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1409:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1412:A 0.000208896 -2 *1407:Z 5.72587e-05 -3 *1409:Z 0.000102801 -4 *321:6 0.000368955 -5 *1407:Z *337:9 0.000228233 -6 *1412:A *1427:A 2.93747e-05 -7 *1412:A *1435:TE 2.14599e-05 -8 *1412:A *350:10 3.90154e-05 -9 *321:6 *1435:TE 6.03228e-05 -10 *21:20 *1407:Z 0.000228233 -11 *197:43 *321:6 1.7403e-05 -*RES -1 *1409:Z *321:6 39.9057 -2 *321:6 *1407:Z 40.5271 -3 *321:6 *1412:A 41.5836 -*END - -*D_NET *322 0.00156988 -*CONN -*I *1407:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1409:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1254:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1407:TE 0.000170677 -2 *1409:TE_B 0 -3 *1254:X 0.000157965 -4 *322:8 0.000328642 -5 *1407:TE *337:9 2.50118e-05 -6 *1407:TE *345:26 0.000150739 -7 *322:8 *331:17 5.71002e-06 -8 *402:DIODE *1407:TE 0.000186041 -9 *200:51 *1407:TE 0.00020516 -10 *200:51 *322:8 0.000238239 -11 *206:24 *322:8 5.3304e-05 -12 *287:5 *322:8 4.839e-05 -*RES -1 *1254:X *322:8 41.8321 -2 *322:8 *1409:TE_B 38 -3 *322:8 *1407:TE 43.0129 -*END - -*D_NET *323 0.00373029 -*CONN -*I *1410:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1408:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1294:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1410:TE_B 0 -2 *1408:TE 0.000181143 -3 *1294:X 0.000234176 -4 *323:15 0.000398663 -5 *323:6 0.000451696 -6 *1408:TE *324:5 0.000171646 -7 *1408:TE *357:13 0.000229804 -8 *323:15 *324:5 0.000503264 -9 *323:15 *357:13 0.000513262 -10 *1293:C *323:6 3.673e-05 -11 *1403:A *323:6 7.74981e-05 -12 *21:20 *1408:TE 0.000379204 -13 *163:91 *323:6 2.76223e-05 -14 *226:114 *323:6 7.52305e-05 -15 *317:24 *323:6 0.000306819 -16 *319:9 *1408:TE 0.000143528 -*RES -1 *1294:X *323:6 43.4271 -2 *323:6 *323:15 23.4307 -3 *323:15 *1408:TE 30.4571 -4 *323:15 *1410:TE_B 23 -*END - -*D_NET *324 0.00793761 -*CONN -*I *1406:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1410:A I *D sky130_fd_sc_hd__einvn_4 -*I *1409:A I *D sky130_fd_sc_hd__einvn_8 -*I *1405:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1406:A 0.00012689 -2 *1410:A 0 -3 *1409:A 0.000223613 -4 *1405:X 0.000984093 -5 *324:21 0.00121782 -6 *324:8 0.00103257 -7 *324:7 0.000165251 -8 *324:5 0.000984093 -9 *1406:A *349:20 9.84851e-05 -10 *1409:A *1435:A 5.81185e-05 -11 *1409:A *1435:TE 0.000189689 -12 *1409:A *1435:Z 0.000185862 -13 *1409:A *344:13 0.000217908 -14 *324:5 *357:13 6.8899e-05 -15 *324:8 *337:6 0 -16 *324:21 *337:6 0 -17 *1270:A2 *324:21 0.000229392 -18 *1301:A2 *324:21 4.66905e-05 -19 *1408:TE *324:5 0.000171646 -20 *17:7 *1406:A 5.97612e-05 -21 *17:7 *324:8 2.52294e-05 -22 *17:7 *324:21 1.99047e-05 -23 *22:8 *324:21 0.000174092 -24 *22:15 *324:21 3.5863e-05 -25 *24:31 *324:21 0.000362821 -26 *24:51 *324:21 6.75129e-05 -27 *217:31 *1409:A 0.000365093 -28 *226:125 *1406:A 9.84851e-05 -29 *233:35 *324:21 1.16551e-05 -30 *245:9 *1409:A 9.66668e-05 -31 *245:9 *324:21 1.57556e-05 -32 *319:9 *324:5 0.000100486 -33 *323:15 *324:5 0.000503264 -*RES -1 *1405:X *324:5 37.4379 -2 *324:5 *324:7 15 -3 *324:7 *324:8 0.849286 -4 *324:8 *324:21 41.9524 -5 *324:21 *1409:A 31.2443 -6 *324:8 *1410:A 38 -7 *324:7 *1406:A 41.0036 -*END - -*D_NET *325 0.00176637 -*CONN -*I *1415:A I *D sky130_fd_sc_hd__einvp_2 -*I *1413:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1415:A 3.51274e-05 -2 *1413:X 0.000223505 -3 *325:6 0.000258633 -4 *1415:A *1415:Z 9.75779e-05 -5 *325:6 *1415:Z 0.000160191 -6 *325:6 *1418:A 0.000259352 -7 *325:6 *331:17 4.51842e-05 -8 *13:13 *325:6 0.000522696 -9 *309:21 *1415:A 2.4754e-05 -10 *317:13 *1415:A 0.000139346 -*RES -1 *1413:X *325:6 45.1879 -2 *325:6 *1415:A 39.5743 -*END - -*D_NET *326 0.00226958 -*CONN -*I *1418:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1415:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1417:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1418:A 0.000173275 -2 *1415:Z 0.000137331 -3 *1417:Z 0 -4 *326:5 0.000310606 -5 *1415:Z *330:28 7.10099e-05 -6 *1415:Z *331:17 3.70187e-05 -7 *1418:A *331:17 0.000270797 -8 *1254:A2 *1418:A 0.000147656 -9 *1415:A *1415:Z 9.75779e-05 -10 *13:13 *1415:Z 1.12487e-05 -11 *200:39 *1418:A 0.000192342 -12 *200:51 *1418:A 0.000123925 -13 *206:24 *1418:A 5.3304e-05 -14 *287:5 *1418:A 6.10547e-05 -15 *309:21 *1415:Z 0.000141052 -16 *317:13 *1415:Z 2.18409e-05 -17 *325:6 *1415:Z 0.000160191 -18 *325:6 *1418:A 0.000259352 -*RES -1 *1417:Z *326:5 38 -2 *326:5 *1415:Z 42.1843 -3 *326:5 *1418:A 45.1464 -*END - -*D_NET *327 0.000331009 -*CONN -*I *1414:A I *D sky130_fd_sc_hd__einvp_2 -*I *1418:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1414:A 1.78481e-05 -2 *1418:Y 1.78481e-05 -3 *31:11 *1414:A 0.000147656 -4 *213:37 *1414:A 0.000147656 -*RES -1 *1418:Y *1414:A 47.5743 -*END - -*D_NET *328 0.00216776 -*CONN -*I *1414:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1419:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1416:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1414:Z 0 -2 *1419:A 0.000527907 -3 *1416:Z 8.63046e-05 -4 *328:9 0.000631448 -5 *328:6 0.000189845 -6 *1419:A *1414:TE 0.000185623 -7 *1259:B1 *1419:A 0.000160027 -8 *200:31 *1419:A 4.98398e-05 -9 *200:39 *1419:A 1.86239e-05 -10 *213:37 *1419:A 1.35999e-05 -11 *213:37 *328:9 0.000234534 -12 *287:8 *328:6 7.00104e-05 -*RES -1 *1416:Z *328:6 39.9057 -2 *328:6 *328:9 17.5271 -3 *328:9 *1419:A 32.57 -4 *328:9 *1414:Z 23 -*END - -*D_NET *329 0.000952836 -*CONN -*I *1414:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1416:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1259:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1414:TE 4.48787e-05 -2 *1416:TE_B 0 -3 *1259:X 0 -4 *329:8 8.06581e-05 -5 *329:4 0.000125537 -6 *1259:B1 *1414:TE 0.000185623 -7 *1419:A *1414:TE 0.000185623 -8 *31:11 *329:8 0.000108026 -9 *37:13 *329:8 0.00022249 -*RES -1 *1259:X *329:4 23 -2 *329:4 *329:8 32.9621 -3 *329:8 *1416:TE_B 23 -4 *329:4 *1414:TE 25.0507 -*END - -*D_NET *330 0.0105337 -*CONN -*I *1417:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1415:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1299:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1417:TE_B 0 -2 *1415:TE 0 -3 *1299:X 0.00106407 -4 *330:28 0.000190198 -5 *330:21 0.000209216 -6 *330:18 0.0015632 -7 *330:9 0.00260825 -8 *330:9 *363:17 0.00121586 -9 *330:18 *331:17 0 -10 *330:28 *331:17 3.70187e-05 -11 *1273:B2 *330:9 1.21972e-05 -12 *1415:Z *330:28 7.10099e-05 -13 *14:20 *330:18 0.000124169 -14 *14:20 *330:21 5.50049e-05 -15 *15:19 *330:28 0 -16 *36:24 *330:18 0.000825705 -17 *36:24 *330:28 0 -18 *37:30 *330:9 6.33303e-06 -19 *93:70 *330:9 0.000515898 -20 *197:66 *330:18 7.46437e-06 -21 *202:38 *330:9 1.34995e-05 -22 *202:38 *330:18 0.000299487 -23 *204:24 *330:18 0.000336678 -24 *206:24 *330:21 2.4754e-05 -25 *206:24 *330:28 0.000153155 -26 *206:68 *330:9 4.38756e-05 -27 *206:94 *330:9 2.87922e-05 -28 *207:20 *330:18 0 -29 *207:23 *330:21 4.95081e-05 -30 *207:23 *330:28 0.000153155 -31 *207:71 *330:18 2.96605e-05 -32 *210:25 *330:18 2.6069e-05 -33 *213:28 *330:18 8.24846e-05 -34 *216:41 *330:9 9.41704e-05 -35 *216:53 *330:18 0 -36 *218:9 *330:18 9.48022e-05 -37 *218:25 *330:18 6.44029e-05 -38 *218:56 *330:18 0.000215698 -39 *220:21 *330:18 2.05503e-05 -40 *220:26 *330:9 2.03764e-06 -41 *220:48 *330:9 1.66049e-05 -42 *233:11 *330:9 5.50049e-05 -43 *233:27 *330:9 0.000223698 -*RES -1 *1299:X *330:9 43.7971 -2 *330:9 *330:18 37.3229 -3 *330:18 *330:21 16.0979 -4 *330:21 *330:28 35.6136 -5 *330:28 *1415:TE 23 -6 *330:21 *1417:TE_B 23 -*END - -*D_NET *331 0.00410048 -*CONN -*I *1413:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1417:A I *D sky130_fd_sc_hd__einvn_4 -*I *1416:A I *D sky130_fd_sc_hd__einvn_8 -*I *1412:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1413:A 0 -2 *1417:A 0 -3 *1416:A 0.00010186 -4 *1412:X 0.000422412 -5 *331:17 0.000401437 -6 *331:12 0.000478947 -7 *331:11 0.000601781 -8 *331:11 *1427:A 5.74357e-05 -9 *331:11 *339:5 1.03836e-05 -10 *331:11 *350:10 0.000180218 -11 *331:12 *344:29 0.000215252 -12 *331:12 *345:26 0 -13 *1268:A2 *331:11 0.000128401 -14 *1268:B1 *331:11 4.5051e-05 -15 *1415:Z *331:17 3.70187e-05 -16 *1418:A *331:17 0.000270797 -17 *13:13 *331:12 8.05028e-05 -18 *13:13 *331:17 1.22217e-05 -19 *14:20 *331:17 0 -20 *21:31 *331:12 8.85189e-05 -21 *200:51 *331:11 0.000183733 -22 *200:51 *331:12 0.000134346 -23 *200:51 *331:17 6.35124e-05 -24 *206:24 *331:17 0.000190697 -25 *207:23 *1416:A 0.000183733 -26 *207:23 *331:17 0.000124306 -27 *322:8 *331:17 5.71002e-06 -28 *325:6 *331:17 4.51842e-05 -29 *330:18 *331:17 0 -30 *330:28 *331:17 3.70187e-05 -*RES -1 *1412:X *331:11 45.9336 -2 *331:11 *331:12 5.42714 -3 *331:12 *331:17 22.7057 -4 *331:17 *1416:A 25.5479 -5 *331:17 *1417:A 23 -6 *331:12 *1413:A 38 -*END - -*D_NET *332 0.00116907 -*CONN -*I *1422:A I *D sky130_fd_sc_hd__einvp_2 -*I *1420:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1422:A 0.000104032 -2 *1420:X 0.000143937 -3 *332:6 0.000247969 -4 *1422:A *1426:A 9.98726e-05 -5 *332:6 *1420:A 4.11004e-05 -6 *332:6 *338:13 9.35872e-06 -7 *33:11 *332:6 0.000176844 -8 *36:8 *332:6 0.000345957 -*RES -1 *1420:X *332:6 42.7229 -2 *332:6 *1422:A 40.0507 -*END - -*D_NET *333 0.000831689 -*CONN -*I *1425:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1422:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1424:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1425:A 6.89237e-05 -2 *1422:Z 0.000127185 -3 *1424:Z 4.91287e-05 -4 *333:7 0.000245237 -5 *1422:Z *334:6 0.000216687 -6 *1425:A *334:6 0.000114004 -7 *333:7 *337:9 1.05235e-05 -*RES -1 *1424:Z *333:7 38.6214 -2 *333:7 *1422:Z 40.9621 -3 *333:7 *1425:A 39.5536 -*END - -*D_NET *334 0.00114276 -*CONN -*I *1421:A I *D sky130_fd_sc_hd__einvp_2 -*I *1425:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1421:A 0 -2 *1425:Y 0.000265724 -3 *334:6 0.000265724 -4 *334:6 *1426:A 0.000136894 -5 *1422:Z *334:6 0.000216687 -6 *1425:A *334:6 0.000114004 -7 *36:8 *334:6 0.000143727 -*RES -1 *1425:Y *334:6 45.1879 -2 *334:6 *1421:A 38 -*END - -*D_NET *335 0.00291627 -*CONN -*I *1426:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1421:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1456:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1423:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1426:A 0.000391486 -2 *1421:Z 0 -3 *1456:A 0.000442124 -4 *1423:Z 0.000192798 -5 *335:15 0.000494926 -6 *335:7 0.000738362 -7 *1456:A *1421:TE 0 -8 *1456:A *336:8 0 -9 *335:15 *1421:TE 0 -10 *1422:A *1426:A 9.98726e-05 -11 *23:10 *1456:A 0 -12 *36:8 *1456:A 0 -13 *36:8 *335:15 0 -14 *200:21 *1456:A 0.000270548 -15 *207:23 *335:7 4.52022e-05 -16 *207:28 *1456:A 7.96545e-05 -17 *216:53 *1456:A 2.43996e-05 -18 *308:10 *1456:A 0 -19 *334:6 *1426:A 0.000136894 -*RES -1 *1423:Z *335:7 41.0036 -2 *335:7 *1456:A 46.9486 -3 *335:7 *335:15 1.90571 -4 *335:15 *1421:Z 38 -5 *335:15 *1426:A 44.9186 -*END - -*D_NET *336 0.00102187 -*CONN -*I *1421:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1423:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1263:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1421:TE 0.000251799 -2 *1423:TE_B 0 -3 *1263:X 0.000259137 -4 *336:8 0.000510936 -5 *1456:A *1421:TE 0 -6 *1456:A *336:8 0 -7 *335:15 *1421:TE 0 -*RES -1 *1263:X *336:8 42.3086 -2 *336:8 *1423:TE_B 38 -3 *336:8 *1421:TE 42.5364 -*END - -*D_NET *337 0.0117927 -*CONN -*I *1422:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1424:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1300:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1422:TE 0 -2 *1424:TE_B 0.000112252 -3 *1300:X 0.000228893 -4 *337:22 0.000107136 -5 *337:9 0.00396667 -6 *337:8 0.00374728 -7 *337:6 0.000228893 -8 *337:6 *357:8 2.58781e-05 -9 *337:9 *1424:A 5.64575e-05 -10 *337:9 *342:8 0.000540256 -11 *337:9 *345:9 2.19403e-05 -12 *337:9 *345:17 0.000109917 -13 *337:9 *345:26 0.000832086 -14 *337:9 *352:21 7.64059e-06 -15 *402:DIODE *337:9 2.83509e-05 -16 *1300:B1 *337:6 0 -17 *1407:TE *337:9 2.50118e-05 -18 *1407:Z *337:9 0.000228233 -19 *21:20 *337:9 0.00151529 -20 *324:8 *337:6 0 -21 *324:21 *337:6 0 -22 *333:7 *337:9 1.05235e-05 -*RES -1 *1300:X *337:6 41.3143 -2 *337:6 *337:8 15 -3 *337:8 *337:9 54.4579 -4 *337:9 *1424:TE_B 24.8229 -5 *337:9 *337:22 31.9057 -6 *337:22 *1422:TE 23 -*END - -*D_NET *338 0.00183309 -*CONN -*I *1420:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1424:A I *D sky130_fd_sc_hd__einvn_4 -*I *1423:A I *D sky130_fd_sc_hd__einvn_8 -*I *1419:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1420:A 0.000155386 -2 *1424:A 0.000124665 -3 *1423:A 0.000168817 -4 *1419:X 0 -5 *338:13 0.000494905 -6 *338:5 0.000383672 -7 *338:13 *345:6 7.98226e-05 -8 *1265:A1 *1423:A 0 -9 *1265:A1 *338:13 0 -10 *33:11 *1423:A 0.000150337 -11 *33:11 *338:13 0.000149102 -12 *34:8 *1420:A 0 -13 *35:10 *1420:A 1.94693e-05 -14 *36:8 *1420:A 0 -15 *200:28 *1423:A 0 -16 *332:6 *1420:A 4.11004e-05 -17 *332:6 *338:13 9.35872e-06 -18 *337:9 *1424:A 5.64575e-05 -*RES -1 *1419:X *338:5 38 -2 *338:5 *1423:A 42.0186 -3 *338:5 *338:13 5.075 -4 *338:13 *1424:A 40.0507 -5 *338:13 *1420:A 41.2314 -*END - -*D_NET *339 0.00363342 -*CONN -*I *1429:A I *D sky130_fd_sc_hd__einvp_2 -*I *1427:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1429:A 0 -2 *1427:X 0.000417393 -3 *339:11 0.000448623 -4 *339:5 0.000866015 -5 *339:5 *1427:A 0.000614974 -6 *339:11 *1429:TE 0.000102971 -7 *339:11 *352:6 0.000116205 -8 *1268:A2 *339:5 0.000443679 -9 *13:13 *339:11 0.000108489 -10 *15:10 *339:5 0.000359332 -11 *31:24 *339:11 0 -12 *217:31 *339:11 0.000145356 -13 *331:11 *339:5 1.03836e-05 -*RES -1 *1427:X *339:5 35.0557 -2 *339:5 *339:11 40.0879 -3 *339:11 *1429:A 23 -*END - -*D_NET *340 0.00229276 -*CONN -*I *1429:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1432:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1431:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1429:Z 0 -2 *1432:A 0 -3 *1431:Z 3.3667e-05 -4 *340:22 0.000151954 -5 *340:13 0.000316961 -6 *340:5 0.000502582 -7 *340:5 *345:26 1.47795e-05 -8 *340:13 *1428:TE 0.000161748 -9 *340:13 *1433:A 5.3304e-05 -10 *340:13 *341:5 0.000360967 -11 *340:13 *342:8 0.000139704 -12 *340:13 *345:17 0.000102414 -13 *340:13 *345:26 1.35714e-05 -14 *340:22 *343:10 4.13477e-05 -15 *1268:A2 *340:13 0.000276209 -16 *31:24 *340:22 5.83814e-05 -17 *35:10 *340:13 6.51763e-05 -*RES -1 *1431:Z *340:5 23.6214 -2 *340:5 *340:13 39.6943 -3 *340:13 *1432:A 23 -4 *340:5 *340:22 32.9621 -5 *340:22 *1429:Z 23 -*END - -*D_NET *341 0.000778279 -*CONN -*I *1428:A I *D sky130_fd_sc_hd__einvp_2 -*I *1432:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1428:A 0 -2 *1432:Y 0.000203708 -3 *341:5 0.000203708 -4 *35:10 *341:5 9.8971e-06 -5 *340:13 *341:5 0.000360967 -*RES -1 *1432:Y *341:5 27.4329 -2 *341:5 *1428:A 23 -*END - -*D_NET *342 0.00273926 -*CONN -*I *1433:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1428:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1430:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1433:A 0.000311779 -2 *1428:Z 0 -3 *1430:Z 0.000233523 -4 *342:10 0.000311779 -5 *342:8 0.000233523 -6 *1433:A *1428:TE 0.00014196 -7 *342:8 *1428:TE 1.35751e-05 -8 *342:8 *343:10 1.16814e-05 -9 *342:8 *345:9 0.000188526 -10 *342:8 *345:17 0.000350414 -11 *1268:A2 *1433:A 0.000209232 -12 *37:13 *342:8 0 -13 *337:9 *342:8 0.000540256 -14 *340:13 *1433:A 5.3304e-05 -15 *340:13 *342:8 0.000139704 -*RES -1 *1430:Z *342:8 46.8243 -2 *342:8 *342:10 15 -3 *342:10 *1428:Z 23 -4 *342:10 *1433:A 29.3386 -*END - -*D_NET *343 0.00137188 -*CONN -*I *1428:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1430:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1265:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1428:TE 0.000144472 -2 *1430:TE_B 0 -3 *1265:X 0.000267387 -4 *343:10 0.000411858 -5 *1268:A2 *1428:TE 0.00014196 -6 *1433:A *1428:TE 0.00014196 -7 *217:31 *343:10 3.58881e-05 -8 *340:13 *1428:TE 0.000161748 -9 *340:22 *343:10 4.13477e-05 -10 *342:8 *1428:TE 1.35751e-05 -11 *342:8 *343:10 1.16814e-05 -*RES -1 *1265:X *343:10 42.4536 -2 *343:10 *1430:TE_B 38 -3 *343:10 *1428:TE 42.1843 -*END - -*D_NET *344 0.00563976 -*CONN -*I *1431:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1429:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1301:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1431:TE_B 0 -2 *1429:TE 0.000113906 -3 *1301:X 0.000901922 -4 *344:29 0.000129281 -5 *344:13 0.00114511 -6 *344:13 *1435:A 5.58003e-05 -7 *344:13 *1435:TE 0.000305008 -8 *344:13 *351:8 5.58003e-05 -9 *344:29 *345:26 4.98295e-05 -10 *344:29 *352:21 9.66585e-05 -11 *1409:A *344:13 0.000217908 -12 *13:13 *344:29 0.00018821 -13 *24:31 *344:13 0.000119973 -14 *197:53 *344:13 0.000672499 -15 *217:31 *1429:TE 0.000258223 -16 *217:31 *344:13 0.000340479 -17 *226:132 *344:13 0.000357147 -18 *245:9 *344:13 0.000313785 -19 *331:12 *344:29 0.000215252 -20 *339:11 *1429:TE 0.000102971 -*RES -1 *1301:X *344:13 47.1736 -2 *344:13 *1429:TE 26.6457 -3 *344:13 *344:29 34.06 -4 *344:29 *1431:TE_B 23 -*END - -*D_NET *345 0.00552351 -*CONN -*I *1431:A I *D sky130_fd_sc_hd__einvn_4 -*I *1427:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1430:A I *D sky130_fd_sc_hd__einvn_8 -*I *1426:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1431:A 2.18824e-05 -2 *1427:A 0.000236082 -3 *1430:A 2.18824e-05 -4 *1426:X 8.47895e-05 -5 *345:26 0.000783153 -6 *345:17 0.000779273 -7 *345:9 0.000332984 -8 *345:6 0.000185571 -9 *1427:A *350:10 6.53382e-05 -10 *345:26 *352:21 3.82035e-05 -11 *402:DIODE *345:26 4.36552e-05 -12 *1265:A2 *345:6 1.20296e-05 -13 *1268:B1 *1427:A 0.000139352 -14 *1407:TE *345:26 0.000150739 -15 *1412:A *1427:A 2.93747e-05 -16 *13:13 *345:26 0 -17 *14:9 *345:26 5.59822e-05 -18 *14:20 *345:26 2.58781e-05 -19 *15:10 *1427:A 4.95081e-05 -20 *34:8 *345:6 3.21208e-05 -21 *200:51 *345:26 0 -22 *331:11 *1427:A 5.74357e-05 -23 *331:12 *345:26 0 -24 *337:9 *345:9 2.19403e-05 -25 *337:9 *345:17 0.000109917 -26 *337:9 *345:26 0.000832086 -27 *338:13 *345:6 7.98226e-05 -28 *339:5 *1427:A 0.000614974 -29 *340:5 *345:26 1.47795e-05 -30 *340:13 *345:17 0.000102414 -31 *340:13 *345:26 1.35714e-05 -32 *342:8 *345:9 0.000188526 -33 *342:8 *345:17 0.000350414 -34 *344:29 *345:26 4.98295e-05 -*RES -1 *1426:X *345:6 40.2579 -2 *345:6 *345:9 17.5271 -3 *345:9 *1430:A 23.4971 -4 *345:9 *345:17 6.33857 -5 *345:17 *345:26 43.3607 -6 *345:26 *1427:A 31.265 -7 *345:17 *1431:A 23.4971 -*END - -*D_NET *346 0.000381249 -*CONN -*I *1436:A I *D sky130_fd_sc_hd__einvp_2 -*I *1434:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1436:A 0.000134354 -2 *1434:X 0.000134354 -3 *1436:A *349:20 1.57571e-05 -4 *36:32 *1436:A 9.67842e-05 -*RES -1 *1434:X *1436:A 48.0714 -*END - -*D_NET *347 0.00148723 -*CONN -*I *1436:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1439:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1438:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1436:Z 0 -2 *1439:A 0.000263932 -3 *1438:Z 0.000135838 -4 *347:10 0.000263932 -5 *347:8 0.000135838 -6 *1439:A *1436:TE 9.84794e-05 -7 *1439:A *349:20 0.00011641 -8 *347:8 *1436:TE 3.9188e-05 -9 *347:8 *352:33 0.000186032 -10 *36:32 *347:8 6.40387e-05 -11 *320:11 *347:8 0.000183547 -*RES -1 *1438:Z *347:8 41.9564 -2 *347:8 *347:10 15 -3 *347:10 *1439:A 27.9093 -4 *347:10 *1436:Z 23 -*END - -*D_NET *348 0.000790875 -*CONN -*I *1435:A I *D sky130_fd_sc_hd__einvp_2 -*I *1439:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1435:A 1.13104e-05 -2 *1439:Y 0.000327168 -3 *348:6 0.000338478 -4 *1409:A *1435:A 5.81185e-05 -5 *344:13 *1435:A 5.58003e-05 -*RES -1 *1439:Y *348:6 42.7229 -2 *348:6 *1435:A 38.6214 -*END - -*D_NET *349 0.00656273 -*CONN -*I *1440:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1435:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1437:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1440:A 0.000350094 -2 *1435:Z 0.000287063 -3 *1437:Z 0 -4 *349:20 0.00175744 -5 *349:5 0.00169441 -6 *349:20 *1436:TE 9.84794e-05 -7 *1300:B1 *349:20 0.000100061 -8 *1399:A *1440:A 6.55999e-05 -9 *1401:TE *349:20 9.84851e-05 -10 *1401:Z *349:20 5.50049e-05 -11 *1404:A *1440:A 0.000111779 -12 *1404:A *349:20 0.000216471 -13 *1406:A *349:20 9.84851e-05 -14 *1409:A *1435:Z 0.000185862 -15 *1436:A *349:20 1.57571e-05 -16 *1439:A *349:20 0.00011641 -17 *36:32 *349:20 0.000317519 -18 *197:53 *1435:Z 1.96537e-05 -19 *217:22 *1435:Z 2.05503e-05 -20 *226:114 *349:20 0.000152933 -21 *226:125 *349:20 0.000188203 -22 *245:9 *1435:Z 2.40576e-05 -23 *311:7 *1440:A 0.000196652 -24 *311:7 *349:20 7.9284e-05 -25 *313:5 *1440:A 0.00026495 -26 *318:8 *349:20 4.75206e-05 -*RES -1 *1437:Z *349:5 38 -2 *349:5 *1435:Z 43.0129 -3 *349:5 *349:20 46.9414 -4 *349:20 *1440:A 32.425 -*END - -*D_NET *350 0.00223341 -*CONN -*I *1437:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1435:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1268:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1437:TE_B 1.51302e-05 -2 *1435:TE 0.000216037 -3 *1268:X 0.000259499 -4 *350:10 0.000490666 -5 *1437:TE_B *352:21 5.58003e-05 -6 *1268:B1 *350:10 5.50049e-05 -7 *1409:A *1435:TE 0.000189689 -8 *1412:A *1435:TE 2.14599e-05 -9 *1412:A *350:10 3.90154e-05 -10 *1427:A *350:10 6.53382e-05 -11 *15:14 *1435:TE 5.12601e-05 -12 *15:14 *350:10 7.47583e-05 -13 *21:20 *1437:TE_B 5.58003e-05 -14 *217:31 *1435:TE 9.84034e-05 -15 *321:6 *1435:TE 6.03228e-05 -16 *331:11 *350:10 0.000180218 -17 *344:13 *1435:TE 0.000305008 -*RES -1 *1268:X *350:10 42.93 -2 *350:10 *1435:TE 44.9186 -3 *350:10 *1437:TE_B 38.6214 -*END - -*D_NET *351 0.00102245 -*CONN -*I *1436:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1438:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1307:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1436:TE 0.000167227 -2 *1438:TE_B 0 -3 *1307:X 0.000184641 -4 *351:8 0.000351868 -5 *1439:A *1436:TE 9.84794e-05 -6 *197:53 *351:8 2.23467e-05 -7 *226:132 *351:8 4.41821e-06 -8 *344:13 *351:8 5.58003e-05 -9 *347:8 *1436:TE 3.9188e-05 -10 *349:20 *1436:TE 9.84794e-05 -*RES -1 *1307:X *351:8 40.8793 -2 *351:8 *1438:TE_B 38 -3 *351:8 *1436:TE 41.7079 -*END - -*D_NET *352 0.0055179 -*CONN -*I *1438:A I *D sky130_fd_sc_hd__einvn_4 -*I *1434:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1437:A I *D sky130_fd_sc_hd__einvn_8 -*I *1433:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1438:A 1.3375e-05 -2 *1434:A 0 -3 *1437:A 2.30556e-05 -4 *1433:X 4.64992e-05 -5 *352:38 0.000141122 -6 *352:33 0.000375726 -7 *352:21 0.000725252 -8 *352:6 0.000527466 -9 *402:DIODE *352:21 0.000357139 -10 *1437:TE_B *352:21 5.58003e-05 -11 *13:13 *352:6 5.5266e-05 -12 *16:8 *352:38 8.49856e-05 -13 *21:20 *1438:A 4.46854e-05 -14 *21:20 *352:21 0.000405036 -15 *21:20 *352:33 0.00061639 -16 *21:26 *352:21 0.000401824 -17 *319:15 *352:38 0 -18 *320:6 *352:38 0 -19 *320:11 *1438:A 2.95526e-05 -20 *320:11 *352:21 0.000588987 -21 *320:11 *352:33 0.000580994 -22 *337:9 *352:21 7.64059e-06 -23 *339:11 *352:6 0.000116205 -24 *344:29 *352:21 9.66585e-05 -25 *345:26 *352:21 3.82035e-05 -26 *347:8 *352:33 0.000186032 -*RES -1 *1433:X *352:6 39.5536 -2 *352:6 *352:21 31.1157 -3 *352:21 *1437:A 23.4971 -4 *352:21 *352:33 9.83929 -5 *352:33 *352:38 32.61 -6 *352:38 *1434:A 23 -7 *352:33 *1438:A 23.6214 -*END - -*D_NET *353 0.000426957 -*CONN -*I *1443:A I *D sky130_fd_sc_hd__einvp_2 -*I *1441:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1443:A 4.1166e-05 -2 *1441:X 0.000120827 -3 *353:6 0.000161993 -4 *353:6 *1443:Z 0 -5 *18:25 *1443:A 0.000102971 -6 *22:8 *353:6 0 -*RES -1 *1441:X *353:6 39.9057 -2 *353:6 *1443:A 39.0979 -*END - -*D_NET *354 0.000653143 -*CONN -*I *1446:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1443:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1445:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1446:A 0.000109608 -2 *1443:Z 0.000188145 -3 *1445:Z 0 -4 *354:5 0.000297753 -5 *411:DIODE *1443:Z 0 -6 *411:DIODE *1446:A 0 -7 *18:25 *1443:Z 5.76359e-05 -8 *353:6 *1443:Z 0 -*RES -1 *1445:Z *354:5 38 -2 *354:5 *1443:Z 41.7079 -3 *354:5 *1446:A 39.9057 -*END - -*D_NET *355 0.000214322 -*CONN -*I *1442:A I *D sky130_fd_sc_hd__einvp_2 -*I *1446:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1442:A 6.62628e-05 -2 *1446:Y 6.62628e-05 -3 *411:DIODE *1442:A 8.1796e-05 -*RES -1 *1446:Y *1442:A 47.5743 -*END - -*D_NET *356 0.00197653 -*CONN -*I *1442:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1447:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1444:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1442:Z 0.000533175 -2 *1447:A 0.000150012 -3 *1444:Z 3.61248e-05 -4 *356:7 0.000719311 -5 *1442:Z *357:28 0 -6 *356:7 *359:17 2.95526e-05 -7 *411:DIODE *1442:Z 6.17437e-06 -8 *1309:A2 *1447:A 0.000102803 -9 *1404:A *1442:Z 0.000101764 -10 *18:25 *1447:A 0.000100318 -11 *20:9 *1442:Z 0.000101666 -12 *20:9 *1447:A 7.3774e-05 -13 *313:5 *1442:Z 2.18506e-05 -*RES -1 *1444:Z *356:7 38.6214 -2 *356:7 *1447:A 41.7079 -3 *356:7 *1442:Z 47.3007 -*END - -*D_NET *357 0.00550624 -*CONN -*I *1442:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1444:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1270:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1442:TE 0 -2 *1444:TE_B 0 -3 *1270:X 0.000295365 -4 *357:28 0.000716534 -5 *357:13 0.00124941 -6 *357:8 0.000828239 -7 *357:28 *359:17 0.000324125 -8 *411:DIODE *357:28 0 -9 *1405:A *357:13 5.76359e-05 -10 *1408:TE *357:13 0.000229804 -11 *1442:Z *357:28 0 -12 *17:7 *357:8 0 -13 *20:9 *357:28 0 -14 *21:20 *357:13 0.000312776 -15 *24:31 *357:8 0.000100477 -16 *214:15 *357:8 0.000100486 -17 *233:27 *357:8 1.41129e-05 -18 *314:9 *357:13 4.24114e-05 -19 *314:9 *357:28 0.00031627 -20 *317:29 *357:13 0.000220216 -21 *317:29 *357:28 9.03378e-05 -22 *323:15 *357:13 0.000513262 -23 *324:5 *357:13 6.8899e-05 -24 *337:6 *357:8 2.58781e-05 -*RES -1 *1270:X *357:8 42.4329 -2 *357:8 *357:13 29.935 -3 *357:13 *1444:TE_B 23 -4 *357:13 *357:28 43.05 -5 *357:28 *1442:TE 23 -*END - -*D_NET *358 0.000808179 -*CONN -*I *1443:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1445:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1309:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1443:TE 0.000117304 -2 *1445:TE_B 0 -3 *1309:X 0 -4 *358:10 0.000137198 -5 *358:4 0.000254502 -6 *411:DIODE *358:10 8.08204e-05 -7 *18:25 *1443:TE 0.000199516 -8 *18:25 *358:10 1.88391e-05 -*RES -1 *1309:X *358:4 23 -2 *358:4 *358:10 32.8793 -3 *358:10 *1445:TE_B 23 -4 *358:4 *1443:TE 25.9 -*END - -*D_NET *359 0.00225169 -*CONN -*I *1441:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1445:A I *D sky130_fd_sc_hd__einvn_4 -*I *1444:A I *D sky130_fd_sc_hd__einvn_8 -*I *1440:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1441:A 0.000171351 -2 *1445:A 0 -3 *1444:A 0 -4 *1440:X 8.84808e-05 -5 *359:17 0.000787291 -6 *359:8 0.000615941 -7 *359:6 8.84808e-05 -8 *1399:A *359:6 0 -9 *20:9 *359:6 0.000138402 -10 *314:6 *359:6 8.0612e-06 -11 *356:7 *359:17 2.95526e-05 -12 *357:28 *359:17 0.000324125 -*RES -1 *1440:X *359:6 39.9057 -2 *359:6 *359:8 15 -3 *359:8 *1444:A 23 -4 *359:8 *359:17 12.2214 -5 *359:17 *1445:A 23 -6 *359:17 *1441:A 26.0243 -*END - -*D_NET *360 0.00114486 -*CONN -*I *1450:A I *D sky130_fd_sc_hd__einvp_2 -*I *1448:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1450:A 0 -2 *1448:X 0.000331693 -3 *360:8 0.000331693 -4 *360:8 *1451:A 6.53382e-05 -5 *360:8 *365:15 2.18409e-05 -6 *226:85 *360:8 0.000138718 -7 *226:94 *360:8 0.000188711 -8 *246:18 *360:8 6.6869e-05 -*RES -1 *1448:X *360:8 43.8621 -2 *360:8 *1450:A 38 -*END - -*D_NET *361 0.000989203 -*CONN -*I *1450:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1453:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1452:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1450:Z 0.000151962 -2 *1453:A 0 -3 *1452:Z 0 -4 *361:9 0.000205801 -5 *361:4 0.000357763 -6 *1450:Z *1450:TE 0.000147581 -7 *361:9 *362:5 8.16913e-05 -8 *361:9 *365:22 1.40087e-05 -9 *25:11 *361:9 0 -10 *246:18 *361:9 3.03959e-05 -*RES -1 *1452:Z *361:4 23 -2 *361:4 *361:9 33.48 -3 *361:9 *1453:A 23 -4 *361:4 *1450:Z 26.0036 -*END - -*D_NET *362 0.00143027 -*CONN -*I *1449:A I *D sky130_fd_sc_hd__einvp_2 -*I *1453:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1449:A 0 -2 *1453:Y 0.000570885 -3 *362:5 0.000570885 -4 *362:5 *363:29 5.50106e-05 -5 *1380:TE *362:5 9.67842e-05 -6 *1449:Z *362:5 5.50106e-05 -7 *361:9 *362:5 8.16913e-05 -*RES -1 *1453:Y *362:5 33.6264 -2 *362:5 *1449:A 23 -*END - -*D_NET *363 0.00632681 -*CONN -*I *1449:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1451:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1273:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1449:TE 0 -2 *1451:TE_B 0 -3 *1273:X 0.00101226 -4 *363:29 0.000538288 -5 *363:17 0.00155055 -6 *363:17 *365:11 5.56356e-05 -7 *363:17 *365:15 0.00016168 -8 *363:29 *1451:A 0.000405643 -9 *363:29 *365:15 1.35999e-05 -10 *1293:C *363:17 4.84155e-05 -11 *1299:B1 *363:17 0.000237114 -12 *197:66 *363:17 4.79097e-05 -13 *202:38 *363:17 0.000191549 -14 *207:71 *363:17 8.23752e-05 -15 *226:85 *363:29 0 -16 *226:94 *363:17 4.44894e-05 -17 *226:102 *363:17 0.000293854 -18 *236:5 *363:17 0.000267768 -19 *289:8 *363:29 0.000104811 -20 *292:6 *363:29 0 -21 *296:27 *363:29 0 -22 *330:9 *363:17 0.00121586 -23 *362:5 *363:29 5.50106e-05 -*RES -1 *1273:X *363:17 49.7214 -2 *363:17 *1451:TE_B 23 -3 *363:17 *363:29 41.1857 -4 *363:29 *1449:TE 23 -*END - -*D_NET *364 0.00202145 -*CONN -*I *1450:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1452:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1311:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1450:TE 0.00062325 -2 *1452:TE_B 0 -3 *1311:X 4.70287e-05 -4 *364:9 0.000670726 -5 *364:6 9.45051e-05 -6 *1287:A *1450:TE 0 -7 *1450:Z *1450:TE 0.000147581 -8 *20:14 *364:6 0.000171906 -9 *289:8 *1450:TE 9.67842e-05 -10 *301:17 *364:6 0.000169674 -*RES -1 *1311:X *364:6 40.2579 -2 *364:6 *364:9 15.6214 -3 *364:9 *1452:TE_B 23 -4 *364:9 *1450:TE 33.6886 -*END - -*D_NET *365 0.00279404 -*CONN -*I *1448:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1451:A I *D sky130_fd_sc_hd__einvn_8 -*I *1452:A I *D sky130_fd_sc_hd__einvn_4 -*I *1447:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1448:A 0 -2 *1451:A 0.000216582 -3 *1452:A 0 -4 *1447:X 0.000166193 -5 *365:22 0.000158207 -6 *365:15 0.00046615 -7 *365:11 0.000103835 -8 *365:8 0.000178666 -9 *1309:A2 *365:8 0.000239159 -10 *1309:A2 *365:22 0 -11 *226:94 *365:11 2.48995e-05 -12 *226:94 *365:15 0.000278295 -13 *226:94 *365:22 1.0092e-05 -14 *246:18 *365:22 0.000214213 -15 *360:8 *1451:A 6.53382e-05 -16 *360:8 *365:15 2.18409e-05 -17 *361:9 *365:22 1.40087e-05 -18 *363:17 *365:11 5.56356e-05 -19 *363:17 *365:15 0.00016168 -20 *363:29 *1451:A 0.000405643 -21 *363:29 *365:15 1.35999e-05 -*RES -1 *1447:X *365:8 41.0036 -2 *365:8 *365:11 15.6214 -3 *365:11 *365:15 3.97714 -4 *365:15 *365:22 33.4593 -5 *365:22 *1452:A 23 -6 *365:15 *1451:A 28.4064 -7 *365:11 *1448:A 23 -*END - -*D_NET *366 0.000413172 -*CONN -*I *1463:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1459:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *1463:TE_B 9.17947e-05 -2 *1459:X 9.17947e-05 -3 *1463:TE_B *371:82 0.000229583 -*RES -1 *1459:X *1463:TE_B 48.5271 -*END - -*D_NET *367 0.000887612 -*CONN -*I *1462:A I *D sky130_fd_sc_hd__einvp_2 -*I *1460:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1462:A 0 -2 *1460:X 0.000194809 -3 *367:8 0.000194809 -4 *419:DIODE *367:8 5.50106e-05 -5 *1460:A *367:8 0.000162169 -6 *28:10 *367:8 0.000100995 -7 *300:14 *367:8 1.01436e-05 -8 *300:16 *367:8 0.000169674 -*RES -1 *1460:X *367:8 42.9921 -2 *367:8 *1462:A 38 -*END - -*D_NET *368 0.00225531 -*CONN -*I *1462:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1465:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1464:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1462:Z 0.000170994 -2 *1465:A 0 -3 *1464:Z 4.24269e-05 -4 *368:12 0.000222464 -5 *368:7 0.000435885 -6 *1462:Z *1462:TE 0.000124306 -7 *368:7 *1462:TE 9.11744e-05 -8 *368:12 *371:82 0.000210934 -9 *1464:A *1462:Z 0.0001164 -10 *150:13 *368:12 0.000595455 -11 *226:78 *368:12 5.26204e-05 -12 *284:33 *1462:Z 9.41704e-05 -13 *284:33 *368:7 9.84794e-05 -*RES -1 *1464:Z *368:7 24.595 -2 *368:7 *368:12 37.8921 -3 *368:12 *1465:A 23 -4 *368:7 *1462:Z 26.9564 -*END - -*D_NET *369 0.000397401 -*CONN -*I *1461:A I *D sky130_fd_sc_hd__einvp_2 -*I *1465:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1461:A 0 -2 *1465:Y 0.000127756 -3 *369:8 0.000127756 -4 *28:10 *369:8 0 -5 *284:8 *369:8 0.000141888 -*RES -1 *1465:Y *369:8 40.5271 -2 *369:8 *1461:A 38 -*END - -*D_NET *370 0.00094854 -*CONN -*I *1466:A I *D sky130_fd_sc_hd__einvp_1 -*I *1458:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *1466:A 0 -2 *1458:HI 0.0003738 -3 *370:8 0.0003738 -4 *28:10 *370:8 0 -5 *41:6 *370:8 1.00203e-05 -6 *284:8 *370:8 0.00019092 -*RES -1 *1458:HI *370:8 45.0014 -2 *370:8 *1466:A 38 -*END - -*D_NET *371 0.01437 -*CONN -*I *1466:TE I *D sky130_fd_sc_hd__einvp_1 -*I *1459:A I *D sky130_fd_sc_hd__or2_2 -*I *1317:B I *D sky130_fd_sc_hd__nor2_2 -*I *1318:B I *D sky130_fd_sc_hd__nor2_2 -*I *1320:B I *D sky130_fd_sc_hd__nor2_2 -*I *1323:B I *D sky130_fd_sc_hd__nor2_2 -*I *1322:B I *D sky130_fd_sc_hd__nor2_2 -*I *1321:B I *D sky130_fd_sc_hd__nor2_2 -*I *1316:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1466:TE 6.82158e-05 -2 *1459:A 0 -3 *1317:B 0 -4 *1318:B 0.000159082 -5 *1320:B 2.52923e-05 -6 *1323:B 2.40264e-05 -7 *1322:B 2.08329e-05 -8 *1321:B 0.000264776 -9 *1316:X 0.000394763 -10 *371:82 0.000516545 -11 *371:72 0.000584403 -12 *371:59 0.00026918 -13 *371:56 0.000374331 -14 *371:55 0.00118316 -15 *371:40 0.00114516 -16 *371:32 0.000434581 -17 *371:24 0.00133221 -18 *371:8 0.00176095 -19 *418:DIODE *371:82 0.000188927 -20 *1106:A *1318:B 0.000391358 -21 *1106:A *1466:TE 6.00453e-05 -22 *1106:A *371:56 2.64616e-05 -23 *1222:B1 *371:8 3.20914e-05 -24 *1237:B *371:72 0.000111106 -25 *1320:A *371:40 5.3304e-05 -26 *1321:A *1321:B 0 -27 *1322:A *1322:B 2.93104e-06 -28 *1326:A *1321:B 0 -29 *1326:A *371:8 0 -30 *1347:RESET_B *371:55 0.000480537 -31 *1362:RESET_B *371:56 1.21972e-05 -32 *1463:TE_B *371:82 0.000229583 -33 *47:8 *1321:B 0 -34 *47:8 *371:8 0 -35 *63:8 *371:72 8.52094e-06 -36 *63:8 *371:82 6.5117e-06 -37 *65:11 *371:55 0.000145237 -38 *70:6 *1321:B 1.19692e-05 -39 *70:6 *371:8 2.5415e-05 -40 *85:7 *371:56 1.61361e-05 -41 *85:11 *371:32 0.000103209 -42 *85:11 *371:59 8.91416e-05 -43 *85:11 *371:72 2.53488e-05 -44 *85:65 *371:8 4.60263e-05 -45 *150:13 *371:59 2.47316e-05 -46 *150:13 *371:72 6.51997e-06 -47 *150:13 *371:82 4.99753e-06 -48 *191:8 *1321:B 0 -49 *191:8 *371:8 0 -50 *226:10 *371:82 0 -51 *226:24 *371:82 0.000265771 -52 *226:37 *1318:B 0.000347037 -53 *226:37 *371:72 0.000139882 -54 *226:37 *371:82 1.13812e-05 -55 *226:64 *371:82 0 -56 *226:78 *371:82 0.000216471 -57 *249:25 *371:40 9.84794e-05 -58 *249:29 *1323:B 2.93104e-06 -59 *249:29 *371:32 0.000180812 -60 *249:29 *371:40 6.67283e-05 -61 *249:40 *371:24 0.000138432 -62 *249:40 *371:32 7.41133e-05 -63 *250:10 *371:8 0.000228903 -64 *253:71 *371:55 0.000326983 -65 *254:84 *371:24 0.00083064 -66 *256:22 *1321:B 1.94812e-05 -67 *257:32 *371:24 9.39858e-05 -68 *266:22 *371:55 0 -69 *266:22 *371:56 0.000231039 -70 *267:7 *371:56 0.000226226 -71 *368:12 *371:82 0.000210934 -*RES -1 *1316:X *371:8 44.5457 -2 *371:8 *1321:B 42.64 -3 *371:8 *371:24 32.4621 -4 *371:24 *1322:B 23.4971 -5 *371:24 *371:32 4.90929 -6 *371:32 *1323:B 23.4971 -7 *371:32 *371:40 3.95643 -8 *371:40 *1320:B 23.4971 -9 *371:40 *371:55 45.4943 -10 *371:55 *371:56 5.38571 -11 *371:56 *371:59 16.2014 -12 *371:59 *1318:B 43.5514 -13 *371:59 *371:72 2.25786 -14 *371:72 *1317:B 38 -15 *371:72 *371:82 29.21 -16 *371:82 *1459:A 23 -17 *371:56 *1466:TE 24.595 -*END - -*D_NET *372 0.0147384 -*CONN -*I *1459:B I *D sky130_fd_sc_hd__or2_2 -*I *1461:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1279:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1459:B 4.84789e-05 -2 *1461:TE 0.000365546 -3 *1279:X 0.000602742 -4 *372:14 0.000901656 -5 *372:13 0.00227209 -6 *372:5 0.00238721 -7 *418:DIODE *1459:B 9.41704e-05 -8 *1201:B1 *1459:B 5.3304e-05 -9 *1247:A *372:5 0.000137794 -10 *1248:B *372:5 0.000129923 -11 *1257:B *372:5 0.000182826 -12 *1284:B1 *372:5 2.25809e-05 -13 *1302:A1 *372:5 2.02872e-05 -14 *1302:A2 *372:5 0.000144494 -15 *20:14 *1461:TE 0.000248979 -16 *20:14 *372:14 0.00122261 -17 *26:9 *372:13 1.30103e-05 -18 *30:23 *372:5 0.00195947 -19 *92:67 *372:13 0.000367817 -20 *144:22 *372:13 2.77524e-05 -21 *163:18 *372:13 2.17559e-06 -22 *163:34 *372:5 1.54983e-05 -23 *164:31 *372:5 9.81397e-05 -24 *170:39 *372:5 0.000268074 -25 *170:39 *372:13 8.9131e-05 -26 *173:6 *1461:TE 3.47781e-05 -27 *173:6 *372:14 0.000110922 -28 *198:41 *372:13 0.000272013 -29 *205:5 *372:5 4.01634e-05 -30 *206:8 *372:5 5.3304e-05 -31 *211:40 *372:13 0.000835412 -32 *212:55 *372:13 0.000103852 -33 *220:12 *372:13 5.63246e-05 -34 *226:5 *1461:TE 0.000190572 -35 *241:8 *372:5 5.3304e-05 -36 *272:7 *1461:TE 0.000102957 -37 *272:10 *1461:TE 0.00010404 -38 *272:10 *372:14 0.000249791 -39 *275:67 *372:5 0.000221997 -40 *301:17 *372:14 0.0006332 -*RES -1 *1279:X *372:5 45.0607 -2 *372:5 *372:13 38.3158 -3 *372:13 *372:14 16.8718 -4 *372:14 *1461:TE 47.6529 -5 *372:14 *1459:B 39.0979 -*END - -*D_NET *373 0.00413656 -*CONN -*I *1464:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1462:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1314:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1464:TE_B 0 -2 *1462:TE 0.000330784 -3 *1314:X 7.36734e-05 -4 *373:11 0.000991576 -5 *373:7 0.000734465 -6 *1199:B *1462:TE 0 -7 *1462:Z *1462:TE 0.000124306 -8 *1464:A *1462:TE 0.000136743 -9 *246:13 *373:7 0.000221084 -10 *272:10 *373:11 0.000659314 -11 *275:15 *373:11 0.000247687 -12 *284:33 *1462:TE 0.000128073 -13 *284:33 *373:11 7.43209e-05 -14 *294:23 *373:7 0.000221084 -15 *294:29 *373:11 0 -16 *299:8 *373:11 0 -17 *301:17 *373:11 0.000102277 -18 *302:11 *373:11 0 -19 *368:7 *1462:TE 9.11744e-05 -*RES -1 *1314:X *373:7 40.5271 -2 *373:7 *373:11 28.6921 -3 *373:11 *1462:TE 30.4779 -4 *373:11 *1464:TE_B 23 -*END diff --git a/signoff/digital_pll/openlane-signoff/spef/digital_pll.min.spef b/signoff/digital_pll/openlane-signoff/spef/digital_pll.min.spef deleted file mode 100644 index bb356047..00000000 --- a/signoff/digital_pll/openlane-signoff/spef/digital_pll.min.spef +++ /dev/null @@ -1,14012 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "digital_pll" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 clockp[0] -*4 clockp[1] -*5 dco -*6 div[0] -*7 div[1] -*8 div[2] -*9 div[3] -*10 div[4] -*11 enable -*12 ext_trim[0] -*13 ext_trim[10] -*14 ext_trim[11] -*15 ext_trim[12] -*16 ext_trim[13] -*17 ext_trim[14] -*18 ext_trim[15] -*19 ext_trim[16] -*20 ext_trim[17] -*21 ext_trim[18] -*22 ext_trim[19] -*23 ext_trim[1] -*24 ext_trim[20] -*25 ext_trim[21] -*26 ext_trim[22] -*27 ext_trim[23] -*28 ext_trim[24] -*29 ext_trim[25] -*30 ext_trim[2] -*31 ext_trim[3] -*32 ext_trim[4] -*33 ext_trim[5] -*34 ext_trim[6] -*35 ext_trim[7] -*36 ext_trim[8] -*37 ext_trim[9] -*38 osc -*39 resetb -*40 _000_ -*41 _001_ -*42 _002_ -*43 _003_ -*44 _004_ -*45 _005_ -*46 _006_ -*47 _007_ -*48 _008_ -*49 _009_ -*50 _010_ -*51 _011_ -*52 _012_ -*53 _013_ -*54 _014_ -*55 _015_ -*56 _016_ -*57 _017_ -*58 _018_ -*59 _019_ -*60 _020_ -*61 _021_ -*62 _022_ -*63 _023_ -*64 _024_ -*65 _025_ -*66 _026_ -*67 _027_ -*68 _028_ -*69 _029_ -*70 _030_ -*71 _031_ -*72 _032_ -*73 _033_ -*74 _034_ -*75 _035_ -*76 _036_ -*77 _037_ -*78 _038_ -*79 _039_ -*80 _040_ -*81 _041_ -*82 _042_ -*83 _043_ -*84 _044_ -*85 _045_ -*86 _046_ -*87 _047_ -*88 _048_ -*89 _049_ -*90 _050_ -*91 _051_ -*92 _052_ -*93 _053_ -*94 _054_ -*95 _055_ -*96 _056_ -*97 _057_ -*98 _058_ -*99 _059_ -*100 _060_ -*101 _061_ -*102 _062_ -*103 _063_ -*104 _064_ -*105 _065_ -*106 _066_ -*107 _067_ -*108 _068_ -*109 _069_ -*110 _070_ -*111 _071_ -*112 _072_ -*113 _073_ -*114 _074_ -*115 _075_ -*116 _076_ -*117 _077_ -*118 _078_ -*119 _079_ -*120 _080_ -*121 _081_ -*122 _082_ -*123 _083_ -*124 _084_ -*125 _085_ -*126 _086_ -*127 _087_ -*128 _088_ -*129 _089_ -*130 _090_ -*131 _091_ -*132 _092_ -*133 _093_ -*134 _094_ -*135 _095_ -*136 _096_ -*137 _097_ -*138 _098_ -*139 _099_ -*140 _100_ -*141 _101_ -*142 _102_ -*143 _103_ -*144 _104_ -*145 _105_ -*146 _106_ -*147 _107_ -*148 _108_ -*149 _109_ -*150 _110_ -*151 _111_ -*152 _112_ -*153 _113_ -*154 _114_ -*155 _115_ -*156 _116_ -*157 _117_ -*158 _118_ -*159 _119_ -*160 _120_ -*161 _121_ -*162 _122_ -*163 _123_ -*164 _124_ -*165 _125_ -*166 _126_ -*167 _127_ -*168 _128_ -*169 _129_ -*170 _130_ -*171 _131_ -*172 _132_ -*173 _133_ -*174 _134_ -*175 _135_ -*176 _136_ -*177 _137_ -*178 _138_ -*179 _139_ -*180 _140_ -*181 _141_ -*182 _142_ -*183 _143_ -*184 _144_ -*185 _145_ -*186 _146_ -*187 _147_ -*188 _148_ -*189 _149_ -*190 _150_ -*191 _151_ -*192 _152_ -*193 _153_ -*194 _154_ -*195 _155_ -*196 _156_ -*197 _157_ -*198 _158_ -*199 _159_ -*200 _160_ -*201 _161_ -*202 _162_ -*203 _163_ -*204 _164_ -*205 _165_ -*206 _166_ -*207 _167_ -*208 _168_ -*209 _169_ -*210 _170_ -*211 _171_ -*212 _172_ -*213 _173_ -*214 _174_ -*215 _175_ -*216 _176_ -*217 _177_ -*218 _178_ -*219 _179_ -*220 _180_ -*221 _181_ -*222 _182_ -*223 _183_ -*224 _184_ -*225 _185_ -*226 _186_ -*227 _187_ -*228 _188_ -*229 _189_ -*230 _190_ -*231 _191_ -*232 _192_ -*233 _193_ -*234 _194_ -*235 _195_ -*236 _196_ -*237 _197_ -*238 _198_ -*239 _199_ -*240 _200_ -*241 _201_ -*242 _202_ -*243 _203_ -*244 _204_ -*245 _205_ -*246 _206_ -*247 _207_ -*248 _208_ -*249 _209_ -*250 _210_ -*251 _211_ -*252 _212_ -*253 _213_ -*254 pll_control\.clock -*255 pll_control\.count0\[0\] -*256 pll_control\.count0\[1\] -*257 pll_control\.count0\[2\] -*258 pll_control\.count0\[3\] -*259 pll_control\.count0\[4\] -*260 pll_control\.count1\[0\] -*261 pll_control\.count1\[1\] -*262 pll_control\.count1\[2\] -*263 pll_control\.count1\[3\] -*264 pll_control\.count1\[4\] -*265 pll_control\.oscbuf\[0\] -*266 pll_control\.oscbuf\[1\] -*267 pll_control\.oscbuf\[2\] -*268 pll_control\.prep\[0\] -*269 pll_control\.prep\[1\] -*270 pll_control\.prep\[2\] -*271 pll_control\.tint\[0\] -*272 pll_control\.tint\[1\] -*273 pll_control\.tint\[2\] -*274 pll_control\.tint\[3\] -*275 pll_control\.tint\[4\] -*276 pll_control\.tval\[0\] -*277 pll_control\.tval\[1\] -*278 ringosc\.c\[0\] -*279 ringosc\.c\[1\] -*280 ringosc\.clockp\[1\] -*281 ringosc\.dstage\[0\]\.id\.d0 -*282 ringosc\.dstage\[0\]\.id\.d1 -*283 ringosc\.dstage\[0\]\.id\.d2 -*284 ringosc\.dstage\[0\]\.id\.in -*285 ringosc\.dstage\[0\]\.id\.out -*286 ringosc\.dstage\[0\]\.id\.trim\[0\] -*287 ringosc\.dstage\[0\]\.id\.trim\[1\] -*288 ringosc\.dstage\[0\]\.id\.ts -*289 ringosc\.dstage\[10\]\.id\.d0 -*290 ringosc\.dstage\[10\]\.id\.d1 -*291 ringosc\.dstage\[10\]\.id\.d2 -*292 ringosc\.dstage\[10\]\.id\.in -*293 ringosc\.dstage\[10\]\.id\.out -*294 ringosc\.dstage\[10\]\.id\.trim\[0\] -*295 ringosc\.dstage\[10\]\.id\.trim\[1\] -*296 ringosc\.dstage\[10\]\.id\.ts -*297 ringosc\.dstage\[11\]\.id\.d0 -*298 ringosc\.dstage\[11\]\.id\.d1 -*299 ringosc\.dstage\[11\]\.id\.d2 -*300 ringosc\.dstage\[11\]\.id\.out -*301 ringosc\.dstage\[11\]\.id\.trim\[0\] -*302 ringosc\.dstage\[11\]\.id\.trim\[1\] -*303 ringosc\.dstage\[11\]\.id\.ts -*304 ringosc\.dstage\[1\]\.id\.d0 -*305 ringosc\.dstage\[1\]\.id\.d1 -*306 ringosc\.dstage\[1\]\.id\.d2 -*307 ringosc\.dstage\[1\]\.id\.out -*308 ringosc\.dstage\[1\]\.id\.trim\[0\] -*309 ringosc\.dstage\[1\]\.id\.trim\[1\] -*310 ringosc\.dstage\[1\]\.id\.ts -*311 ringosc\.dstage\[2\]\.id\.d0 -*312 ringosc\.dstage\[2\]\.id\.d1 -*313 ringosc\.dstage\[2\]\.id\.d2 -*314 ringosc\.dstage\[2\]\.id\.out -*315 ringosc\.dstage\[2\]\.id\.trim\[0\] -*316 ringosc\.dstage\[2\]\.id\.trim\[1\] -*317 ringosc\.dstage\[2\]\.id\.ts -*318 ringosc\.dstage\[3\]\.id\.d0 -*319 ringosc\.dstage\[3\]\.id\.d1 -*320 ringosc\.dstage\[3\]\.id\.d2 -*321 ringosc\.dstage\[3\]\.id\.out -*322 ringosc\.dstage\[3\]\.id\.trim\[0\] -*323 ringosc\.dstage\[3\]\.id\.trim\[1\] -*324 ringosc\.dstage\[3\]\.id\.ts -*325 ringosc\.dstage\[4\]\.id\.d0 -*326 ringosc\.dstage\[4\]\.id\.d1 -*327 ringosc\.dstage\[4\]\.id\.d2 -*328 ringosc\.dstage\[4\]\.id\.out -*329 ringosc\.dstage\[4\]\.id\.trim\[0\] -*330 ringosc\.dstage\[4\]\.id\.trim\[1\] -*331 ringosc\.dstage\[4\]\.id\.ts -*332 ringosc\.dstage\[5\]\.id\.d0 -*333 ringosc\.dstage\[5\]\.id\.d1 -*334 ringosc\.dstage\[5\]\.id\.d2 -*335 ringosc\.dstage\[5\]\.id\.out -*336 ringosc\.dstage\[5\]\.id\.trim\[0\] -*337 ringosc\.dstage\[5\]\.id\.trim\[1\] -*338 ringosc\.dstage\[5\]\.id\.ts -*339 ringosc\.dstage\[6\]\.id\.d0 -*340 ringosc\.dstage\[6\]\.id\.d1 -*341 ringosc\.dstage\[6\]\.id\.d2 -*342 ringosc\.dstage\[6\]\.id\.out -*343 ringosc\.dstage\[6\]\.id\.trim\[0\] -*344 ringosc\.dstage\[6\]\.id\.trim\[1\] -*345 ringosc\.dstage\[6\]\.id\.ts -*346 ringosc\.dstage\[7\]\.id\.d0 -*347 ringosc\.dstage\[7\]\.id\.d1 -*348 ringosc\.dstage\[7\]\.id\.d2 -*349 ringosc\.dstage\[7\]\.id\.out -*350 ringosc\.dstage\[7\]\.id\.trim\[0\] -*351 ringosc\.dstage\[7\]\.id\.trim\[1\] -*352 ringosc\.dstage\[7\]\.id\.ts -*353 ringosc\.dstage\[8\]\.id\.d0 -*354 ringosc\.dstage\[8\]\.id\.d1 -*355 ringosc\.dstage\[8\]\.id\.d2 -*356 ringosc\.dstage\[8\]\.id\.out -*357 ringosc\.dstage\[8\]\.id\.trim\[0\] -*358 ringosc\.dstage\[8\]\.id\.trim\[1\] -*359 ringosc\.dstage\[8\]\.id\.ts -*360 ringosc\.dstage\[9\]\.id\.d0 -*361 ringosc\.dstage\[9\]\.id\.d1 -*362 ringosc\.dstage\[9\]\.id\.d2 -*363 ringosc\.dstage\[9\]\.id\.trim\[0\] -*364 ringosc\.dstage\[9\]\.id\.trim\[1\] -*365 ringosc\.dstage\[9\]\.id\.ts -*366 ringosc\.iss\.ctrl0 -*367 ringosc\.iss\.d0 -*368 ringosc\.iss\.d1 -*369 ringosc\.iss\.d2 -*370 ringosc\.iss\.one -*371 ringosc\.iss\.reset -*372 ringosc\.iss\.trim\[0\] -*373 ringosc\.iss\.trim\[1\] -*374 ANTENNA__243__A -*375 ANTENNA__244__A -*376 ANTENNA__252__A -*377 ANTENNA__257__A_N -*378 ANTENNA__258__A -*379 ANTENNA__260__A1 -*380 ANTENNA__266__A -*381 ANTENNA__267__A1 -*382 ANTENNA__270__A1 -*383 ANTENNA__287__B1 -*384 ANTENNA__289__A1 -*385 ANTENNA__348__A -*386 ANTENNA__349__A -*387 ANTENNA__350__A -*388 ANTENNA__358__A -*389 ANTENNA__360__A2 -*390 ANTENNA__361__B -*391 ANTENNA__363__A2 -*392 ANTENNA__364__A2 -*393 ANTENNA__369__A2 -*394 ANTENNA__373__A2 -*395 ANTENNA__374__B1 -*396 ANTENNA__375__A2 -*397 ANTENNA__378__A2 -*398 ANTENNA__379__A -*399 ANTENNA__380__A2 -*400 ANTENNA__383__A2 -*401 ANTENNA__384__A_N -*402 ANTENNA__387__B1 -*403 ANTENNA__389__A2 -*404 ANTENNA__392__A1 -*405 ANTENNA__392__A2 -*406 ANTENNA__396__A2 -*407 ANTENNA__399__A2 -*408 ANTENNA__404__A1 -*409 ANTENNA__404__A2 -*410 ANTENNA__409__B1 -*411 ANTENNA__410__A1 -*412 ANTENNA__410__A2 -*413 ANTENNA__411__A2 -*414 ANTENNA__417__B1 -*415 ANTENNA__419__A1 -*416 ANTENNA__419__A2 -*417 ANTENNA__421__B1 -*418 ANTENNA__422__A1 -*419 ANTENNA__422__A2 -*420 ANTENNA__423__A2 -*421 ANTENNA__424__A2 -*422 ANTENNA__425__A -*423 ANTENNA__425__B -*424 ANTENNA__427__A -*425 ANTENNA__428__A -*426 ANTENNA__447__A -*427 ANTENNA__470__D -*428 ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A -*429 ANTENNA_ringosc\.ibufp00_A -*430 FILLER_0_111 -*431 FILLER_0_113 -*432 FILLER_0_117 -*433 FILLER_0_122 -*434 FILLER_0_134 -*435 FILLER_0_141 -*436 FILLER_0_145 -*437 FILLER_0_167 -*438 FILLER_0_169 -*439 FILLER_0_175 -*440 FILLER_0_183 -*441 FILLER_0_189 -*442 FILLER_0_24 -*443 FILLER_0_29 -*444 FILLER_0_3 -*445 FILLER_0_39 -*446 FILLER_0_43 -*447 FILLER_0_49 -*448 FILLER_0_55 -*449 FILLER_0_57 -*450 FILLER_0_60 -*451 FILLER_0_72 -*452 FILLER_0_78 -*453 FILLER_0_83 -*454 FILLER_0_85 -*455 FILLER_0_94 -*456 FILLER_10_110 -*457 FILLER_10_125 -*458 FILLER_10_136 -*459 FILLER_10_141 -*460 FILLER_10_155 -*461 FILLER_10_163 -*462 FILLER_10_177 -*463 FILLER_10_186 -*464 FILLER_10_19 -*465 FILLER_10_27 -*466 FILLER_10_29 -*467 FILLER_10_3 -*468 FILLER_10_34 -*469 FILLER_10_49 -*470 FILLER_10_64 -*471 FILLER_10_7 -*472 FILLER_10_79 -*473 FILLER_10_83 -*474 FILLER_10_85 -*475 FILLER_10_99 -*476 FILLER_11_106 -*477 FILLER_11_113 -*478 FILLER_11_123 -*479 FILLER_11_127 -*480 FILLER_11_137 -*481 FILLER_11_143 -*482 FILLER_11_154 -*483 FILLER_11_16 -*484 FILLER_11_160 -*485 FILLER_11_167 -*486 FILLER_11_169 -*487 FILLER_11_181 -*488 FILLER_11_186 -*489 FILLER_11_3 -*490 FILLER_11_30 -*491 FILLER_11_38 -*492 FILLER_11_45 -*493 FILLER_11_50 -*494 FILLER_11_55 -*495 FILLER_11_57 -*496 FILLER_11_6 -*497 FILLER_11_62 -*498 FILLER_11_70 -*499 FILLER_11_81 -*500 FILLER_11_90 -*501 FILLER_11_98 -*502 FILLER_12_107 -*503 FILLER_12_118 -*504 FILLER_12_133 -*505 FILLER_12_139 -*506 FILLER_12_141 -*507 FILLER_12_144 -*508 FILLER_12_159 -*509 FILLER_12_169 -*510 FILLER_12_189 -*511 FILLER_12_24 -*512 FILLER_12_29 -*513 FILLER_12_3 -*514 FILLER_12_35 -*515 FILLER_12_44 -*516 FILLER_12_49 -*517 FILLER_12_53 -*518 FILLER_12_68 -*519 FILLER_12_83 -*520 FILLER_12_85 -*521 FILLER_12_93 -*522 FILLER_12_99 -*523 FILLER_13_102 -*524 FILLER_13_107 -*525 FILLER_13_111 -*526 FILLER_13_113 -*527 FILLER_13_118 -*528 FILLER_13_123 -*529 FILLER_13_128 -*530 FILLER_13_138 -*531 FILLER_13_145 -*532 FILLER_13_15 -*533 FILLER_13_151 -*534 FILLER_13_161 -*535 FILLER_13_166 -*536 FILLER_13_169 -*537 FILLER_13_177 -*538 FILLER_13_185 -*539 FILLER_13_189 -*540 FILLER_13_3 -*541 FILLER_13_34 -*542 FILLER_13_47 -*543 FILLER_13_55 -*544 FILLER_13_57 -*545 FILLER_13_62 -*546 FILLER_13_70 -*547 FILLER_13_74 -*548 FILLER_13_81 -*549 FILLER_13_9 -*550 FILLER_13_90 -*551 FILLER_14_109 -*552 FILLER_14_113 -*553 FILLER_14_117 -*554 FILLER_14_124 -*555 FILLER_14_128 -*556 FILLER_14_137 -*557 FILLER_14_141 -*558 FILLER_14_150 -*559 FILLER_14_160 -*560 FILLER_14_164 -*561 FILLER_14_168 -*562 FILLER_14_17 -*563 FILLER_14_187 -*564 FILLER_14_27 -*565 FILLER_14_29 -*566 FILLER_14_3 -*567 FILLER_14_38 -*568 FILLER_14_44 -*569 FILLER_14_48 -*570 FILLER_14_56 -*571 FILLER_14_63 -*572 FILLER_14_70 -*573 FILLER_14_77 -*574 FILLER_14_83 -*575 FILLER_14_85 -*576 FILLER_14_89 -*577 FILLER_14_97 -*578 FILLER_15_102 -*579 FILLER_15_111 -*580 FILLER_15_113 -*581 FILLER_15_119 -*582 FILLER_15_127 -*583 FILLER_15_13 -*584 FILLER_15_134 -*585 FILLER_15_139 -*586 FILLER_15_151 -*587 FILLER_15_161 -*588 FILLER_15_167 -*589 FILLER_15_169 -*590 FILLER_15_17 -*591 FILLER_15_177 -*592 FILLER_15_186 -*593 FILLER_15_3 -*594 FILLER_15_36 -*595 FILLER_15_40 -*596 FILLER_15_44 -*597 FILLER_15_55 -*598 FILLER_15_57 -*599 FILLER_15_62 -*600 FILLER_15_72 -*601 FILLER_15_81 -*602 FILLER_15_85 -*603 FILLER_15_92 -*604 FILLER_16_100 -*605 FILLER_16_107 -*606 FILLER_16_114 -*607 FILLER_16_122 -*608 FILLER_16_127 -*609 FILLER_16_135 -*610 FILLER_16_139 -*611 FILLER_16_141 -*612 FILLER_16_150 -*613 FILLER_16_160 -*614 FILLER_16_166 -*615 FILLER_16_18 -*616 FILLER_16_181 -*617 FILLER_16_187 -*618 FILLER_16_27 -*619 FILLER_16_29 -*620 FILLER_16_3 -*621 FILLER_16_41 -*622 FILLER_16_46 -*623 FILLER_16_52 -*624 FILLER_16_59 -*625 FILLER_16_68 -*626 FILLER_16_72 -*627 FILLER_16_81 -*628 FILLER_16_85 -*629 FILLER_16_9 -*630 FILLER_16_91 -*631 FILLER_17_110 -*632 FILLER_17_113 -*633 FILLER_17_122 -*634 FILLER_17_129 -*635 FILLER_17_138 -*636 FILLER_17_14 -*637 FILLER_17_142 -*638 FILLER_17_149 -*639 FILLER_17_161 -*640 FILLER_17_167 -*641 FILLER_17_169 -*642 FILLER_17_179 -*643 FILLER_17_18 -*644 FILLER_17_188 -*645 FILLER_17_24 -*646 FILLER_17_29 -*647 FILLER_17_3 -*648 FILLER_17_38 -*649 FILLER_17_44 -*650 FILLER_17_55 -*651 FILLER_17_57 -*652 FILLER_17_62 -*653 FILLER_17_71 -*654 FILLER_17_82 -*655 FILLER_17_90 -*656 FILLER_17_99 -*657 FILLER_18_105 -*658 FILLER_18_115 -*659 FILLER_18_125 -*660 FILLER_18_129 -*661 FILLER_18_139 -*662 FILLER_18_141 -*663 FILLER_18_151 -*664 FILLER_18_158 -*665 FILLER_18_172 -*666 FILLER_18_181 -*667 FILLER_18_186 -*668 FILLER_18_22 -*669 FILLER_18_26 -*670 FILLER_18_29 -*671 FILLER_18_3 -*672 FILLER_18_48 -*673 FILLER_18_61 -*674 FILLER_18_72 -*675 FILLER_18_83 -*676 FILLER_18_85 -*677 FILLER_18_94 -*678 FILLER_18_98 -*679 FILLER_19_100 -*680 FILLER_19_108 -*681 FILLER_19_113 -*682 FILLER_19_122 -*683 FILLER_19_127 -*684 FILLER_19_136 -*685 FILLER_19_14 -*686 FILLER_19_145 -*687 FILLER_19_158 -*688 FILLER_19_162 -*689 FILLER_19_167 -*690 FILLER_19_169 -*691 FILLER_19_18 -*692 FILLER_19_189 -*693 FILLER_19_23 -*694 FILLER_19_3 -*695 FILLER_19_32 -*696 FILLER_19_41 -*697 FILLER_19_46 -*698 FILLER_19_55 -*699 FILLER_19_57 -*700 FILLER_19_60 -*701 FILLER_19_69 -*702 FILLER_19_73 -*703 FILLER_19_82 -*704 FILLER_19_88 -*705 FILLER_1_11 -*706 FILLER_1_111 -*707 FILLER_1_113 -*708 FILLER_1_135 -*709 FILLER_1_158 -*710 FILLER_1_165 -*711 FILLER_1_169 -*712 FILLER_1_186 -*713 FILLER_1_20 -*714 FILLER_1_3 -*715 FILLER_1_45 -*716 FILLER_1_49 -*717 FILLER_1_55 -*718 FILLER_1_57 -*719 FILLER_1_61 -*720 FILLER_1_76 -*721 FILLER_1_99 -*722 FILLER_20_106 -*723 FILLER_20_116 -*724 FILLER_20_120 -*725 FILLER_20_131 -*726 FILLER_20_138 -*727 FILLER_20_14 -*728 FILLER_20_141 -*729 FILLER_20_160 -*730 FILLER_20_167 -*731 FILLER_20_176 -*732 FILLER_20_18 -*733 FILLER_20_185 -*734 FILLER_20_189 -*735 FILLER_20_23 -*736 FILLER_20_27 -*737 FILLER_20_29 -*738 FILLER_20_3 -*739 FILLER_20_37 -*740 FILLER_20_47 -*741 FILLER_20_54 -*742 FILLER_20_74 -*743 FILLER_20_83 -*744 FILLER_20_85 -*745 FILLER_20_95 -*746 FILLER_21_100 -*747 FILLER_21_111 -*748 FILLER_21_113 -*749 FILLER_21_125 -*750 FILLER_21_129 -*751 FILLER_21_141 -*752 FILLER_21_147 -*753 FILLER_21_167 -*754 FILLER_21_169 -*755 FILLER_21_17 -*756 FILLER_21_184 -*757 FILLER_21_189 -*758 FILLER_21_3 -*759 FILLER_21_38 -*760 FILLER_21_51 -*761 FILLER_21_55 -*762 FILLER_21_57 -*763 FILLER_21_67 -*764 FILLER_21_87 -*765 FILLER_22_105 -*766 FILLER_22_109 -*767 FILLER_22_11 -*768 FILLER_22_113 -*769 FILLER_22_117 -*770 FILLER_22_127 -*771 FILLER_22_131 -*772 FILLER_22_139 -*773 FILLER_22_141 -*774 FILLER_22_149 -*775 FILLER_22_15 -*776 FILLER_22_154 -*777 FILLER_22_161 -*778 FILLER_22_166 -*779 FILLER_22_169 -*780 FILLER_22_177 -*781 FILLER_22_182 -*782 FILLER_22_186 -*783 FILLER_22_20 -*784 FILLER_22_27 -*785 FILLER_22_29 -*786 FILLER_22_3 -*787 FILLER_22_32 -*788 FILLER_22_41 -*789 FILLER_22_45 -*790 FILLER_22_51 -*791 FILLER_22_55 -*792 FILLER_22_57 -*793 FILLER_22_65 -*794 FILLER_22_71 -*795 FILLER_22_76 -*796 FILLER_22_83 -*797 FILLER_22_85 -*798 FILLER_22_94 -*799 FILLER_22_99 -*800 FILLER_2_103 -*801 FILLER_2_112 -*802 FILLER_2_139 -*803 FILLER_2_141 -*804 FILLER_2_146 -*805 FILLER_2_150 -*806 FILLER_2_173 -*807 FILLER_2_177 -*808 FILLER_2_187 -*809 FILLER_2_20 -*810 FILLER_2_27 -*811 FILLER_2_29 -*812 FILLER_2_3 -*813 FILLER_2_38 -*814 FILLER_2_48 -*815 FILLER_2_71 -*816 FILLER_2_79 -*817 FILLER_2_83 -*818 FILLER_2_85 -*819 FILLER_2_9 -*820 FILLER_2_92 -*821 FILLER_2_96 -*822 FILLER_3_110 -*823 FILLER_3_113 -*824 FILLER_3_120 -*825 FILLER_3_127 -*826 FILLER_3_131 -*827 FILLER_3_137 -*828 FILLER_3_14 -*829 FILLER_3_144 -*830 FILLER_3_167 -*831 FILLER_3_169 -*832 FILLER_3_176 -*833 FILLER_3_18 -*834 FILLER_3_187 -*835 FILLER_3_3 -*836 FILLER_3_33 -*837 FILLER_3_37 -*838 FILLER_3_47 -*839 FILLER_3_55 -*840 FILLER_3_57 -*841 FILLER_3_64 -*842 FILLER_3_72 -*843 FILLER_3_95 -*844 FILLER_4_102 -*845 FILLER_4_112 -*846 FILLER_4_135 -*847 FILLER_4_139 -*848 FILLER_4_141 -*849 FILLER_4_147 -*850 FILLER_4_151 -*851 FILLER_4_173 -*852 FILLER_4_185 -*853 FILLER_4_189 -*854 FILLER_4_20 -*855 FILLER_4_25 -*856 FILLER_4_29 -*857 FILLER_4_3 -*858 FILLER_4_43 -*859 FILLER_4_47 -*860 FILLER_4_58 -*861 FILLER_4_67 -*862 FILLER_4_74 -*863 FILLER_4_83 -*864 FILLER_4_85 -*865 FILLER_4_9 -*866 FILLER_4_93 -*867 FILLER_5_106 -*868 FILLER_5_113 -*869 FILLER_5_12 -*870 FILLER_5_120 -*871 FILLER_5_127 -*872 FILLER_5_134 -*873 FILLER_5_138 -*874 FILLER_5_144 -*875 FILLER_5_167 -*876 FILLER_5_169 -*877 FILLER_5_174 -*878 FILLER_5_181 -*879 FILLER_5_188 -*880 FILLER_5_3 -*881 FILLER_5_35 -*882 FILLER_5_46 -*883 FILLER_5_53 -*884 FILLER_5_57 -*885 FILLER_5_79 -*886 FILLER_5_85 -*887 FILLER_5_91 -*888 FILLER_5_98 -*889 FILLER_6_100 -*890 FILLER_6_108 -*891 FILLER_6_12 -*892 FILLER_6_130 -*893 FILLER_6_139 -*894 FILLER_6_141 -*895 FILLER_6_146 -*896 FILLER_6_169 -*897 FILLER_6_17 -*898 FILLER_6_177 -*899 FILLER_6_186 -*900 FILLER_6_21 -*901 FILLER_6_27 -*902 FILLER_6_29 -*903 FILLER_6_3 -*904 FILLER_6_32 -*905 FILLER_6_39 -*906 FILLER_6_46 -*907 FILLER_6_53 -*908 FILLER_6_76 -*909 FILLER_6_83 -*910 FILLER_6_85 -*911 FILLER_6_93 -*912 FILLER_7_108 -*913 FILLER_7_113 -*914 FILLER_7_135 -*915 FILLER_7_158 -*916 FILLER_7_162 -*917 FILLER_7_167 -*918 FILLER_7_169 -*919 FILLER_7_17 -*920 FILLER_7_172 -*921 FILLER_7_179 -*922 FILLER_7_186 -*923 FILLER_7_23 -*924 FILLER_7_27 -*925 FILLER_7_3 -*926 FILLER_7_34 -*927 FILLER_7_43 -*928 FILLER_7_51 -*929 FILLER_7_55 -*930 FILLER_7_57 -*931 FILLER_7_62 -*932 FILLER_7_85 -*933 FILLER_8_114 -*934 FILLER_8_126 -*935 FILLER_8_13 -*936 FILLER_8_130 -*937 FILLER_8_135 -*938 FILLER_8_139 -*939 FILLER_8_141 -*940 FILLER_8_147 -*941 FILLER_8_171 -*942 FILLER_8_180 -*943 FILLER_8_185 -*944 FILLER_8_189 -*945 FILLER_8_24 -*946 FILLER_8_29 -*947 FILLER_8_3 -*948 FILLER_8_37 -*949 FILLER_8_46 -*950 FILLER_8_55 -*951 FILLER_8_6 -*952 FILLER_8_61 -*953 FILLER_8_74 -*954 FILLER_8_81 -*955 FILLER_8_85 -*956 FILLER_8_98 -*957 FILLER_9_100 -*958 FILLER_9_107 -*959 FILLER_9_111 -*960 FILLER_9_113 -*961 FILLER_9_12 -*962 FILLER_9_123 -*963 FILLER_9_138 -*964 FILLER_9_145 -*965 FILLER_9_156 -*966 FILLER_9_160 -*967 FILLER_9_167 -*968 FILLER_9_169 -*969 FILLER_9_189 -*970 FILLER_9_22 -*971 FILLER_9_3 -*972 FILLER_9_32 -*973 FILLER_9_39 -*974 FILLER_9_49 -*975 FILLER_9_54 -*976 FILLER_9_57 -*977 FILLER_9_63 -*978 FILLER_9_67 -*979 FILLER_9_7 -*980 FILLER_9_72 -*981 FILLER_9_83 -*982 FILLER_9_94 -*983 PHY_0 -*984 PHY_1 -*985 PHY_10 -*986 PHY_11 -*987 PHY_12 -*988 PHY_13 -*989 PHY_14 -*990 PHY_15 -*991 PHY_16 -*992 PHY_17 -*993 PHY_18 -*994 PHY_19 -*995 PHY_2 -*996 PHY_20 -*997 PHY_21 -*998 PHY_22 -*999 PHY_23 -*1000 PHY_24 -*1001 PHY_25 -*1002 PHY_26 -*1003 PHY_27 -*1004 PHY_28 -*1005 PHY_29 -*1006 PHY_3 -*1007 PHY_30 -*1008 PHY_31 -*1009 PHY_32 -*1010 PHY_33 -*1011 PHY_34 -*1012 PHY_35 -*1013 PHY_36 -*1014 PHY_37 -*1015 PHY_38 -*1016 PHY_39 -*1017 PHY_4 -*1018 PHY_40 -*1019 PHY_41 -*1020 PHY_42 -*1021 PHY_43 -*1022 PHY_44 -*1023 PHY_45 -*1024 PHY_5 -*1025 PHY_6 -*1026 PHY_7 -*1027 PHY_8 -*1028 PHY_9 -*1029 TAP_100 -*1030 TAP_101 -*1031 TAP_102 -*1032 TAP_103 -*1033 TAP_104 -*1034 TAP_105 -*1035 TAP_106 -*1036 TAP_107 -*1037 TAP_108 -*1038 TAP_109 -*1039 TAP_110 -*1040 TAP_111 -*1041 TAP_112 -*1042 TAP_113 -*1043 TAP_114 -*1044 TAP_115 -*1045 TAP_116 -*1046 TAP_117 -*1047 TAP_118 -*1048 TAP_119 -*1049 TAP_120 -*1050 TAP_46 -*1051 TAP_47 -*1052 TAP_48 -*1053 TAP_49 -*1054 TAP_50 -*1055 TAP_51 -*1056 TAP_52 -*1057 TAP_53 -*1058 TAP_54 -*1059 TAP_55 -*1060 TAP_56 -*1061 TAP_57 -*1062 TAP_58 -*1063 TAP_59 -*1064 TAP_60 -*1065 TAP_61 -*1066 TAP_62 -*1067 TAP_63 -*1068 TAP_64 -*1069 TAP_65 -*1070 TAP_66 -*1071 TAP_67 -*1072 TAP_68 -*1073 TAP_69 -*1074 TAP_70 -*1075 TAP_71 -*1076 TAP_72 -*1077 TAP_73 -*1078 TAP_74 -*1079 TAP_75 -*1080 TAP_76 -*1081 TAP_77 -*1082 TAP_78 -*1083 TAP_79 -*1084 TAP_80 -*1085 TAP_81 -*1086 TAP_82 -*1087 TAP_83 -*1088 TAP_84 -*1089 TAP_85 -*1090 TAP_86 -*1091 TAP_87 -*1092 TAP_88 -*1093 TAP_89 -*1094 TAP_90 -*1095 TAP_91 -*1096 TAP_92 -*1097 TAP_93 -*1098 TAP_94 -*1099 TAP_95 -*1100 TAP_96 -*1101 TAP_97 -*1102 TAP_98 -*1103 TAP_99 -*1104 _214_ -*1105 _215_ -*1106 _216_ -*1107 _217_ -*1108 _218_ -*1109 _219_ -*1110 _220_ -*1111 _221_ -*1112 _222_ -*1113 _223_ -*1114 _224_ -*1115 _225_ -*1116 _226_ -*1117 _227_ -*1118 _228_ -*1119 _229_ -*1120 _230_ -*1121 _231_ -*1122 _232_ -*1123 _233_ -*1124 _234_ -*1125 _235_ -*1126 _236_ -*1127 _237_ -*1128 _238_ -*1129 _239_ -*1130 _240_ -*1131 _241_ -*1132 _242_ -*1133 _243_ -*1134 _244_ -*1135 _245_ -*1136 _246_ -*1137 _247_ -*1138 _248_ -*1139 _249_ -*1140 _250_ -*1141 _251_ -*1142 _252_ -*1143 _253_ -*1144 _254_ -*1145 _255_ -*1146 _256_ -*1147 _257_ -*1148 _258_ -*1149 _259_ -*1150 _260_ -*1151 _261_ -*1152 _262_ -*1153 _263_ -*1154 _264_ -*1155 _265_ -*1156 _266_ -*1157 _267_ -*1158 _268_ -*1159 _269_ -*1160 _270_ -*1161 _271_ -*1162 _272_ -*1163 _273_ -*1164 _274_ -*1165 _275_ -*1166 _276_ -*1167 _277_ -*1168 _278_ -*1169 _279_ -*1170 _280_ -*1171 _281_ -*1172 _282_ -*1173 _283_ -*1174 _284_ -*1175 _285_ -*1176 _286_ -*1177 _287_ -*1178 _288_ -*1179 _289_ -*1180 _290_ -*1181 _291_ -*1182 _292_ -*1183 _293_ -*1184 _294_ -*1185 _295_ -*1186 _296_ -*1187 _297_ -*1188 _298_ -*1189 _299_ -*1190 _300_ -*1191 _301_ -*1192 _302_ -*1193 _303_ -*1194 _304_ -*1195 _305_ -*1196 _306_ -*1197 _307_ -*1198 _308_ -*1199 _309_ -*1200 _310_ -*1201 _311_ -*1202 _312_ -*1203 _313_ -*1204 _314_ -*1205 _315_ -*1206 _316_ -*1207 _317_ -*1208 _318_ -*1209 _319_ -*1210 _320_ -*1211 _321_ -*1212 _322_ -*1213 _323_ -*1214 _324_ -*1215 _325_ -*1216 _326_ -*1217 _327_ -*1218 _328_ -*1219 _329_ -*1220 _330_ -*1221 _331_ -*1222 _332_ -*1223 _333_ -*1224 _334_ -*1225 _335_ -*1226 _336_ -*1227 _337_ -*1228 _338_ -*1229 _339_ -*1230 _340_ -*1231 _341_ -*1232 _342_ -*1233 _343_ -*1234 _344_ -*1235 _345_ -*1236 _346_ -*1237 _347_ -*1238 _348_ -*1239 _349_ -*1240 _350_ -*1241 _351_ -*1242 _352_ -*1243 _353_ -*1244 _354_ -*1245 _355_ -*1246 _356_ -*1247 _357_ -*1248 _358_ -*1249 _359_ -*1250 _360_ -*1251 _361_ -*1252 _362_ -*1253 _363_ -*1254 _364_ -*1255 _365_ -*1256 _366_ -*1257 _367_ -*1258 _368_ -*1259 _369_ -*1260 _370_ -*1261 _371_ -*1262 _372_ -*1263 _373_ -*1264 _374_ -*1265 _375_ -*1266 _376_ -*1267 _377_ -*1268 _378_ -*1269 _379_ -*1270 _380_ -*1271 _381_ -*1272 _382_ -*1273 _383_ -*1274 _384_ -*1275 _385_ -*1276 _386_ -*1277 _387_ -*1278 _388_ -*1279 _389_ -*1280 _390_ -*1281 _391_ -*1282 _392_ -*1283 _393_ -*1284 _394_ -*1285 _395_ -*1286 _396_ -*1287 _397_ -*1288 _398_ -*1289 _399_ -*1290 _400_ -*1291 _401_ -*1292 _402_ -*1293 _403_ -*1294 _404_ -*1295 _405_ -*1296 _406_ -*1297 _407_ -*1298 _408_ -*1299 _409_ -*1300 _410_ -*1301 _411_ -*1302 _412_ -*1303 _413_ -*1304 _414_ -*1305 _415_ -*1306 _416_ -*1307 _417_ -*1308 _418_ -*1309 _419_ -*1310 _420_ -*1311 _421_ -*1312 _422_ -*1313 _423_ -*1314 _424_ -*1315 _425_ -*1316 _426_ -*1317 _427_ -*1318 _428_ -*1319 _429_ -*1320 _430_ -*1321 _431_ -*1322 _432_ -*1323 _433_ -*1324 _434_ -*1325 _435_ -*1326 _436_ -*1327 _437_ -*1328 _438_ -*1329 _439_ -*1330 _440_ -*1331 _441_ -*1332 _442_ -*1333 _443_ -*1334 _444_ -*1335 _445_ -*1336 _446_ -*1337 _447_ -*1338 _448_ -*1339 _449_ -*1340 _450_ -*1341 _451_ -*1342 _452_ -*1343 _453_ -*1344 _454_ -*1345 _455_ -*1346 _456_ -*1347 _457_ -*1348 _458_ -*1349 _459_ -*1350 _460_ -*1351 _461_ -*1352 _462_ -*1353 _463_ -*1354 _464_ -*1355 _465_ -*1356 _466_ -*1357 _467_ -*1358 _468_ -*1359 _469_ -*1360 _470_ -*1361 _471_ -*1362 _472_ -*1363 _473_ -*1364 _474_ -*1365 _475_ -*1366 _476_ -*1367 _477_ -*1368 clockp_buffer_0 -*1369 clockp_buffer_1 -*1370 ringosc\.dstage\[0\]\.id\.delaybuf0 -*1371 ringosc\.dstage\[0\]\.id\.delaybuf1 -*1372 ringosc\.dstage\[0\]\.id\.delayen0 -*1373 ringosc\.dstage\[0\]\.id\.delayen1 -*1374 ringosc\.dstage\[0\]\.id\.delayenb0 -*1375 ringosc\.dstage\[0\]\.id\.delayenb1 -*1376 ringosc\.dstage\[0\]\.id\.delayint0 -*1377 ringosc\.dstage\[10\]\.id\.delaybuf0 -*1378 ringosc\.dstage\[10\]\.id\.delaybuf1 -*1379 ringosc\.dstage\[10\]\.id\.delayen0 -*1380 ringosc\.dstage\[10\]\.id\.delayen1 -*1381 ringosc\.dstage\[10\]\.id\.delayenb0 -*1382 ringosc\.dstage\[10\]\.id\.delayenb1 -*1383 ringosc\.dstage\[10\]\.id\.delayint0 -*1384 ringosc\.dstage\[11\]\.id\.delaybuf0 -*1385 ringosc\.dstage\[11\]\.id\.delaybuf1 -*1386 ringosc\.dstage\[11\]\.id\.delayen0 -*1387 ringosc\.dstage\[11\]\.id\.delayen1 -*1388 ringosc\.dstage\[11\]\.id\.delayenb0 -*1389 ringosc\.dstage\[11\]\.id\.delayenb1 -*1390 ringosc\.dstage\[11\]\.id\.delayint0 -*1391 ringosc\.dstage\[1\]\.id\.delaybuf0 -*1392 ringosc\.dstage\[1\]\.id\.delaybuf1 -*1393 ringosc\.dstage\[1\]\.id\.delayen0 -*1394 ringosc\.dstage\[1\]\.id\.delayen1 -*1395 ringosc\.dstage\[1\]\.id\.delayenb0 -*1396 ringosc\.dstage\[1\]\.id\.delayenb1 -*1397 ringosc\.dstage\[1\]\.id\.delayint0 -*1398 ringosc\.dstage\[2\]\.id\.delaybuf0 -*1399 ringosc\.dstage\[2\]\.id\.delaybuf1 -*1400 ringosc\.dstage\[2\]\.id\.delayen0 -*1401 ringosc\.dstage\[2\]\.id\.delayen1 -*1402 ringosc\.dstage\[2\]\.id\.delayenb0 -*1403 ringosc\.dstage\[2\]\.id\.delayenb1 -*1404 ringosc\.dstage\[2\]\.id\.delayint0 -*1405 ringosc\.dstage\[3\]\.id\.delaybuf0 -*1406 ringosc\.dstage\[3\]\.id\.delaybuf1 -*1407 ringosc\.dstage\[3\]\.id\.delayen0 -*1408 ringosc\.dstage\[3\]\.id\.delayen1 -*1409 ringosc\.dstage\[3\]\.id\.delayenb0 -*1410 ringosc\.dstage\[3\]\.id\.delayenb1 -*1411 ringosc\.dstage\[3\]\.id\.delayint0 -*1412 ringosc\.dstage\[4\]\.id\.delaybuf0 -*1413 ringosc\.dstage\[4\]\.id\.delaybuf1 -*1414 ringosc\.dstage\[4\]\.id\.delayen0 -*1415 ringosc\.dstage\[4\]\.id\.delayen1 -*1416 ringosc\.dstage\[4\]\.id\.delayenb0 -*1417 ringosc\.dstage\[4\]\.id\.delayenb1 -*1418 ringosc\.dstage\[4\]\.id\.delayint0 -*1419 ringosc\.dstage\[5\]\.id\.delaybuf0 -*1420 ringosc\.dstage\[5\]\.id\.delaybuf1 -*1421 ringosc\.dstage\[5\]\.id\.delayen0 -*1422 ringosc\.dstage\[5\]\.id\.delayen1 -*1423 ringosc\.dstage\[5\]\.id\.delayenb0 -*1424 ringosc\.dstage\[5\]\.id\.delayenb1 -*1425 ringosc\.dstage\[5\]\.id\.delayint0 -*1426 ringosc\.dstage\[6\]\.id\.delaybuf0 -*1427 ringosc\.dstage\[6\]\.id\.delaybuf1 -*1428 ringosc\.dstage\[6\]\.id\.delayen0 -*1429 ringosc\.dstage\[6\]\.id\.delayen1 -*1430 ringosc\.dstage\[6\]\.id\.delayenb0 -*1431 ringosc\.dstage\[6\]\.id\.delayenb1 -*1432 ringosc\.dstage\[6\]\.id\.delayint0 -*1433 ringosc\.dstage\[7\]\.id\.delaybuf0 -*1434 ringosc\.dstage\[7\]\.id\.delaybuf1 -*1435 ringosc\.dstage\[7\]\.id\.delayen0 -*1436 ringosc\.dstage\[7\]\.id\.delayen1 -*1437 ringosc\.dstage\[7\]\.id\.delayenb0 -*1438 ringosc\.dstage\[7\]\.id\.delayenb1 -*1439 ringosc\.dstage\[7\]\.id\.delayint0 -*1440 ringosc\.dstage\[8\]\.id\.delaybuf0 -*1441 ringosc\.dstage\[8\]\.id\.delaybuf1 -*1442 ringosc\.dstage\[8\]\.id\.delayen0 -*1443 ringosc\.dstage\[8\]\.id\.delayen1 -*1444 ringosc\.dstage\[8\]\.id\.delayenb0 -*1445 ringosc\.dstage\[8\]\.id\.delayenb1 -*1446 ringosc\.dstage\[8\]\.id\.delayint0 -*1447 ringosc\.dstage\[9\]\.id\.delaybuf0 -*1448 ringosc\.dstage\[9\]\.id\.delaybuf1 -*1449 ringosc\.dstage\[9\]\.id\.delayen0 -*1450 ringosc\.dstage\[9\]\.id\.delayen1 -*1451 ringosc\.dstage\[9\]\.id\.delayenb0 -*1452 ringosc\.dstage\[9\]\.id\.delayenb1 -*1453 ringosc\.dstage\[9\]\.id\.delayint0 -*1454 ringosc\.ibufp00 -*1455 ringosc\.ibufp01 -*1456 ringosc\.ibufp10 -*1457 ringosc\.ibufp11 -*1458 ringosc\.iss\.const1 -*1459 ringosc\.iss\.ctrlen0 -*1460 ringosc\.iss\.delaybuf0 -*1461 ringosc\.iss\.delayen0 -*1462 ringosc\.iss\.delayen1 -*1463 ringosc\.iss\.delayenb0 -*1464 ringosc\.iss\.delayenb1 -*1465 ringosc\.iss\.delayint0 -*1466 ringosc\.iss\.reseten0 - -*PORTS -clockp[0] O -clockp[1] O -dco I -div[0] I -div[1] I -div[2] I -div[3] I -div[4] I -enable I -ext_trim[0] I -ext_trim[10] I -ext_trim[11] I -ext_trim[12] I -ext_trim[13] I -ext_trim[14] I -ext_trim[15] I -ext_trim[16] I -ext_trim[17] I -ext_trim[18] I -ext_trim[19] I -ext_trim[1] I -ext_trim[20] I -ext_trim[21] I -ext_trim[22] I -ext_trim[23] I -ext_trim[24] I -ext_trim[25] I -ext_trim[2] I -ext_trim[3] I -ext_trim[4] I -ext_trim[5] I -ext_trim[6] I -ext_trim[7] I -ext_trim[8] I -ext_trim[9] I -osc I -resetb I - -*D_NET *3 0.000474819 -*CONN -*P clockp[0] O -*I *1368:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 clockp[0] 0.00023741 -2 *1368:X 0.00023741 -3 clockp[0] clockp[1] 0 -*RES -1 *1368:X clockp[0] 5.16003 -*END - -*D_NET *4 0.00559356 -*CONN -*P clockp[1] O -*I *1369:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 clockp[1] 0.00157164 -2 *1369:X 0.00157164 -3 clockp[1] *375:DIODE 0 -4 clockp[1] *377:DIODE 8.08153e-06 -5 clockp[1] *1117:A 3.97116e-05 -6 clockp[1] *1145:B 0.00010415 -7 clockp[1] *1146:A 0.000169534 -8 clockp[1] *1147:C 0.000160544 -9 clockp[1] *1161:A3 0 -10 clockp[1] *1177:A2 2.68721e-05 -11 clockp[1] *1180:A_N 3.87661e-05 -12 clockp[1] *1180:B 0.00117711 -13 clockp[1] *1181:C 0 -14 clockp[1] *6:7 0 -15 clockp[1] *6:8 9.29751e-06 -16 clockp[1] *6:10 9.3062e-06 -17 clockp[1] *11:10 0.000706922 -18 clockp[1] *112:9 0 -19 clockp[1] *254:8 0 -20 clockp[0] clockp[1] 0 -*RES -1 *1369:X clockp[1] 27.0606 -*END - -*D_NET *5 0.0175954 -*CONN -*P dco I -*I *388:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1238:A I *D sky130_fd_sc_hd__buf_2 -*I *1248:A I *D sky130_fd_sc_hd__nor2_2 -*I *1264:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *390:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1251:B I *D sky130_fd_sc_hd__nor2_2 -*I *1269:A I *D sky130_fd_sc_hd__buf_2 -*I *398:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *395:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *386:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1239:A I *D sky130_fd_sc_hd__buf_2 -*I *385:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *426:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1337:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 dco 0.00104114 -2 *388:DIODE 0.000162877 -3 *1238:A 6.90267e-05 -4 *1248:A 0.000119557 -5 *1264:B1 7.92119e-05 -6 *390:DIODE 0.000256773 -7 *1251:B 0 -8 *1269:A 0 -9 *398:DIODE 0 -10 *395:DIODE 0 -11 *386:DIODE 0 -12 *1239:A 0 -13 *385:DIODE 0 -14 *426:DIODE 3.38648e-05 -15 *1337:A 0.000174135 -16 *5:113 0.00063794 -17 *5:96 0.000431053 -18 *5:90 0.000680374 -19 *5:74 0.000818385 -20 *5:65 0.000390175 -21 *5:56 0.000492688 -22 *5:44 0.000500469 -23 *5:18 0.000343123 -24 *5:16 0.000221846 -25 *5:14 0.000414961 -26 *5:13 0.000316947 -27 *5:11 0.000425039 -28 *5:8 0.00156003 -29 *388:DIODE *1395:TE_B 0 -30 *388:DIODE *36:20 2.16389e-05 -31 *388:DIODE *200:28 0.000101417 -32 *390:DIODE *1291:B 0.000104657 -33 *390:DIODE *30:23 5.07131e-05 -34 *390:DIODE *234:7 6.71882e-05 -35 *390:DIODE *275:30 0.000113372 -36 *426:DIODE *135:13 4.82919e-05 -37 *1238:A *1275:B1 0.000118294 -38 *1238:A *37:16 0.000237249 -39 *1248:A *1242:A 0.000120073 -40 *1248:A *1279:A1 2.05056e-05 -41 *1248:A *13:13 8.26614e-05 -42 *1248:A *30:23 5.05521e-05 -43 *1264:B1 *1267:A1 0.000135916 -44 *1264:B1 *1275:A3 0 -45 *1337:A *387:DIODE 0 -46 *1337:A *1158:A 0 -47 *1337:A *135:8 0 -48 *1337:A *135:13 0.000354725 -49 *5:8 *1374:TE_B 0 -50 *5:8 *1395:TE_B 0 -51 *5:8 *11:10 0 -52 *5:8 *12:11 0 -53 *5:8 *307:8 0 -54 *5:11 *1274:A_N 4.82863e-05 -55 *5:11 *36:20 0.0001249 -56 *5:11 *37:16 0.000912504 -57 *5:11 *307:8 3.39496e-05 -58 *5:11 *310:13 0.000250194 -59 *5:14 *401:DIODE 7.70938e-05 -60 *5:14 *1241:A2 2.10433e-05 -61 *5:14 *1241:B1 4.30771e-05 -62 *5:14 *1242:A 1.1561e-05 -63 *5:14 *13:13 1.52184e-05 -64 *5:14 *198:16 0.000169022 -65 *5:14 *287:8 0 -66 *5:16 *387:DIODE 0 -67 *5:16 *1192:A 0 -68 *5:18 *387:DIODE 0 -69 *5:18 *1192:A 0 -70 *5:44 *1240:B 2.01737e-05 -71 *5:44 *198:5 5.71589e-05 -72 *5:56 *1169:A 0.000127727 -73 *5:56 *1188:A 0.000168739 -74 *5:56 *1192:A 2.61975e-05 -75 *5:56 *139:38 4.66108e-05 -76 *5:56 *198:5 8.64599e-05 -77 *5:65 *1173:A 5.53549e-06 -78 *5:65 *1175:B 7.03276e-05 -79 *5:65 *1241:A2 4.11685e-05 -80 *5:65 *1267:A1 0.000157764 -81 *5:65 *139:38 7.26398e-05 -82 *5:74 *1173:B 0.000320231 -83 *5:74 *1264:A1 2.66972e-05 -84 *5:74 *141:21 0.000266368 -85 *5:74 *294:23 8.23457e-05 -86 *5:90 *416:DIODE 0.000122817 -87 *5:90 *1168:B 4.89395e-05 -88 *5:90 *1168:D 0.000194869 -89 *5:90 *1244:A_N 0.000128542 -90 *5:90 *1284:A2 7.06865e-05 -91 *5:90 *139:34 8.28018e-06 -92 *5:90 *140:33 0.000275862 -93 *5:90 *273:47 0.000277815 -94 *5:90 *294:23 0.000490862 -95 *5:96 *416:DIODE 0.000308645 -96 *5:96 *273:47 0.000295991 -97 *5:113 *416:DIODE 0.000157939 -98 *5:113 *1182:B1 0.000146385 -99 *5:113 *1184:A 9.387e-06 -100 *5:113 *1196:A 4.89295e-05 -101 *5:113 *1211:B 4.41506e-05 -102 *5:113 *1291:B 2.95721e-05 -103 *5:113 *140:33 0.000255557 -104 *5:113 *145:24 0.000134242 -105 *5:113 *163:57 2.97872e-06 -106 *5:113 *170:7 1.64886e-05 -107 *5:113 *273:47 0.00010962 -108 *5:113 *275:30 0.000336985 -*RES -1 dco *5:8 8.98383 -2 *5:8 *5:11 12.455 -3 *5:11 *5:13 2 -4 *5:13 *5:14 4.695 -5 *5:14 *5:16 1.38 -6 *5:16 *5:18 2.145 -7 *5:18 *1337:A 7.425 -8 *5:18 *426:DIODE 4.05 -9 *5:16 *385:DIODE 3.6 -10 *5:14 *5:44 4.535 -11 *5:44 *1239:A 1.6 -12 *5:44 *5:56 5.76 -13 *5:56 *386:DIODE 1.6 -14 *5:56 *5:65 4.685 -15 *5:65 *5:74 10.44 -16 *5:74 *395:DIODE 1.6 -17 *5:74 *5:90 16.81 -18 *5:90 *398:DIODE 1.6 -19 *5:90 *5:96 2.865 -20 *5:96 *1269:A 1.6 -21 *5:96 *5:113 12.05 -22 *5:113 *1251:B 3.6 -23 *5:113 *390:DIODE 7.5 -24 *5:65 *1264:B1 5.235 -25 *5:13 *1248:A 6.645 -26 *5:11 *1238:A 4.135 -27 *5:8 *388:DIODE 6.765 -*END - -*D_NET *6 0.00793027 -*CONN -*P div[0] I -*I *377:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1147:A_N I *D sky130_fd_sc_hd__nand3b_2 -*I *1177:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *383:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[0] 0.000538977 -2 *377:DIODE 0.000635545 -3 *1147:A_N 0 -4 *1177:B1 5.86175e-05 -5 *383:DIODE 0.00137401 -6 *6:10 0.00152862 -7 *6:8 0.000159223 -8 *6:7 0.00123776 -9 *377:DIODE *375:DIODE 9.71067e-05 -10 *377:DIODE *1138:B 0.000164874 -11 *377:DIODE *1146:A 4.82919e-05 -12 *377:DIODE *1147:C 0.000308682 -13 *377:DIODE *1178:D 3.6974e-05 -14 *377:DIODE *1180:B 4.98015e-05 -15 *377:DIODE *95:8 3.7445e-05 -16 *383:DIODE *1116:S 0.000383657 -17 *383:DIODE *1117:A 0.000116158 -18 *383:DIODE *1368:A 0 -19 *383:DIODE *84:11 0.000431333 -20 *1177:B1 *1144:A 0.000119631 -21 *1177:B1 *1144:B 3.69753e-05 -22 *1177:B1 *1177:A2 2.75145e-05 -23 *1177:B1 *1178:D 5.30673e-05 -24 *6:7 *7:7 0 -25 *6:8 *1147:C 0.000148957 -26 *6:8 *1178:D 9.02483e-05 -27 *6:10 *1117:A 0.000153193 -28 *6:10 *1178:D 6.6925e-05 -29 clockp[1] *377:DIODE 8.08153e-06 -30 clockp[1] *6:7 0 -31 clockp[1] *6:8 9.29751e-06 -32 clockp[1] *6:10 9.3062e-06 -*RES -1 div[0] *6:7 1.88827 -2 *6:7 *6:8 2.0175 -3 *6:8 *6:10 2.145 -4 *6:10 *383:DIODE 25.795 -5 *6:10 *1177:B1 5.79 -6 *6:8 *1147:A_N 3.6 -7 *6:7 *377:DIODE 15.0375 -*END - -*D_NET *7 0.00598037 -*CONN -*P div[1] I -*I *378:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *376:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1148:A I *D sky130_fd_sc_hd__and2_2 -*I *1142:A I *D sky130_fd_sc_hd__inv_2 -*CAP -1 div[1] 0.000266313 -2 *378:DIODE 0.000654632 -3 *376:DIODE 0.000376833 -4 *1148:A 3.76639e-05 -5 *1142:A 8.11481e-05 -6 *7:28 0.00145141 -7 *7:19 0.000587824 -8 *7:7 0.000477674 -9 *376:DIODE *1141:B 7.27003e-05 -10 *376:DIODE *1146:A 0 -11 *376:DIODE *1315:B 2.61115e-05 -12 *376:DIODE *112:9 0.000198514 -13 *378:DIODE *1127:A 0.000232502 -14 *378:DIODE *1127:B 3.3485e-05 -15 *378:DIODE *1131:A2 8.40269e-05 -16 *378:DIODE *1153:A 0.000161837 -17 *378:DIODE *1153:B 9.80032e-06 -18 *378:DIODE *112:9 5.79514e-05 -19 *1142:A *1148:B 0 -20 *1142:A *1178:A_N 6.44566e-05 -21 *7:7 *8:7 0 -22 *7:19 *1148:B 0 -23 *7:19 *1150:D1 0.000156923 -24 *7:19 *1178:A_N 0.000129255 -25 *7:19 *122:8 0.00010682 -26 *7:19 *254:8 0 -27 *7:28 *1110:A 0.000134515 -28 *7:28 *1148:B 0.000122817 -29 *7:28 *1315:A 0.000455159 -30 *6:7 *7:7 0 -*RES -1 div[1] *7:7 1.24733 -2 *7:7 *1142:A 5.0475 -3 *7:7 *7:19 5.5475 -4 *7:19 *1148:A 2.05 -5 *7:19 *7:28 10.73 -6 *7:28 *376:DIODE 10.455 -7 *7:28 *378:DIODE 12.33 -*END - -*D_NET *8 0.00194382 -*CONN -*P div[2] I -*I *375:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1134:A I *D sky130_fd_sc_hd__inv_2 -*CAP -1 div[2] 0.000535284 -2 *375:DIODE 0.000233972 -3 *1134:A 9.23e-06 -4 *8:7 0.000778487 -5 *375:DIODE *1149:A2 0.000151905 -6 *375:DIODE *1178:D 9.71067e-05 -7 *375:DIODE *254:8 3.06341e-05 -8 *1134:A *254:8 1.00941e-05 -9 *8:7 *9:5 0 -10 clockp[1] *375:DIODE 0 -11 *377:DIODE *375:DIODE 9.71067e-05 -12 *7:7 *8:7 0 -*RES -1 div[2] *8:7 1.77173 -2 *8:7 *1134:A 3.8325 -3 *8:7 *375:DIODE 9.3825 -*END - -*D_NET *9 0.00482803 -*CONN -*P div[3] I -*I *1179:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *384:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1133:A I *D sky130_fd_sc_hd__inv_2 -*I *374:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1157:A1 I *D sky130_fd_sc_hd__o21ba_2 -*I *381:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1150:A1 I *D sky130_fd_sc_hd__o2111a_2 -*I *379:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[3] 0.000226123 -2 *1179:A1 0.000193709 -3 *384:DIODE 7.33664e-05 -4 *1133:A 0 -5 *374:DIODE 0.000214406 -6 *1157:A1 0 -7 *381:DIODE 7.95331e-05 -8 *1150:A1 0 -9 *379:DIODE 0 -10 *9:57 0.0010629 -11 *9:33 7.95331e-05 -12 *9:31 0.000356771 -13 *9:20 0.000235553 -14 *9:17 0.000255584 -15 *9:10 0.00028806 -16 *9:5 0.00114762 -17 *374:DIODE *1157:A2 4.43871e-06 -18 *374:DIODE *1161:A3 0 -19 *374:DIODE *1369:A 0 -20 *1179:A1 *1132:A 0 -21 *1179:A1 *1132:B 0 -22 *1179:A1 *1160:A1 1.70935e-05 -23 *1179:A1 *1179:B1 4.31189e-05 -24 *1179:A1 *1180:C 5.4003e-05 -25 *1179:A1 *106:5 6.03947e-06 -26 *1179:A1 *112:9 8.17448e-05 -27 *1179:A1 *134:5 6.03947e-06 -28 *1179:A1 *284:45 0 -29 *9:5 *10:10 0 -30 *9:10 *1150:D1 0.000238226 -31 *9:20 *1150:C1 0 -32 *9:20 *1150:D1 6.44307e-05 -33 *9:20 *1157:B1_N 0 -34 *9:20 *113:10 0 -35 *9:20 *114:8 0 -36 *9:31 *1150:D1 8.08153e-06 -37 *9:31 *1157:A2 9.16602e-05 -38 *9:31 *1157:B1_N 0 -39 *9:57 *10:10 0 -40 *8:7 *9:5 0 -*RES -1 div[3] *9:5 0.6308 -2 *9:5 *9:10 5.2825 -3 *9:10 *379:DIODE 1.6 -4 *9:10 *9:17 2.355 -5 *9:17 *9:20 3.89 -6 *9:20 *1150:A1 3.6 -7 *9:20 *9:31 2.955 -8 *9:31 *9:33 2 -9 *9:33 *381:DIODE 2.74 -10 *9:33 *1157:A1 1.6 -11 *9:31 *374:DIODE 7.02 -12 *9:17 *1133:A 1.6 -13 *9:5 *9:57 1.87813 -14 *9:57 *384:DIODE 4.395 -15 *9:57 *1179:A1 7.38375 -*END - -*D_NET *10 0.00468466 -*CONN -*P div[4] I -*I *382:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1156:A I *D sky130_fd_sc_hd__and2_2 -*I *1160:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *380:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[4] 0.000329381 -2 *382:DIODE 5.5474e-05 -3 *1156:A 5.08223e-05 -4 *1160:A1 0.000232019 -5 *380:DIODE 0 -6 *10:23 0.000282842 -7 *10:21 0.000680808 -8 *10:10 0.000954715 -9 *382:DIODE *1156:B 8.35106e-05 -10 *1156:A *1156:B 0.000160635 -11 *1156:A *1180:C 1.44259e-05 -12 *1156:A *1180:D 4.9968e-05 -13 *1156:A *284:45 0.000160635 -14 *1160:A1 *1132:A 0.00010239 -15 *1160:A1 *1132:B 7.89128e-05 -16 *1160:A1 *1154:A1 1.2404e-05 -17 *1160:A1 *1160:A2 0.000109851 -18 *1160:A1 *1180:C 3.69334e-05 -19 *1160:A1 *1180:D 9.30717e-05 -20 *1160:A1 *106:5 4.34203e-05 -21 *1160:A1 *134:5 0.000357572 -22 *1160:A1 *135:8 1.34503e-05 -23 *10:10 *1150:D1 0.000150149 -24 *10:10 *11:10 0 -25 *10:21 *1150:B1 8.8266e-05 -26 *10:21 *1150:D1 0.000152305 -27 *10:21 *1156:B 0.000246778 -28 *10:21 *1161:A1 4.66108e-05 -29 *10:21 *106:13 2.39856e-05 -30 *10:21 *130:7 4.05907e-05 -31 *10:21 *284:45 1.56406e-05 -32 *1179:A1 *1160:A1 1.70935e-05 -33 *9:5 *10:10 0 -34 *9:57 *10:10 0 -*RES -1 div[4] *10:10 4.8933 -2 *10:10 *380:DIODE 1.6 -3 *10:10 *10:21 9.555 -4 *10:21 *10:23 2 -5 *10:23 *1160:A1 10.5075 -6 *10:23 *1156:A 5.7 -7 *10:21 *382:DIODE 2.395 -*END - -*D_NET *11 0.00432806 -*CONN -*P enable I -*I *422:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1315:A I *D sky130_fd_sc_hd__nand2_2 -*CAP -1 enable 0.00103871 -2 *422:DIODE 0 -3 *1315:A 0.000365658 -4 *11:10 0.00140437 -5 *1315:A *1110:A 5.65909e-05 -6 *11:10 *429:DIODE 4.57897e-05 -7 *11:10 *1139:B2 3.40521e-05 -8 *11:10 *1161:A2 0.000212854 -9 *11:10 *1180:A_N 7.96104e-06 -10 *11:10 *108:8 0 -11 *11:10 *113:10 0 -12 clockp[1] *11:10 0.000706922 -13 *5:8 *11:10 0 -14 *7:28 *1315:A 0.000455159 -15 *10:10 *11:10 0 -*RES -1 enable *11:10 12.3973 -2 *11:10 *1315:A 8.2 -3 *11:10 *422:DIODE 1.6 -*END - -*D_NET *12 0.00358374 -*CONN -*P ext_trim[0] I -*I *1240:A I *D sky130_fd_sc_hd__nand2_2 -*I *387:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[0] 0.00126945 -2 *1240:A 8.29484e-05 -3 *387:DIODE 0.000181979 -4 *12:11 0.00153438 -5 *387:DIODE *1372:A 0.000115726 -6 *387:DIODE *1373:TE 3.50033e-05 -7 *387:DIODE *288:8 0 -8 *1240:A *1240:B 5.19006e-06 -9 *1240:A *1241:B1 2.88357e-05 -10 *12:11 *1374:A 0.000161467 -11 *12:11 *23:10 0 -12 *12:11 *285:9 2.19432e-05 -13 *12:11 *288:11 0.000146814 -14 *1337:A *387:DIODE 0 -15 *5:8 *12:11 0 -16 *5:16 *387:DIODE 0 -17 *5:18 *387:DIODE 0 -*RES -1 ext_trim[0] *12:11 11.6132 -2 *12:11 *387:DIODE 9.02 -3 *12:11 *1240:A 3.1 -*END - -*D_NET *13 0.00515201 -*CONN -*P ext_trim[10] I -*I *1274:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *401:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[10] 0.00102648 -2 *1274:A_N 2.12116e-05 -3 *401:DIODE 0.000697237 -4 *13:13 0.00174493 -5 *401:DIODE *1374:TE_B 0.000122155 -6 *401:DIODE *286:5 0.000376264 -7 *401:DIODE *287:8 0 -8 *401:DIODE *310:8 8.49205e-05 -9 *13:13 *1415:A 0.000433578 -10 *13:13 *1415:Z 1.07797e-05 -11 *13:13 *1427:A 0 -12 *13:13 *1429:A 0.000112306 -13 *13:13 *1431:TE_B 0.000157258 -14 *13:13 *287:8 0 -15 *13:13 *331:12 7.59777e-05 -16 *13:13 *331:17 1.38207e-05 -17 *13:13 *352:21 5.18356e-05 -18 *1248:A *13:13 8.26614e-05 -19 *5:11 *1274:A_N 4.82863e-05 -20 *5:14 *401:DIODE 7.70938e-05 -21 *5:14 *13:13 1.52184e-05 -*RES -1 ext_trim[10] *13:13 19.83 -2 *13:13 *401:DIODE 13.62 -3 *13:13 *1274:A_N 4.05 -*END - -*D_NET *14 0.00325674 -*CONN -*P ext_trim[11] I -*I *402:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1277:B1 I *D sky130_fd_sc_hd__a32o_2 -*CAP -1 ext_trim[11] 0.000358978 -2 *402:DIODE 6.99692e-05 -3 *1277:B1 0.000482979 -4 *14:9 0.000911926 -5 *402:DIODE *1407:TE 0.000158564 -6 *402:DIODE *1427:A 4.02438e-05 -7 *402:DIODE *337:9 2.747e-05 -8 *402:DIODE *352:21 0.000305077 -9 *1277:B1 *412:DIODE 1.21256e-05 -10 *1277:B1 *1250:B1 2.54431e-05 -11 *1277:B1 *1254:B1 0.000225285 -12 *1277:B1 *1268:B1 0.000100392 -13 *1277:B1 *1277:A2 7.79652e-06 -14 *1277:B1 *1277:A3 0 -15 *1277:B1 *1277:B2 2.49909e-05 -16 *1277:B1 *1427:A 2.50751e-05 -17 *1277:B1 *36:27 4.67984e-05 -18 *1277:B1 *206:30 6.57278e-05 -19 *1277:B1 *212:19 8.3303e-07 -20 *1277:B1 *330:21 0.000152419 -21 *1277:B1 *331:17 0 -22 *14:9 *412:DIODE 4.91459e-05 -23 *14:9 *1268:B1 5.65627e-05 -24 *14:9 *1427:A 5.98099e-05 -25 *14:9 *15:14 4.91319e-05 -*RES -1 ext_trim[11] *14:9 6.69 -2 *14:9 *1277:B1 13.845 -3 *14:9 *402:DIODE 6.465 -*END - -*D_NET *15 0.00450941 -*CONN -*P ext_trim[12] I -*I *403:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1279:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[12] 0.00103533 -2 *403:DIODE 0 -3 *1279:A2 0.000172892 -4 *15:14 0.00120822 -5 *1279:A2 *1242:A 0 -6 *1279:A2 *1279:B1 4.62396e-05 -7 *1279:A2 *1415:TE 0 -8 *1279:A2 *36:27 4.8446e-05 -9 *1279:A2 *197:28 0 -10 *15:14 *1267:B1 0.000240723 -11 *15:14 *1268:B1 0.000449292 -12 *15:14 *1427:A 4.60584e-05 -13 *15:14 *1429:A 0.000316421 -14 *15:14 *1435:TE 5.74727e-05 -15 *15:14 *36:27 5.02126e-06 -16 *15:14 *197:28 0.000113531 -17 *15:14 *197:53 0.000309927 -18 *15:14 *207:20 9.0444e-05 -19 *15:14 *309:21 9.27495e-05 -20 *15:14 *317:24 0.00015639 -21 *15:14 *350:10 7.11294e-05 -22 *14:9 *15:14 4.91319e-05 -*RES -1 ext_trim[12] *15:14 26.565 -2 *15:14 *1279:A2 8.51 -3 *15:14 *403:DIODE 1.6 -*END - -*D_NET *16 0.00330405 -*CONN -*P ext_trim[13] I -*I *1282:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *405:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[13] 0.000677512 -2 *1282:A2 0 -3 *405:DIODE 0.00015406 -4 *16:8 0.000831572 -5 *405:DIODE *404:DIODE 0.00019901 -6 *405:DIODE *1267:A1 0.000122817 -7 *405:DIODE *1267:A2 3.51744e-05 -8 *405:DIODE *287:8 2.10214e-05 -9 *16:8 *399:DIODE 0.000185757 -10 *16:8 *413:DIODE 0.000160111 -11 *16:8 *414:DIODE 0.000122821 -12 *16:8 *1304:A2 4.77807e-05 -13 *16:8 *1304:C1 8.41384e-05 -14 *16:8 *1304:D1 4.42148e-05 -15 *16:8 *1307:A2 8.53011e-05 -16 *16:8 *1434:A 8.11357e-05 -17 *16:8 *36:27 4.35008e-05 -18 *16:8 *206:60 2.62258e-05 -19 *16:8 *226:132 0.0003819 -*RES -1 ext_trim[13] *16:8 16.895 -2 *16:8 *405:DIODE 8.915 -3 *16:8 *1282:A2 1.6 -*END - -*D_NET *17 0.00423947 -*CONN -*P ext_trim[14] I -*I *406:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1286:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[14] 0.000773598 -2 *406:DIODE 0.000349707 -3 *1286:A2 5.02871e-05 -4 *17:7 0.00117359 -5 *406:DIODE *1246:B 4.36573e-06 -6 *406:DIODE *1258:A1 5.196e-05 -7 *406:DIODE *1258:A2 8.12896e-06 -8 *406:DIODE *1261:A 4.66108e-05 -9 *406:DIODE *1273:B1 3.96653e-05 -10 *406:DIODE *164:15 3.59531e-06 -11 *406:DIODE *213:5 4.4487e-05 -12 *406:DIODE *215:8 1.12578e-05 -13 *406:DIODE *221:39 0.000127727 -14 *406:DIODE *317:24 0.000368116 -15 *1286:A2 *1273:B1 0.00021213 -16 *1286:A2 *1286:A1 6.23711e-05 -17 *1286:A2 *317:24 7.8555e-05 -18 *17:7 *1258:C1 5.69248e-06 -19 *17:7 *1261:A 0 -20 *17:7 *1270:B1 6.05751e-05 -21 *17:7 *1270:B2 5.20406e-05 -22 *17:7 *1273:B1 2.3917e-05 -23 *17:7 *1273:B2 0.000175277 -24 *17:7 *1304:C1 0 -25 *17:7 *1406:A 5.65627e-05 -26 *17:7 *1409:A 1.96485e-05 -27 *17:7 *24:31 0 -28 *17:7 *212:55 0.000105903 -29 *17:7 *215:8 5.41812e-05 -30 *17:7 *226:132 0.000255874 -31 *17:7 *324:8 2.36463e-05 -32 *17:7 *357:13 0 -*RES -1 ext_trim[14] *17:7 15.95 -2 *17:7 *1286:A2 3.58 -3 *17:7 *406:DIODE 12.47 -*END - -*D_NET *18 0.00513414 -*CONN -*P ext_trim[15] I -*I *407:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1289:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[15] 0.000342728 -2 *407:DIODE 0.00103622 -3 *1289:A2 3.71043e-05 -4 *18:5 0.00141605 -5 *407:DIODE *1289:B1 2.61431e-05 -6 *407:DIODE *1309:A2 0.000110184 -7 *407:DIODE *1443:A 8.64599e-05 -8 *407:DIODE *1443:TE 0.000168757 -9 *407:DIODE *1443:Z 5.09431e-05 -10 *407:DIODE *1445:TE_B 1.74876e-05 -11 *407:DIODE *1447:A 8.52977e-05 -12 *407:DIODE *24:31 0.000900673 -13 *407:DIODE *226:88 8.97028e-05 -14 *407:DIODE *226:114 0.00023003 -15 *407:DIODE *316:8 4.89395e-05 -16 *1289:A2 *1261:A 4.78726e-05 -17 *1289:A2 *1289:B1 2.75827e-05 -18 *1289:A2 *24:31 2.85364e-05 -19 *18:5 *412:DIODE 9.3584e-05 -20 *18:5 *1261:A 1.99808e-05 -21 *18:5 *1300:B1 2.48381e-05 -22 *18:5 *1401:TE 8.0209e-05 -23 *18:5 *21:11 8.97486e-05 -24 *18:5 *312:8 0 -25 *18:5 *316:8 7.50642e-05 -*RES -1 ext_trim[15] *18:5 7.575 -2 *18:5 *1289:A2 4.47 -3 *18:5 *407:DIODE 24.99 -*END - -*D_NET *19 0.00446947 -*CONN -*P ext_trim[16] I -*I *1294:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *409:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[16] 0.000518255 -2 *1294:A2 0 -3 *409:DIODE 0.000754701 -4 *19:5 0.00127296 -5 *409:DIODE *391:DIODE 0.000256313 -6 *409:DIODE *1211:B 0.000138808 -7 *409:DIODE *1253:C1 0 -8 *409:DIODE *1271:A 0 -9 *409:DIODE *1287:A 3.98755e-05 -10 *409:DIODE *1287:B 0 -11 *409:DIODE *1297:C 0.00040806 -12 *409:DIODE *1298:B2 0.000136884 -13 *409:DIODE *1308:A3 0.000151603 -14 *409:DIODE *1314:B2 0.000112446 -15 *409:DIODE *139:10 0 -16 *409:DIODE *163:8 0.000100073 -17 *409:DIODE *197:69 0 -18 *409:DIODE *236:8 1.1747e-05 -19 *409:DIODE *315:8 0.000177867 -20 *19:5 *1400:TE 0.000149294 -21 *19:5 *1400:Z 4.24833e-05 -22 *19:5 *24:31 0 -23 *19:5 *226:114 0.000190518 -24 *19:5 *312:8 0 -25 *19:5 *315:8 7.58798e-06 -*RES -1 ext_trim[16] *19:5 9.87 -2 *19:5 *409:DIODE 19.65 -3 *19:5 *1294:A2 3.6 -*END - -*D_NET *20 0.0065511 -*CONN -*P ext_trim[17] I -*I *1299:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *410:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[17] 0.000538844 -2 *1299:B1 5.71111e-05 -3 *410:DIODE 0.00078973 -4 *20:9 0.00138569 -5 *410:DIODE *1295:C 0.000158851 -6 *410:DIODE *1298:A1 0.000185468 -7 *410:DIODE *1299:A2 2.10382e-05 -8 *410:DIODE *1299:A3 7.40886e-05 -9 *410:DIODE *1309:A2 6.07968e-05 -10 *410:DIODE *1311:B1 4.98769e-05 -11 *410:DIODE *1356:D 0.000646508 -12 *410:DIODE *1461:TE 0.00020779 -13 *410:DIODE *29:10 0.00028474 -14 *410:DIODE *211:40 4.04634e-05 -15 *410:DIODE *272:10 3.04982e-05 -16 *410:DIODE *275:15 0 -17 *410:DIODE *301:17 0.000211179 -18 *410:DIODE *364:9 0.000143266 -19 *410:DIODE *372:14 0.00101827 -20 *1299:B1 *226:102 0.000108753 -21 *1299:B1 *363:17 0.000202682 -22 *20:9 *1309:A2 3.97568e-05 -23 *20:9 *1399:A 0 -24 *20:9 *1402:A 0 -25 *20:9 *1442:TE 0 -26 *20:9 *1442:Z 9.51905e-05 -27 *20:9 *1447:A 7.27447e-05 -28 *20:9 *24:31 0 -29 *20:9 *314:9 1.29292e-05 -30 *20:9 *359:8 0.000114839 -*RES -1 ext_trim[17] *20:9 10.425 -2 *20:9 *410:DIODE 28.125 -3 *20:9 *1299:B1 5.505 -*END - -*D_NET *21 0.00999538 -*CONN -*P ext_trim[18] I -*I *1300:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *412:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[18] 0.0018208 -2 *1300:A2 0 -3 *412:DIODE 0.000938478 -4 *21:11 0.00275928 -5 *412:DIODE *1268:A1 7.23602e-05 -6 *412:DIODE *1268:B1 7.11818e-05 -7 *412:DIODE *1300:B1 0.000172818 -8 *412:DIODE *1407:A 5.8403e-05 -9 *412:DIODE *1407:Z 0.000194921 -10 *412:DIODE *1408:A 2.21074e-05 -11 *412:DIODE *1408:TE 0.000322374 -12 *412:DIODE *1437:TE_B 4.77807e-05 -13 *412:DIODE *1438:A 3.75203e-05 -14 *412:DIODE *319:9 0.00017226 -15 *412:DIODE *331:12 7.4027e-05 -16 *412:DIODE *337:9 0.00133152 -17 *412:DIODE *352:21 0.000703062 -18 *412:DIODE *352:33 0.000535524 -19 *412:DIODE *357:13 0.000268146 -20 *21:11 *1300:B1 2.06767e-05 -21 *21:11 *24:31 0.000127531 -22 *1277:B1 *412:DIODE 1.21256e-05 -23 *14:9 *412:DIODE 4.91459e-05 -24 *18:5 *412:DIODE 9.3584e-05 -25 *18:5 *21:11 8.97486e-05 -*RES -1 ext_trim[18] *21:11 25.72 -2 *21:11 *412:DIODE 41 -3 *21:11 *1300:A2 3.6 -*END - -*D_NET *22 0.00983325 -*CONN -*P ext_trim[19] I -*I *1301:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *413:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[19] 0.00364142 -2 *1301:A2 2.16016e-05 -3 *413:DIODE 0.000315847 -4 *22:8 0.00397887 -5 *413:DIODE *1304:A2 1.06022e-05 -6 *413:DIODE *1304:B1 0.000130644 -7 *413:DIODE *1304:C1 0 -8 *413:DIODE *1409:A 3.83338e-05 -9 *413:DIODE *36:27 2.96556e-06 -10 *413:DIODE *197:66 8.97802e-05 -11 *413:DIODE *207:15 0.000172689 -12 *1301:A2 *1270:A2 7.92982e-06 -13 *1301:A2 *1304:C1 2.92298e-05 -14 *1301:A2 *1409:A 3.89692e-05 -15 *1301:A2 *24:31 6.8725e-06 -16 *22:8 *1409:A 0.000191246 -17 *22:8 *1443:A 0 -18 *22:8 *24:31 0.000498127 -19 *22:8 *25:7 0 -20 *22:8 *197:66 0.00049801 -21 *22:8 *301:17 0 -22 *16:8 *413:DIODE 0.000160111 -*RES -1 ext_trim[19] *22:8 13.5428 -2 *22:8 *413:DIODE 8.42857 -3 *22:8 *1301:A2 4.71125 -*END - -*D_NET *23 0.00103318 -*CONN -*P ext_trim[1] I -*I *1250:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *389:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[1] 0.000387291 -2 *1250:A2 0.000129297 -3 *389:DIODE 0 -4 *23:10 0.000516588 -5 *23:10 *1456:A 0 -6 *23:10 *30:23 0 -7 *12:11 *23:10 0 -*RES -1 ext_trim[1] *23:10 5.00983 -2 *23:10 *389:DIODE 1.6 -3 *23:10 *1250:A2 3.445 -*END - -*D_NET *24 0.0099532 -*CONN -*P ext_trim[20] I -*I *414:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1307:B1 I *D sky130_fd_sc_hd__a32o_2 -*CAP -1 ext_trim[20] 0.00234431 -2 *414:DIODE 0.000175128 -3 *1307:B1 4.2098e-06 -4 *24:31 0.00252365 -5 *414:DIODE *1307:A3 4.39783e-05 -6 *414:DIODE *1409:A 5.87594e-05 -7 *414:DIODE *197:53 1.39456e-05 -8 *414:DIODE *197:66 2.06578e-05 -9 *414:DIODE *226:132 0.000107904 -10 *1307:B1 *1307:A3 2.87433e-05 -11 *24:31 *1260:B 0.000179207 -12 *24:31 *1261:A 6.34835e-05 -13 *24:31 *1270:A2 1.48144e-05 -14 *24:31 *1289:B1 0.000423469 -15 *24:31 *1301:B2 0 -16 *24:31 *1304:C1 0.000122821 -17 *24:31 *1307:A3 0.000119873 -18 *24:31 *1399:A 0.00011642 -19 *24:31 *1400:Z 0 -20 *24:31 *1402:A 5.59034e-05 -21 *24:31 *1409:A 0.000312607 -22 *24:31 *197:66 0.000488385 -23 *24:31 *226:132 0.000435554 -24 *24:31 *233:27 0.000421202 -25 *24:31 *344:13 0.000108326 -26 *24:31 *357:13 8.52972e-05 -27 *407:DIODE *24:31 0.000900673 -28 *1289:A2 *24:31 2.85364e-05 -29 *1301:A2 *24:31 6.8725e-06 -30 *16:8 *414:DIODE 0.000122821 -31 *17:7 *24:31 0 -32 *19:5 *24:31 0 -33 *20:9 *24:31 0 -34 *21:11 *24:31 0.000127531 -35 *22:8 *24:31 0.000498127 -*RES -1 ext_trim[20] *24:31 35.0631 -2 *24:31 *1307:B1 1.8775 -3 *24:31 *414:DIODE 8.915 -*END - -*D_NET *25 0.00995573 -*CONN -*P ext_trim[21] I -*I *1309:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *416:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[21] 0.00101072 -2 *1309:A2 0.000310181 -3 *416:DIODE 0.00153592 -4 *25:7 0.00285682 -5 *416:DIODE *1196:A 6.12722e-06 -6 *416:DIODE *1210:A2 0.00049517 -7 *416:DIODE *1298:A1 8.40269e-05 -8 *416:DIODE *1309:B1 0 -9 *416:DIODE *1312:B1 9.0059e-05 -10 *416:DIODE *1379:TE 0 -11 *416:DIODE *1380:TE 0 -12 *416:DIODE *1383:A 0 -13 *416:DIODE *1453:A 0 -14 *416:DIODE *139:34 3.61362e-05 -15 *416:DIODE *140:8 9.19455e-05 -16 *416:DIODE *140:17 0.00011828 -17 *416:DIODE *140:33 0.000564783 -18 *416:DIODE *156:8 9.82354e-05 -19 *416:DIODE *198:41 5.34855e-05 -20 *416:DIODE *208:34 0.000250645 -21 *416:DIODE *220:81 0.000604053 -22 *416:DIODE *236:8 3.25153e-05 -23 *416:DIODE *273:47 1.83975e-05 -24 *416:DIODE *301:17 0.000571324 -25 *1309:A2 *1447:A 8.64565e-05 -26 *1309:A2 *1452:A 0 -27 *1309:A2 *301:17 4.15452e-05 -28 *1309:A2 *365:11 0.000198758 -29 *407:DIODE *1309:A2 0.000110184 -30 *410:DIODE *1309:A2 6.07968e-05 -31 *5:90 *416:DIODE 0.000122817 -32 *5:96 *416:DIODE 0.000308645 -33 *5:113 *416:DIODE 0.000157939 -34 *20:9 *1309:A2 3.97568e-05 -35 *22:8 *25:7 0 -*RES -1 ext_trim[21] *25:7 2.64573 -2 *25:7 *416:DIODE 33.9228 -3 *25:7 *1309:A2 10.2825 -*END - -*D_NET *26 0.00699961 -*CONN -*P ext_trim[22] I -*I *1311:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *417:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[22] 0.00139917 -2 *1311:B1 0.00022955 -3 *417:DIODE 0.000912788 -4 *26:9 0.00254151 -5 *417:DIODE *1189:A 2.46669e-05 -6 *417:DIODE *1210:A2 6.62584e-06 -7 *417:DIODE *1210:C1 2.69153e-05 -8 *417:DIODE *1252:B 0.000118081 -9 *417:DIODE *1253:C1 2.53191e-06 -10 *417:DIODE *1313:B1 0.000121659 -11 *417:DIODE *92:43 7.25014e-05 -12 *417:DIODE *140:17 1.64962e-05 -13 *417:DIODE *140:33 0.000224284 -14 *417:DIODE *209:5 0.000194921 -15 *417:DIODE *211:40 3.54277e-05 -16 *417:DIODE *220:81 5.29301e-05 -17 *1311:B1 *1298:A1 0.000396457 -18 *1311:B1 *1299:A1 0.00018471 -19 *1311:B1 *1299:A2 1.54111e-05 -20 *1311:B1 *1310:B 4.97019e-05 -21 *1311:B1 *1311:A3 8.17801e-05 -22 *1311:B1 *1311:B2 9.64638e-06 -23 *1311:B1 *29:10 1.92979e-05 -24 *1311:B1 *92:54 4.27883e-06 -25 *1311:B1 *139:83 0.000165861 -26 *26:9 *211:40 2.86375e-05 -27 *26:9 *372:14 1.38912e-05 -28 *410:DIODE *1311:B1 4.98769e-05 -*RES -1 ext_trim[22] *26:9 2.7436 -2 *26:9 *417:DIODE 14.8503 -3 *26:9 *1311:B1 10.985 -*END - -*D_NET *27 0.00374647 -*CONN -*P ext_trim[23] I -*I *1312:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *419:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[23] 0.000435803 -2 *1312:A2 0.000611738 -3 *419:DIODE 0.000192714 -4 *27:7 0.00124026 -5 *419:DIODE *1460:A 9.69572e-05 -6 *419:DIODE *1462:A 4.82919e-05 -7 *419:DIODE *284:8 4.18603e-06 -8 *419:DIODE *284:15 4.70343e-05 -9 *419:DIODE *284:33 7.1932e-06 -10 *1312:A2 *1181:B 0 -11 *1312:A2 *1379:A 6.12852e-05 -12 *1312:A2 *1379:TE 0.00012657 -13 *1312:A2 *1379:Z 4.49328e-05 -14 *1312:A2 *1381:A 0.000206116 -15 *1312:A2 *1384:A 7.21085e-05 -16 *1312:A2 *1387:A 2.39947e-05 -17 *1312:A2 *1387:Z 0 -18 *1312:A2 *1460:A 1.54862e-05 -19 *1312:A2 *226:78 0 -20 *1312:A2 *284:33 8.99024e-05 -21 *1312:A2 *300:14 9.70704e-05 -22 *1312:A2 *300:16 5.30144e-05 -23 *1312:A2 *303:6 0.000130213 -24 *1312:A2 *303:10 0.000141606 -*RES -1 ext_trim[23] *27:7 1.53867 -2 *27:7 *419:DIODE 7.2825 -3 *27:7 *1312:A2 18.1575 -*END - -*D_NET *28 0.0047249 -*CONN -*P ext_trim[24] I -*I *1313:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *420:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[24] 0.00122252 -2 *1313:A2 0.000581646 -3 *420:DIODE 0 -4 *28:10 0.00180416 -5 *1313:A2 *1165:A 0.000121023 -6 *1313:A2 *1189:A 9.20682e-05 -7 *1313:A2 *1384:A 4.89395e-05 -8 *1313:A2 *1387:A 0.000271121 -9 *1313:A2 *1387:TE 5.04019e-05 -10 *1313:A2 *139:5 2.06914e-05 -11 *1313:A2 *302:5 0.00029102 -12 *28:10 *425:DIODE 1.05174e-05 -13 *28:10 *1106:A 0.000106494 -14 *28:10 *1387:A 0 -15 *28:10 *1460:A 0 -16 *28:10 *1461:A 0 -17 *28:10 *1462:A 0.000104299 -18 *28:10 *1466:A 0 -19 *28:10 *284:8 0 -20 *28:10 *300:14 0 -*RES -1 ext_trim[24] *28:10 20.4483 -2 *28:10 *420:DIODE 1.6 -3 *28:10 *1313:A2 13.75 -*END - -*D_NET *29 0.00754832 -*CONN -*P ext_trim[25] I -*I *421:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1314:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[25] 0.00233445 -2 *421:DIODE 9.18165e-05 -3 *1314:A2 1.57537e-05 -4 *29:10 0.00244202 -5 *421:DIODE *1309:B1 0.000193806 -6 *1314:A2 *1309:B1 1.92062e-05 -7 *29:10 *427:DIODE 0 -8 *29:10 *1199:A 0.000181376 -9 *29:10 *1201:A1 7.16181e-05 -10 *29:10 *1313:A1 9.05535e-05 -11 *29:10 *1339:A 0 -12 *29:10 *1356:D 0.000641778 -13 *29:10 *1359:D 0.000197176 -14 *29:10 *1359:RESET_B 9.05592e-05 -15 *29:10 *1361:RESET_B 0 -16 *29:10 *38:5 0 -17 *29:10 *84:9 0.00020722 -18 *29:10 *92:8 2.46007e-05 -19 *29:10 *92:30 9.3268e-05 -20 *29:10 *139:83 6.08857e-05 -21 *29:10 *252:79 0.00048819 -22 *29:10 *253:71 0 -23 *29:10 *275:15 0 -24 *29:10 *276:13 0 -25 *410:DIODE *29:10 0.00028474 -26 *1311:B1 *29:10 1.92979e-05 -*RES -1 ext_trim[25] *29:10 33.7296 -2 *29:10 *1314:A2 1.855 -3 *29:10 *421:DIODE 3.43 -*END - -*D_NET *30 0.0126196 -*CONN -*P ext_trim[2] I -*I *1253:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *391:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[2] 0.00325075 -2 *1253:A2 8.85165e-05 -3 *391:DIODE 0.000285752 -4 *30:23 0.00362502 -5 *391:DIODE *1252:A 7.49443e-05 -6 *391:DIODE *1308:A3 0.00015327 -7 *391:DIODE *1314:B1 4.7284e-05 -8 *391:DIODE *139:10 0 -9 *391:DIODE *198:58 8.22481e-05 -10 *391:DIODE *236:8 0.000368659 -11 *1253:A2 *1253:A1 3.18332e-05 -12 *1253:A2 *315:8 2.75229e-05 -13 *30:23 *1170:A 4.66108e-05 -14 *30:23 *1242:A 1.47256e-05 -15 *30:23 *1245:B 0.000189684 -16 *30:23 *1248:B 1.61831e-05 -17 *30:23 *1257:A 9.09962e-05 -18 *30:23 *1257:B 3.09774e-05 -19 *30:23 *1263:B1 0.000423596 -20 *30:23 *1279:A1 5.06647e-05 -21 *30:23 *1291:B 4.99619e-05 -22 *30:23 *1393:Z 4.66108e-05 -23 *30:23 *1394:A 0.0002705 -24 *30:23 *1396:A 9.20336e-05 -25 *30:23 *1398:A 3.11859e-05 -26 *30:23 *31:11 0 -27 *30:23 *37:16 6.21023e-05 -28 *30:23 *144:9 0.000120838 -29 *30:23 *144:11 0.000233366 -30 *30:23 *200:5 0.000192229 -31 *30:23 *203:10 1.83847e-05 -32 *30:23 *206:8 0.000175166 -33 *30:23 *234:7 0.000120655 -34 *30:23 *275:42 0.000197264 -35 *30:23 *307:8 2.92496e-05 -36 *30:23 *315:8 5.83121e-06 -37 *30:23 *372:14 0.00171739 -38 *390:DIODE *30:23 5.07131e-05 -39 *409:DIODE *391:DIODE 0.000256313 -40 *1248:A *30:23 5.05521e-05 -41 *23:10 *30:23 0 -*RES -1 ext_trim[2] *30:23 46.5217 -2 *30:23 *391:DIODE 13.61 -3 *30:23 *1253:A2 3.1 -*END - -*D_NET *31 0.00440238 -*CONN -*P ext_trim[3] I -*I *392:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1254:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[3] 0.00103088 -2 *392:DIODE 0.00031797 -3 *1254:A2 4.53393e-05 -4 *31:11 0.00139418 -5 *392:DIODE *1429:A 0 -6 *392:DIODE *1429:Z 6.45499e-05 -7 *1254:A2 *1259:B1 5.87399e-05 -8 *1254:A2 *1418:A 0.00012398 -9 *1254:A2 *200:39 1.5379e-05 -10 *1254:A2 *287:8 8.53011e-05 -11 *31:11 *1259:B1 0.000303624 -12 *31:11 *1263:B1 0.000259022 -13 *31:11 *1414:A 0.00012398 -14 *31:11 *1416:TE_B 0.000100869 -15 *31:11 *32:8 0 -16 *31:11 *37:16 1.92631e-05 -17 *31:11 *200:39 0.000459305 -18 *30:23 *31:11 0 -*RES -1 ext_trim[3] *31:11 11.7315 -2 *31:11 *1254:A2 3.445 -3 *31:11 *392:DIODE 10.805 -*END - -*D_NET *32 0.00187917 -*CONN -*P ext_trim[4] I -*I *1259:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *393:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[4] 0.000755767 -2 *1259:A2 0 -3 *393:DIODE 8.44022e-05 -4 *32:8 0.000840169 -5 *393:DIODE *1265:A1 2.98644e-05 -6 *393:DIODE *37:16 0 -7 *393:DIODE *200:28 0.000137364 -8 *32:8 *1265:A1 3.16059e-05 -9 *32:8 *33:11 0 -10 *32:8 *37:16 0 -11 *31:11 *32:8 0 -*RES -1 ext_trim[4] *32:8 2.78363 -2 *32:8 *393:DIODE 5.49 -3 *32:8 *1259:A2 3.6 -*END - -*D_NET *33 0.00271544 -*CONN -*P ext_trim[5] I -*I *394:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1263:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[5] 0.000915061 -2 *394:DIODE 0 -3 *1263:A2 9.20479e-05 -4 *33:11 0.00100711 -5 *1263:A2 *1263:A1 5.83121e-06 -6 *33:11 *1422:A 0.000144704 -7 *33:11 *1423:A 0.000139899 -8 *33:11 *34:8 0 -9 *33:11 *36:20 0.000269109 -10 *33:11 *200:28 0 -11 *33:11 *338:13 0.000141674 -12 *32:8 *33:11 0 -*RES -1 ext_trim[5] *33:11 12.3108 -2 *33:11 *1263:A2 2.74 -3 *33:11 *394:DIODE 1.6 -*END - -*D_NET *34 0.00249634 -*CONN -*P ext_trim[6] I -*I *396:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1265:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[6] 0.000984279 -2 *396:DIODE 0 -3 *1265:A2 0.000127369 -4 *34:8 0.00111165 -5 *1265:A2 *1265:A1 0.000114328 -6 *1265:A2 *345:9 1.28874e-05 -7 *34:8 *1420:A 0 -8 *34:8 *35:10 0.000109836 -9 *34:8 *36:20 0 -10 *34:8 *37:16 0 -11 *34:8 *345:9 3.59904e-05 -12 *33:11 *34:8 0 -*RES -1 ext_trim[6] *34:8 6.60863 -2 *34:8 *1265:A2 6.3 -3 *34:8 *396:DIODE 3.6 -*END - -*D_NET *35 0.00438235 -*CONN -*P ext_trim[7] I -*I *397:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1268:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[7] 0.00112049 -2 *397:DIODE 0 -3 *1268:A2 0.000437793 -4 *35:10 0.00155828 -5 *1268:A2 *1428:TE 0.0001249 -6 *1268:A2 *1429:A 0.000390466 -7 *1268:A2 *1432:A 0.000236643 -8 *1268:A2 *1433:A 0.000195039 -9 *1268:A2 *331:12 0.00011964 -10 *35:10 *1420:A 1.83756e-05 -11 *35:10 *1428:A 1.03423e-05 -12 *35:10 *1432:A 6.05513e-05 -13 *34:8 *35:10 0.000109836 -*RES -1 ext_trim[7] *35:10 15.8 -2 *35:10 *1268:A2 12.34 -3 *35:10 *397:DIODE 1.6 -*END - -*D_NET *36 0.0138332 -*CONN -*P ext_trim[8] I -*I *1270:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *399:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[8] 0.00215024 -2 *1270:A2 0.000542673 -3 *399:DIODE 0.000425961 -4 *36:27 0.00219867 -5 *36:20 0.00338027 -6 *399:DIODE *1307:A1 1.37527e-05 -7 *399:DIODE *1411:A 4.82863e-05 -8 *399:DIODE *1436:A 8.49205e-05 -9 *399:DIODE *1440:A 0.000296151 -10 *399:DIODE *226:132 2.17425e-05 -11 *399:DIODE *347:10 6.07402e-05 -12 *1270:A2 *1270:A1 0.000143509 -13 *1270:A2 *1301:A1 0.000196077 -14 *1270:A2 *1409:A 0.000195457 -15 *1270:A2 *233:27 2.12497e-05 -16 *36:20 *1250:B1 0.000169341 -17 *36:20 *1263:A1 1.82563e-05 -18 *36:20 *1393:A 0.000162782 -19 *36:20 *1394:TE 7.27778e-05 -20 *36:20 *1395:TE_B 6.10014e-05 -21 *36:20 *1397:A 8.49936e-05 -22 *36:20 *1398:A 6.72854e-05 -23 *36:20 *1420:A 0 -24 *36:20 *1421:A 0.000156416 -25 *36:20 *1422:A 0.000284668 -26 *36:20 *1456:A 0 -27 *36:20 *37:16 5.00612e-05 -28 *36:20 *200:28 0.000206152 -29 *36:20 *309:21 0.000514227 -30 *36:20 *335:15 0 -31 *36:27 *1265:B1 5.58008e-05 -32 *36:27 *1267:B1 0.000233479 -33 *36:27 *1279:B1 8.53113e-06 -34 *36:27 *1307:A1 4.55149e-05 -35 *36:27 *1307:A2 6.87462e-05 -36 *36:27 *1415:TE 0 -37 *36:27 *197:28 2.25347e-05 -38 *36:27 *197:66 0.000135749 -39 *36:27 *207:20 0.00010225 -40 *36:27 *226:132 8.04597e-06 -41 *36:27 *330:21 0.000754011 -42 *388:DIODE *36:20 2.16389e-05 -43 *413:DIODE *36:27 2.96556e-06 -44 *1277:B1 *36:27 4.67984e-05 -45 *1279:A2 *36:27 4.8446e-05 -46 *1301:A2 *1270:A2 7.92982e-06 -47 *5:11 *36:20 0.0001249 -48 *15:14 *36:27 5.02126e-06 -49 *16:8 *399:DIODE 0.000185757 -50 *16:8 *36:27 4.35008e-05 -51 *24:31 *1270:A2 1.48144e-05 -52 *33:11 *36:20 0.000269109 -53 *34:8 *36:20 0 -*RES -1 ext_trim[8] *36:20 45.575 -2 *36:20 *36:27 9.17427 -3 *36:27 *399:DIODE 12.63 -4 *36:27 *1270:A2 10.83 -*END - -*D_NET *37 0.0123983 -*CONN -*P ext_trim[9] I -*I *400:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1273:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[9] 0.00314429 -2 *400:DIODE 0 -3 *1273:A2 8.35795e-05 -4 *37:24 0.000680964 -5 *37:16 0.00374167 -6 *1273:A2 *1261:A 0.000105897 -7 *1273:A2 *1272:A2 4.61701e-06 -8 *1273:A2 *1289:A1 1.2818e-05 -9 *1273:A2 *1293:A 9.5799e-06 -10 *1273:A2 *330:21 5.80482e-06 -11 *37:16 *1265:A1 0 -12 *37:16 *1275:A2 0.000573678 -13 *37:16 *1275:B1 0.000113282 -14 *37:16 *1285:C 1.43717e-05 -15 *37:16 *1286:B2 2.49909e-05 -16 *37:16 *1306:A 0.000308724 -17 *37:16 *1393:A 5.66611e-05 -18 *37:16 *1398:A 0.000120333 -19 *37:16 *1416:TE_B 0.000184524 -20 *37:16 *146:7 0.000169438 -21 *37:16 *197:21 3.52139e-06 -22 *37:16 *197:28 1.61831e-05 -23 *37:16 *200:28 0 -24 *37:16 *217:22 5.74791e-05 -25 *37:16 *307:8 7.07801e-05 -26 *37:16 *342:10 0 -27 *37:24 *1247:B 0.00012223 -28 *37:24 *1258:C1 0.000213033 -29 *37:24 *1261:A 0.00042884 -30 *37:24 *1273:B1 5.31592e-05 -31 *37:24 *144:22 0.000112559 -32 *37:24 *146:7 0.000161837 -33 *37:24 *203:10 6.25247e-05 -34 *37:24 *211:10 4.82863e-05 -35 *37:24 *211:40 0.000260432 -36 *37:24 *212:55 5.65085e-05 -37 *37:24 *221:39 3.86814e-05 -38 *37:24 *232:10 0 -39 *37:24 *233:27 2.76356e-05 -40 *37:24 *294:23 2.8185e-05 -41 *393:DIODE *37:16 0 -42 *1238:A *37:16 0.000237249 -43 *5:11 *37:16 0.000912504 -44 *30:23 *37:16 6.21023e-05 -45 *31:11 *37:16 1.92631e-05 -46 *32:8 *37:16 0 -47 *34:8 *37:16 0 -48 *36:20 *37:16 5.00612e-05 -*RES -1 ext_trim[9] *37:16 48.935 -2 *37:16 *37:24 17.29 -3 *37:24 *1273:A2 7.10375 -4 *37:24 *400:DIODE 1.6 -*END - -*D_NET *38 0.00110446 -*CONN -*P osc I -*I *427:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1360:D I *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 osc 0.000232375 -2 *427:DIODE 0.000145167 -3 *1360:D 7.27757e-05 -4 *38:5 0.000450317 -5 *427:DIODE *1346:CLK 1.83756e-05 -6 *427:DIODE *1361:CLK 0 -7 *427:DIODE *254:122 0 -8 *1360:D *1360:CLK 6.69341e-05 -9 *38:5 *1361:CLK 0 -10 *38:5 *1361:D 0.000118513 -11 *29:10 *427:DIODE 0 -12 *29:10 *38:5 0 -*RES -1 osc *38:5 4.26 -2 *38:5 *1360:D 5.085 -3 *38:5 *427:DIODE 5.685 -*END - -*D_NET *39 0.00372148 -*CONN -*P resetb I -*I *1315:B I *D sky130_fd_sc_hd__nand2_2 -*I *423:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetb 0.000726973 -2 *1315:B 2.97881e-05 -3 *423:DIODE 8.50857e-05 -4 *39:16 0.000841847 -5 *423:DIODE *1110:A 0.000121032 -6 *1315:B *1110:A 8.80293e-06 -7 *39:16 *1110:A 0.000121643 -8 *39:16 *1116:A1 0.000296782 -9 *39:16 *1116:S 4.16029e-05 -10 *39:16 *1138:A 0.000312097 -11 *39:16 *1145:A 0.0001752 -12 *39:16 *1363:RESET_B 0 -13 *39:16 *84:26 0 -14 *39:16 *90:22 0.000116853 -15 *39:16 *95:8 0.000263343 -16 *39:16 *97:12 7.21296e-06 -17 *39:16 *97:25 8.10063e-05 -18 *39:16 *100:6 0.000419491 -19 *39:16 *248:5 4.66108e-05 -20 *376:DIODE *1315:B 2.61115e-05 -*RES -1 resetb *39:16 20.255 -2 *39:16 *423:DIODE 2.74 -3 *39:16 *1315:B 6.215 -*END - -*D_NET *40 0.00114443 -*CONN -*I *1345:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1317:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1345:RESET_B 0.000190943 -2 *1317:Y 0.000190943 -3 *1345:RESET_B *1104:B 2.42236e-05 -4 *1345:RESET_B *1237:B 8.41575e-05 -5 *1345:RESET_B *1345:D 0.00037238 -6 *1345:RESET_B *85:11 0.000271455 -7 *1345:RESET_B *268:7 1.03323e-05 -*RES -1 *1317:Y *1345:RESET_B 11.2175 -*END - -*D_NET *41 0.00224384 -*CONN -*I *1346:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1318:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1346:RESET_B 0.000535058 -2 *1318:Y 0.000535058 -3 *1346:RESET_B *1176:A_N 6.9451e-05 -4 *1346:RESET_B *1176:B 0.000137571 -5 *1346:RESET_B *1181:B 0 -6 *1346:RESET_B *1233:A0 2.75229e-05 -7 *1346:RESET_B *1233:A1 0.000244794 -8 *1346:RESET_B *1235:A1 3.23391e-05 -9 *1346:RESET_B *1237:A_N 0.000196404 -10 *1346:RESET_B *1346:D 0.000227558 -11 *1346:RESET_B *1466:A 1.10359e-05 -12 *1346:RESET_B *84:60 0 -13 *1346:RESET_B *269:5 0.000227047 -14 *1346:RESET_B *284:8 0 -*RES -1 *1318:Y *1346:RESET_B 18.0125 -*END - -*D_NET *42 0.000767285 -*CONN -*I *1347:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1320:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1347:RESET_B 0.000112847 -2 *1320:Y 0.000112847 -3 *1347:RESET_B *1347:D 0.000118651 -4 *1347:RESET_B *371:56 0.000422941 -*RES -1 *1320:Y *1347:RESET_B 5.4475 -*END - -*D_NET *43 0.000160355 -*CONN -*I *1348:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1321:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1348:RESET_B 8.01777e-05 -2 *1321:Y 8.01777e-05 -3 *1348:RESET_B *191:8 0 -*RES -1 *1321:Y *1348:RESET_B 6.725 -*END - -*D_NET *44 0.00063803 -*CONN -*I *1349:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1322:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1349:RESET_B 0.000276373 -2 *1322:Y 0.000276373 -3 *1349:RESET_B *1228:B 0 -4 *1349:RESET_B *1229:A 0 -5 *1349:RESET_B *1350:D 0 -6 *1349:RESET_B *249:40 8.52845e-05 -*RES -1 *1322:Y *1349:RESET_B 9.815 -*END - -*D_NET *45 0.000242727 -*CONN -*I *1350:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1323:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1350:RESET_B 0.000121363 -2 *1323:Y 0.000121363 -3 *1350:RESET_B *1328:A 0 -*RES -1 *1323:Y *1350:RESET_B 7.49 -*END - -*D_NET *46 0.000279053 -*CONN -*I *1351:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1325:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1351:RESET_B 0.000139527 -2 *1325:Y 0.000139527 -3 *1351:RESET_B *249:24 0 -4 *1351:RESET_B *251:23 0 -*RES -1 *1325:Y *1351:RESET_B 7.745 -*END - -*D_NET *47 0.000405312 -*CONN -*I *1352:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1326:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1352:RESET_B 0.000113186 -2 *1326:Y 0.000113186 -3 *1352:RESET_B *1321:B 0 -4 *1352:RESET_B *1326:A 9.5433e-05 -5 *1352:RESET_B *259:11 8.35068e-05 -6 *1352:RESET_B *371:8 0 -*RES -1 *1326:Y *1352:RESET_B 7.52 -*END - -*D_NET *48 0.000883539 -*CONN -*I *1353:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1327:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1353:RESET_B 0.000166142 -2 *1327:Y 0.000166142 -3 *1353:RESET_B *1319:A 6.92161e-05 -4 *1353:RESET_B *1327:A 4.66108e-05 -5 *1353:RESET_B *1331:A 7.11732e-05 -6 *1353:RESET_B *1356:CLK 1.94723e-05 -7 *1353:RESET_B *249:5 0.000220943 -8 *1353:RESET_B *254:202 6.9186e-05 -9 *1353:RESET_B *254:205 5.4654e-05 -*RES -1 *1327:Y *1353:RESET_B 10.61 -*END - -*D_NET *49 0.000816965 -*CONN -*I *1354:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1329:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1354:RESET_B 0.000274586 -2 *1329:Y 0.000274586 -3 *1354:RESET_B *1107:S 8.11435e-05 -4 *1354:RESET_B *253:71 2.01737e-05 -5 *1354:RESET_B *254:64 0.000160646 -6 *1354:RESET_B *254:70 5.83121e-06 -*RES -1 *1329:Y *1354:RESET_B 10.9175 -*END - -*D_NET *50 0.000150152 -*CONN -*I *1355:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1330:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1355:RESET_B 7.50758e-05 -2 *1330:Y 7.50758e-05 -*RES -1 *1330:Y *1355:RESET_B 6.725 -*END - -*D_NET *51 0.000919916 -*CONN -*I *1356:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1331:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1356:RESET_B 0.000370547 -2 *1331:Y 0.000370547 -3 *1356:RESET_B *1356:CLK 4.66826e-05 -4 *1356:RESET_B *1356:D 0.000103971 -5 *1356:RESET_B *226:7 2.81685e-05 -*RES -1 *1331:Y *1356:RESET_B 6.6025 -*END - -*D_NET *52 0.000266654 -*CONN -*I *1357:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1332:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1357:RESET_B 0.000133327 -2 *1332:Y 0.000133327 -3 *1357:RESET_B *1107:S 0 -4 *1357:RESET_B *254:75 0 -*RES -1 *1332:Y *1357:RESET_B 7.745 -*END - -*D_NET *53 0.000635525 -*CONN -*I *1358:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1334:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1358:RESET_B 0.00019565 -2 *1334:Y 0.00019565 -3 *1358:RESET_B *1355:D 0.000244225 -4 *1358:RESET_B *254:190 0 -*RES -1 *1334:Y *1358:RESET_B 9.53 -*END - -*D_NET *54 0.00116215 -*CONN -*I *1359:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1335:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1359:RESET_B 0.000456806 -2 *1335:Y 0.000456806 -3 *1359:RESET_B *1359:D 4.28112e-06 -4 *1359:RESET_B *1362:D 0.000118207 -5 *1359:RESET_B *252:57 3.64998e-06 -6 *1359:RESET_B *252:70 3.1835e-05 -7 *29:10 *1359:RESET_B 9.05592e-05 -*RES -1 *1335:Y *1359:RESET_B 11.66 -*END - -*D_NET *55 0.000821853 -*CONN -*I *1360:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1336:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1360:RESET_B 0.0002014 -2 *1336:Y 0.0002014 -3 *1360:RESET_B *1328:A 0 -4 *1360:RESET_B *1361:CLK 1.22676e-05 -5 *1360:RESET_B *251:8 0.000246098 -6 *1360:RESET_B *254:122 5.42303e-05 -7 *1360:RESET_B *254:131 6.23119e-05 -8 *1360:RESET_B *254:138 4.41451e-05 -*RES -1 *1336:Y *1360:RESET_B 10.805 -*END - -*D_NET *56 0.000254025 -*CONN -*I *1361:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1338:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1361:RESET_B 8.65906e-05 -2 *1338:Y 8.65906e-05 -3 *1361:RESET_B *1339:A 8.08439e-05 -4 *1361:RESET_B *266:8 0 -5 *29:10 *1361:RESET_B 0 -*RES -1 *1338:Y *1361:RESET_B 7.49 -*END - -*D_NET *57 0.00288919 -*CONN -*I *1362:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1339:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1362:RESET_B 0.0005111 -2 *1339:Y 0.0005111 -3 *1362:RESET_B *1104:B 0.000637962 -4 *1362:RESET_B *1339:A 2.75229e-05 -5 *1362:RESET_B *1339:B 7.90661e-05 -6 *1362:RESET_B *1345:D 4.75948e-05 -7 *1362:RESET_B *1347:D 0 -8 *1362:RESET_B *1362:D 0.000836294 -9 *1362:RESET_B *253:71 0 -10 *1362:RESET_B *266:8 0.000226555 -11 *1362:RESET_B *371:56 1.19928e-05 -*RES -1 *1339:Y *1362:RESET_B 20.1875 -*END - -*D_NET *58 0.000674468 -*CONN -*I *1363:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1340:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1363:RESET_B 0.000161182 -2 *1340:Y 0.000161182 -3 *1363:RESET_B *1122:B 3.66286e-05 -4 *1363:RESET_B *1144:A 1.32907e-06 -5 *1363:RESET_B *1145:A 0 -6 *1363:RESET_B *1340:B 0.000105068 -7 *1363:RESET_B *84:26 1.82476e-05 -8 *1363:RESET_B *90:22 0 -9 *1363:RESET_B *97:12 7.39334e-05 -10 *1363:RESET_B *260:5 0.000116899 -11 *39:16 *1363:RESET_B 0 -*RES -1 *1340:Y *1363:RESET_B 9.6875 -*END - -*D_NET *59 0.000631189 -*CONN -*I *1364:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1341:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1364:RESET_B 0.00019012 -2 *1341:Y 0.00019012 -3 *1364:RESET_B *1121:B 4.94404e-05 -4 *1364:RESET_B *1364:D 0 -5 *1364:RESET_B *252:13 0.000201508 -*RES -1 *1341:Y *1364:RESET_B 8.555 -*END - -*D_NET *60 0.000313489 -*CONN -*I *1365:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1342:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1365:RESET_B 0.000124972 -2 *1342:Y 0.000124972 -3 *1365:RESET_B *1342:A 0 -4 *1365:RESET_B *1365:D 0 -5 *1365:RESET_B *252:47 6.35448e-05 -6 *1365:RESET_B *262:8 0 -*RES -1 *1342:Y *1365:RESET_B 8 -*END - -*D_NET *61 0.00169156 -*CONN -*I *1366:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1343:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1366:RESET_B 0.000444556 -2 *1343:Y 0.000444556 -3 *1366:RESET_B *1109:A0 4.9253e-06 -4 *1366:RESET_B *1109:A1 3.63576e-05 -5 *1366:RESET_B *1110:A 1.56428e-05 -6 *1366:RESET_B *100:6 1.0397e-05 -7 *1366:RESET_B *248:5 0.000271117 -8 *1366:RESET_B *258:28 8.9257e-05 -9 *1366:RESET_B *263:5 0.000304419 -10 *1366:RESET_B *263:8 7.03276e-05 -*RES -1 *1343:Y *1366:RESET_B 13.4375 -*END - -*D_NET *62 0.000520933 -*CONN -*I *1367:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1344:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1367:RESET_B 5.85901e-05 -2 *1344:Y 5.85901e-05 -3 *1367:RESET_B *248:17 0.000264247 -4 *1367:RESET_B *253:48 2.73727e-05 -5 *1367:RESET_B *253:60 0.000112133 -*RES -1 *1344:Y *1367:RESET_B 4.0675 -*END - -*D_NET *63 0.00218497 -*CONN -*I *1345:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1237:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1345:D 0.00071526 -2 *1237:Y 0.00071526 -3 *1345:D *1104:B 0.000116853 -4 *1345:D *1237:B 0.000125678 -5 *1345:D *1459:A 7.27704e-06 -6 *1345:D *252:79 6.97034e-05 -7 *1345:D *268:7 5.83121e-06 -8 *1345:D *371:72 9.12857e-06 -9 *1345:RESET_B *1345:D 0.00037238 -10 *1362:RESET_B *1345:D 4.75948e-05 -*RES -1 *1237:Y *1345:D 20.22 -*END - -*D_NET *64 0.00123986 -*CONN -*I *1346:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1236:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1346:D 0.000482631 -2 *1236:X 0.000482631 -3 *1346:D *1104:B 4.7043e-05 -4 *1346:D *1347:D 0 -5 *1346:RESET_B *1346:D 0.000227558 -*RES -1 *1236:X *1346:D 14.895 -*END - -*D_NET *65 0.0028194 -*CONN -*I *1347:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1234:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1347:D 0.000948045 -2 *1234:X 0.000948045 -3 *1347:D *1104:B 0 -4 *1347:D *1181:B 2.75145e-05 -5 *1347:D *1234:A 0.000409446 -6 *1347:D *1339:B 0 -7 *1347:D *84:51 0 -8 *1347:D *269:8 5.30144e-05 -9 *1347:D *270:5 0.000179124 -10 *1347:D *371:56 0.000135558 -11 *1346:D *1347:D 0 -12 *1347:RESET_B *1347:D 0.000118651 -13 *1362:RESET_B *1347:D 0 -*RES -1 *1234:X *1347:D 25.185 -*END - -*D_NET *66 0.000562826 -*CONN -*I *1348:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1232:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1348:D 0.000158554 -2 *1232:Y 0.000158554 -3 *1348:D *1232:B 0.000181304 -4 *1348:D *191:8 6.44134e-05 -*RES -1 *1232:Y *1348:D 10.92 -*END - -*D_NET *67 0.00157483 -*CONN -*I *1349:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1231:Y O *D sky130_fd_sc_hd__a21boi_2 -*CAP -1 *1349:D 0.000396548 -2 *1231:Y 0.000396548 -3 *1349:D *1348:CLK 0.000142202 -4 *1349:D *254:104 0.000249964 -5 *1349:D *256:7 0.000389566 -*RES -1 *1231:Y *1349:D 12.74 -*END - -*D_NET *68 0.000910717 -*CONN -*I *1350:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1229:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1350:D 0.000295982 -2 *1229:X 0.000295982 -3 *1350:D *1229:A 0.000277239 -4 *1350:D *1350:CLK 5.83121e-06 -5 *1350:D *257:11 3.56834e-05 -6 *1349:RESET_B *1350:D 0 -*RES -1 *1229:X *1350:D 12.3 -*END - -*D_NET *69 0.000342731 -*CONN -*I *1351:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1224:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1351:D 0.00012508 -2 *1224:X 0.00012508 -3 *1351:D *1351:CLK 2.75229e-05 -4 *1351:D *258:5 6.5048e-05 -*RES -1 *1224:X *1351:D 5.375 -*END - -*D_NET *70 0.000177975 -*CONN -*I *1352:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1222:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1352:D 4.38449e-05 -2 *1222:X 4.38449e-05 -3 *1352:D *1321:B 1.22676e-05 -4 *1352:D *191:8 5.13155e-05 -5 *1352:D *371:8 2.67022e-05 -*RES -1 *1222:X *1352:D 8.325 -*END - -*D_NET *71 0.000906833 -*CONN -*I *1353:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1219:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1353:D 0.000229548 -2 *1219:X 0.000229548 -3 *1353:D *1219:B1 9.27326e-05 -4 *1353:D *1331:A 7.81954e-05 -5 *1353:D *198:58 0.000137571 -6 *1353:D *198:60 0.000139238 -7 *1353:D *276:13 0 -*RES -1 *1219:X *1353:D 11.85 -*END - -*D_NET *72 0.000594928 -*CONN -*I *1354:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1217:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1354:D 0.00020832 -2 *1217:X 0.00020832 -3 *1354:D *1108:A 0 -4 *1354:D *259:42 0 -5 *1354:D *259:48 0.000103692 -6 *1354:D *264:9 0 -7 *1354:D *264:14 7.45968e-05 -*RES -1 *1217:X *1354:D 11.16 -*END - -*D_NET *73 0.00153162 -*CONN -*I *1355:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1213:X O *D sky130_fd_sc_hd__o2bb2a_2 -*CAP -1 *1355:D 0.000406613 -2 *1213:X 0.000406613 -3 *1355:D *157:39 4.66826e-05 -4 *1355:D *271:8 0 -5 *1355:D *274:8 0.000296081 -6 *1355:D *274:20 0.000131401 -7 *1358:RESET_B *1355:D 0.000244225 -*RES -1 *1213:X *1355:D 16.005 -*END - -*D_NET *74 0.00194494 -*CONN -*I *1356:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1211:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1356:D 0.000220391 -2 *1211:Y 0.000220391 -3 *1356:D *226:7 0.000111901 -4 *410:DIODE *1356:D 0.000646508 -5 *1356:RESET_B *1356:D 0.000103971 -6 *29:10 *1356:D 0.000641778 -*RES -1 *1211:Y *1356:D 16.53 -*END - -*D_NET *75 0.00113459 -*CONN -*I *1357:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1208:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1357:D 0.000341097 -2 *1208:X 0.000341097 -3 *1357:D *1107:S 4.49585e-05 -4 *1357:D *1164:A 7.23602e-05 -5 *1357:D *1174:A 7.5416e-05 -6 *1357:D *1174:B 5.51158e-05 -7 *1357:D *1208:A 4.43682e-05 -8 *1357:D *254:70 7.35813e-05 -9 *1357:D *273:8 0 -10 *1357:D *276:21 8.65961e-05 -*RES -1 *1208:X *1357:D 13.335 -*END - -*D_NET *76 0.000533418 -*CONN -*I *1358:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1205:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1358:D 0.000190613 -2 *1205:X 0.000190613 -3 *1358:D *254:178 7.60965e-05 -4 *1358:D *254:190 7.60965e-05 -5 *1358:D *273:8 0 -*RES -1 *1205:X *1358:D 10.5 -*END - -*D_NET *77 0.00103794 -*CONN -*I *1359:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1201:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1359:D 0.000356251 -2 *1201:X 0.000356251 -3 *1359:D *1356:CLK 0 -4 *1359:D *253:71 0.00012398 -5 *1359:D *254:205 0 -6 *1359:D *276:13 0 -7 *1359:RESET_B *1359:D 4.28112e-06 -8 *29:10 *1359:D 0.000197176 -*RES -1 *1201:X *1359:D 14.31 -*END - -*D_NET *78 0.00185352 -*CONN -*I *1363:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1117:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1363:D 0.000671736 -2 *1117:X 0.000671736 -3 *1363:D *1117:A 0.000423631 -4 *1363:D *1145:A 2.75145e-05 -5 *1363:D *1145:B 2.49909e-05 -6 *1363:D *1177:A1 0 -7 *1363:D *254:16 3.39064e-05 -*RES -1 *1117:X *1363:D 15.675 -*END - -*D_NET *79 0.000750351 -*CONN -*I *1364:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1114:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1364:D 0.000229458 -2 *1114:X 0.000229458 -3 *1364:D *1120:A 0 -4 *1364:D *1340:A 0.00013886 -5 *1364:D *90:13 1.33293e-05 -6 *1364:D *253:24 0.000139245 -7 *1364:RESET_B *1364:D 0 -*RES -1 *1114:X *1364:D 10.815 -*END - -*D_NET *80 0.000967341 -*CONN -*I *1365:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1112:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1365:D 0.000376165 -2 *1112:X 0.000376165 -3 *1365:D *1108:A 6.36578e-05 -4 *1365:D *1112:A 0 -5 *1365:D *1367:D 1.76968e-05 -6 *1365:D *253:8 0.000133657 -7 *1365:RESET_B *1365:D 0 -*RES -1 *1112:X *1365:D 13.71 -*END - -*D_NET *81 0.00059618 -*CONN -*I *1366:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1110:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1366:D 0.000260017 -2 *1110:X 0.000260017 -3 *1366:D *1180:B 7.61468e-05 -4 *1366:D *112:9 0 -*RES -1 *1110:X *1366:D 11.355 -*END - -*D_NET *82 0.000382525 -*CONN -*I *1367:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1108:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1367:D 7.64453e-05 -2 *1108:X 7.64453e-05 -3 *1367:D *1112:A 0.00011626 -4 *1367:D *253:8 7.06865e-05 -5 *1367:D *254:58 2.49909e-05 -6 *1365:D *1367:D 1.76968e-05 -*RES -1 *1108:X *1367:D 9.03 -*END - -*D_NET *83 0.00122527 -*CONN -*I *1176:A_N I *D sky130_fd_sc_hd__and4b_2 -*I *1105:A I *D sky130_fd_sc_hd__buf_2 -*I *1104:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1176:A_N 0.000109342 -2 *1105:A 0.000145699 -3 *1104:Y 4.43494e-05 -4 *83:7 0.000299391 -5 *1105:A *1234:A 0 -6 *1105:A *84:51 0.00025437 -7 *1176:A_N *1233:A1 6.57653e-06 -8 *1176:A_N *1234:A 0 -9 *1176:A_N *84:51 9.37731e-05 -10 *1176:A_N *84:60 0.000202314 -11 *1176:A_N *269:8 0 -12 *1346:RESET_B *1176:A_N 6.9451e-05 -*RES -1 *1104:Y *83:7 4.05 -2 *83:7 *1105:A 6.96 -3 *83:7 *1176:A_N 7.02 -*END - -*D_NET *84 0.0141805 -*CONN -*I *1106:A I *D sky130_fd_sc_hd__buf_2 -*I *1235:S I *D sky130_fd_sc_hd__mux2_2 -*I *1233:S I *D sky130_fd_sc_hd__mux2_2 -*I *1113:S I *D sky130_fd_sc_hd__mux2_2 -*I *1111:S I *D sky130_fd_sc_hd__mux2_2 -*I *1109:S I *D sky130_fd_sc_hd__mux2_2 -*I *1116:S I *D sky130_fd_sc_hd__mux2_2 -*I *1105:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1106:A 0.000311658 -2 *1235:S 9.26409e-05 -3 *1233:S 6.68511e-05 -4 *1113:S 9.07383e-05 -5 *1111:S 4.96121e-05 -6 *1109:S 0.000133758 -7 *1116:S 0.000230921 -8 *1105:X 0 -9 *84:60 0.000604434 -10 *84:51 0.000460207 -11 *84:26 0.000693242 -12 *84:11 0.00118237 -13 *84:9 0.00351015 -14 *84:5 0.00317106 -15 *1106:A *425:DIODE 0.000116853 -16 *1106:A *1176:B 7.21296e-06 -17 *1106:A *1237:A_N 1.82563e-05 -18 *1106:A *1318:B 0.000344028 -19 *1106:A *1466:TE 5.59676e-05 -20 *1106:A *85:7 5.83121e-06 -21 *1106:A *226:37 6.29692e-05 -22 *1106:A *284:8 5.05521e-05 -23 *1106:A *371:56 2.49909e-05 -24 *1109:S *1138:B 0.000124895 -25 *1109:S *95:8 0.000123225 -26 *1109:S *100:6 0 -27 *1109:S *258:28 3.09233e-05 -28 *1111:S *1138:B 3.57349e-05 -29 *1111:S *95:8 0.000118081 -30 *1113:S *1113:A1 9.50342e-06 -31 *1116:S *1116:A1 2.97802e-05 -32 *1235:S *425:DIODE 1.83756e-05 -33 *1235:S *268:15 4.66108e-05 -34 *84:26 *1122:B 6.55328e-05 -35 *84:26 *1126:C1 0.000136884 -36 *84:26 *1137:B 9.9535e-05 -37 *84:26 *1138:B 2.92496e-05 -38 *84:26 *1340:A 0 -39 *84:26 *1340:B 0.000185398 -40 *84:26 *1364:CLK 5.42473e-05 -41 *84:26 *97:25 0 -42 *84:26 *100:6 0 -43 *84:26 *253:24 0 -44 *84:26 *254:45 4.24292e-06 -45 *84:60 *1176:B 4.49498e-05 -46 *383:DIODE *1116:S 0.000383657 -47 *383:DIODE *84:11 0.000431333 -48 *1105:A *84:51 0.00025437 -49 *1176:A_N *84:51 9.37731e-05 -50 *1176:A_N *84:60 0.000202314 -51 *1346:RESET_B *84:60 0 -52 *1347:D *84:51 0 -53 *1363:RESET_B *84:26 1.82476e-05 -54 *28:10 *1106:A 0.000106494 -55 *29:10 *84:9 0.00020722 -56 *39:16 *1116:S 4.16029e-05 -57 *39:16 *84:26 0 -*RES -1 *1105:X *84:5 3.6 -2 *84:5 *84:9 43.595 -3 *84:9 *84:11 8.04 -4 *84:11 *1116:S 10.535 -5 *84:11 *84:26 14.05 -6 *84:26 *1109:S 8.63 -7 *84:26 *1111:S 2.74 -8 *84:9 *1113:S 6.98 -9 *84:5 *84:51 4.44 -10 *84:51 *1233:S 4.395 -11 *84:51 *84:60 4.44 -12 *84:60 *1235:S 5.085 -13 *84:60 *1106:A 11.145 -*END - -*D_NET *85 0.0130806 -*CONN -*I *1237:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1228:A I *D sky130_fd_sc_hd__and2_2 -*I *1107:S I *D sky130_fd_sc_hd__mux2_2 -*I *1222:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1224:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1231:B1_N I *D sky130_fd_sc_hd__a21boi_2 -*I *1232:B I *D sky130_fd_sc_hd__nand3_2 -*I *1106:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1237:B 0.000190754 -2 *1228:A 9.67404e-06 -3 *1107:S 0.000704476 -4 *1222:B1 3.10845e-05 -5 *1224:C1 0 -6 *1231:B1_N 0 -7 *1232:B 0.000543311 -8 *1106:X 7.49191e-05 -9 *85:52 0.000890597 -10 *85:43 0.000264163 -11 *85:19 0.000789514 -12 *85:15 0.000779331 -13 *85:11 0.00263639 -14 *85:7 0.00246839 -15 *1107:S *1214:A 0.000389154 -16 *1107:S *1214:B 4.40028e-05 -17 *1107:S *191:8 4.92096e-05 -18 *1107:S *250:16 7.17267e-05 -19 *1107:S *250:58 0.000134756 -20 *1107:S *254:75 0 -21 *1107:S *259:42 0.000124895 -22 *1107:S *264:9 8.65905e-05 -23 *1107:S *277:8 0 -24 *1107:S *371:8 4.39001e-05 -25 *1222:B1 *1222:A2 4.99619e-05 -26 *1222:B1 *191:8 1.7396e-05 -27 *1222:B1 *259:23 4.82863e-05 -28 *1222:B1 *371:8 2.97561e-05 -29 *1228:A *1228:B 4.55864e-06 -30 *1228:A *254:89 1.88602e-05 -31 *1232:B *1348:CLK 5.01281e-05 -32 *1232:B *191:8 0 -33 *1232:B *256:7 1.08758e-05 -34 *1237:B *371:72 9.10613e-05 -35 *85:7 *371:56 1.74678e-05 -36 *85:11 *1104:B 4.27152e-05 -37 *85:11 *1181:B 0 -38 *85:11 *1236:A 5.51885e-05 -39 *85:11 *371:59 7.35263e-05 -40 *85:11 *371:72 2.07338e-05 -41 *85:19 *1220:A 0 -42 *85:19 *1227:A2 0 -43 *85:19 *1227:B1 0 -44 *85:19 *1348:CLK 8.49205e-05 -45 *85:19 *187:10 0.000189367 -46 *85:19 *254:89 0 -47 *85:19 *254:93 0 -48 *85:19 *256:7 3.09179e-05 -49 *85:19 *256:15 9.42302e-05 -50 *85:43 *1221:B 5.16418e-05 -51 *85:43 *1351:CLK 0 -52 *85:43 *187:10 9.3233e-05 -53 *85:52 *1222:A2 6.43251e-05 -54 *85:52 *1223:A_N 2.75229e-05 -55 *85:52 *1224:A1 0 -56 *85:52 *1224:B1 4.70417e-05 -57 *85:52 *188:9 5.05437e-05 -58 *85:52 *258:17 0.000533416 -59 *85:52 *259:23 0.000161544 -60 *1106:A *85:7 5.83121e-06 -61 *1345:D *1237:B 0.000125678 -62 *1345:RESET_B *1237:B 8.41575e-05 -63 *1345:RESET_B *85:11 0.000271455 -64 *1348:D *1232:B 0.000181304 -65 *1354:RESET_B *1107:S 8.11435e-05 -66 *1357:D *1107:S 4.49585e-05 -67 *1357:RESET_B *1107:S 0 -*RES -1 *1106:X *85:7 4.395 -2 *85:7 *85:11 8.54323 -3 *85:11 *85:15 1.12067 -4 *85:15 *85:19 7.1075 -5 *85:19 *1232:B 13.25 -6 *85:19 *1231:B1_N 1.6 -7 *85:15 *85:43 3.7625 -8 *85:43 *1224:C1 1.6 -9 *85:43 *85:52 7.55 -10 *85:52 *1222:B1 4.665 -11 *85:52 *1107:S 17.415 -12 *85:11 *1228:A 4.3325 -13 *85:7 *1237:B 7.26 -*END - -*D_NET *86 0.000714582 -*CONN -*I *1108:A I *D sky130_fd_sc_hd__buf_2 -*I *1107:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1108:A 0.000218222 -2 *1107:X 0.000218222 -3 *1108:A *253:8 0 -4 *1108:A *254:58 8.65961e-05 -5 *1108:A *264:14 0.000127884 -6 *1354:D *1108:A 0 -7 *1365:D *1108:A 6.36578e-05 -*RES -1 *1107:X *1108:A 11.535 -*END - -*D_NET *87 0.00126636 -*CONN -*I *1110:A I *D sky130_fd_sc_hd__buf_2 -*I *1109:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1110:A 0.000317348 -2 *1109:X 0.000317348 -3 *1110:A *95:8 0.000173441 -4 *423:DIODE *1110:A 0.000121032 -5 *1315:A *1110:A 5.65909e-05 -6 *1315:B *1110:A 8.80293e-06 -7 *1366:RESET_B *1110:A 1.56428e-05 -8 *7:28 *1110:A 0.000134515 -9 *39:16 *1110:A 0.000121643 -*RES -1 *1109:X *1110:A 14.055 -*END - -*D_NET *88 0.00128179 -*CONN -*I *1112:A I *D sky130_fd_sc_hd__buf_2 -*I *1111:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1112:A 0.000357847 -2 *1111:X 0.000357847 -3 *1112:A *1129:B 0 -4 *1112:A *95:8 4.99619e-05 -5 *1112:A *253:8 0.000126407 -6 *1112:A *253:24 0 -7 *1112:A *254:48 9.93748e-05 -8 *1112:A *254:58 0.000101735 -9 *1112:A *258:17 7.23602e-05 -10 *1365:D *1112:A 0 -11 *1367:D *1112:A 0.00011626 -*RES -1 *1111:X *1112:A 15.15 -*END - -*D_NET *89 0.000636733 -*CONN -*I *1114:A I *D sky130_fd_sc_hd__buf_2 -*I *1113:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1114:A 0.000134726 -2 *1113:X 0.000134726 -3 *1114:A *90:13 0.000367281 -*RES -1 *1113:X *1114:A 6.6875 -*END - -*D_NET *90 0.00954562 -*CONN -*I *1230:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1225:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1220:C I *D sky130_fd_sc_hd__and3_2 -*I *1232:A I *D sky130_fd_sc_hd__nand3_2 -*I *1145:A I *D sky130_fd_sc_hd__nor2_2 -*I *1144:A I *D sky130_fd_sc_hd__nand2_2 -*I *1116:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1115:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1230:B 2.05484e-05 -2 *1225:A2 0.000171409 -3 *1220:C 0 -4 *1232:A 1.34365e-05 -5 *1145:A 0.000441124 -6 *1144:A 0.000920967 -7 *1116:A0 0.000101839 -8 *1115:X 0 -9 *90:71 0.000352728 -10 *90:68 0.000482859 -11 *90:52 0.000439385 -12 *90:22 0.00142835 -13 *90:13 0.0012419 -14 *90:4 0.00121876 -15 *1116:A0 *1116:A1 2.97522e-05 -16 *1144:A *1144:B 6.37286e-05 -17 *1144:A *1147:B 2.30292e-05 -18 *1144:A *1177:A1 0.000321346 -19 *1144:A *1177:A2 6.07575e-06 -20 *1144:A *260:5 5.8321e-06 -21 *1144:A *260:7 1.92324e-05 -22 *1145:A *1135:A2 0.000378321 -23 *1145:A *97:12 8.70844e-05 -24 *1225:A2 *1225:A1 8.26756e-05 -25 *1225:A2 *191:8 0 -26 *1225:A2 *254:84 6.52265e-05 -27 *1225:A2 *256:15 4.99874e-05 -28 *1225:A2 *257:32 0.00015896 -29 *1230:B *191:20 2.30292e-05 -30 *90:13 *1113:A0 4.92935e-05 -31 *90:13 *1115:A 4.28568e-05 -32 *90:13 *1340:A 0.000164874 -33 *90:52 *1115:A 1.14169e-05 -34 *90:68 *1232:C 4.82863e-05 -35 *90:68 *191:20 0.000143591 -36 *90:71 *1230:A 4.49498e-05 -37 *90:71 *191:8 0 -38 *90:71 *256:15 7.16268e-05 -39 *1114:A *90:13 0.000367281 -40 *1177:B1 *1144:A 0.000119631 -41 *1363:D *1145:A 2.75145e-05 -42 *1363:RESET_B *1144:A 1.32907e-06 -43 *1363:RESET_B *1145:A 0 -44 *1363:RESET_B *90:22 0 -45 *1364:D *90:13 1.33293e-05 -46 *39:16 *1145:A 0.0001752 -47 *39:16 *90:22 0.000116853 -*RES -1 *1115:X *90:4 1.6 -2 *90:4 *90:13 15.435 -3 *90:13 *1116:A0 3.085 -4 *90:13 *90:22 3.38 -5 *90:22 *1144:A 15.825 -6 *90:22 *1145:A 11.4 -7 *90:4 *90:52 2.19 -8 *90:52 *1232:A 1.96 -9 *90:52 *90:68 5.52 -10 *90:68 *90:71 5.42 -11 *90:71 *1220:C 3.6 -12 *90:71 *1225:A2 7.74 -13 *90:68 *1230:B 2.05 -*END - -*D_NET *91 0.00226962 -*CONN -*I *1117:A I *D sky130_fd_sc_hd__buf_2 -*I *1116:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1117:A 0.000672221 -2 *1116:X 0.000672221 -3 *1117:A *1145:B 2.53191e-06 -4 *1117:A *1147:B 0.0001249 -5 *1117:A *1147:C 4.66742e-05 -6 *1117:A *1177:A2 0 -7 *1117:A *1368:A 1.83756e-05 -8 *1117:A *254:16 0 -9 clockp[1] *1117:A 3.97116e-05 -10 *383:DIODE *1117:A 0.000116158 -11 *1363:D *1117:A 0.000423631 -12 *6:10 *1117:A 0.000153193 -*RES -1 *1116:X *1117:A 18.87 -*END - -*D_NET *92 0.00914188 -*CONN -*I *1284:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1306:A I *D sky130_fd_sc_hd__nand2_2 -*I *1295:A I *D sky130_fd_sc_hd__nand3_2 -*I *1310:A I *D sky130_fd_sc_hd__nand3_2 -*I *1313:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1198:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1201:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1118:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1284:A1 0 -2 *1306:A 0.000169321 -3 *1295:A 0 -4 *1310:A 0 -5 *1313:B2 1.33475e-05 -6 *1198:A 0.000119711 -7 *1201:A1 0.000245272 -8 *1118:X 8.4162e-05 -9 *92:67 0.00146035 -10 *92:54 0.00139549 -11 *92:43 0.000332171 -12 *92:30 0.00042436 -13 *92:8 0.000462292 -14 *92:7 0.000364766 -15 *1198:A *1199:B 4.82863e-05 -16 *1198:A *284:33 0.000134314 -17 *1201:A1 *1199:A 4.43871e-06 -18 *1201:A1 *1201:A2 3.70488e-05 -19 *1201:A1 *1201:B1 5.30673e-05 -20 *1201:A1 *1201:B2 6.73665e-05 -21 *1201:A1 *157:13 0 -22 *1201:A1 *276:13 0 -23 *1306:A *1244:A_N 1.97442e-05 -24 *1306:A *1247:B 7.23943e-06 -25 *1306:A *1284:A2 6.72565e-05 -26 *1306:A *1285:A_N 4.82919e-05 -27 *1306:A *1285:C 0.000112446 -28 *1306:A *1306:B 4.66108e-05 -29 *1306:A *146:7 0.000123268 -30 *1313:B2 *1313:B1 4.77769e-05 -31 *1313:B2 *139:10 1.79098e-05 -32 *92:7 *275:30 0.000123225 -33 *92:8 *1199:A 9.86611e-05 -34 *92:8 *1200:A2 0.000181376 -35 *92:8 *1201:B2 5.12017e-06 -36 *92:30 *1189:A 4.28112e-06 -37 *92:30 *1211:A 0 -38 *92:30 *139:10 0 -39 *92:30 *139:83 7.27587e-05 -40 *92:43 *1189:A 3.95954e-05 -41 *92:43 *1253:C1 0 -42 *92:43 *1310:B 2.2142e-05 -43 *92:43 *139:83 0.00024275 -44 *92:54 *1253:C1 4.72896e-05 -45 *92:54 *1310:B 4.55864e-06 -46 *92:54 *1311:A3 8.36316e-05 -47 *92:67 *1244:A_N 9.5799e-06 -48 *92:67 *1247:B 0.000774582 -49 *92:67 *1253:A1 1.7635e-05 -50 *92:67 *1311:A3 2.23203e-05 -51 *92:67 *164:15 0.000371763 -52 *92:67 *221:24 0 -53 *92:67 *301:17 0 -54 *92:67 *317:24 0.000177084 -55 *92:67 *372:14 0.000362235 -56 *417:DIODE *92:43 7.25014e-05 -57 *1311:B1 *92:54 4.27883e-06 -58 *29:10 *1201:A1 7.16181e-05 -59 *29:10 *92:8 2.46007e-05 -60 *29:10 *92:30 9.3268e-05 -61 *37:16 *1306:A 0.000308724 -*RES -1 *1118:X *92:7 4.74 -2 *92:7 *92:8 2.91 -3 *92:8 *1201:A1 8.64 -4 *92:8 *1198:A 6.12 -5 *92:7 *92:30 3.675 -6 *92:30 *1313:B2 4.05 -7 *92:30 *92:43 4.74 -8 *92:43 *1310:A 3.6 -9 *92:43 *92:54 2.445 -10 *92:54 *1295:A 3.6 -11 *92:54 *92:67 4.96267 -12 *92:67 *1306:A 8.715 -13 *92:67 *1284:A1 3.6 -*END - -*D_NET *93 0.0102169 -*CONN -*I *1252:A I *D sky130_fd_sc_hd__nor2_2 -*I *1287:A I *D sky130_fd_sc_hd__nand2_2 -*I *1245:B I *D sky130_fd_sc_hd__and3_2 -*I *1182:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1184:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1243:B I *D sky130_fd_sc_hd__nor2_2 -*I *1256:A I *D sky130_fd_sc_hd__and2_2 -*I *1119:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1252:A 0.000183196 -2 *1287:A 0.000682685 -3 *1245:B 0.000439345 -4 *1182:A1 0 -5 *1184:A 0.000170228 -6 *1243:B 8.59555e-05 -7 *1256:A 0 -8 *1119:Y 0 -9 *93:55 0.00133345 -10 *93:48 0.000426839 -11 *93:42 0.000134634 -12 *93:30 0.000583673 -13 *93:8 0.000433479 -14 *93:4 0.000658559 -15 *1184:A *1182:B1 0.000289905 -16 *1184:A *1211:B 9.13385e-05 -17 *1184:A *163:57 3.86814e-05 -18 *1184:A *274:20 0.000110191 -19 *1184:A *275:30 2.30908e-05 -20 *1243:B *1284:B1 4.66108e-05 -21 *1243:B *1286:B2 3.60566e-05 -22 *1243:B *162:63 9.64284e-05 -23 *1243:B *212:13 4.76263e-05 -24 *1243:B *275:60 7.9642e-06 -25 *1243:B *275:67 4.66108e-05 -26 *1245:B *275:42 0.000197264 -27 *1252:A *1308:A2 0.00015639 -28 *1252:A *1314:B1 4.864e-05 -29 *1252:A *156:8 2.10841e-05 -30 *1252:A *198:41 0.00011397 -31 *1252:A *198:58 4.13116e-05 -32 *1252:A *294:23 6.63468e-05 -33 *1287:A *1260:A 7.06865e-05 -34 *1287:A *1287:B 0.000218785 -35 *1287:A *1292:B2 6.21133e-05 -36 *1287:A *1296:C 0.000219964 -37 *1287:A *1297:B 8.67648e-06 -38 *1287:A *1298:A1 6.52265e-05 -39 *1287:A *1298:C1 8.93328e-05 -40 *1287:A *1299:A3 7.40446e-05 -41 *1287:A *1450:TE 0 -42 *1287:A *233:11 6.29882e-05 -43 *1287:A *234:29 0.000102095 -44 *1287:A *240:5 0.000122331 -45 *1287:A *330:21 0.000452563 -46 *93:8 *1256:B 4.66108e-05 -47 *93:8 *1283:C1 0.000109182 -48 *93:8 *1285:B 2.66935e-05 -49 *93:8 *1286:B2 2.97004e-06 -50 *93:8 *137:47 2.16833e-05 -51 *93:8 *162:63 0.000136884 -52 *93:8 *274:34 3.64051e-06 -53 *93:30 *1182:A3 4.21973e-05 -54 *93:30 *1196:A 2.73058e-05 -55 *93:30 *1245:C 1.30548e-05 -56 *93:30 *145:5 0.000168254 -57 *93:30 *145:24 0.000185598 -58 *93:30 *274:20 0.000159331 -59 *93:30 *274:30 8.69098e-05 -60 *93:30 *274:34 3.06569e-06 -61 *93:42 *1182:A2 2.10279e-05 -62 *93:42 *1182:A3 3.83194e-05 -63 *93:42 *145:24 4.82919e-05 -64 *93:55 *1166:A 2.46936e-05 -65 *93:55 *1296:C 0.000175673 -66 *93:55 *140:8 3.22384e-05 -67 *93:55 *211:40 0 -68 *93:55 *234:11 7.90806e-05 -69 *93:55 *234:29 3.05712e-05 -70 *93:55 *236:8 0 -71 *93:55 *271:25 0.000176152 -72 *93:55 *271:31 1.08994e-05 -73 *93:55 *294:23 7.23533e-05 -74 *391:DIODE *1252:A 7.49443e-05 -75 *409:DIODE *1287:A 3.98755e-05 -76 *5:113 *1184:A 9.387e-06 -77 *30:23 *1245:B 0.000189684 -*RES -1 *1119:Y *93:4 1.6 -2 *93:4 *93:8 7.19 -3 *93:8 *1256:A 3.6 -4 *93:8 *1243:B 5.94 -5 *93:4 *93:30 9.655 -6 *93:30 *1184:A 10.04 -7 *93:30 *93:42 1.845 -8 *93:42 *1182:A1 1.6 -9 *93:42 *93:48 0.45 -10 *93:48 *93:55 7.505 -11 *93:55 *1245:B 9.225 -12 *93:55 *1287:A 21.4 -13 *93:48 *1252:A 10.22 -*END - -*D_NET *94 0.00111334 -*CONN -*I *1121:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1120:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1121:B 0.000274865 -2 *1120:Y 0.000274865 -3 *1121:B *1120:A 0.000312771 -4 *1121:B *252:13 4.99619e-05 -5 *1121:B *253:24 0 -6 *1121:B *262:8 0.000151439 -7 *1364:RESET_B *1121:B 4.94404e-05 -*RES -1 *1120:Y *1121:B 13.11 -*END - -*D_NET *95 0.0037754 -*CONN -*I *1154:A2 I *D sky130_fd_sc_hd__a31o_2 -*I *1130:A I *D sky130_fd_sc_hd__and3_2 -*I *1131:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1121:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1154:A2 8.90759e-05 -2 *1130:A 5.68417e-05 -3 *1131:A1 1.8095e-05 -4 *1121:Y 0.000706976 -5 *95:23 0.000233014 -6 *95:8 0.000812168 -7 *1130:A *1139:A3 8.52845e-05 -8 *1130:A *103:7 7.05395e-05 -9 *1131:A1 *1127:B 8.49205e-05 -10 *1131:A1 *101:10 8.49205e-05 -11 *1154:A2 *1154:A3 4.82919e-05 -12 *1154:A2 *103:7 2.4526e-05 -13 *95:8 *1111:A0 0.000124744 -14 *95:8 *1111:A1 1.1392e-05 -15 *95:8 *1127:A 9.30717e-05 -16 *95:8 *1131:B1 2.56752e-06 -17 *95:8 *1138:B 6.90876e-05 -18 *95:8 *100:6 5.60764e-05 -19 *95:8 *100:17 2.15594e-05 -20 *95:8 *112:9 0 -21 *95:8 *258:17 0.000124895 -22 *95:23 *1131:B1 3.11771e-06 -23 *95:23 *1132:B 8.53113e-06 -24 *95:23 *100:17 0.000109522 -25 *95:23 *105:8 7.06865e-05 -26 *377:DIODE *95:8 3.7445e-05 -27 *1109:S *95:8 0.000123225 -28 *1110:A *95:8 0.000173441 -29 *1111:S *95:8 0.000118081 -30 *1112:A *95:8 4.99619e-05 -31 *39:16 *95:8 0.000263343 -*RES -1 *1121:Y *95:8 17.61 -2 *95:8 *1131:A1 4.395 -3 *95:8 *95:23 3.935 -4 *95:23 *1130:A 3.1 -5 *95:23 *1154:A2 2.74 -*END - -*D_NET *96 0.00363611 -*CONN -*I *1135:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1126:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1141:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1177:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1122:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1135:A1 0 -2 *1126:A1 9.17753e-05 -3 *1141:A 6.31675e-05 -4 *1177:A1 0.000282194 -5 *1122:X 0.000101353 -6 *96:27 0.000354554 -7 *96:7 0.000481805 -8 *96:5 0.000101353 -9 *1126:A1 *1126:B1 1.85674e-05 -10 *1126:A1 *1141:B 0.000344752 -11 *1126:A1 *254:34 0.000371905 -12 *1141:A *1141:B 8.58007e-05 -13 *1141:A *254:34 0.000161794 -14 *1177:A1 *1177:A2 9.66106e-05 -15 *1177:A1 *1368:A 0 -16 *1177:A1 *254:16 0 -17 *1177:A1 *260:7 0.000153971 -18 *96:5 *1135:A2 0.000347321 -19 *96:5 *1135:B1 0.000235841 -20 *96:5 *1138:A 2.20014e-05 -21 *96:27 *1146:A 0 -22 *96:27 *254:16 0 -23 *1144:A *1177:A1 0.000321346 -24 *1363:D *1177:A1 0 -*RES -1 *1122:X *96:5 5.155 -2 *96:5 *96:7 2 -3 *96:7 *1177:A1 10.68 -4 *96:7 *96:27 5.255 -5 *96:27 *1141:A 3.445 -6 *96:27 *1126:A1 5.515 -7 *96:5 *1135:A1 1.6 -*END - -*D_NET *97 0.0039201 -*CONN -*I *1126:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *1141:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1135:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1123:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1126:A2 0 -2 *1141:B 0.000155674 -3 *1135:A2 0.000126648 -4 *1123:X 0.000483369 -5 *97:25 0.000304916 -6 *97:12 0.000759258 -7 *97:12 *1120:A 0.00012657 -8 *97:12 *1122:B 4.65246e-05 -9 *97:12 *1340:B 0.000280837 -10 *97:12 *252:13 0.000147985 -11 *97:25 *1122:B 1.0183e-05 -12 *97:25 *1126:C1 0 -13 *376:DIODE *1141:B 7.27003e-05 -14 *1126:A1 *1141:B 0.000344752 -15 *1141:A *1141:B 8.58007e-05 -16 *1145:A *1135:A2 0.000378321 -17 *1145:A *97:12 8.70844e-05 -18 *1363:RESET_B *97:12 7.39334e-05 -19 *39:16 *97:12 7.21296e-06 -20 *39:16 *97:25 8.10063e-05 -21 *84:26 *97:25 0 -22 *96:5 *1135:A2 0.000347321 -*RES -1 *1123:X *97:12 13.56 -2 *97:12 *1135:A2 7.86 -3 *97:12 *97:25 4.655 -4 *97:25 *1141:B 5.5 -5 *97:25 *1126:A2 1.6 -*END - -*D_NET *98 0.00189168 -*CONN -*I *1137:B I *D sky130_fd_sc_hd__nor2_2 -*I *1126:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1124:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1137:B 0.000108636 -2 *1126:B1 8.52722e-05 -3 *1124:X 0.000164775 -4 *98:5 0.000358684 -5 *1126:B1 *254:34 0.000159741 -6 *1126:B1 *262:32 0 -7 *1137:B *1137:A 1.30574e-05 -8 *1137:B *1138:B 0.000180689 -9 *1137:B *254:45 0.000360618 -10 *1137:B *258:28 0 -11 *98:5 *1136:A 0.000203178 -12 *98:5 *1136:B 3.83041e-05 -13 *98:5 *254:34 3.66286e-05 -14 *98:5 *262:32 6.39952e-05 -15 *1126:A1 *1126:B1 1.85674e-05 -16 *84:26 *1137:B 9.9535e-05 -*RES -1 *1124:X *98:5 5.155 -2 *98:5 *1126:B1 3.445 -3 *98:5 *1137:B 10.04 -*END - -*D_NET *99 0.0028698 -*CONN -*I *1126:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1135:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1125:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1126:C1 8.78468e-05 -2 *1135:B1 0.000193437 -3 *1125:X 0.000850522 -4 *99:5 0.00113181 -5 *1135:B1 *1138:A 4.94474e-05 -6 *99:5 *1122:A 9.8707e-05 -7 *99:5 *1125:B 6.69341e-05 -8 *99:5 *261:7 1.83756e-05 -9 *84:26 *1126:C1 0.000136884 -10 *96:5 *1135:B1 0.000235841 -11 *97:25 *1126:C1 0 -*RES -1 *1125:X *99:5 11.365 -2 *99:5 *1135:B1 5.425 -3 *99:5 *1126:C1 7.235 -*END - -*D_NET *100 0.00273579 -*CONN -*I *1130:B I *D sky130_fd_sc_hd__and3_2 -*I *1154:A3 I *D sky130_fd_sc_hd__a31o_2 -*I *1131:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1126:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1130:B 0.000205984 -2 *1154:A3 1.17375e-05 -3 *1131:A2 4.01095e-05 -4 *1126:X 0.000243768 -5 *100:17 0.000318975 -6 *100:6 0.000385131 -7 *1130:B *1130:C 1.06624e-05 -8 *1130:B *1132:B 3.13537e-05 -9 *1130:B *1154:A1 3.77455e-05 -10 *1130:B *103:7 0.000113047 -11 *1130:B *128:6 3.09164e-05 -12 *1131:A2 *1127:B 5.61451e-05 -13 *1131:A2 *1153:B 2.16917e-05 -14 *1154:A3 *103:7 2.75229e-05 -15 *100:6 *1127:A 9.14049e-05 -16 *100:6 *258:28 2.83684e-05 -17 *100:6 *263:8 0.000112595 -18 *100:6 *263:17 0.00014834 -19 *100:17 *1132:B 1.07797e-05 -20 *100:17 *1154:A1 1.0183e-05 -21 *100:17 *1154:B1 0 -22 *100:17 *101:10 0 -23 *100:17 *263:17 4.9968e-05 -24 *378:DIODE *1131:A2 8.40269e-05 -25 *1109:S *100:6 0 -26 *1154:A2 *1154:A3 4.82919e-05 -27 *1366:RESET_B *100:6 1.0397e-05 -28 *39:16 *100:6 0.000419491 -29 *84:26 *100:6 0 -30 *95:8 *100:6 5.60764e-05 -31 *95:8 *100:17 2.15594e-05 -32 *95:23 *100:17 0.000109522 -*RES -1 *1126:X *100:6 10.845 -2 *100:6 *1131:A2 4.755 -3 *100:6 *100:17 2.145 -4 *100:17 *1154:A3 4.05 -5 *100:17 *1130:B 6.9 -*END - -*D_NET *101 0.0025034 -*CONN -*I *1152:A I *D sky130_fd_sc_hd__inv_2 -*I *1129:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1127:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1152:A 0.000277204 -2 *1129:A_N 0.000172146 -3 *1127:Y 0.000326024 -4 *101:10 0.000775375 -5 *1129:A_N *103:7 4.66826e-05 -6 *1152:A *1129:B 3.09233e-05 -7 *1152:A *1154:A1 1.03423e-05 -8 *1152:A *1160:A2 0 -9 *1152:A *1160:B1 3.25831e-05 -10 *1152:A *1160:C1 8.01019e-05 -11 *1152:A *135:8 0 -12 *101:10 *1127:B 0.000112822 -13 *101:10 *1129:B 0.00011686 -14 *101:10 *1131:B1 4.77757e-05 -15 *101:10 *1153:B 0.000239813 -16 *101:10 *1154:B1 0.000102059 -17 *101:10 *105:8 4.77719e-05 -18 *1131:A1 *101:10 8.49205e-05 -19 *100:17 *101:10 0 -*RES -1 *1127:Y *101:10 10.62 -2 *101:10 *1129:A_N 6.135 -3 *101:10 *1152:A 8.355 -*END - -*D_NET *102 0.000784605 -*CONN -*I *1129:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1128:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1129:B 0.000281886 -2 *1128:Y 0.000281886 -3 *1129:B *1153:A 0 -4 *1129:B *248:5 7.30505e-05 -5 *1129:B *254:58 0 -6 *1129:B *258:35 0 -7 *1112:A *1129:B 0 -8 *1152:A *1129:B 3.09233e-05 -9 *101:10 *1129:B 0.00011686 -*RES -1 *1128:Y *1129:B 12.27 -*END - -*D_NET *103 0.0012957 -*CONN -*I *1131:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1130:C I *D sky130_fd_sc_hd__and3_2 -*I *1129:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1131:B1 0.000107184 -2 *1130:C 1.30309e-05 -3 *1129:Y 0.000278101 -4 *103:7 0.000398316 -5 *1130:C *1139:A3 4.77719e-05 -6 *1131:B1 *1127:A 5.18317e-06 -7 *1131:B1 *1127:B 4.89295e-05 -8 *1131:B1 *1132:B 6.23541e-06 -9 *1131:B1 *105:8 2.30184e-05 -10 *1131:B1 *112:9 0 -11 *103:7 *1139:A2 1.20052e-05 -12 *103:7 *1139:A3 9.47972e-06 -13 *1129:A_N *103:7 4.66826e-05 -14 *1130:A *103:7 7.05395e-05 -15 *1130:B *1130:C 1.06624e-05 -16 *1130:B *103:7 0.000113047 -17 *1154:A2 *103:7 2.4526e-05 -18 *1154:A3 *103:7 2.75229e-05 -19 *95:8 *1131:B1 2.56752e-06 -20 *95:23 *1131:B1 3.11771e-06 -21 *101:10 *1131:B1 4.77757e-05 -*RES -1 *1129:Y *103:7 7.15 -2 *103:7 *1130:C 2.05 -3 *103:7 *1131:B1 7.685 -*END - -*D_NET *104 0.00136269 -*CONN -*I *1132:A I *D sky130_fd_sc_hd__nor2_2 -*I *1139:A2 I *D sky130_fd_sc_hd__o32a_2 -*I *1130:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1132:A 0.000132618 -2 *1139:A2 0.000193892 -3 *1130:X 0 -4 *104:4 0.00032651 -5 *1132:A *106:5 4.8854e-05 -6 *1139:A2 *1139:A1 4.82863e-05 -7 *1139:A2 *1139:A3 0.000287175 -8 *1139:A2 *112:9 0.000210961 -9 *1160:A1 *1132:A 0.00010239 -10 *1179:A1 *1132:A 0 -11 *103:7 *1139:A2 1.20052e-05 -*RES -1 *1130:X *104:4 1.6 -2 *104:4 *1139:A2 7.06 -3 *104:4 *1132:A 8.03 -*END - -*D_NET *105 0.00270377 -*CONN -*I *1132:B I *D sky130_fd_sc_hd__nor2_2 -*I *1139:A3 I *D sky130_fd_sc_hd__o32a_2 -*I *1131:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1132:B 0.000239481 -2 *1139:A3 0.000577265 -3 *1131:Y 6.86608e-05 -4 *105:8 0.000885407 -5 *1132:B *1180:D 0 -6 *1132:B *112:9 0 -7 *1132:B *128:6 0.000178314 -8 *1139:A3 *429:DIODE 1.08758e-05 -9 *1139:A3 *1139:A1 2.30292e-05 -10 *1139:A3 *1140:A 1.37328e-05 -11 *1130:A *1139:A3 8.52845e-05 -12 *1130:B *1132:B 3.13537e-05 -13 *1130:C *1139:A3 4.77719e-05 -14 *1131:B1 *1132:B 6.23541e-06 -15 *1131:B1 *105:8 2.30184e-05 -16 *1139:A2 *1139:A3 0.000287175 -17 *1160:A1 *1132:B 7.89128e-05 -18 *1179:A1 *1132:B 0 -19 *95:23 *1132:B 8.53113e-06 -20 *95:23 *105:8 7.06865e-05 -21 *100:17 *1132:B 1.07797e-05 -22 *101:10 *105:8 4.77719e-05 -23 *103:7 *1139:A3 9.47972e-06 -*RES -1 *1131:Y *105:8 4.92 -2 *105:8 *1139:A3 11.43 -3 *105:8 *1132:B 7.92 -*END - -*D_NET *106 0.00276561 -*CONN -*I *1179:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1150:A2 I *D sky130_fd_sc_hd__o2111a_2 -*I *1157:A2 I *D sky130_fd_sc_hd__o21ba_2 -*I *1132:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1179:A2 4.75717e-05 -2 *1150:A2 0 -3 *1157:A2 0.000116358 -4 *1132:Y 0.00025217 -5 *106:13 0.000545249 -6 *106:5 0.000728633 -7 *1157:A2 *1150:D1 4.99619e-05 -8 *1157:A2 *1157:B1_N 0 -9 *1157:A2 *1161:A3 0 -10 *1179:A2 *1161:B1 1.56428e-05 -11 *1179:A2 *1179:C1 1.51429e-05 -12 *1179:A2 *134:5 3.64998e-06 -13 *106:5 *134:5 2.69942e-05 -14 *106:13 *1150:D1 0.000150653 -15 *106:13 *1161:A1 7.06865e-05 -16 *106:13 *1161:A2 7.67876e-05 -17 *106:13 *1161:A3 0.000162325 -18 *106:13 *1161:B1 2.10034e-05 -19 *106:13 *1179:C1 0.000264377 -20 *374:DIODE *1157:A2 4.43871e-06 -21 *1132:A *106:5 4.8854e-05 -22 *1160:A1 *106:5 4.34203e-05 -23 *1179:A1 *106:5 6.03947e-06 -24 *9:31 *1157:A2 9.16602e-05 -25 *10:21 *106:13 2.39856e-05 -*RES -1 *1132:Y *106:5 4.81 -2 *106:5 *106:13 11.275 -3 *106:13 *1157:A2 7.94 -4 *106:13 *1150:A2 1.6 -5 *106:5 *1179:A2 2.77 -*END - -*D_NET *107 0.00100852 -*CONN -*I *1139:A1 I *D sky130_fd_sc_hd__o32a_2 -*I *1133:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1139:A1 0.000303041 -2 *1133:Y 0.000303041 -3 *1139:A1 *429:DIODE 3.09088e-05 -4 *1139:A1 *1139:B2 1.52184e-05 -5 *1139:A1 *1140:B 8.49205e-05 -6 *1139:A1 *1150:D1 0 -7 *1139:A1 *1161:B1 4.66108e-05 -8 *1139:A1 *1179:C1 8.73389e-06 -9 *1139:A1 *112:9 7.40446e-05 -10 *1139:A1 *113:10 7.06865e-05 -11 *1139:A2 *1139:A1 4.82863e-05 -12 *1139:A3 *1139:A1 2.30292e-05 -*RES -1 *1133:Y *1139:A1 12.24 -*END - -*D_NET *108 0.000936239 -*CONN -*I *1139:B1 I *D sky130_fd_sc_hd__o32a_2 -*I *1140:A I *D sky130_fd_sc_hd__nand2_2 -*I *1134:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1139:B1 0 -2 *1140:A 6.88791e-05 -3 *1134:Y 0.000196938 -4 *108:8 0.000265817 -5 *1140:A *429:DIODE 0.000201503 -6 *1140:A *1140:B 6.01628e-05 -7 *1140:A *114:8 1.33293e-05 -8 *108:8 *429:DIODE 3.1812e-05 -9 *108:8 *1139:B2 1.17114e-05 -10 *108:8 *1150:D1 0 -11 *108:8 *254:8 7.23533e-05 -12 *1139:A3 *1140:A 1.37328e-05 -13 *11:10 *108:8 0 -*RES -1 *1134:Y *108:8 9.02 -2 *108:8 *1140:A 4.15 -3 *108:8 *1139:B1 1.6 -*END - -*D_NET *109 0.000804065 -*CONN -*I *1138:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1135:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1138:A 0.000210259 -2 *1135:Y 0.000210259 -3 *1135:B1 *1138:A 4.94474e-05 -4 *39:16 *1138:A 0.000312097 -5 *96:5 *1138:A 2.20014e-05 -*RES -1 *1135:Y *1138:A 11.67 -*END - -*D_NET *110 0.000701033 -*CONN -*I *1137:A I *D sky130_fd_sc_hd__nor2_2 -*I *1136:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1137:A 9.54358e-05 -2 *1136:Y 9.54358e-05 -3 *1137:A *1111:A1 7.23533e-05 -4 *1137:A *253:24 0.000133386 -5 *1137:A *254:45 0.000291365 -6 *1137:B *1137:A 1.30574e-05 -*RES -1 *1136:Y *1137:A 10.875 -*END - -*D_NET *111 0.000931732 -*CONN -*I *1138:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1137:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1138:B 0.000163601 -2 *1137:Y 0.000163601 -3 *377:DIODE *1138:B 0.000164874 -4 *1109:S *1138:B 0.000124895 -5 *1111:S *1138:B 3.57349e-05 -6 *1137:B *1138:B 0.000180689 -7 *84:26 *1138:B 2.92496e-05 -8 *95:8 *1138:B 6.90876e-05 -*RES -1 *1137:Y *1138:B 11.865 -*END - -*D_NET *112 0.0029173 -*CONN -*I *1139:B2 I *D sky130_fd_sc_hd__o32a_2 -*I *1140:B I *D sky130_fd_sc_hd__nand2_2 -*I *1138:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1139:B2 7.64758e-05 -2 *1140:B 8.93267e-05 -3 *1138:Y 0.000603731 -4 *112:9 0.000769533 -5 *1140:B *429:DIODE 7.68414e-06 -6 *1140:B *113:10 0.000122331 -7 *1140:B *114:8 8.8266e-05 -8 *112:9 *1127:A 2.45182e-05 -9 *112:9 *1179:B1 0.000149759 -10 *112:9 *1180:B 0 -11 *112:9 *113:10 0.00015639 -12 *112:9 *284:45 0 -13 clockp[1] *112:9 0 -14 *376:DIODE *112:9 0.000198514 -15 *378:DIODE *112:9 5.79514e-05 -16 *1131:B1 *112:9 0 -17 *1132:B *112:9 0 -18 *1139:A1 *1139:B2 1.52184e-05 -19 *1139:A1 *1140:B 8.49205e-05 -20 *1139:A1 *112:9 7.40446e-05 -21 *1139:A2 *112:9 0.000210961 -22 *1140:A *1140:B 6.01628e-05 -23 *1179:A1 *112:9 8.17448e-05 -24 *1366:D *112:9 0 -25 *11:10 *1139:B2 3.40521e-05 -26 *95:8 *112:9 0 -27 *108:8 *1139:B2 1.17114e-05 -*RES -1 *1138:Y *112:9 18.365 -2 *112:9 *1140:B 4.39 -3 *112:9 *1139:B2 7.025 -*END - -*D_NET *113 0.00264242 -*CONN -*I *1179:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1157:B1_N I *D sky130_fd_sc_hd__o21ba_2 -*I *1150:B1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1139:X O *D sky130_fd_sc_hd__o32a_2 -*CAP -1 *1179:B1 0.000169259 -2 *1157:B1_N 0.000109986 -3 *1150:B1 1.22258e-05 -4 *1139:X 0 -5 *113:10 0.00048603 -6 *113:4 0.000533077 -7 *1150:B1 *1150:D1 8.65905e-05 -8 *1157:B1_N *1161:A3 9.16654e-05 -9 *1179:B1 *1180:C 5.4003e-05 -10 *1179:B1 *284:45 5.12942e-05 -11 *113:10 *1150:C1 9.54469e-05 -12 *113:10 *1161:A2 0 -13 *113:10 *1161:A3 0.000161637 -14 *113:10 *114:8 0.000160651 -15 *1139:A1 *113:10 7.06865e-05 -16 *1140:B *113:10 0.000122331 -17 *1157:A2 *1157:B1_N 0 -18 *1179:A1 *1179:B1 4.31189e-05 -19 *9:20 *1157:B1_N 0 -20 *9:20 *113:10 0 -21 *9:31 *1157:B1_N 0 -22 *10:21 *1150:B1 8.8266e-05 -23 *11:10 *113:10 0 -24 *112:9 *1179:B1 0.000149759 -25 *112:9 *113:10 0.00015639 -*RES -1 *1139:X *113:4 1.6 -2 *113:4 *113:10 8.39 -3 *113:10 *1150:B1 4.395 -4 *113:10 *1157:B1_N 5.745 -5 *113:4 *1179:B1 8.72 -*END - -*D_NET *114 0.00154543 -*CONN -*I *1150:C1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1179:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1140:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1150:C1 4.61465e-05 -2 *1179:C1 0.000168778 -3 *1140:Y 0.000162494 -4 *114:8 0.000377419 -5 *1179:C1 *1161:A2 5.1545e-05 -6 *1179:C1 *1161:B1 9.31024e-05 -7 *114:8 *1150:D1 0 -8 *1139:A1 *1179:C1 8.73389e-06 -9 *1140:A *114:8 1.33293e-05 -10 *1140:B *114:8 8.8266e-05 -11 *1179:A2 *1179:C1 1.51429e-05 -12 *9:20 *1150:C1 0 -13 *9:20 *114:8 0 -14 *106:13 *1179:C1 0.000264377 -15 *113:10 *1150:C1 9.54469e-05 -16 *113:10 *114:8 0.000160651 -*RES -1 *1140:Y *114:8 6.63 -2 *114:8 *1179:C1 7.515 -3 *114:8 *1150:C1 4.725 -*END - -*D_NET *115 0.00177265 -*CONN -*I *1143:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1148:B I *D sky130_fd_sc_hd__and2_2 -*I *1141:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1143:A_N 0 -2 *1148:B 0.000286217 -3 *1141:Y 0.000168662 -4 *115:5 0.000454879 -5 *1148:B *1143:B 0 -6 *1148:B *1149:A1 0.000177224 -7 *1148:B *1178:A_N 7.68089e-05 -8 *1148:B *117:10 0.000189891 -9 *1148:B *122:8 9.14093e-05 -10 *1148:B *254:8 5.05774e-05 -11 *1148:B *254:13 1.53032e-05 -12 *115:5 *117:10 1.16578e-05 -13 *115:5 *254:13 0.000127199 -14 *1142:A *1148:B 0 -15 *7:19 *1148:B 0 -16 *7:28 *1148:B 0.000122817 -*RES -1 *1141:Y *115:5 4.465 -2 *115:5 *1148:B 13.16 -3 *115:5 *1143:A_N 1.6 -*END - -*D_NET *116 0.000170289 -*CONN -*I *1143:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1142:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1143:B 8.51447e-05 -2 *1142:Y 8.51447e-05 -3 *1148:B *1143:B 0 -*RES -1 *1142:Y *1143:B 8.58 -*END - -*D_NET *117 0.00116263 -*CONN -*I *1149:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:B I *D sky130_fd_sc_hd__and4b_2 -*I *1143:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1149:A1 0.000100009 -2 *1178:B 6.05382e-05 -3 *1143:Y 0.000116738 -4 *117:10 0.000277285 -5 *1149:A1 *1149:A2 4.66108e-05 -6 *1149:A1 *254:13 0.000103704 -7 *117:10 *254:13 7.89689e-05 -8 *1148:B *1149:A1 0.000177224 -9 *1148:B *117:10 0.000189891 -10 *115:5 *117:10 1.16578e-05 -*RES -1 *1143:Y *117:10 6.39 -2 *117:10 *1178:B 4.395 -3 *117:10 *1149:A1 6.54 -*END - -*D_NET *118 0.000755095 -*CONN -*I *1147:B I *D sky130_fd_sc_hd__nand3b_2 -*I *1144:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1147:B 0.000278602 -2 *1144:Y 0.000278602 -3 *1147:B *1144:B 4.99619e-05 -4 *1147:B *1178:D 0 -5 *1117:A *1147:B 0.0001249 -6 *1144:A *1147:B 2.30292e-05 -*RES -1 *1144:Y *1147:B 11.46 -*END - -*D_NET *119 0.0016175 -*CONN -*I *1146:A I *D sky130_fd_sc_hd__inv_2 -*I *1177:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1145:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1146:A 0.000164101 -2 *1177:A2 0.000133014 -3 *1145:Y 0 -4 *119:5 0.000297115 -5 *1146:A *254:16 0.00011852 -6 *1177:A2 *1144:B 0.000198046 -7 *1177:A2 *1145:B 0.000114492 -8 *1177:A2 *254:16 0.000180682 -9 *1177:A2 *260:7 3.66286e-05 -10 clockp[1] *1146:A 0.000169534 -11 clockp[1] *1177:A2 2.68721e-05 -12 *376:DIODE *1146:A 0 -13 *377:DIODE *1146:A 4.82919e-05 -14 *1117:A *1177:A2 0 -15 *1144:A *1177:A2 6.07575e-06 -16 *1177:A1 *1177:A2 9.66106e-05 -17 *1177:B1 *1177:A2 2.75145e-05 -18 *96:27 *1146:A 0 -*RES -1 *1145:Y *119:5 3.6 -2 *119:5 *1177:A2 8.28 -3 *119:5 *1146:A 7.725 -*END - -*D_NET *120 0.00121545 -*CONN -*I *1147:C I *D sky130_fd_sc_hd__nand3b_2 -*I *1146:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1147:C 0.000275297 -2 *1146:Y 0.000275297 -3 clockp[1] *1147:C 0.000160544 -4 *377:DIODE *1147:C 0.000308682 -5 *1117:A *1147:C 4.66742e-05 -6 *6:8 *1147:C 0.000148957 -*RES -1 *1146:Y *1147:C 13.26 -*END - -*D_NET *121 0.00155792 -*CONN -*I *1149:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:C I *D sky130_fd_sc_hd__and4b_2 -*I *1147:Y O *D sky130_fd_sc_hd__nand3b_2 -*CAP -1 *1149:A2 0.000218996 -2 *1178:C 0 -3 *1147:Y 9.30011e-05 -4 *121:6 0.000311997 -5 *1149:A2 *1178:D 3.25831e-05 -6 *1149:A2 *254:8 5.13924e-05 -7 *1149:A2 *254:13 0.000246158 -8 *121:6 *1178:D 0.000245891 -9 *121:6 *254:13 0.000159387 -10 *375:DIODE *1149:A2 0.000151905 -11 *1149:A1 *1149:A2 4.66108e-05 -*RES -1 *1147:Y *121:6 6.51 -2 *121:6 *1178:C 3.6 -3 *121:6 *1149:A2 8.865 -*END - -*D_NET *122 0.000942708 -*CONN -*I *1149:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:A_N I *D sky130_fd_sc_hd__and4b_2 -*I *1148:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1149:B1 0 -2 *1178:A_N 0.000137362 -3 *1148:X 9.96167e-05 -4 *122:8 0.000236979 -5 *1142:A *1178:A_N 6.44566e-05 -6 *1148:B *1178:A_N 7.68089e-05 -7 *1148:B *122:8 9.14093e-05 -8 *7:19 *1178:A_N 0.000129255 -9 *7:19 *122:8 0.00010682 -*RES -1 *1148:X *122:8 5.775 -2 *122:8 *1178:A_N 6.885 -3 *122:8 *1149:B1 3.6 -*END - -*D_NET *123 0.00224478 -*CONN -*I *1150:D1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1149:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1150:D1 0.000593732 -2 *1149:X 0.000593732 -3 *1150:D1 *254:8 0 -4 *1139:A1 *1150:D1 0 -5 *1150:B1 *1150:D1 8.65905e-05 -6 *1157:A2 *1150:D1 4.99619e-05 -7 *7:19 *1150:D1 0.000156923 -8 *9:10 *1150:D1 0.000238226 -9 *9:20 *1150:D1 6.44307e-05 -10 *9:31 *1150:D1 8.08153e-06 -11 *10:10 *1150:D1 0.000150149 -12 *10:21 *1150:D1 0.000152305 -13 *106:13 *1150:D1 0.000150653 -14 *108:8 *1150:D1 0 -15 *114:8 *1150:D1 0 -*RES -1 *1149:X *1150:D1 20.46 -*END - -*D_NET *124 0.000410443 -*CONN -*I *1161:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1150:X O *D sky130_fd_sc_hd__o2111a_2 -*CAP -1 *1161:A1 7.75661e-05 -2 *1150:X 7.75661e-05 -3 *1161:A1 *1161:A2 0.00011379 -4 *1161:A1 *1161:A3 2.42236e-05 -5 *10:21 *1161:A1 4.66108e-05 -6 *106:13 *1161:A1 7.06865e-05 -*RES -1 *1150:X *1161:A1 9.03 -*END - -*D_NET *125 0.000878333 -*CONN -*I *1155:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1158:A I *D sky130_fd_sc_hd__nand2_2 -*I *1151:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1155:A 0 -2 *1158:A 0.000102467 -3 *1151:X 9.8388e-05 -4 *125:5 0.000200855 -5 *1158:A *1160:B1 7.45968e-05 -6 *1158:A *135:8 0.000210151 -7 *1158:A *284:35 5.05521e-05 -8 *125:5 *284:35 0.000141323 -9 *1337:A *1158:A 0 -*RES -1 *1151:X *125:5 3.775 -2 *125:5 *1158:A 8.795 -3 *125:5 *1155:A 1.6 -*END - -*D_NET *126 0.000954276 -*CONN -*I *1154:A1 I *D sky130_fd_sc_hd__a31o_2 -*I *1152:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1154:A1 0.00036936 -2 *1152:Y 0.00036936 -3 *1154:A1 *1154:B1 1.89685e-05 -4 *1154:A1 *1160:A2 1.82553e-05 -5 *1154:A1 *1160:C1 9.91257e-05 -6 *1154:A1 *135:8 8.53113e-06 -7 *1130:B *1154:A1 3.77455e-05 -8 *1152:A *1154:A1 1.03423e-05 -9 *1160:A1 *1154:A1 1.2404e-05 -10 *100:17 *1154:A1 1.0183e-05 -*RES -1 *1152:Y *1154:A1 12.555 -*END - -*D_NET *127 0.000280398 -*CONN -*I *1154:B1 I *D sky130_fd_sc_hd__a31o_2 -*I *1153:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1154:B1 7.96855e-05 -2 *1153:X 7.96855e-05 -3 *1154:A1 *1154:B1 1.89685e-05 -4 *100:17 *1154:B1 0 -5 *101:10 *1154:B1 0.000102059 -*RES -1 *1153:X *1154:B1 8.775 -*END - -*D_NET *128 0.00122651 -*CONN -*I *1158:B I *D sky130_fd_sc_hd__nand2_2 -*I *1155:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1154:X O *D sky130_fd_sc_hd__a31o_2 -*CAP -1 *1158:B 0.000107882 -2 *1155:B 1.17375e-05 -3 *1154:X 0.000157522 -4 *128:6 0.000277141 -5 *1155:B *1160:A2 4.82919e-05 -6 *1155:B *284:35 2.75229e-05 -7 *1158:B *428:DIODE 8.53113e-06 -8 *1158:B *1180:D 0 -9 *1158:B *135:8 8.26783e-05 -10 *1158:B *284:66 0.000163019 -11 *1158:B *288:8 2.75229e-05 -12 *128:6 *1160:A2 6.55328e-05 -13 *128:6 *1180:D 0 -14 *128:6 *135:8 3.99039e-05 -15 *1130:B *128:6 3.09164e-05 -16 *1132:B *128:6 0.000178314 -*RES -1 *1154:X *128:6 7.275 -2 *128:6 *1155:B 4.05 -3 *128:6 *1158:B 6.45 -*END - -*D_NET *129 0.00189319 -*CONN -*I *1160:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1156:B I *D sky130_fd_sc_hd__and2_2 -*I *1155:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1160:A2 0.00024047 -2 *1156:B 0.000154833 -3 *1155:Y 0 -4 *129:4 0.000395303 -5 *1156:B *284:45 0.000174245 -6 *1160:A2 *1160:C1 4.00541e-06 -7 *1160:A2 *135:8 0.00011686 -8 *1160:A2 *284:35 2.32506e-05 -9 *1160:A2 *284:45 5.13714e-05 -10 *382:DIODE *1156:B 8.35106e-05 -11 *1152:A *1160:A2 0 -12 *1154:A1 *1160:A2 1.82553e-05 -13 *1155:B *1160:A2 4.82919e-05 -14 *1156:A *1156:B 0.000160635 -15 *1160:A1 *1160:A2 0.000109851 -16 *10:21 *1156:B 0.000246778 -17 *128:6 *1160:A2 6.55328e-05 -*RES -1 *1155:Y *129:4 1.6 -2 *129:4 *1156:B 6.205 -3 *129:4 *1160:A2 10.655 -*END - -*D_NET *130 0.00132452 -*CONN -*I *1180:A_N I *D sky130_fd_sc_hd__nand4b_2 -*I *1161:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1156:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1180:A_N 4.71902e-05 -2 *1161:A2 0.000127899 -3 *1156:X 0.000136003 -4 *130:7 0.000311093 -5 *1161:A2 *1161:A3 1.09931e-05 -6 *1161:A2 *1161:B1 8.99314e-05 -7 *1180:A_N *1161:A3 5.91128e-05 -8 clockp[1] *1180:A_N 3.87661e-05 -9 *1161:A1 *1161:A2 0.00011379 -10 *1179:C1 *1161:A2 5.1545e-05 -11 *10:21 *130:7 4.05907e-05 -12 *11:10 *1161:A2 0.000212854 -13 *11:10 *1180:A_N 7.96104e-06 -14 *106:13 *1161:A2 7.67876e-05 -15 *113:10 *1161:A2 0 -*RES -1 *1156:X *130:7 5.085 -2 *130:7 *1161:A2 7.875 -3 *130:7 *1180:A_N 4.98 -*END - -*D_NET *131 0.00104121 -*CONN -*I *1161:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1157:X O *D sky130_fd_sc_hd__o21ba_2 -*CAP -1 *1161:A3 0.000265626 -2 *1157:X 0.000265626 -3 clockp[1] *1161:A3 0 -4 *374:DIODE *1161:A3 0 -5 *1157:A2 *1161:A3 0 -6 *1157:B1_N *1161:A3 9.16654e-05 -7 *1161:A1 *1161:A3 2.42236e-05 -8 *1161:A2 *1161:A3 1.09931e-05 -9 *1180:A_N *1161:A3 5.91128e-05 -10 *106:13 *1161:A3 0.000162325 -11 *113:10 *1161:A3 0.000161637 -*RES -1 *1157:X *1161:A3 13.035 -*END - -*D_NET *132 0.000679786 -*CONN -*I *1160:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1158:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1160:B1 0.000261031 -2 *1158:Y 0.000261031 -3 *1160:B1 *135:8 0 -4 *1160:B1 *135:13 5.05437e-05 -5 *1152:A *1160:B1 3.25831e-05 -6 *1158:A *1160:B1 7.45968e-05 -*RES -1 *1158:Y *1160:B1 11.925 -*END - -*D_NET *133 0.00056243 -*CONN -*I *1160:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1159:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1160:C1 0.000189598 -2 *1159:Y 0.000189598 -3 *1152:A *1160:C1 8.01019e-05 -4 *1154:A1 *1160:C1 9.91257e-05 -5 *1160:A2 *1160:C1 4.00541e-06 -*RES -1 *1159:Y *1160:C1 7.115 -*END - -*D_NET *134 0.00286693 -*CONN -*I *1180:C I *D sky130_fd_sc_hd__nand4b_2 -*I *1161:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1160:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1180:C 0.000305547 -2 *1161:B1 0.00020401 -3 *1160:X 0.00011005 -4 *134:5 0.000619607 -5 *1161:B1 *135:8 0.000267846 -6 *1180:C *1180:B 0 -7 *1180:C *1180:D 0 -8 *1180:C *1181:C 1.89685e-05 -9 *1180:C *284:45 0 -10 *134:5 *135:8 0.000520986 -11 *1139:A1 *1161:B1 4.66108e-05 -12 *1156:A *1180:C 1.44259e-05 -13 *1160:A1 *1180:C 3.69334e-05 -14 *1160:A1 *134:5 0.000357572 -15 *1161:A2 *1161:B1 8.99314e-05 -16 *1179:A1 *1180:C 5.4003e-05 -17 *1179:A1 *134:5 6.03947e-06 -18 *1179:A2 *1161:B1 1.56428e-05 -19 *1179:A2 *134:5 3.64998e-06 -20 *1179:B1 *1180:C 5.4003e-05 -21 *1179:C1 *1161:B1 9.31024e-05 -22 *106:5 *134:5 2.69942e-05 -23 *106:13 *1161:B1 2.10034e-05 -*RES -1 *1160:X *134:5 6.535 -2 *134:5 *1161:B1 6.46 -3 *134:5 *1180:C 10.745 -*END - -*D_NET *135 0.00902905 -*CONN -*I *1192:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1162:A I *D sky130_fd_sc_hd__buf_2 -*I *1175:A I *D sky130_fd_sc_hd__and3_2 -*I *1186:B I *D sky130_fd_sc_hd__and2_2 -*I *1185:B I *D sky130_fd_sc_hd__nor2_2 -*I *1194:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1161:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1192:B 0.000416183 -2 *1162:A 0 -3 *1175:A 9.80755e-05 -4 *1186:B 9.59935e-06 -5 *1185:B 0 -6 *1194:A1 8.03724e-05 -7 *1161:X 0.000785406 -8 *135:41 0.000586991 -9 *135:19 0.000892293 -10 *135:13 0.000845929 -11 *135:8 0.00171491 -12 *1175:A *1181:C 6.16853e-05 -13 *1175:A *148:24 5.09404e-05 -14 *1175:A *273:30 9.21507e-05 -15 *1186:B *148:24 9.5799e-06 -16 *1186:B *273:8 8.7152e-06 -17 *1186:B *273:30 1.88602e-05 -18 *1192:B *428:DIODE 0 -19 *1192:B *1181:C 0.000169652 -20 *1192:B *1373:A 5.16418e-05 -21 *1192:B *1376:A 0.00015896 -22 *1192:B *288:8 0.000158297 -23 *1194:A1 *1207:A0 5.53249e-06 -24 *1194:A1 *137:8 6.20952e-05 -25 *1194:A1 *138:25 0 -26 *135:8 *428:DIODE 0 -27 *135:13 *136:7 5.4155e-06 -28 *135:13 *288:8 4.82919e-05 -29 *135:19 *1195:A3 8.8266e-05 -30 *135:19 *1195:B1 1.99848e-05 -31 *135:19 *1206:B 0.000162656 -32 *135:19 *1213:A2_N 0.000111285 -33 *135:19 *136:7 2.75145e-05 -34 *135:19 *136:17 0.000138117 -35 *135:19 *136:31 5.66358e-05 -36 *135:41 *1163:A 7.96104e-06 -37 *135:41 *1187:A 0.000114424 -38 *135:41 *1206:B 1.12578e-05 -39 *135:41 *1213:A2_N 0.000235573 -40 *135:41 *138:25 9.82856e-06 -41 *135:41 *162:42 0 -42 *426:DIODE *135:13 4.82919e-05 -43 *1152:A *135:8 0 -44 *1154:A1 *135:8 8.53113e-06 -45 *1158:A *135:8 0.000210151 -46 *1158:B *135:8 8.26783e-05 -47 *1160:A1 *135:8 1.34503e-05 -48 *1160:A2 *135:8 0.00011686 -49 *1160:B1 *135:8 0 -50 *1160:B1 *135:13 5.05437e-05 -51 *1161:B1 *135:8 0.000267846 -52 *1337:A *135:8 0 -53 *1337:A *135:13 0.000354725 -54 *128:6 *135:8 3.99039e-05 -55 *134:5 *135:8 0.000520986 -*RES -1 *1161:X *135:8 16.5 -2 *135:8 *135:13 10.745 -3 *135:13 *135:19 8.07 -4 *135:19 *1194:A1 6.98 -5 *135:19 *1185:B 1.6 -6 *135:19 *135:41 5.9699 -7 *135:41 *1186:B 3.8325 -8 *135:41 *1175:A 5.6625 -9 *135:13 *1162:A 1.6 -10 *135:8 *1192:B 11.385 -*END - -*D_NET *136 0.00837362 -*CONN -*I *1197:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1209:S I *D sky130_fd_sc_hd__mux2_2 -*I *1198:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1182:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1184:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1215:A I *D sky130_fd_sc_hd__xor2_2 -*I *1162:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1197:B2 0 -2 *1209:S 0 -3 *1198:B 0.00041202 -4 *1182:A2 0.000404014 -5 *1184:B 0 -6 *1195:B2 0 -7 *1215:A 0.000170051 -8 *1162:X 4.64128e-05 -9 *136:56 0.000437078 -10 *136:38 0.000510282 -11 *136:35 0.000202702 -12 *136:31 0.00106751 -13 *136:17 0.00113967 -14 *136:7 0.000359994 -15 *1182:A2 *1182:A3 0.000222321 -16 *1182:A2 *1196:A 5.17902e-05 -17 *1182:A2 *1197:B1 0 -18 *1182:A2 *170:19 0 -19 *1182:A2 *273:47 0 -20 *1182:A2 *274:20 0.000297047 -21 *1198:B *1209:A0 7.43607e-05 -22 *1198:B *1213:A2_N 4.82863e-05 -23 *1198:B *157:32 0.00015896 -24 *1198:B *171:9 8.55989e-05 -25 *1198:B *198:58 4.92096e-05 -26 *1198:B *271:8 5.53249e-06 -27 *1198:B *271:12 4.32778e-05 -28 *1198:B *284:33 3.09179e-05 -29 *1215:A *1195:A3 0 -30 *1215:A *253:8 0 -31 *136:17 *1195:A1 8.35106e-05 -32 *136:17 *1195:A3 8.65905e-05 -33 *136:17 *1195:B1 1.86443e-05 -34 *136:31 *1197:A1 4.82863e-05 -35 *136:31 *1197:A2 0.000278117 -36 *136:31 *1197:A3 4.21973e-05 -37 *136:31 *1197:B1 2.87433e-05 -38 *136:31 *1213:A2_N 0.000930262 -39 *136:31 *158:9 0.000110939 -40 *136:35 *1209:A1 2.20014e-05 -41 *136:35 *1213:A2_N 0.000137032 -42 *136:35 *171:9 0.00016031 -43 *136:38 *1197:B1 0 -44 *136:38 *1203:A 0 -45 *136:38 *274:20 0.000180689 -46 *136:56 *1213:A2_N 5.82176e-05 -47 *136:56 *171:9 0.000122331 -48 *93:42 *1182:A2 2.10279e-05 -49 *135:13 *136:7 5.4155e-06 -50 *135:19 *136:7 2.75145e-05 -51 *135:19 *136:17 0.000138117 -52 *135:19 *136:31 5.66358e-05 -*RES -1 *1162:X *136:7 2.41 -2 *136:7 *1215:A 8 -3 *136:7 *136:17 3.21 -4 *136:17 *1195:B2 1.6 -5 *136:17 *136:31 15.78 -6 *136:31 *136:35 2.535 -7 *136:35 *136:38 4.145 -8 *136:38 *1184:B 3.6 -9 *136:38 *1182:A2 10.065 -10 *136:35 *136:56 1.14 -11 *136:56 *1198:B 13.1 -12 *136:56 *1209:S 1.6 -13 *136:31 *1197:B2 1.6 -*END - -*D_NET *137 0.00573006 -*CONN -*I *1168:B I *D sky130_fd_sc_hd__nand4_2 -*I *1283:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1256:B I *D sky130_fd_sc_hd__and2_2 -*I *1305:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1266:A I *D sky130_fd_sc_hd__nand2_2 -*I *1185:A I *D sky130_fd_sc_hd__nor2_2 -*I *1207:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1163:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1168:B 0.000204049 -2 *1283:B1 2.74984e-05 -3 *1256:B 9.06698e-05 -4 *1305:A1 0 -5 *1266:A 0 -6 *1185:A 0 -7 *1207:A0 0.000321839 -8 *1163:X 0.000298257 -9 *137:47 0.000291787 -10 *137:40 0.000233498 -11 *137:35 0.000406645 -12 *137:27 0.000264508 -13 *137:8 0.000467142 -14 *137:7 0.000565351 -15 *1168:B *1168:D 3.56321e-05 -16 *1168:B *1266:B 0.000107827 -17 *1168:B *140:33 4.42148e-05 -18 *1207:A0 *1207:A1 5.39718e-05 -19 *1207:A0 *1214:B 0 -20 *1207:A0 *1216:S 4.82919e-05 -21 *1207:A0 *138:25 0 -22 *1207:A0 *157:48 2.30292e-05 -23 *1256:B *162:63 0.000156641 -24 *1256:B *220:12 8.30117e-05 -25 *1283:B1 *1283:A1 4.84982e-06 -26 *1283:B1 *274:34 1.83818e-05 -27 *137:7 *1175:B 0.000467074 -28 *137:8 *1213:A2_N 3.39151e-05 -29 *137:8 *138:25 0 -30 *137:27 *1168:C 0 -31 *137:27 *1191:B 8.33066e-06 -32 *137:27 *162:42 4.77807e-05 -33 *137:27 *218:8 2.41231e-05 -34 *137:35 *1266:B 6.1172e-05 -35 *137:35 *162:42 3.82293e-05 -36 *137:40 *1266:B 1.21469e-05 -37 *137:40 *139:34 3.54721e-05 -38 *137:40 *163:39 9.50342e-06 -39 *137:40 *218:8 5.88108e-05 -40 *137:47 *1193:A 0.000401602 -41 *137:47 *1283:A2 2.05056e-05 -42 *137:47 *1305:B1 1.40993e-05 -43 *137:47 *141:21 8.30403e-05 -44 *137:47 *163:26 0.000415929 -45 *137:47 *163:39 4.82919e-05 -46 *137:47 *274:34 1.80779e-05 -47 *1194:A1 *1207:A0 5.53249e-06 -48 *1194:A1 *137:8 6.20952e-05 -49 *5:90 *1168:B 4.89395e-05 -50 *93:8 *1256:B 4.66108e-05 -51 *93:8 *137:47 2.16833e-05 -*RES -1 *1163:X *137:7 7.845 -2 *137:7 *137:8 2.655 -3 *137:8 *1207:A0 8.835 -4 *137:8 *1185:A 3.6 -5 *137:7 *137:27 4.085 -6 *137:27 *1266:A 1.6 -7 *137:27 *137:35 2.1 -8 *137:35 *137:40 5.38 -9 *137:40 *1305:A1 1.6 -10 *137:40 *137:47 5.985 -11 *137:47 *1256:B 8.3 -12 *137:47 *1283:B1 2.62375 -13 *137:35 *1168:B 4.84 -*END - -*D_NET *138 0.00386373 -*CONN -*I *1168:C I *D sky130_fd_sc_hd__nand4_2 -*I *1194:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1214:B I *D sky130_fd_sc_hd__nor2_2 -*I *1164:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1168:C 0.000307523 -2 *1194:B1 3.62209e-05 -3 *1214:B 0.000325093 -4 *1164:X 0.000183695 -5 *138:25 0.000536785 -6 *138:13 0.000701828 -7 *1168:C *1163:A 0 -8 *1168:C *1168:D 2.92298e-05 -9 *1168:C *139:34 3.59568e-05 -10 *1168:C *273:30 0 -11 *1194:B1 *1181:C 5.45491e-05 -12 *1194:B1 *168:5 8.65905e-05 -13 *1214:B *1164:A 0.000352768 -14 *1214:B *1174:A 5.23362e-05 -15 *1214:B *1207:A1 0 -16 *1214:B *1214:A 0.000429134 -17 *1214:B *1216:A0 7.18771e-05 -18 *1214:B *277:8 0 -19 *1214:B *277:21 0.000180373 -20 *138:13 *1164:B 7.06865e-05 -21 *138:13 *1187:A 4.66108e-05 -22 *138:13 *1206:B 3.67779e-05 -23 *138:13 *284:35 0.000158066 -24 *138:25 *1163:A 0 -25 *138:25 *1164:A 0.000113797 -26 *1107:S *1214:B 4.40028e-05 -27 *1194:A1 *138:25 0 -28 *1207:A0 *1214:B 0 -29 *1207:A0 *138:25 0 -30 *135:41 *138:25 9.82856e-06 -31 *137:8 *138:25 0 -32 *137:27 *1168:C 0 -*RES -1 *1164:X *138:13 10.405 -2 *138:13 *1214:B 13.245 -3 *138:13 *138:25 3.165 -4 *138:25 *1194:B1 4.755 -5 *138:25 *1168:C 7.5 -*END - -*D_NET *139 0.0142531 -*CONN -*I *1310:B I *D sky130_fd_sc_hd__nand3_2 -*I *1298:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *1302:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1264:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1167:A I *D sky130_fd_sc_hd__nor2_2 -*I *1169:A I *D sky130_fd_sc_hd__nand2_2 -*I *1211:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1165:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1310:B 2.06421e-05 -2 *1298:A1 0.000442983 -3 *1302:A1 0.00019374 -4 *1264:A1 0.000263602 -5 *1167:A 9.27206e-05 -6 *1169:A 4.59369e-05 -7 *1211:A 3.28856e-05 -8 *1165:Y 0.000262565 -9 *139:83 0.000615974 -10 *139:60 0.000477153 -11 *139:38 0.000361453 -12 *139:34 0.00221131 -13 *139:10 0.00226011 -14 *139:5 0.000673429 -15 *1167:A *1167:B 0 -16 *1167:A *1173:A 0.000131836 -17 *1167:A *141:21 3.45588e-05 -18 *1167:A *162:11 0.000116172 -19 *1169:A *1173:A 2.67983e-05 -20 *1169:A *1188:A 9.86923e-05 -21 *1169:A *162:11 4.77807e-05 -22 *1211:A *198:58 0 -23 *1264:A1 *1264:A2 3.60024e-06 -24 *1264:A1 *165:23 0.000102897 -25 *1298:A1 *1287:B 0.000160635 -26 *1298:A1 *1295:C 0.000150136 -27 *1298:A1 *1298:B2 1.37328e-05 -28 *1298:A1 *1299:A1 2.05583e-05 -29 *1298:A1 *1299:A2 9.37731e-05 -30 *1298:A1 *1299:A3 2.39856e-05 -31 *1298:A1 *1312:B1 2.76356e-05 -32 *1298:A1 *211:40 4.0031e-05 -33 *1302:A1 *1173:B 0.000152984 -34 *1302:A1 *1191:B 0.000356022 -35 *1302:A1 *1248:B 4.77719e-05 -36 *1302:A1 *1302:A2 0.000218126 -37 *1302:A1 *146:19 4.9968e-05 -38 *1302:A1 *205:5 8.23457e-05 -39 *1302:A1 *372:14 1.91597e-05 -40 *139:5 *1189:A 8.39205e-06 -41 *139:5 *1313:B1 2.65814e-06 -42 *139:5 *302:5 0.000190715 -43 *139:10 *1189:A 3.56402e-05 -44 *139:10 *1313:B1 2.4202e-05 -45 *139:10 *209:5 2.65814e-06 -46 *139:34 *1168:D 3.60738e-06 -47 *139:34 *1193:A 0.000378967 -48 *139:34 *1197:B1 0 -49 *139:34 *1210:A2 0.000377727 -50 *139:34 *1244:A_N 4.90227e-05 -51 *139:34 *1266:B 8.86398e-05 -52 *139:34 *140:33 0.000233604 -53 *139:34 *162:42 3.93748e-05 -54 *139:34 *220:12 0.000158552 -55 *139:34 *220:81 0.000107608 -56 *139:34 *273:47 0.000166177 -57 *139:38 *1191:B 0.00013298 -58 *139:38 *1194:A2 9.21064e-05 -59 *139:38 *162:11 0.000145355 -60 *139:38 *162:32 0.000121023 -61 *139:38 *162:42 3.73067e-05 -62 *139:60 *1191:B 1.59084e-05 -63 *139:83 *1313:A1 8.9257e-05 -64 *391:DIODE *139:10 0 -65 *409:DIODE *139:10 0 -66 *410:DIODE *1298:A1 0.000185468 -67 *416:DIODE *1298:A1 8.40269e-05 -68 *416:DIODE *139:34 3.61362e-05 -69 *1168:C *139:34 3.59568e-05 -70 *1287:A *1298:A1 6.52265e-05 -71 *1311:B1 *1298:A1 0.000396457 -72 *1311:B1 *1310:B 4.97019e-05 -73 *1311:B1 *139:83 0.000165861 -74 *1313:A2 *139:5 2.06914e-05 -75 *1313:B2 *139:10 1.79098e-05 -76 *5:56 *1169:A 0.000127727 -77 *5:56 *139:38 4.66108e-05 -78 *5:65 *139:38 7.26398e-05 -79 *5:74 *1264:A1 2.66972e-05 -80 *5:90 *139:34 8.28018e-06 -81 *29:10 *139:83 6.08857e-05 -82 *92:30 *1211:A 0 -83 *92:30 *139:10 0 -84 *92:30 *139:83 7.27587e-05 -85 *92:43 *1310:B 2.2142e-05 -86 *92:43 *139:83 0.00024275 -87 *92:54 *1310:B 4.55864e-06 -88 *137:40 *139:34 3.54721e-05 -*RES -1 *1165:Y *139:5 4.81 -2 *139:5 *139:10 5.4275 -3 *139:10 *1211:A 4.0875 -4 *139:10 *139:34 25.4381 -5 *139:34 *139:38 7.025 -6 *139:38 *1169:A 3.55 -7 *139:38 *1167:A 8.03 -8 *139:34 *139:60 0.4875 -9 *139:60 *1264:A1 7.6125 -10 *139:60 *1302:A1 9.5025 -11 *139:5 *139:83 6.44 -12 *139:83 *1298:A1 18.19 -13 *139:83 *1310:B 4.215 -*END - -*D_NET *140 0.00872397 -*CONN -*I *1308:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1210:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1167:B I *D sky130_fd_sc_hd__nor2_2 -*I *1169:B I *D sky130_fd_sc_hd__nand2_2 -*I *1192:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1166:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1308:A2 0.000143922 -2 *1210:A1 0 -3 *1167:B 0.000196943 -4 *1169:B 3.01108e-05 -5 *1192:A 0.00022208 -6 *1166:Y 0.000107272 -7 *140:35 0.000274161 -8 *140:33 0.00165771 -9 *140:17 0.00169763 -10 *140:8 0.000510027 -11 *1167:B *1188:A 0 -12 *1169:B *1188:A 3.12916e-05 -13 *1192:A *1188:A 5.11067e-05 -14 *1308:A2 *1308:A3 1.34503e-05 -15 *1308:A2 *1308:B1 8.40269e-05 -16 *1308:A2 *1314:B1 0.000165813 -17 *1308:A2 *1314:B2 7.00889e-06 -18 *1308:A2 *156:8 8.23457e-05 -19 *1308:A2 *294:23 1.37599e-05 -20 *140:8 *208:34 2.75229e-05 -21 *140:8 *271:25 3.60738e-06 -22 *140:8 *294:23 7.06865e-05 -23 *140:17 *1183:A 4.0924e-05 -24 *140:17 *1210:A2 6.03157e-05 -25 *140:17 *1210:B1 3.09708e-05 -26 *140:17 *156:8 9.76851e-07 -27 *140:17 *271:25 4.68159e-05 -28 *140:33 *1168:D 0.000132861 -29 *140:33 *1173:A 0.000112561 -30 *140:33 *1188:A 0.000122821 -31 *140:33 *1196:A 2.68464e-05 -32 *140:33 *1244:A_N 7.03824e-05 -33 *140:33 *1266:B 9.55262e-06 -34 *140:33 *162:11 6.14645e-05 -35 *140:33 *162:32 5.54864e-05 -36 *140:33 *162:42 0.000493829 -37 *140:33 *273:30 2.69443e-06 -38 *140:35 *1188:A 2.51357e-05 -39 *416:DIODE *140:8 9.19455e-05 -40 *416:DIODE *140:17 0.00011828 -41 *416:DIODE *140:33 0.000564783 -42 *417:DIODE *140:17 1.64962e-05 -43 *417:DIODE *140:33 0.000224284 -44 *1167:A *1167:B 0 -45 *1168:B *140:33 4.42148e-05 -46 *1252:A *1308:A2 0.00015639 -47 *5:16 *1192:A 0 -48 *5:18 *1192:A 0 -49 *5:56 *1192:A 2.61975e-05 -50 *5:90 *140:33 0.000275862 -51 *5:113 *140:33 0.000255557 -52 *93:55 *140:8 3.22384e-05 -53 *139:34 *140:33 0.000233604 -*RES -1 *1166:Y *140:8 5.94 -2 *140:8 *140:17 6.60125 -3 *140:17 *140:33 29.2938 -4 *140:33 *140:35 0.45 -5 *140:35 *1192:A 9.32 -6 *140:35 *1169:B 2.215 -7 *140:33 *1167:B 8 -8 *140:17 *1210:A1 1.6 -9 *140:8 *1308:A2 7.17 -*END - -*D_NET *141 0.0041668 -*CONN -*I *1284:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1168:D I *D sky130_fd_sc_hd__nand4_2 -*I *1275:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1167:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1284:A2 0.00015204 -2 *1168:D 0.000176692 -3 *1275:A3 0.000135336 -4 *1167:Y 0.000109554 -5 *141:21 0.00113219 -6 *141:7 0.00104835 -7 *1168:D *1193:A 6.83447e-05 -8 *1168:D *1266:B 9.47712e-05 -9 *1275:A3 *1241:A1 0 -10 *1284:A2 *1244:A_N 0 -11 *1284:A2 *1244:B 1.61696e-05 -12 *1284:A2 *1247:B 1.88656e-05 -13 *1284:A2 *1266:B 6.46783e-05 -14 *1284:A2 *201:8 5.83373e-05 -15 *141:7 *162:11 8.90994e-06 -16 *141:21 *1173:B 2.01504e-05 -17 *141:21 *1193:A 0.000136831 -18 *141:21 *162:11 7.47262e-06 -19 *1167:A *141:21 3.45588e-05 -20 *1168:B *1168:D 3.56321e-05 -21 *1168:C *1168:D 2.92298e-05 -22 *1264:B1 *1275:A3 0 -23 *1306:A *1284:A2 6.72565e-05 -24 *5:74 *141:21 0.000266368 -25 *5:90 *1168:D 0.000194869 -26 *5:90 *1284:A2 7.06865e-05 -27 *137:47 *141:21 8.30403e-05 -28 *139:34 *1168:D 3.60738e-06 -29 *140:33 *1168:D 0.000132861 -*RES -1 *1167:Y *141:7 3.01 -2 *141:7 *1275:A3 7.175 -3 *141:7 *141:21 11.765 -4 *141:21 *1168:D 8.01 -5 *141:21 *1284:A2 7.02 -*END - -*D_NET *142 0.00323172 -*CONN -*I *1182:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1168:Y O *D sky130_fd_sc_hd__nand4_2 -*CAP -1 *1182:A3 0.000755922 -2 *1168:Y 0.000755922 -3 *1182:A3 *1168:A 9.8026e-05 -4 *1182:A3 *1210:A2 4.09785e-05 -5 *1182:A3 *1244:A_N 9.90908e-05 -6 *1182:A3 *162:42 5.04342e-05 -7 *1182:A3 *162:63 9.47171e-05 -8 *1182:A3 *163:26 0.000540713 -9 *1182:A3 *170:19 3.86814e-05 -10 *1182:A3 *198:41 5.4184e-05 -11 *1182:A3 *220:81 9.98519e-05 -12 *1182:A3 *274:20 0.000196843 -13 *1182:A3 *274:30 0.000103515 -14 *1182:A2 *1182:A3 0.000222321 -15 *93:30 *1182:A3 4.21973e-05 -16 *93:42 *1182:A3 3.83194e-05 -*RES -1 *1168:Y *1182:A3 16.2635 -*END - -*D_NET *143 0.00162521 -*CONN -*I *1173:A I *D sky130_fd_sc_hd__nor2_2 -*I *1188:A I *D sky130_fd_sc_hd__buf_2 -*I *1169:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1173:A 8.62074e-05 -2 *1188:A 0.000181044 -3 *1169:Y 0 -4 *143:4 0.000267252 -5 *1173:A *1175:B 0.000131022 -6 *1173:A *1241:A2 3.20436e-05 -7 *1173:A *162:11 9.56942e-05 -8 *1188:A *198:41 5.74248e-05 -9 *1167:A *1173:A 0.000131836 -10 *1167:B *1188:A 0 -11 *1169:A *1173:A 2.67983e-05 -12 *1169:A *1188:A 9.86923e-05 -13 *1169:B *1188:A 3.12916e-05 -14 *1192:A *1188:A 5.11067e-05 -15 *5:56 *1188:A 0.000168739 -16 *5:65 *1173:A 5.53549e-06 -17 *140:33 *1173:A 0.000112561 -18 *140:33 *1188:A 0.000122821 -19 *140:35 *1188:A 2.51357e-05 -*RES -1 *1169:Y *143:4 1.6 -2 *143:4 *1188:A 10.475 -3 *143:4 *1173:A 8.885 -*END - -*D_NET *144 0.0029418 -*CONN -*I *1251:A I *D sky130_fd_sc_hd__nor2_2 -*I *1245:A I *D sky130_fd_sc_hd__and3_2 -*I *1172:A I *D sky130_fd_sc_hd__nand2_2 -*I *1283:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1257:A I *D sky130_fd_sc_hd__nand2_2 -*I *1170:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1251:A 0.000115777 -2 *1245:A 0 -3 *1172:A 0 -4 *1283:C1 0.000213039 -5 *1257:A 7.77764e-05 -6 *1170:Y 0 -7 *144:22 0.000279002 -8 *144:11 0.000262314 -9 *144:9 0.000235586 -10 *144:4 0.000232788 -11 *1251:A *1172:B 0.000158072 -12 *1251:A *1245:C 0 -13 *1251:A *1288:B 7.9642e-06 -14 *1251:A *211:40 0.000158072 -15 *1251:A *232:10 0.000109634 -16 *1257:A *1257:B 3.65496e-05 -17 *1257:A *212:5 1.67131e-05 -18 *1257:A *212:55 8.40213e-05 -19 *1283:C1 *1247:B 1.72991e-05 -20 *1283:C1 *170:19 6.01414e-05 -21 *1283:C1 *220:12 0 -22 *1283:C1 *274:34 0.000155659 -23 *144:22 *1247:B 1.03503e-05 -24 *144:22 *212:55 1.37804e-05 -25 *144:22 *220:12 0 -26 *144:22 *372:14 3.03154e-05 -27 *30:23 *1257:A 9.09962e-05 -28 *30:23 *144:9 0.000120838 -29 *30:23 *144:11 0.000233366 -30 *37:24 *144:22 0.000112559 -31 *93:8 *1283:C1 0.000109182 -*RES -1 *1170:Y *144:4 1.6 -2 *144:4 *144:9 1.95 -3 *144:9 *144:11 2.175 -4 *144:11 *1257:A 3.445 -5 *144:11 *144:22 3.635 -6 *144:22 *1283:C1 8.475 -7 *144:22 *1172:A 3.6 -8 *144:9 *1245:A 1.6 -9 *144:4 *1251:A 8.465 -*END - -*D_NET *145 0.00329581 -*CONN -*I *1291:B I *D sky130_fd_sc_hd__nand2_2 -*I *1172:B I *D sky130_fd_sc_hd__nand2_2 -*I *1196:A I *D sky130_fd_sc_hd__inv_2 -*I *1171:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1291:B 0.00013101 -2 *1172:B 0.000128548 -3 *1196:A 0.000216687 -4 *1171:Y 4.63403e-05 -5 *145:24 0.000436272 -6 *145:5 0.000439741 -7 *1172:B *1288:A 0.000121023 -8 *1172:B *1288:B 0.000168427 -9 *1172:B *211:40 0.000140354 -10 *1172:B *232:10 4.66108e-05 -11 *1172:B *294:23 0.000130741 -12 *1196:A *273:47 3.11771e-06 -13 *1196:A *274:20 1.12141e-05 -14 *1291:B *163:57 6.51427e-05 -15 *145:5 *274:20 1.53053e-05 -16 *145:5 *274:30 8.52883e-05 -17 *145:24 *163:57 7.03413e-05 -18 *390:DIODE *1291:B 0.000104657 -19 *416:DIODE *1196:A 6.12722e-06 -20 *1182:A2 *1196:A 5.17902e-05 -21 *1251:A *1172:B 0.000158072 -22 *5:113 *1196:A 4.89295e-05 -23 *5:113 *1291:B 2.95721e-05 -24 *5:113 *145:24 0.000134242 -25 *30:23 *1291:B 4.99619e-05 -26 *93:30 *1196:A 2.73058e-05 -27 *93:30 *145:5 0.000168254 -28 *93:30 *145:24 0.000185598 -29 *93:42 *145:24 4.82919e-05 -30 *140:33 *1196:A 2.68464e-05 -*RES -1 *1171:Y *145:5 3.085 -2 *145:5 *1196:A 9.005 -3 *145:5 *145:24 5.81 -4 *145:24 *1172:B 8.31 -5 *145:24 *1291:B 6.75 -*END - -*D_NET *146 0.00541183 -*CONN -*I *1302:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1275:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1173:B I *D sky130_fd_sc_hd__nor2_2 -*I *1172:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1302:A2 9.1476e-05 -2 *1275:A2 0.000150356 -3 *1173:B 0.000217984 -4 *1172:Y 0.000270648 -5 *146:19 0.000263607 -6 *146:7 0.000510406 -7 *1173:B *1193:A 4.88478e-05 -8 *1275:A2 *1275:A1 3.95724e-05 -9 *1275:A2 *197:28 1.65432e-05 -10 *1275:A2 *217:22 0.000192721 -11 *1275:A2 *294:23 6.04526e-05 -12 *1302:A2 *1191:B 1.07797e-05 -13 *1302:A2 *1248:B 2.20014e-05 -14 *1302:A2 *1264:A2 0.000100889 -15 *1302:A2 *372:14 0.000122797 -16 *146:7 *1285:A_N 4.82919e-05 -17 *146:7 *1285:C 9.26175e-06 -18 *146:7 *1286:B2 4.82919e-05 -19 *146:7 *1306:B 4.66108e-05 -20 *146:7 *217:22 0.000119762 -21 *146:7 *294:23 0.00120236 -22 *146:19 *1264:A2 2.84864e-05 -23 *1302:A1 *1173:B 0.000152984 -24 *1302:A1 *1302:A2 0.000218126 -25 *1302:A1 *146:19 4.9968e-05 -26 *1306:A *146:7 0.000123268 -27 *5:74 *1173:B 0.000320231 -28 *37:16 *1275:A2 0.000573678 -29 *37:16 *146:7 0.000169438 -30 *37:24 *146:7 0.000161837 -31 *141:21 *1173:B 2.01504e-05 -*RES -1 *1172:Y *146:7 14.745 -2 *146:7 *1173:B 8.37 -3 *146:7 *146:19 0.615 -4 *146:19 *1275:A2 9.24 -5 *146:19 *1302:A2 6.63 -*END - -*D_NET *147 0.00474682 -*CONN -*I *1241:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1175:B I *D sky130_fd_sc_hd__and3_2 -*I *1173:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1241:A2 0.000541394 -2 *1175:B 0.00055608 -3 *1173:Y 0 -4 *147:5 0.00109747 -5 *1175:B *1181:C 8.34281e-06 -6 *1175:B *1195:A3 8.23457e-05 -7 *1175:B *1195:B1 8.56357e-05 -8 *1175:B *1210:A2 0.00046451 -9 *1175:B *1267:A1 1.65129e-05 -10 *1175:B *166:7 1.16578e-05 -11 *1175:B *168:5 0.000574583 -12 *1241:A2 *198:16 1.92979e-05 -13 *1241:A2 *198:41 0.000526305 -14 *1173:A *1175:B 0.000131022 -15 *1173:A *1241:A2 3.20436e-05 -16 *5:14 *1241:A2 2.10433e-05 -17 *5:65 *1175:B 7.03276e-05 -18 *5:65 *1241:A2 4.11685e-05 -19 *137:7 *1175:B 0.000467074 -*RES -1 *1173:Y *147:5 3.6 -2 *147:5 *1175:B 17.58 -3 *147:5 *1241:A2 6.52333 -*END - -*D_NET *148 0.00858726 -*CONN -*I *1193:A I *D sky130_fd_sc_hd__inv_2 -*I *1209:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1175:C I *D sky130_fd_sc_hd__and3_2 -*I *1214:A I *D sky130_fd_sc_hd__nor2_2 -*I *1174:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1193:A 0.00076917 -2 *1209:A1 0.000678057 -3 *1175:C 3.52315e-05 -4 *1214:A 0.00025586 -5 *1174:Y 0 -6 *148:26 0.000713289 -7 *148:24 0.00126613 -8 *148:4 0.000752819 -9 *1175:C *1181:C 3.25591e-05 -10 *1193:A *1168:A 1.53024e-05 -11 *1193:A *1210:A2 0 -12 *1193:A *1244:A_N 0 -13 *1193:A *1266:B 6.95753e-05 -14 *1193:A *1283:A2 5.6881e-05 -15 *1193:A *162:63 8.57548e-05 -16 *1193:A *163:26 8.99985e-05 -17 *1193:A *163:39 4.99619e-05 -18 *1193:A *220:12 0 -19 *1193:A *273:30 7.08432e-05 -20 *1209:A1 *1181:B 0.000126799 -21 *1209:A1 *1181:C 0.000396776 -22 *1209:A1 *1197:B1 1.44259e-05 -23 *1209:A1 *158:9 7.01359e-05 -24 *1209:A1 *171:9 0.000310069 -25 *1214:A *1174:A 4.82863e-05 -26 *1214:A *277:21 9.27255e-06 -27 *148:24 *1187:A 0.000312097 -28 *148:24 *1187:B 0.000180682 -29 *148:24 *1197:A2 0 -30 *148:24 *1197:A3 2.33136e-05 -31 *148:24 *1205:A 0 -32 *148:24 *160:6 0.000107634 -33 *148:24 *273:8 0.000110934 -34 *1107:S *1214:A 0.000389154 -35 *1168:D *1193:A 6.83447e-05 -36 *1173:B *1193:A 4.88478e-05 -37 *1175:A *148:24 5.09404e-05 -38 *1186:B *148:24 9.5799e-06 -39 *1214:B *1214:A 0.000429134 -40 *136:35 *1209:A1 2.20014e-05 -41 *137:47 *1193:A 0.000401602 -42 *139:34 *1193:A 0.000378967 -43 *141:21 *1193:A 0.000136831 -*RES -1 *1174:Y *148:4 1.6 -2 *148:4 *1214:A 8.65 -3 *148:4 *148:24 12.23 -4 *148:24 *148:26 2 -5 *148:26 *1175:C 2.05 -6 *148:26 *1209:A1 16.625 -7 *148:24 *1193:A 22.525 -*END - -*D_NET *149 0.000368097 -*CONN -*I *1181:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *1175:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1181:A_N 1.91744e-05 -2 *1175:X 1.91744e-05 -3 *1181:A_N *1181:C 0.000164874 -4 *1181:A_N *1210:A2 0.000164874 -*RES -1 *1175:X *1181:A_N 4.685 -*END - -*D_NET *150 0.00738025 -*CONN -*I *1181:B I *D sky130_fd_sc_hd__and3b_2 -*I *1176:X O *D sky130_fd_sc_hd__and4b_2 -*CAP -1 *1181:B 0.00212562 -2 *1176:X 0.00212562 -3 *1181:B *1181:C 9.26175e-06 -4 *1181:B *1211:B 0.0003243 -5 *1181:B *1236:A 4.94326e-05 -6 *1181:B *1386:Z 6.78079e-05 -7 *1181:B *1387:Z 5.02217e-05 -8 *1181:B *1388:TE_B 0.000279872 -9 *1181:B *1459:A 5.12017e-06 -10 *1181:B *1465:A 0.000496721 -11 *1181:B *155:5 0.000399297 -12 *1181:B *155:18 2.54431e-05 -13 *1181:B *226:24 0.000128692 -14 *1181:B *226:37 6.04361e-05 -15 *1181:B *226:78 0 -16 *1181:B *270:5 4.82919e-05 -17 *1181:B *284:8 0.000623304 -18 *1181:B *284:15 0.000110683 -19 *1181:B *284:33 0.000240411 -20 *1181:B *301:17 2.16833e-05 -21 *1181:B *371:59 2.64289e-05 -22 *1181:B *371:72 7.28465e-06 -23 *1209:A1 *1181:B 0.000126799 -24 *1312:A2 *1181:B 0 -25 *1346:RESET_B *1181:B 0 -26 *1347:D *1181:B 2.75145e-05 -27 *85:11 *1181:B 0 -*RES -1 *1176:X *1181:B 48.735 -*END - -*D_NET *151 0.00114919 -*CONN -*I *1178:D I *D sky130_fd_sc_hd__and4b_2 -*I *1177:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1178:D 0.000219904 -2 *1177:Y 0.000219904 -3 *1178:D *1144:B 8.65905e-05 -4 *375:DIODE *1178:D 9.71067e-05 -5 *377:DIODE *1178:D 3.6974e-05 -6 *1147:B *1178:D 0 -7 *1149:A2 *1178:D 3.25831e-05 -8 *1177:B1 *1178:D 5.30673e-05 -9 *6:8 *1178:D 9.02483e-05 -10 *6:10 *1178:D 6.6925e-05 -11 *121:6 *1178:D 0.000245891 -*RES -1 *1177:Y *1178:D 13.455 -*END - -*D_NET *152 0.00301109 -*CONN -*I *1180:B I *D sky130_fd_sc_hd__nand4b_2 -*I *1178:X O *D sky130_fd_sc_hd__and4b_2 -*CAP -1 *1180:B 0.000586504 -2 *1178:X 0.000586504 -3 *1180:B *1181:C 1.43521e-05 -4 *1180:B *284:45 0.000520669 -5 clockp[1] *1180:B 0.00117711 -6 *377:DIODE *1180:B 4.98015e-05 -7 *1180:C *1180:B 0 -8 *1366:D *1180:B 7.61468e-05 -9 *112:9 *1180:B 0 -*RES -1 *1178:X *1180:B 22.56 -*END - -*D_NET *153 0.000707622 -*CONN -*I *1180:D I *D sky130_fd_sc_hd__nand4b_2 -*I *1179:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1180:D 0.000282291 -2 *1179:X 0.000282291 -3 *1180:D *284:66 0 -4 *1132:B *1180:D 0 -5 *1156:A *1180:D 4.9968e-05 -6 *1158:B *1180:D 0 -7 *1160:A1 *1180:D 9.30717e-05 -8 *1180:C *1180:D 0 -9 *128:6 *1180:D 0 -*RES -1 *1179:X *1180:D 11.925 -*END - -*D_NET *154 0.00613181 -*CONN -*I *1181:C I *D sky130_fd_sc_hd__and3b_2 -*I *1180:Y O *D sky130_fd_sc_hd__nand4b_2 -*CAP -1 *1181:C 0.0019033 -2 *1180:Y 0.0019033 -3 *1181:C *428:DIODE 0.00016031 -4 *1181:C *1194:A2 0.0002001 -5 *1181:C *1195:A3 4.41506e-05 -6 *1181:C *1210:A2 0.000379499 -7 *1181:C *1373:A 0.00016436 -8 *1181:C *1373:TE 8.65961e-05 -9 *1181:C *1376:A 5.68633e-05 -10 *1181:C *166:7 0.000142661 -11 *1181:C *168:5 0.000159647 -12 clockp[1] *1181:C 0 -13 *1175:A *1181:C 6.16853e-05 -14 *1175:B *1181:C 8.34281e-06 -15 *1175:C *1181:C 3.25591e-05 -16 *1180:B *1181:C 1.43521e-05 -17 *1180:C *1181:C 1.89685e-05 -18 *1181:A_N *1181:C 0.000164874 -19 *1181:B *1181:C 9.26175e-06 -20 *1192:B *1181:C 0.000169652 -21 *1194:B1 *1181:C 5.45491e-05 -22 *1209:A1 *1181:C 0.000396776 -*RES -1 *1180:Y *1181:C 41.5838 -*END - -*D_NET *155 0.00331686 -*CONN -*I *1213:B2 I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1219:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1182:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1181:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *1213:B2 2.11917e-05 -2 *1219:A2 0.000121201 -3 *1182:B1 0.000128892 -4 *1181:X 0.000250593 -5 *155:18 0.00033143 -6 *155:5 0.000568522 -7 *1182:B1 *275:30 0.000132413 -8 *1213:B2 *157:32 5.30732e-05 -9 *1213:B2 *284:33 8.49205e-05 -10 *1219:A2 *1213:A2_N 5.66282e-05 -11 *1219:A2 *271:8 7.16181e-05 -12 *1219:A2 *271:12 2.86623e-05 -13 *1219:A2 *274:20 0 -14 *155:5 *1211:B 8.40213e-05 -15 *155:18 *1211:B 8.23457e-05 -16 *155:18 *1213:A2_N 4.39001e-05 -17 *155:18 *271:12 7.17811e-05 -18 *155:18 *271:25 0.000143846 -19 *155:18 *274:20 0 -20 *155:18 *275:30 0.000180796 -21 *1181:B *155:5 0.000399297 -22 *1181:B *155:18 2.54431e-05 -23 *1184:A *1182:B1 0.000289905 -24 *5:113 *1182:B1 0.000146385 -*RES -1 *1181:X *155:5 6.535 -2 *155:5 *1182:B1 9.275 -3 *155:5 *155:18 6.98 -4 *155:18 *1219:A2 6.255 -5 *155:18 *1213:B2 4.395 -*END - -*D_NET *156 0.00141296 -*CONN -*I *1210:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1183:A I *D sky130_fd_sc_hd__buf_2 -*I *1182:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1210:C1 2.33467e-05 -2 *1183:A 0.000166654 -3 *1182:X 0.000137894 -4 *156:8 0.000327895 -5 *1183:A *1210:B1 9.97019e-05 -6 *1183:A *171:9 4.99619e-05 -7 *1183:A *198:58 0.000188777 -8 *1183:A *275:30 4.82919e-05 -9 *156:8 *198:41 8.88524e-05 -10 *156:8 *198:58 1.11025e-05 -11 *416:DIODE *156:8 9.82354e-05 -12 *417:DIODE *1210:C1 2.69153e-05 -13 *1252:A *156:8 2.10841e-05 -14 *1308:A2 *156:8 8.23457e-05 -15 *140:17 *1183:A 4.0924e-05 -16 *140:17 *156:8 9.76851e-07 -*RES -1 *1182:X *156:8 6.12 -2 *156:8 *1183:A 8.235 -3 *156:8 *1210:C1 4.05 -*END - -*D_NET *157 0.00599777 -*CONN -*I *1200:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1213:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1204:S I *D sky130_fd_sc_hd__mux2_2 -*I *1207:S I *D sky130_fd_sc_hd__mux2_2 -*I *1216:S I *D sky130_fd_sc_hd__mux2_2 -*I *1201:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1218:B I *D sky130_fd_sc_hd__nand2_2 -*I *1183:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1200:B1 6.71571e-05 -2 *1213:A1_N 0 -3 *1204:S 0 -4 *1207:S 0 -5 *1216:S 0.000109488 -6 *1201:A2 8.56585e-05 -7 *1218:B 0 -8 *1183:X 0 -9 *157:48 0.000805447 -10 *157:39 0.000900135 -11 *157:32 0.000402193 -12 *157:13 0.000266611 -13 *157:6 0.000441233 -14 *157:5 0.000129419 -15 *1200:B1 *1201:B2 0 -16 *1200:B1 *171:9 0.000239813 -17 *1200:B1 *198:58 6.68849e-05 -18 *1200:B1 *275:30 0.000239813 -19 *1201:A2 *276:13 0.000239807 -20 *1216:S *1216:A1 6.69608e-06 -21 *157:6 *1201:B2 0 -22 *157:6 *198:58 0.000107634 -23 *157:13 *1201:B2 0 -24 *157:13 *198:58 0.000204456 -25 *157:13 *276:13 2.55546e-05 -26 *157:13 *276:15 4.82919e-05 -27 *157:32 *284:33 0.000187246 -28 *157:39 *1203:A 0 -29 *157:39 *1204:A1 0.000140986 -30 *157:39 *284:33 0.000103589 -31 *157:39 *284:35 3.78533e-05 -32 *157:48 *1204:A0 4.89295e-05 -33 *157:48 *1208:A 0.000161529 -34 *157:48 *161:8 8.8266e-05 -35 *157:48 *276:21 0.000475993 -36 *1198:B *157:32 0.00015896 -37 *1201:A1 *1201:A2 3.70488e-05 -38 *1201:A1 *157:13 0 -39 *1207:A0 *1216:S 4.82919e-05 -40 *1207:A0 *157:48 2.30292e-05 -41 *1213:B2 *157:32 5.30732e-05 -42 *1355:D *157:39 4.66826e-05 -*RES -1 *1183:X *157:5 3.6 -2 *157:5 *157:6 1.38 -3 *157:6 *157:13 5.555 -4 *157:13 *1218:B 1.6 -5 *157:13 *1201:A2 4.135 -6 *157:6 *157:32 6.5975 -7 *157:32 *157:39 8.6125 -8 *157:39 *157:48 13.365 -9 *157:48 *1216:S 3.43 -10 *157:48 *1207:S 1.6 -11 *157:39 *1204:S 1.6 -12 *157:32 *1213:A1_N 1.6 -13 *157:5 *1200:B1 6.645 -*END - -*D_NET *158 0.000995064 -*CONN -*I *1203:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1197:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1184:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1203:A 0.000187887 -2 *1197:A1 3.38751e-05 -3 *1184:Y 0.000116883 -4 *158:9 0.000338645 -5 *1203:A *1197:A2 0 -6 *1203:A *1197:B1 5.16348e-05 -7 *1203:A *274:20 0 -8 *158:9 *1197:B1 3.67779e-05 -9 *1209:A1 *158:9 7.01359e-05 -10 *136:31 *1197:A1 4.82863e-05 -11 *136:31 *158:9 0.000110939 -12 *136:38 *1203:A 0 -13 *157:39 *1203:A 0 -*RES -1 *1184:Y *158:9 7.61 -2 *158:9 *1197:A1 2.05 -3 *158:9 *1203:A 8.51 -*END - -*D_NET *159 0.00184925 -*CONN -*I *1187:A I *D sky130_fd_sc_hd__nor2_2 -*I *1185:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1187:A 0.000292067 -2 *1185:Y 0.000292067 -3 *1187:A *1163:A 0.000237243 -4 *1187:A *1164:B 2.30292e-05 -5 *1187:A *1206:B 0.000196364 -6 *1187:A *1213:A2_N 2.32506e-05 -7 *1187:A *273:8 0.000312097 -8 *135:41 *1187:A 0.000114424 -9 *138:13 *1187:A 4.66108e-05 -10 *148:24 *1187:A 0.000312097 -*RES -1 *1185:Y *1187:A 15.81 -*END - -*D_NET *160 0.000781435 -*CONN -*I *1202:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1187:B I *D sky130_fd_sc_hd__nor2_2 -*I *1186:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1202:B1 1.36534e-05 -2 *1187:B 0.00010607 -3 *1186:X 4.11737e-05 -4 *160:6 0.000160897 -5 *1187:B *1197:A3 1.52184e-05 -6 *1187:B *161:8 0 -7 *1202:B1 *169:20 4.66108e-05 -8 *1202:B1 *284:35 4.66108e-05 -9 *160:6 *1197:A3 6.28843e-05 -10 *148:24 *1187:B 0.000180682 -11 *148:24 *160:6 0.000107634 -*RES -1 *1186:X *160:6 4.98 -2 *160:6 *1187:B 5.745 -3 *160:6 *1202:B1 4.05 -*END - -*D_NET *161 0.00226554 -*CONN -*I *1197:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1202:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1206:A I *D sky130_fd_sc_hd__xor2_2 -*I *1187:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1197:A2 0.000159133 -2 *1202:A1 0 -3 *1206:A 0.000232974 -4 *1187:Y 0.000161661 -5 *161:11 0.000245792 -6 *161:8 0.000333613 -7 *1197:A2 *1197:A3 0.000334043 -8 *1197:A2 *1213:A2_N 1.61831e-05 -9 *1206:A *1197:A3 1.91521e-05 -10 *1206:A *1203:B 1.16578e-05 -11 *1206:A *169:20 0.000321231 -12 *1206:A *284:35 1.12578e-05 -13 *161:8 *1197:A3 4.43871e-06 -14 *161:11 *1203:B 2.05056e-05 -15 *161:11 *284:35 2.75145e-05 -16 *1187:B *161:8 0 -17 *1203:A *1197:A2 0 -18 *136:31 *1197:A2 0.000278117 -19 *148:24 *1197:A2 0 -20 *157:48 *161:8 8.8266e-05 -*RES -1 *1187:Y *161:8 6.03 -2 *161:8 *161:11 2.45 -3 *161:11 *1206:A 5.875 -4 *161:11 *1202:A1 1.6 -5 *161:8 *1197:A2 8.52 -*END - -*D_NET *162 0.0152111 -*CONN -*I *1191:A I *D sky130_fd_sc_hd__nand2_2 -*I *1272:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1292:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1296:C I *D sky130_fd_sc_hd__nand3b_2 -*I *1283:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *1267:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1195:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *1188:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1191:A 0 -2 *1272:A1 2.6252e-05 -3 *1292:B2 1.98816e-05 -4 *1296:C 0.000464087 -5 *1283:A2 9.8703e-05 -6 *1267:A1 0.000542315 -7 *1195:B1 0.000138798 -8 *1188:X 0.000430284 -9 *162:68 0.000868322 -10 *162:63 0.000975754 -11 *162:42 0.00116741 -12 *162:32 0.000531977 -13 *162:13 0.000681113 -14 *162:11 0.000458707 -15 *1195:B1 *1195:A1 0.000286285 -16 *1195:B1 *1195:A3 0.000179015 -17 *1195:B1 *165:23 2.07338e-05 -18 *1267:A1 *404:DIODE 0.000139359 -19 *1267:A1 *1267:A2 4.46795e-05 -20 *1267:A1 *1281:B1 5.05716e-06 -21 *1267:A1 *1302:B2 1.6383e-05 -22 *1267:A1 *1303:C1 7.77923e-05 -23 *1267:A1 *163:39 0.000238669 -24 *1267:A1 *165:23 0.000611344 -25 *1267:A1 *197:28 0 -26 *1267:A1 *197:53 0 -27 *1267:A1 *206:17 0 -28 *1267:A1 *217:22 0 -29 *1267:A1 *218:38 3.63701e-06 -30 *1267:A1 *224:14 0.000114492 -31 *1267:A1 *287:8 0.000272899 -32 *1272:A1 *1246:B 2.577e-05 -33 *1272:A1 *220:21 5.93727e-05 -34 *1283:A2 *1168:A 0.000119105 -35 *1283:A2 *1283:A1 3.14021e-05 -36 *1283:A2 *1305:B1 8.41384e-05 -37 *1283:A2 *163:26 4.82919e-05 -38 *1292:B2 *233:11 5.09707e-05 -39 *1296:C *1290:A_N 1.1071e-05 -40 *1296:C *208:34 1.19928e-05 -41 *1296:C *211:40 0.00023468 -42 *1296:C *233:11 7.87501e-05 -43 *1296:C *234:11 5.74791e-05 -44 *1296:C *271:31 0.000277656 -45 *162:11 *1241:A1 3.41184e-05 -46 *162:42 *1191:B 0.000159717 -47 *162:42 *1266:B 4.90227e-05 -48 *162:42 *198:41 7.7212e-05 -49 *162:42 *218:8 1.56078e-05 -50 *162:63 *1168:A 0 -51 *162:63 *1246:B 2.3542e-05 -52 *162:63 *211:10 9.044e-05 -53 *162:63 *212:13 3.33873e-05 -54 *162:63 *220:12 0.000227014 -55 *162:63 *220:21 6.76957e-05 -56 *162:63 *275:60 0.000130352 -57 *162:68 *1246:B 0.000514158 -58 *162:68 *164:15 4.39943e-06 -59 *162:68 *218:56 0.000940202 -60 *162:68 *301:17 3.91983e-05 -61 *162:68 *317:24 0.000271218 -62 *405:DIODE *1267:A1 0.000122817 -63 *1167:A *162:11 0.000116172 -64 *1169:A *162:11 4.77807e-05 -65 *1173:A *162:11 9.56942e-05 -66 *1175:B *1195:B1 8.56357e-05 -67 *1175:B *1267:A1 1.65129e-05 -68 *1182:A3 *162:42 5.04342e-05 -69 *1182:A3 *162:63 9.47171e-05 -70 *1193:A *1283:A2 5.6881e-05 -71 *1193:A *162:63 8.57548e-05 -72 *1243:B *162:63 9.64284e-05 -73 *1256:B *162:63 0.000156641 -74 *1264:B1 *1267:A1 0.000135916 -75 *1287:A *1292:B2 6.21133e-05 -76 *1287:A *1296:C 0.000219964 -77 *5:65 *1267:A1 0.000157764 -78 *93:8 *162:63 0.000136884 -79 *93:55 *1296:C 0.000175673 -80 *135:19 *1195:B1 1.99848e-05 -81 *135:41 *162:42 0 -82 *136:17 *1195:B1 1.86443e-05 -83 *137:27 *162:42 4.77807e-05 -84 *137:35 *162:42 3.82293e-05 -85 *137:47 *1283:A2 2.05056e-05 -86 *139:34 *162:42 3.93748e-05 -87 *139:38 *162:11 0.000145355 -88 *139:38 *162:32 0.000121023 -89 *139:38 *162:42 3.73067e-05 -90 *140:33 *162:11 6.14645e-05 -91 *140:33 *162:32 5.54864e-05 -92 *140:33 *162:42 0.000493829 -93 *141:7 *162:11 8.90994e-06 -94 *141:21 *162:11 7.47262e-06 -*RES -1 *1188:X *162:11 12.365 -2 *162:11 *162:13 2 -3 *162:13 *1195:B1 7.965 -4 *162:13 *1267:A1 18.465 -5 *162:11 *162:32 1.14 -6 *162:32 *162:42 8.61643 -7 *162:42 *1283:A2 6.7625 -8 *162:42 *162:63 10.6111 -9 *162:63 *162:68 2.6112 -10 *162:68 *1296:C 12.5925 -11 *162:68 *1292:B2 4.3425 -12 *162:63 *1272:A1 4.3425 -13 *162:32 *1191:A 1.6 -*END - -*D_NET *163 0.0127835 -*CONN -*I *1260:A I *D sky130_fd_sc_hd__nor2_2 -*I *1258:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1190:A I *D sky130_fd_sc_hd__nand2_2 -*I *1305:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1281:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1278:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1308:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1189:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1260:A 0.000545986 -2 *1258:A1 0.000187892 -3 *1190:A 0 -4 *1305:A2 0 -5 *1281:A1 1.8475e-05 -6 *1278:A1 4.52399e-05 -7 *1308:A1 0 -8 *1189:X 0.000190531 -9 *163:63 0.000253041 -10 *163:57 0.000919291 -11 *163:39 0.00080185 -12 *163:26 0.00126775 -13 *163:18 0.00116335 -14 *163:8 0.000516107 -15 *1258:A1 *1258:A2 4.25679e-05 -16 *1258:A1 *164:15 1.98158e-05 -17 *1258:A1 *232:10 0.000151179 -18 *1260:A *1259:B1 4.92971e-05 -19 *1260:A *1260:B 0.000204765 -20 *1260:A *1293:C 8.68786e-05 -21 *1260:A *1297:B 4.43871e-06 -22 *1260:A *1298:A2 0.00027042 -23 *1260:A *232:10 6.69744e-05 -24 *1260:A *271:31 3.913e-05 -25 *1260:A *323:15 3.15896e-05 -26 *1278:A1 *309:21 6.27347e-05 -27 *1278:A1 *317:24 9.27326e-05 -28 *1281:A1 *309:21 1.19928e-05 -29 *1281:A1 *317:24 2.49909e-05 -30 *163:8 *1253:C1 3.80537e-05 -31 *163:8 *209:5 3.33839e-05 -32 *163:18 *198:41 0.000436325 -33 *163:18 *211:40 7.02246e-05 -34 *163:18 *220:81 0.000337424 -35 *163:18 *372:14 2.32565e-06 -36 *163:26 *1168:A 1.03755e-05 -37 *163:26 *1305:B1 0.000123822 -38 *163:26 *198:41 0.00097127 -39 *163:26 *220:81 0.000204265 -40 *163:39 *1247:A 4.82863e-05 -41 *163:39 *1247:B 2.96426e-05 -42 *163:39 *1248:B 0.000267056 -43 *163:39 *1266:B 1.9579e-05 -44 *163:39 *1303:C1 0.000174146 -45 *163:39 *1306:B 0.000153881 -46 *163:39 *197:28 0 -47 *163:39 *201:8 5.38986e-05 -48 *163:39 *205:5 0.000180356 -49 *163:39 *218:8 0.000107896 -50 *163:39 *372:14 1.6408e-05 -51 *163:57 *1170:A 4.76263e-05 -52 *163:57 *1288:B 3.96088e-05 -53 *163:57 *232:10 0.00010726 -54 *163:57 *271:31 0 -55 *163:57 *274:20 1.7635e-05 -56 *163:57 *275:30 0 -57 *163:57 *275:42 0 -58 *163:57 *275:60 9.0444e-05 -59 *163:63 *164:15 3.85204e-05 -60 *163:63 *221:39 0.000120655 -61 *163:63 *232:10 4.93699e-05 -62 *163:63 *275:60 8.35068e-05 -63 *406:DIODE *1258:A1 5.196e-05 -64 *409:DIODE *163:8 0.000100073 -65 *1182:A3 *163:26 0.000540713 -66 *1184:A *163:57 3.86814e-05 -67 *1193:A *163:26 8.99985e-05 -68 *1193:A *163:39 4.99619e-05 -69 *1267:A1 *163:39 0.000238669 -70 *1283:A2 *163:26 4.82919e-05 -71 *1287:A *1260:A 7.06865e-05 -72 *1291:B *163:57 6.51427e-05 -73 *5:113 *163:57 2.97872e-06 -74 *137:40 *163:39 9.50342e-06 -75 *137:47 *163:26 0.000415929 -76 *137:47 *163:39 4.82919e-05 -77 *145:24 *163:57 7.03413e-05 -*RES -1 *1189:X *163:8 6.795 -2 *163:8 *1308:A1 3.6 -3 *163:8 *163:18 1.5868 -4 *163:18 *163:26 8.12717 -5 *163:26 *163:39 21.005 -6 *163:39 *1278:A1 3.085 -7 *163:39 *1281:A1 2.05 -8 *163:26 *1305:A2 1.6 -9 *163:18 *163:57 6.5975 -10 *163:57 *163:63 5.755 -11 *163:63 *1190:A 3.6 -12 *163:63 *1258:A1 6.9525 -13 *163:57 *1260:A 17.26 -*END - -*D_NET *164 0.0104214 -*CONN -*I *1271:A I *D sky130_fd_sc_hd__buf_2 -*I *1292:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1191:B I *D sky130_fd_sc_hd__nand2_2 -*I *1302:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1190:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1271:A 0.000989875 -2 *1292:A1 6.90604e-05 -3 *1191:B 0.000358536 -4 *1302:B1 0.000302332 -5 *1190:Y 0 -6 *164:47 0.00121174 -7 *164:15 0.00166428 -8 *164:4 0.00115622 -9 *1191:B *1194:A2 6.23541e-06 -10 *1191:B *165:23 1.7635e-05 -11 *1191:B *217:22 0.000284969 -12 *1191:B *218:8 0 -13 *1271:A *1253:A1 0.000142765 -14 *1271:A *1295:B 0 -15 *1271:A *1297:A 0.00018955 -16 *1271:A *1297:C 0 -17 *1271:A *1298:C1 8.23457e-05 -18 *1271:A *197:69 0.000111371 -19 *1271:A *221:7 0.000184819 -20 *1271:A *221:12 4.16353e-05 -21 *1271:A *221:24 3.59784e-05 -22 *1271:A *221:26 2.32597e-05 -23 *1271:A *221:39 2.7441e-05 -24 *1271:A *233:11 4.66108e-05 -25 *1271:A *239:9 8.65905e-05 -26 *1292:A1 *1260:B 0.000132082 -27 *1292:A1 *1290:A_N 0.000119138 -28 *1292:A1 *1292:A2 1.05827e-05 -29 *1302:B1 *1248:B 6.08903e-05 -30 *1302:B1 *1302:B2 6.15659e-05 -31 *1302:B1 *309:21 0.000132116 -32 *1302:B1 *372:14 8.60943e-05 -33 *164:15 *1244:B 1.01851e-05 -34 *164:15 *1246:B 0.000106092 -35 *164:15 *1247:B 3.41783e-05 -36 *164:15 *203:10 0 -37 *164:15 *207:15 0.000292788 -38 *164:15 *216:25 0.000214005 -39 *164:15 *218:8 1.37895e-05 -40 *164:15 *218:56 1.43043e-05 -41 *164:15 *221:39 0.000601663 -42 *164:15 *309:21 3.96737e-05 -43 *164:15 *317:24 0.000134341 -44 *164:47 *1190:B 0.000122325 -45 *164:47 *221:39 8.05251e-05 -46 *406:DIODE *164:15 3.59531e-06 -47 *409:DIODE *1271:A 0 -48 *1258:A1 *164:15 1.98158e-05 -49 *1302:A1 *1191:B 0.000356022 -50 *1302:A2 *1191:B 1.07797e-05 -51 *92:67 *164:15 0.000371763 -52 *137:27 *1191:B 8.33066e-06 -53 *139:38 *1191:B 0.00013298 -54 *139:60 *1191:B 1.59084e-05 -55 *162:42 *1191:B 0.000159717 -56 *162:68 *164:15 4.39943e-06 -57 *163:63 *164:15 3.85204e-05 -*RES -1 *1190:Y *164:4 1.6 -2 *164:4 *164:15 10.7108 -3 *164:15 *1302:B1 10.6925 -4 *164:15 *1191:B 14.585 -5 *164:4 *164:47 2.52 -6 *164:47 *1292:A1 7.235 -7 *164:47 *1271:A 19.985 -*END - -*D_NET *165 0.00459024 -*CONN -*I *1262:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1303:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1195:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1191:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1262:A1 6.04524e-05 -2 *1303:A1 4.32862e-05 -3 *1195:A1 0.000229217 -4 *1191:Y 0 -5 *165:23 0.000854163 -6 *165:5 0.000979641 -7 *1262:A1 *206:46 0.000217955 -8 *1262:A1 *212:17 0.000112857 -9 *1303:A1 *206:46 0.000132972 -10 *1303:A1 *212:17 7.82669e-05 -11 *165:23 *1263:B1 0.00027241 -12 *165:23 *1282:B1 4.36573e-06 -13 *165:23 *1302:B2 0.000118287 -14 *165:23 *198:41 0 -15 *165:23 *217:22 0.000191302 -16 *165:23 *218:38 0.000172659 -17 *1191:B *165:23 1.7635e-05 -18 *1195:B1 *1195:A1 0.000286285 -19 *1195:B1 *165:23 2.07338e-05 -20 *1264:A1 *165:23 0.000102897 -21 *1267:A1 *165:23 0.000611344 -22 *136:17 *1195:A1 8.35106e-05 -*RES -1 *1191:Y *165:5 3.6 -2 *165:5 *1195:A1 7.815 -3 *165:5 *165:23 13.8559 -4 *165:23 *1303:A1 2.9575 -5 *165:23 *1262:A1 3.6475 -*END - -*D_NET *166 0.000633355 -*CONN -*I *1212:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1192:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1212:A 0 -2 *1195:A2 6.50879e-05 -3 *1192:Y 0.000115514 -4 *166:7 0.000180602 -5 *1195:A2 *1195:A3 0.000117832 -6 *1175:B *166:7 1.16578e-05 -7 *1181:C *166:7 0.000142661 -*RES -1 *1192:Y *166:7 4.135 -2 *166:7 *1195:A2 6.98 -3 *166:7 *1212:A 1.6 -*END - -*D_NET *167 0.00102575 -*CONN -*I *1194:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *1193:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1194:A2 0.000264181 -2 *1193:Y 0.000264181 -3 *1194:A2 *168:5 0.000198945 -4 *1181:C *1194:A2 0.0002001 -5 *1191:B *1194:A2 6.23541e-06 -6 *139:38 *1194:A2 9.21064e-05 -*RES -1 *1193:Y *1194:A2 12.705 -*END - -*D_NET *168 0.00596631 -*CONN -*I *1210:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1212:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1194:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1210:A2 0.000858018 -2 *1212:B 0 -3 *1195:A3 0.000120356 -4 *1194:X 0 -5 *168:5 0.000217566 -6 *168:4 0.000955227 -7 *1210:A2 *1210:B1 5.84081e-05 -8 *1210:A2 *170:19 0 -9 *1210:A2 *220:12 5.96623e-06 -10 *1210:A2 *220:81 0.000123805 -11 *1210:A2 *271:25 1.92979e-05 -12 *416:DIODE *1210:A2 0.00049517 -13 *417:DIODE *1210:A2 6.62584e-06 -14 *1175:B *1195:A3 8.23457e-05 -15 *1175:B *1210:A2 0.00046451 -16 *1175:B *168:5 0.000574583 -17 *1181:A_N *1210:A2 0.000164874 -18 *1181:C *1195:A3 4.41506e-05 -19 *1181:C *1210:A2 0.000379499 -20 *1181:C *168:5 0.000159647 -21 *1182:A3 *1210:A2 4.09785e-05 -22 *1193:A *1210:A2 0 -23 *1194:A2 *168:5 0.000198945 -24 *1194:B1 *168:5 8.65905e-05 -25 *1195:A2 *1195:A3 0.000117832 -26 *1195:B1 *1195:A3 0.000179015 -27 *1215:A *1195:A3 0 -28 *135:19 *1195:A3 8.8266e-05 -29 *136:17 *1195:A3 8.65905e-05 -30 *139:34 *1210:A2 0.000377727 -31 *140:17 *1210:A2 6.03157e-05 -*RES -1 *1194:X *168:4 1.6 -2 *168:4 *168:5 5.28 -3 *168:5 *1195:A3 9.335 -4 *168:5 *1212:B 1.6 -5 *168:4 *1210:A2 17.9511 -*END - -*D_NET *169 0.00339178 -*CONN -*I *1197:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1202:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1206:B I *D sky130_fd_sc_hd__xor2_2 -*I *1195:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1197:A3 0.000137669 -2 *1202:A2 0 -3 *1206:B 0.00057411 -4 *1195:X 0.00040966 -5 *169:20 0.000302118 -6 *1197:A3 *1213:A2_N 0.000184866 -7 *1197:A3 *284:35 1.59999e-05 -8 *1206:B *1164:A 0.00013687 -9 *1206:B *1164:B 2.37234e-05 -10 *169:20 *284:35 0.000330615 -11 *1187:A *1206:B 0.000196364 -12 *1187:B *1197:A3 1.52184e-05 -13 *1197:A2 *1197:A3 0.000334043 -14 *1202:B1 *169:20 4.66108e-05 -15 *1206:A *1197:A3 1.91521e-05 -16 *1206:A *169:20 0.000321231 -17 *135:19 *1206:B 0.000162656 -18 *135:41 *1206:B 1.12578e-05 -19 *136:31 *1197:A3 4.21973e-05 -20 *138:13 *1206:B 3.67779e-05 -21 *148:24 *1197:A3 2.33136e-05 -22 *160:6 *1197:A3 6.28843e-05 -23 *161:8 *1197:A3 4.43871e-06 -*RES -1 *1195:X *1206:B 11.825 -2 *1206:B *169:20 5.19 -3 *169:20 *1202:A2 1.6 -4 *169:20 *1197:A3 10.8875 -*END - -*D_NET *170 0.00515275 -*CONN -*I *1247:B I *D sky130_fd_sc_hd__nor2_2 -*I *1288:A I *D sky130_fd_sc_hd__and2_2 -*I *1197:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *1196:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1247:B 0.000743491 -2 *1288:A 3.81389e-05 -3 *1197:B1 0.000241008 -4 *1196:Y 8.77749e-05 -5 *170:19 0.00104923 -6 *170:7 0.000596386 -7 *1197:B1 *1213:A2_N 1.23883e-05 -8 *1247:B *1244:B 4.1977e-05 -9 *1247:B *1245:C 6.71585e-05 -10 *1247:B *1247:A 0.00010068 -11 *1247:B *198:41 0 -12 *1247:B *232:10 0 -13 *1247:B *372:14 0.000323745 -14 *1288:A *211:40 1.19928e-05 -15 *1288:A *294:23 0.000158434 -16 *170:7 *273:47 4.88075e-05 -17 *170:19 *1245:C 0.000140669 -18 *170:19 *220:12 0 -19 *170:19 *220:81 2.78575e-05 -20 *170:19 *273:47 8.07079e-05 -21 *1172:B *1288:A 0.000121023 -22 *1182:A2 *1197:B1 0 -23 *1182:A2 *170:19 0 -24 *1182:A3 *170:19 3.86814e-05 -25 *1203:A *1197:B1 5.16348e-05 -26 *1209:A1 *1197:B1 1.44259e-05 -27 *1210:A2 *170:19 0 -28 *1283:C1 *1247:B 1.72991e-05 -29 *1283:C1 *170:19 6.01414e-05 -30 *1284:A2 *1247:B 1.88656e-05 -31 *1306:A *1247:B 7.23943e-06 -32 *5:113 *170:7 1.64886e-05 -33 *37:24 *1247:B 0.00012223 -34 *92:67 *1247:B 0.000774582 -35 *136:31 *1197:B1 2.87433e-05 -36 *136:38 *1197:B1 0 -37 *139:34 *1197:B1 0 -38 *144:22 *1247:B 1.03503e-05 -39 *158:9 *1197:B1 3.67779e-05 -40 *163:39 *1247:B 2.96426e-05 -41 *164:15 *1247:B 3.41783e-05 -*RES -1 *1196:Y *170:7 4.74 -2 *170:7 *1197:B1 7.2975 -3 *170:7 *170:19 5.145 -4 *170:19 *1288:A 5.085 -5 *170:19 *1247:B 11.0181 -*END - -*D_NET *171 0.0030243 -*CONN -*I *1199:A I *D sky130_fd_sc_hd__and2_2 -*I *1200:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1197:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1199:A 0.000213471 -2 *1200:A1 0 -3 *1197:X 0.000326077 -4 *171:9 0.000539548 -5 *1199:A *1199:B 4.66108e-05 -6 *1199:A *1200:A2 8.65905e-05 -7 *1199:A *275:30 0.000107415 -8 *171:9 *1209:A0 0.000122331 -9 *171:9 *275:30 0.000329699 -10 *1183:A *171:9 4.99619e-05 -11 *1198:B *171:9 8.55989e-05 -12 *1200:B1 *171:9 0.000239813 -13 *1201:A1 *1199:A 4.43871e-06 -14 *1209:A1 *171:9 0.000310069 -15 *29:10 *1199:A 0.000181376 -16 *92:8 *1199:A 9.86611e-05 -17 *136:35 *171:9 0.00016031 -18 *136:56 *171:9 0.000122331 -*RES -1 *1197:X *171:9 11.485 -2 *171:9 *1200:A1 1.6 -3 *171:9 *1199:A 10.715 -*END - -*D_NET *172 0.00114041 -*CONN -*I *1199:B I *D sky130_fd_sc_hd__and2_2 -*I *1200:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1198:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1199:B 0.000110341 -2 *1200:A2 8.2109e-05 -3 *1198:Y 0 -4 *172:4 0.00019245 -5 *1199:B *1462:TE 0 -6 *1199:B *284:33 0.00022786 -7 *1200:A2 *1201:B2 7.81954e-05 -8 *1200:A2 *275:30 8.65905e-05 -9 *1198:A *1199:B 4.82863e-05 -10 *1199:A *1199:B 4.66108e-05 -11 *1199:A *1200:A2 8.65905e-05 -12 *92:8 *1200:A2 0.000181376 -*RES -1 *1198:Y *172:4 1.6 -2 *172:4 *1200:A2 8.54 -3 *172:4 *1199:B 4.135 -*END - -*D_NET *173 0.000846414 -*CONN -*I *1201:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1199:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1201:B1 0.000245725 -2 *1199:X 0.000245725 -3 *1201:B1 *418:DIODE 1.91597e-05 -4 *1201:B1 *1459:B 4.66108e-05 -5 *1201:B1 *1461:TE 2.92496e-05 -6 *1201:B1 *272:10 0.000113797 -7 *1201:B1 *372:14 9.30787e-05 -8 *1201:A1 *1201:B1 5.30673e-05 -*RES -1 *1199:X *1201:B1 11.79 -*END - -*D_NET *174 0.000519948 -*CONN -*I *1201:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1200:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1201:B2 0.000177024 -2 *1200:Y 0.000177024 -3 *1201:B2 *276:13 1.52184e-05 -4 *1200:A2 *1201:B2 7.81954e-05 -5 *1200:B1 *1201:B2 0 -6 *1201:A1 *1201:B2 6.73665e-05 -7 *92:8 *1201:B2 5.12017e-06 -8 *157:6 *1201:B2 0 -9 *157:13 *1201:B2 0 -*RES -1 *1200:Y *1201:B2 10.875 -*END - -*D_NET *175 0.000501451 -*CONN -*I *1203:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1202:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1203:B 0.000202115 -2 *1202:Y 0.000202115 -3 *1203:B *284:35 6.5058e-05 -4 *1206:A *1203:B 1.16578e-05 -5 *161:11 *1203:B 2.05056e-05 -*RES -1 *1202:Y *1203:B 5.99 -*END - -*D_NET *176 0.000476903 -*CONN -*I *1204:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1203:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1204:A1 0.000163592 -2 *1203:Y 0.000163592 -3 *1204:A1 *284:35 8.73389e-06 -4 *157:39 *1204:A1 0.000140986 -*RES -1 *1203:Y *1204:A1 9.555 -*END - -*D_NET *177 0.000406376 -*CONN -*I *1205:A I *D sky130_fd_sc_hd__buf_2 -*I *1204:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1205:A 0.00015989 -2 *1204:X 0.00015989 -3 *1205:A *276:21 8.65961e-05 -4 *148:24 *1205:A 0 -*RES -1 *1204:X *1205:A 9.63 -*END - -*D_NET *178 0.000236624 -*CONN -*I *1207:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1206:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1207:A1 8.21385e-05 -2 *1206:X 8.21385e-05 -3 *1207:A1 *284:35 1.83756e-05 -4 *1207:A0 *1207:A1 5.39718e-05 -5 *1214:B *1207:A1 0 -*RES -1 *1206:X *1207:A1 8.775 -*END - -*D_NET *179 0.00037534 -*CONN -*I *1208:A I *D sky130_fd_sc_hd__buf_2 -*I *1207:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1208:A 8.18058e-05 -2 *1207:X 8.18058e-05 -3 *1208:A *276:21 5.83121e-06 -4 *1357:D *1208:A 4.43682e-05 -5 *157:48 *1208:A 0.000161529 -*RES -1 *1207:X *1208:A 5.3 -*END - -*D_NET *180 0.000896604 -*CONN -*I *1210:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1209:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1210:B1 0.000214506 -2 *1209:X 0.000214506 -3 *1210:B1 *198:58 0 -4 *1210:B1 *271:12 3.09164e-05 -5 *1210:B1 *271:25 0.000247594 -6 *1183:A *1210:B1 9.97019e-05 -7 *1210:A2 *1210:B1 5.84081e-05 -8 *140:17 *1210:B1 3.09708e-05 -*RES -1 *1209:X *1210:B1 12 -*END - -*D_NET *181 0.00184968 -*CONN -*I *1211:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1210:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1211:B 0.000495211 -2 *1210:X 0.000495211 -3 *1211:B *274:20 9.42927e-05 -4 *409:DIODE *1211:B 0.000138808 -5 *1181:B *1211:B 0.0003243 -6 *1184:A *1211:B 9.13385e-05 -7 *5:113 *1211:B 4.41506e-05 -8 *155:5 *1211:B 8.40213e-05 -9 *155:18 *1211:B 8.23457e-05 -*RES -1 *1210:X *1211:B 16.845 -*END - -*D_NET *182 0.00428107 -*CONN -*I *1213:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1212:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1213:A2_N 0.00106221 -2 *1212:Y 0.00106221 -3 *1213:A2_N *1163:A 0.000111285 -4 *1213:A2_N *1164:B 8.40213e-05 -5 *1213:A2_N *274:20 6.95505e-05 -6 *1187:A *1213:A2_N 2.32506e-05 -7 *1197:A2 *1213:A2_N 1.61831e-05 -8 *1197:A3 *1213:A2_N 0.000184866 -9 *1197:B1 *1213:A2_N 1.23883e-05 -10 *1198:B *1213:A2_N 4.82863e-05 -11 *1219:A2 *1213:A2_N 5.66282e-05 -12 *135:19 *1213:A2_N 0.000111285 -13 *135:41 *1213:A2_N 0.000235573 -14 *136:31 *1213:A2_N 0.000930262 -15 *136:35 *1213:A2_N 0.000137032 -16 *136:56 *1213:A2_N 5.82176e-05 -17 *137:8 *1213:A2_N 3.39151e-05 -18 *155:18 *1213:A2_N 4.39001e-05 -*RES -1 *1212:Y *1213:A2_N 32.365 -*END - -*D_NET *183 0.000664736 -*CONN -*I *1215:B I *D sky130_fd_sc_hd__xor2_2 -*I *1214:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1215:B 0.000308849 -2 *1214:Y 0.000308849 -3 *1215:B *259:48 0 -4 *1215:B *284:35 4.70393e-05 -*RES -1 *1214:Y *1215:B 10.2725 -*END - -*D_NET *184 0.000441271 -*CONN -*I *1216:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1215:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1216:A1 0.000193173 -2 *1215:X 0.000193173 -3 *1216:A1 *284:35 4.82299e-05 -4 *1216:S *1216:A1 6.69608e-06 -*RES -1 *1215:X *1216:A1 9.915 -*END - -*D_NET *185 0.000268131 -*CONN -*I *1217:A I *D sky130_fd_sc_hd__buf_2 -*I *1216:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1217:A 0.000134066 -2 *1216:X 0.000134066 -*RES -1 *1216:X *1217:A 5.3 -*END - -*D_NET *186 0.000465991 -*CONN -*I *1219:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1218:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1219:B1 6.92922e-05 -2 *1218:Y 6.92922e-05 -3 *1219:B1 *276:15 0.000234674 -4 *1353:D *1219:B1 9.27326e-05 -*RES -1 *1218:Y *1219:B1 5.375 -*END - -*D_NET *187 0.00191257 -*CONN -*I *1227:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1221:B I *D sky130_fd_sc_hd__and2_2 -*I *1224:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1220:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1227:A1 4.27526e-05 -2 *1221:B 0.000129498 -3 *1224:A2 0.000140788 -4 *1220:X 4.47408e-05 -5 *187:10 0.000395041 -6 *187:7 0.000212248 -7 *1221:B *1221:A 6.28843e-05 -8 *1221:B *1226:B 4.10469e-05 -9 *1221:B *1351:CLK 0 -10 *1221:B *188:9 7.3664e-05 -11 *1221:B *251:42 9.43372e-06 -12 *1221:B *254:75 0 -13 *1224:A2 *1224:A1 5.4155e-06 -14 *1224:A2 *1224:B1 0.00015502 -15 *1224:A2 *258:7 5.062e-06 -16 *1227:A1 *1220:A 4.21973e-05 -17 *187:7 *1220:A 2.75229e-05 -18 *187:7 *256:18 5.83121e-06 -19 *187:10 *1225:A1 0.000132842 -20 *187:10 *254:75 0 -21 *187:10 *256:15 5.23362e-05 -22 *85:19 *187:10 0.000189367 -23 *85:43 *1221:B 5.16418e-05 -24 *85:43 *187:10 9.3233e-05 -*RES -1 *1220:X *187:7 2.41 -2 *187:7 *187:10 5.42 -3 *187:10 *1224:A2 5.7 -4 *187:10 *1221:B 6.51 -5 *187:7 *1227:A1 2.395 -*END - -*D_NET *188 0.00176329 -*CONN -*I *1226:B I *D sky130_fd_sc_hd__nand2_2 -*I *1223:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1222:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1221:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1226:B 6.46802e-05 -2 *1223:B 0 -3 *1222:A2 0.000252602 -4 *1221:X 0 -5 *188:9 0.000351694 -6 *188:5 0.000163773 -7 *1222:A2 *1223:A_N 3.83041e-05 -8 *1222:A2 *1224:B1 4.99619e-05 -9 *1222:A2 *259:11 3.26889e-05 -10 *1222:A2 *259:23 0.000196491 -11 *1226:B *1226:A 0 -12 *1226:B *250:19 0.000160338 -13 *1226:B *252:47 9.66021e-05 -14 *188:9 *1224:B1 7.66083e-05 -15 *188:9 *1226:A 0 -16 *1221:B *1226:B 4.10469e-05 -17 *1221:B *188:9 7.3664e-05 -18 *1222:B1 *1222:A2 4.99619e-05 -19 *85:52 *1222:A2 6.43251e-05 -20 *85:52 *188:9 5.05437e-05 -*RES -1 *1221:X *188:5 3.6 -2 *188:5 *188:9 4.43 -3 *188:9 *1222:A2 6.67 -4 *188:9 *1223:B 1.6 -5 *188:5 *1226:B 5.955 -*END - -*D_NET *189 0.000624007 -*CONN -*I *1224:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1223:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1224:B1 0.000135153 -2 *1223:Y 0.000135153 -3 *1224:B1 *258:7 1.33293e-05 -4 *1224:B1 *258:17 1.17409e-05 -5 *1222:A2 *1224:B1 4.99619e-05 -6 *1224:A2 *1224:B1 0.00015502 -7 *85:52 *1224:B1 4.70417e-05 -8 *188:9 *1224:B1 7.66083e-05 -*RES -1 *1223:Y *1224:B1 6.425 -*END - -*D_NET *190 0.000417997 -*CONN -*I *1227:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1225:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1227:A2 0.000102855 -2 *1225:Y 0.000102855 -3 *1227:A2 *1220:A 0.000103965 -4 *1227:A2 *257:23 0.000108322 -5 *85:19 *1227:A2 0 -*RES -1 *1225:Y *1227:A2 9.54 -*END - -*D_NET *191 0.00344773 -*CONN -*I *1227:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1231:A1 I *D sky130_fd_sc_hd__a21boi_2 -*I *1232:C I *D sky130_fd_sc_hd__nand3_2 -*I *1226:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1227:B1 0.000195175 -2 *1231:A1 0 -3 *1232:C 1.67709e-05 -4 *1226:Y 0.00054702 -5 *191:20 0.000678293 -6 *191:8 0.00104691 -7 *1227:B1 *1220:A 4.82919e-05 -8 *1227:B1 *1229:A 0 -9 *1227:B1 *254:93 0 -10 *1227:B1 *257:11 2.66586e-05 -11 *191:8 *1225:A1 0 -12 *191:8 *1321:B 0 -13 *191:8 *254:75 0.000329746 -14 *191:8 *371:8 0 -15 *191:20 *1229:A 0 -16 *191:20 *1230:A 0 -17 *191:20 *1231:A2 0.000161623 -18 *191:20 *256:15 0 -19 *1107:S *191:8 4.92096e-05 -20 *1222:B1 *191:8 1.7396e-05 -21 *1225:A2 *191:8 0 -22 *1230:B *191:20 2.30292e-05 -23 *1232:B *191:8 0 -24 *1348:D *191:8 6.44134e-05 -25 *1348:RESET_B *191:8 0 -26 *1352:D *191:8 5.13155e-05 -27 *85:19 *1227:B1 0 -28 *90:68 *1232:C 4.82863e-05 -29 *90:68 *191:20 0.000143591 -30 *90:71 *191:8 0 -*RES -1 *1226:Y *191:8 15.905 -2 *191:8 *1232:C 2.05 -3 *191:8 *191:20 10.295 -4 *191:20 *1231:A1 3.6 -5 *191:20 *1227:B1 6.48 -*END - -*D_NET *192 0.000873006 -*CONN -*I *1228:B I *D sky130_fd_sc_hd__and2_2 -*I *1227:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1228:B 0.000250357 -2 *1227:Y 0.000250357 -3 *1228:B *1229:A 7.06865e-05 -4 *1228:B *254:89 5.81275e-05 -5 *1228:B *257:11 0.000238919 -6 *1228:A *1228:B 4.55864e-06 -7 *1349:RESET_B *1228:B 0 -*RES -1 *1227:Y *1228:B 11.01 -*END - -*D_NET *193 0.00113503 -*CONN -*I *1229:A I *D sky130_fd_sc_hd__buf_2 -*I *1228:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1229:A 0.000341416 -2 *1228:X 0.000341416 -3 *1229:A *1231:A2 0 -4 *1229:A *249:40 2.75229e-05 -5 *1229:A *254:89 1.44259e-05 -6 *1229:A *254:93 6.23288e-05 -7 *1227:B1 *1229:A 0 -8 *1228:B *1229:A 7.06865e-05 -9 *1349:RESET_B *1229:A 0 -10 *1350:D *1229:A 0.000277239 -11 *191:20 *1229:A 0 -*RES -1 *1228:X *1229:A 13.815 -*END - -*D_NET *194 0.000326927 -*CONN -*I *1231:A2 I *D sky130_fd_sc_hd__a21boi_2 -*I *1230:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1231:A2 8.2652e-05 -2 *1230:Y 8.2652e-05 -3 *1229:A *1231:A2 0 -4 *191:20 *1231:A2 0.000161623 -*RES -1 *1230:Y *1231:A2 9.09 -*END - -*D_NET *195 0.000804521 -*CONN -*I *1234:A I *D sky130_fd_sc_hd__buf_2 -*I *1233:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1234:A 0.000170157 -2 *1233:X 0.000170157 -3 *1234:A *269:8 5.47609e-05 -4 *1105:A *1234:A 0 -5 *1176:A_N *1234:A 0 -6 *1347:D *1234:A 0.000409446 -*RES -1 *1233:X *1234:A 11.895 -*END - -*D_NET *196 0.000360655 -*CONN -*I *1236:A I *D sky130_fd_sc_hd__buf_2 -*I *1235:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1236:A 0.000123734 -2 *1235:X 0.000123734 -3 *1236:A *1235:A1 0 -4 *1236:A *268:7 8.5654e-06 -5 *1181:B *1236:A 4.94326e-05 -6 *85:11 *1236:A 5.51885e-05 -*RES -1 *1235:X *1236:A 9.3075 -*END - -*D_NET *197 0.0135909 -*CONN -*I *1275:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1307:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1299:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1253:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1277:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1241:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1238:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1275:A1 2.8201e-05 -2 *1307:B2 0 -3 *1299:B2 0 -4 *1311:B2 0.000275426 -5 *1253:A1 0.000158997 -6 *1277:B2 3.18487e-05 -7 *1241:A1 0.000302919 -8 *1238:X 8.49389e-05 -9 *197:69 0.000638578 -10 *197:66 0.001745 -11 *197:53 0.00243265 -12 *197:28 0.0012259 -13 *197:21 0.000472117 -14 *197:5 0.000529529 -15 *1241:A1 *198:16 0 -16 *1253:A1 *1311:A3 3.37198e-05 -17 *1253:A1 *221:12 9.13951e-05 -18 *1311:B2 *1299:A2 3.77372e-06 -19 *1311:B2 *1311:A3 3.45588e-05 -20 *1311:B2 *239:9 1.03423e-05 -21 *197:5 *1275:B1 7.35729e-05 -22 *197:21 *1275:B1 5.05521e-05 -23 *197:28 *1275:B1 3.26889e-05 -24 *197:28 *1279:B1 7.36562e-05 -25 *197:28 *206:8 0.000173552 -26 *197:28 *206:17 0.000127263 -27 *197:28 *207:20 4.81484e-06 -28 *197:53 *1265:B1 0.00023422 -29 *197:53 *1307:A3 3.97966e-05 -30 *197:53 *1435:Z 2.06058e-05 -31 *197:53 *206:17 7.30547e-05 -32 *197:53 *226:132 1.65162e-05 -33 *197:53 *321:6 1.64067e-05 -34 *197:53 *344:13 0.000569472 -35 *197:53 *351:8 1.84465e-05 -36 *197:66 *1259:B1 8.10009e-05 -37 *197:66 *1272:A2 1.6271e-05 -38 *197:66 *1293:A 0.000654643 -39 *197:66 *1304:A2 9.40994e-05 -40 *197:66 *1307:A1 0.000170518 -41 *197:66 *1307:A3 4.12094e-05 -42 *197:66 *226:102 0.000268169 -43 *197:66 *236:5 5.83121e-06 -44 *197:66 *301:17 0 -45 *197:66 *315:8 5.78448e-05 -46 *197:66 *317:24 5.91413e-05 -47 *197:66 *330:21 7.43035e-06 -48 *197:66 *363:17 4.59027e-05 -49 *197:69 *1287:B 7.8898e-05 -50 *197:69 *1289:B1 6.03709e-05 -51 *197:69 *1299:A1 0 -52 *197:69 *1311:A2 8.09497e-05 -53 *197:69 *1311:A3 3.26084e-05 -54 *197:69 *226:102 7.08297e-05 -55 *409:DIODE *197:69 0 -56 *413:DIODE *197:66 8.97802e-05 -57 *414:DIODE *197:53 1.39456e-05 -58 *414:DIODE *197:66 2.06578e-05 -59 *1253:A2 *1253:A1 3.18332e-05 -60 *1267:A1 *197:28 0 -61 *1267:A1 *197:53 0 -62 *1271:A *1253:A1 0.000142765 -63 *1271:A *197:69 0.000111371 -64 *1275:A2 *1275:A1 3.95724e-05 -65 *1275:A2 *197:28 1.65432e-05 -66 *1275:A3 *1241:A1 0 -67 *1277:B1 *1277:B2 2.49909e-05 -68 *1279:A2 *197:28 0 -69 *1311:B1 *1311:B2 9.64638e-06 -70 *15:14 *197:28 0.000113531 -71 *15:14 *197:53 0.000309927 -72 *22:8 *197:66 0.00049801 -73 *24:31 *197:66 0.000488385 -74 *36:27 *197:28 2.25347e-05 -75 *36:27 *197:66 0.000135749 -76 *37:16 *197:21 3.52139e-06 -77 *37:16 *197:28 1.61831e-05 -78 *92:67 *1253:A1 1.7635e-05 -79 *162:11 *1241:A1 3.41184e-05 -80 *163:39 *197:28 0 -*RES -1 *1238:X *197:5 2.74 -2 *197:5 *1241:A1 9.95 -3 *197:5 *197:21 2.1 -4 *197:21 *197:28 8.525 -5 *197:28 *1277:B2 4.05 -6 *197:28 *197:53 22.7 -7 *197:53 *197:66 11.2711 -8 *197:66 *197:69 7.205 -9 *197:69 *1253:A1 7.17 -10 *197:69 *1311:B2 7.095 -11 *197:66 *1299:B2 1.6 -12 *197:53 *1307:B2 3.6 -13 *197:21 *1275:A1 2.41 -*END - -*D_NET *198 0.014154 -*CONN -*I *1280:A I *D sky130_fd_sc_hd__buf_2 -*I *1319:A I *D sky130_fd_sc_hd__buf_2 -*I *1328:A I *D sky130_fd_sc_hd__buf_2 -*I *1252:B I *D sky130_fd_sc_hd__nor2_2 -*I *1242:A I *D sky130_fd_sc_hd__buf_2 -*I *1274:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1240:B I *D sky130_fd_sc_hd__nand2_2 -*I *1239:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1280:A 0.000138597 -2 *1319:A 2.78318e-05 -3 *1328:A 0.00038448 -4 *1252:B 7.71076e-05 -5 *1242:A 0.000164412 -6 *1274:B 0 -7 *1240:B 6.67931e-05 -8 *1239:X 0 -9 *198:60 0.000542132 -10 *198:58 0.000764968 -11 *198:41 0.00294334 -12 *198:16 0.000320179 -13 *198:5 0.000378773 -14 *198:4 0.00252589 -15 *1242:A *1279:A1 8.57024e-05 -16 *1280:A *1331:B 8.49205e-05 -17 *1280:A *226:7 9.51101e-05 -18 *1319:A *254:202 0.000121659 -19 *1328:A *1331:A 5.17086e-05 -20 *1328:A *249:20 0.000154987 -21 *1328:A *249:24 0.000356256 -22 *1328:A *251:8 0.000119286 -23 *1328:A *251:15 5.30057e-05 -24 *1328:A *251:62 2.59616e-05 -25 *198:41 *236:8 1.58927e-05 -26 *198:41 *372:14 0.000265179 -27 *198:58 *271:8 0 -28 *198:58 *271:12 0 -29 *198:58 *276:13 0 -30 *198:60 *1331:A 8.26132e-05 -31 *198:60 *1331:B 0.000145318 -32 *198:60 *249:20 6.3478e-05 -33 *198:60 *271:8 0 -34 *391:DIODE *198:58 8.22481e-05 -35 *416:DIODE *198:41 5.34855e-05 -36 *417:DIODE *1252:B 0.000118081 -37 *1182:A3 *198:41 5.4184e-05 -38 *1183:A *198:58 0.000188777 -39 *1188:A *198:41 5.74248e-05 -40 *1198:B *198:58 4.92096e-05 -41 *1200:B1 *198:58 6.68849e-05 -42 *1210:B1 *198:58 0 -43 *1211:A *198:58 0 -44 *1240:A *1240:B 5.19006e-06 -45 *1241:A1 *198:16 0 -46 *1241:A2 *198:16 1.92979e-05 -47 *1241:A2 *198:41 0.000526305 -48 *1247:B *198:41 0 -49 *1248:A *1242:A 0.000120073 -50 *1252:A *198:41 0.00011397 -51 *1252:A *198:58 4.13116e-05 -52 *1279:A2 *1242:A 0 -53 *1350:RESET_B *1328:A 0 -54 *1353:D *198:58 0.000137571 -55 *1353:D *198:60 0.000139238 -56 *1353:RESET_B *1319:A 6.92161e-05 -57 *1360:RESET_B *1328:A 0 -58 *5:14 *1242:A 1.1561e-05 -59 *5:14 *198:16 0.000169022 -60 *5:44 *1240:B 2.01737e-05 -61 *5:44 *198:5 5.71589e-05 -62 *5:56 *198:5 8.64599e-05 -63 *30:23 *1242:A 1.47256e-05 -64 *156:8 *198:41 8.88524e-05 -65 *156:8 *198:58 1.11025e-05 -66 *157:6 *198:58 0.000107634 -67 *157:13 *198:58 0.000204456 -68 *162:42 *198:41 7.7212e-05 -69 *163:18 *198:41 0.000436325 -70 *163:26 *198:41 0.00097127 -71 *165:23 *198:41 0 -*RES -1 *1239:X *198:4 1.6 -2 *198:4 *198:5 2.175 -3 *198:5 *1240:B 2.665 -4 *198:5 *198:16 5.675 -5 *198:16 *1274:B 3.6 -6 *198:16 *1242:A 6.735 -7 *198:4 *198:41 11.4211 -8 *198:41 *1252:B 5.1 -9 *198:41 *198:58 11.82 -10 *198:58 *198:60 4.185 -11 *198:60 *1328:A 13.005 -12 *198:60 *1319:A 4.74 -13 *198:58 *1280:A 6.465 -*END - -*D_NET *199 0.000278907 -*CONN -*I *1241:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1240:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1241:B1 7.18766e-05 -2 *1240:Y 7.18766e-05 -3 *1241:B1 *1372:A 6.3241e-05 -4 *1240:A *1241:B1 2.88357e-05 -5 *5:14 *1241:B1 4.30771e-05 -*RES -1 *1240:Y *1241:B1 9.03 -*END - -*D_NET *200 0.0100142 -*CONN -*I *1279:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1265:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1268:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1254:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1259:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1263:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1250:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1242:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1279:A1 0.000332124 -2 *1265:A1 0.000282554 -3 *1268:A1 0.000456035 -4 *1254:A1 0 -5 *1259:A1 0 -6 *1263:A1 0.000121922 -7 *1250:A1 4.66796e-05 -8 *1242:X 0 -9 *200:39 0.00106322 -10 *200:31 0.000650647 -11 *200:28 0.000650355 -12 *200:7 0.000508777 -13 *200:5 0.00135658 -14 *200:4 0.00102419 -15 *1263:A1 *1250:B1 0.000181468 -16 *1263:A1 *1456:A 0.000225968 -17 *1265:A1 *1423:A 0 -18 *1265:A1 *338:13 0 -19 *1268:A1 *1254:B1 1.33285e-05 -20 *1268:A1 *1407:TE 0.00016739 -21 *1268:A1 *1418:A 0.000107827 -22 *1268:A1 *1427:A 0 -23 *1268:A1 *322:8 0.000197896 -24 *1268:A1 *331:12 0.0002884 -25 *1268:A1 *331:17 6.04361e-05 -26 *1279:A1 *1248:B 0.000126804 -27 *200:5 *1393:Z 4.57447e-05 -28 *200:5 *1396:A 0.000159947 -29 *200:7 *1393:TE 4.47209e-05 -30 *200:7 *308:5 4.80285e-05 -31 *200:28 *1423:A 0 -32 *200:31 *1419:A 4.60814e-05 -33 *200:39 *1259:B1 8.4469e-05 -34 *200:39 *1418:A 0.0001615 -35 *200:39 *1419:A 2.01829e-05 -36 *388:DIODE *200:28 0.000101417 -37 *393:DIODE *1265:A1 2.98644e-05 -38 *393:DIODE *200:28 0.000137364 -39 *412:DIODE *1268:A1 7.23602e-05 -40 *1242:A *1279:A1 8.57024e-05 -41 *1248:A *1279:A1 2.05056e-05 -42 *1254:A2 *200:39 1.5379e-05 -43 *1263:A2 *1263:A1 5.83121e-06 -44 *1265:A2 *1265:A1 0.000114328 -45 *30:23 *1279:A1 5.06647e-05 -46 *30:23 *200:5 0.000192229 -47 *31:11 *200:39 0.000459305 -48 *32:8 *1265:A1 3.16059e-05 -49 *33:11 *200:28 0 -50 *36:20 *1263:A1 1.82563e-05 -51 *36:20 *200:28 0.000206152 -52 *37:16 *1265:A1 0 -53 *37:16 *200:28 0 -*RES -1 *1242:X *200:4 1.6 -2 *200:4 *200:5 8.73 -3 *200:5 *200:7 3.9 -4 *200:7 *1250:A1 2.05 -5 *200:7 *1263:A1 9.065 -6 *200:5 *200:28 8.99 -7 *200:28 *200:31 2.795 -8 *200:31 *1259:A1 1.6 -9 *200:31 *200:39 8.655 -10 *200:39 *1254:A1 1.6 -11 *200:39 *1268:A1 14.21 -12 *200:28 *1265:A1 7.5 -13 *200:4 *1279:A1 7.015 -*END - -*D_NET *201 0.00281926 -*CONN -*I *1244:B I *D sky130_fd_sc_hd__and2b_2 -*I *1285:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *1266:B I *D sky130_fd_sc_hd__nand2_2 -*I *1243:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1244:B 0.000174295 -2 *1285:A_N 9.71884e-06 -3 *1266:B 0.000198819 -4 *1243:Y 0.000280113 -5 *201:8 0.000263751 -6 *201:7 0.00050962 -7 *1244:B *1276:B 1.54318e-05 -8 *1244:B *216:25 2.61143e-05 -9 *1244:B *218:8 0 -10 *1266:B *1306:B 0.000153881 -11 *201:7 *1247:A 0.00017533 -12 *201:7 *1284:B1 0.000158072 -13 *1168:B *1266:B 0.000107827 -14 *1168:D *1266:B 9.47712e-05 -15 *1193:A *1266:B 6.95753e-05 -16 *1247:B *1244:B 4.1977e-05 -17 *1284:A2 *1244:B 1.61696e-05 -18 *1284:A2 *1266:B 6.46783e-05 -19 *1284:A2 *201:8 5.83373e-05 -20 *1306:A *1285:A_N 4.82919e-05 -21 *137:35 *1266:B 6.1172e-05 -22 *137:40 *1266:B 1.21469e-05 -23 *139:34 *1266:B 8.86398e-05 -24 *140:33 *1266:B 9.55262e-06 -25 *146:7 *1285:A_N 4.82919e-05 -26 *162:42 *1266:B 4.90227e-05 -27 *163:39 *1266:B 1.9579e-05 -28 *163:39 *201:8 5.38986e-05 -29 *164:15 *1244:B 1.01851e-05 -*RES -1 *1243:Y *201:7 7.155 -2 *201:7 *201:8 1.38 -3 *201:8 *1266:B 9.375 -4 *201:8 *1285:A_N 4.05 -5 *201:7 *1244:B 6.45 -*END - -*D_NET *202 0.00441704 -*CONN -*I *1255:A I *D sky130_fd_sc_hd__inv_2 -*I *1246:A I *D sky130_fd_sc_hd__nor2_2 -*I *1276:B I *D sky130_fd_sc_hd__nand2_2 -*I *1244:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *1255:A 0.000434822 -2 *1246:A 0 -3 *1276:B 0.000107502 -4 *1244:X 6.2529e-05 -5 *202:20 0.000723478 -6 *202:5 0.000458687 -7 *1255:A *1259:B1 0.000250157 -8 *1255:A *1261:A 5.14451e-05 -9 *1255:A *1272:A2 1.90723e-05 -10 *1255:A *1273:B1 1.10085e-05 -11 *1255:A *1289:A1 0.000122191 -12 *1255:A *1293:A 4.11718e-05 -13 *1255:A *1304:A1 4.04858e-05 -14 *1255:A *204:8 3.96153e-05 -15 *1255:A *210:33 0 -16 *1255:A *218:56 0.000129334 -17 *1255:A *220:21 0 -18 *1255:A *301:17 0.00047846 -19 *1255:A *330:21 0.000266561 -20 *1255:A *363:17 0.000165177 -21 *1276:B *1244:A_N 0 -22 *1276:B *1276:A 0.000237243 -23 *1276:B *216:25 1.80918e-05 -24 *1276:B *309:21 0.000237243 -25 *202:5 *309:21 5.30732e-05 -26 *202:20 *1244:A_N 2.25119e-05 -27 *202:20 *1286:B2 6.81984e-05 -28 *202:20 *1304:A1 2.41376e-05 -29 *202:20 *212:13 4.18569e-05 -30 *202:20 *221:39 1.88656e-05 -31 *202:20 *221:50 4.31189e-05 -32 *202:20 *309:21 0.000235573 -33 *1244:B *1276:B 1.54318e-05 -*RES -1 *1244:X *202:5 2.395 -2 *202:5 *1276:B 8.645 -3 *202:5 *202:20 6.83 -4 *202:20 *1246:A 3.6 -5 *202:20 *1255:A 10.1268 -*END - -*D_NET *203 0.00252417 -*CONN -*I *1246:B I *D sky130_fd_sc_hd__nor2_2 -*I *1261:B I *D sky130_fd_sc_hd__nand2_2 -*I *1245:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1246:B 0.000306363 -2 *1261:B 0 -3 *1245:X 0.000215341 -4 *203:10 0.000521704 -5 *1246:B *1261:A 1.54495e-05 -6 *1246:B *1272:B2 1.91597e-05 -7 *1246:B *212:13 0.000128367 -8 *1246:B *215:15 7.04675e-05 -9 *1246:B *218:56 4.31143e-05 -10 *1246:B *221:39 0.000402517 -11 *1246:B *317:24 3.83233e-05 -12 *203:10 *1261:A 8.53113e-06 -13 *203:10 *232:10 0 -14 *406:DIODE *1246:B 4.36573e-06 -15 *1272:A1 *1246:B 2.577e-05 -16 *30:23 *203:10 1.83847e-05 -17 *37:24 *203:10 6.25247e-05 -18 *162:63 *1246:B 2.3542e-05 -19 *162:68 *1246:B 0.000514158 -20 *164:15 *1246:B 0.000106092 -21 *164:15 *203:10 0 -*RES -1 *1245:X *203:10 6.48 -2 *203:10 *1261:B 3.6 -3 *203:10 *1246:B 8.302 -*END - -*D_NET *204 0.00134015 -*CONN -*I *1304:A2 I *D sky130_fd_sc_hd__o2111a_2 -*I *1249:A I *D sky130_fd_sc_hd__and2_2 -*I *1246:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1304:A2 0.000208899 -2 *1249:A 0 -3 *1246:Y 0.000100409 -4 *204:8 0.000309308 -5 *1304:A2 *1304:B1 1.88656e-05 -6 *1304:A2 *1304:C1 2.0187e-05 -7 *1304:A2 *1307:A3 0 -8 *1304:A2 *206:60 4.6075e-05 -9 *1304:A2 *330:21 0.000284474 -10 *204:8 *1272:A2 3.5539e-05 -11 *204:8 *1304:A1 2.60478e-05 -12 *204:8 *215:15 4.99619e-05 -13 *204:8 *301:17 4.82919e-05 -14 *413:DIODE *1304:A2 1.06022e-05 -15 *1255:A *204:8 3.96153e-05 -16 *16:8 *1304:A2 4.77807e-05 -17 *197:66 *1304:A2 9.40994e-05 -*RES -1 *1246:Y *204:8 5.685 -2 *204:8 *1249:A 3.6 -3 *204:8 *1304:A2 6.32837 -*END - -*D_NET *205 0.0024599 -*CONN -*I *1264:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1248:B I *D sky130_fd_sc_hd__nor2_2 -*I *1247:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1264:A2 0.000129729 -2 *1248:B 0.000342338 -3 *1247:Y 5.93954e-05 -4 *205:5 0.000531462 -5 *1248:B *1303:C1 2.75145e-05 -6 *1248:B *206:8 0.000273596 -7 *1248:B *372:14 0.000120761 -8 *205:5 *372:14 3.87203e-05 -9 *1264:A1 *1264:A2 3.60024e-06 -10 *1279:A1 *1248:B 0.000126804 -11 *1302:A1 *1248:B 4.77719e-05 -12 *1302:A1 *205:5 8.23457e-05 -13 *1302:A2 *1248:B 2.20014e-05 -14 *1302:A2 *1264:A2 0.000100889 -15 *1302:B1 *1248:B 6.08903e-05 -16 *30:23 *1248:B 1.61831e-05 -17 *146:19 *1264:A2 2.84864e-05 -18 *163:39 *1248:B 0.000267056 -19 *163:39 *205:5 0.000180356 -*RES -1 *1247:Y *205:5 3.43 -2 *205:5 *1248:B 10.45 -3 *205:5 *1264:A2 7.685 -*END - -*D_NET *206 0.00838301 -*CONN -*I *1258:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1270:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1249:B I *D sky130_fd_sc_hd__and2_2 -*I *1262:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1254:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1278:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1248:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1258:C1 0.000195605 -2 *1270:B1 7.53355e-05 -3 *1249:B 0 -4 *1262:B1 0 -5 *1277:A2 1.86649e-05 -6 *1254:B1 0.000367379 -7 *1278:B1 0 -8 *1248:Y 0.000182809 -9 *206:68 0.000387381 -10 *206:60 0.000433116 -11 *206:46 0.00051935 -12 *206:30 0.000244283 -13 *206:17 0.000482992 -14 *206:8 0.000275477 -15 *1254:B1 *1415:TE 0.000142669 -16 *1254:B1 *1418:A 4.66108e-05 -17 *1254:B1 *287:8 0.000118081 -18 *1254:B1 *301:17 8.23457e-05 -19 *1254:B1 *322:8 4.66108e-05 -20 *1254:B1 *330:21 2.30292e-05 -21 *1254:B1 *331:17 0.000158142 -22 *1258:C1 *1258:B1 5.99422e-05 -23 *1258:C1 *1272:B1 6.33229e-05 -24 *1258:C1 *1273:B1 0.000163472 -25 *1258:C1 *1273:B2 6.82387e-05 -26 *1258:C1 *220:26 0.000194921 -27 *1258:C1 *330:21 2.97373e-05 -28 *1270:B1 *1270:B2 0.000126768 -29 *1277:A2 *1277:A3 0 -30 *206:8 *1278:A2 4.55864e-06 -31 *206:8 *1303:C1 0 -32 *206:8 *372:14 4.66108e-05 -33 *206:17 *1278:A2 6.0724e-05 -34 *206:17 *1303:C1 0 -35 *206:30 *212:19 1.40193e-05 -36 *206:30 *301:17 0.0001249 -37 *206:46 *1262:A2 1.09145e-05 -38 *206:46 *1262:C1 1.59289e-05 -39 *206:46 *1277:A3 0.000200099 -40 *206:46 *1303:A2 8.59537e-06 -41 *206:46 *1303:B1 2.10214e-05 -42 *206:46 *212:13 3.75913e-05 -43 *206:46 *212:17 2.71507e-05 -44 *206:46 *212:19 1.67358e-05 -45 *206:46 *301:17 0.000623597 -46 *206:60 *1259:B1 0.000495107 -47 *206:60 *1304:B1 0 -48 *206:60 *1304:C1 6.07575e-06 -49 *206:60 *1304:D1 8.53011e-05 -50 *206:60 *1307:A3 0 -51 *206:60 *207:5 8.28018e-06 -52 *206:60 *207:15 7.28466e-05 -53 *206:60 *212:13 4.16349e-07 -54 *206:60 *301:17 3.78034e-06 -55 *206:68 *1259:B1 2.54431e-05 -56 *206:68 *207:5 4.80058e-05 -57 *206:68 *220:26 3.93531e-05 -58 *206:68 *330:21 4.23703e-05 -59 *1248:B *206:8 0.000273596 -60 *1262:A1 *206:46 0.000217955 -61 *1267:A1 *206:17 0 -62 *1268:A1 *1254:B1 1.33285e-05 -63 *1277:B1 *1254:B1 0.000225285 -64 *1277:B1 *1277:A2 7.79652e-06 -65 *1277:B1 *206:30 6.57278e-05 -66 *1303:A1 *206:46 0.000132972 -67 *1304:A2 *206:60 4.6075e-05 -68 *16:8 *206:60 2.62258e-05 -69 *17:7 *1258:C1 5.69248e-06 -70 *17:7 *1270:B1 6.05751e-05 -71 *30:23 *206:8 0.000175166 -72 *37:24 *1258:C1 0.000213033 -73 *197:28 *206:8 0.000173552 -74 *197:28 *206:17 0.000127263 -75 *197:53 *206:17 7.30547e-05 -*RES -1 *1248:Y *206:8 8.955 -2 *206:8 *1278:B1 3.6 -3 *206:8 *206:17 4.4 -4 *206:17 *1254:B1 13.22 -5 *206:17 *206:30 1.14 -6 *206:30 *1277:A2 1.96 -7 *206:30 *206:46 8.27625 -8 *206:46 *1262:B1 1.6 -9 *206:46 *206:60 11.2037 -10 *206:60 *1249:B 1.6 -11 *206:60 *206:68 2.535 -12 *206:68 *1270:B1 7.235 -13 *206:68 *1258:C1 11.465 -*END - -*D_NET *207 0.012648 -*CONN -*I *1293:A I *D sky130_fd_sc_hd__and3_2 -*I *1301:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1281:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1267:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1250:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1249:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1293:A 0.000533839 -2 *1301:B1 8.14997e-05 -3 *1281:B1 2.03912e-05 -4 *1267:B1 9.07749e-05 -5 *1250:B1 0.00159912 -6 *1249:X 8.64293e-05 -7 *207:52 0.000615339 -8 *207:20 0.00206169 -9 *207:15 0.00114819 -10 *207:5 0.000842434 -11 *1250:B1 *1415:TE 0.000142669 -12 *1250:B1 *1416:A 0.000161529 -13 *1250:B1 *1456:A 7.39416e-05 -14 *1250:B1 *330:21 4.60584e-05 -15 *1250:B1 *331:17 0.000108886 -16 *1250:B1 *335:7 4.68045e-05 -17 *1267:B1 *404:DIODE 4.66108e-05 -18 *1267:B1 *287:8 4.66108e-05 -19 *1281:B1 *224:14 8.41703e-06 -20 *1281:B1 *309:21 9.16333e-06 -21 *1281:B1 *317:24 9.16333e-06 -22 *1293:A *1272:A2 0.000575198 -23 *1293:A *1289:A1 9.14678e-05 -24 *1293:A *330:21 2.97685e-05 -25 *1293:A *363:17 8.00447e-05 -26 *1301:B1 *1304:C1 0.00010903 -27 *1301:B1 *220:58 0 -28 *207:15 *1263:B1 0.00029126 -29 *207:15 *1267:A2 2.68804e-05 -30 *207:15 *1304:A1 0.000227275 -31 *207:15 *1304:B1 1.15688e-05 -32 *207:15 *1304:C1 0.000160342 -33 *207:15 *216:25 1.81522e-05 -34 *207:15 *218:8 0 -35 *207:15 *218:9 8.49744e-05 -36 *207:15 *218:56 0.000158732 -37 *207:15 *221:39 4.36573e-06 -38 *207:15 *221:50 9.21178e-05 -39 *207:20 *1263:B1 0.000554273 -40 *207:20 *330:21 0 -41 *413:DIODE *207:15 0.000172689 -42 *1255:A *1293:A 4.11718e-05 -43 *1263:A1 *1250:B1 0.000181468 -44 *1267:A1 *1281:B1 5.05716e-06 -45 *1273:A2 *1293:A 9.5799e-06 -46 *1277:B1 *1250:B1 2.54431e-05 -47 *15:14 *1267:B1 0.000240723 -48 *15:14 *207:20 9.0444e-05 -49 *36:20 *1250:B1 0.000169341 -50 *36:27 *1267:B1 0.000233479 -51 *36:27 *207:20 0.00010225 -52 *164:15 *207:15 0.000292788 -53 *197:28 *207:20 4.81484e-06 -54 *197:66 *1293:A 0.000654643 -55 *206:60 *207:5 8.28018e-06 -56 *206:60 *207:15 7.28466e-05 -57 *206:68 *207:5 4.80058e-05 -*RES -1 *1249:X *207:5 2.74 -2 *207:5 *207:15 10.2058 -3 *207:15 *207:20 2.60623 -4 *207:20 *1250:B1 28.63 -5 *207:20 *1267:B1 6.96 -6 *207:15 *1281:B1 4.4975 -7 *207:5 *207:52 2 -8 *207:52 *1301:B1 4.98 -9 *207:52 *1293:A 9.77603 -*END - -*D_NET *208 0.00448566 -*CONN -*I *1253:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1312:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1288:B I *D sky130_fd_sc_hd__and2_2 -*I *1251:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1253:B1 3.57996e-05 -2 *1312:B1 0.000591259 -3 *1288:B 0.000303706 -4 *1251:Y 0 -5 *208:34 0.000888192 -6 *208:4 0.00056484 -7 *1253:B1 *1253:C1 0.000116165 -8 *1253:B1 *1296:B 4.84393e-05 -9 *1253:B1 *221:24 1.89685e-05 -10 *1288:B *1245:C 0 -11 *1288:B *211:40 8.01002e-05 -12 *1288:B *232:10 3.75393e-05 -13 *1288:B *294:23 0.00022786 -14 *1312:B1 *1379:A 8.80473e-05 -15 *1312:B1 *1379:TE 0.000160641 -16 *1312:B1 *1383:A 0.000125264 -17 *1312:B1 *295:8 4.99619e-05 -18 *208:34 *1166:A 8.40269e-05 -19 *208:34 *1253:C1 9.17229e-05 -20 *208:34 *1296:B 2.8377e-05 -21 *208:34 *1297:C 1.23015e-05 -22 *208:34 *1314:B2 2.99518e-06 -23 *208:34 *211:40 3.518e-05 -24 *208:34 *234:11 0.000232099 -25 *208:34 *294:23 3.83194e-05 -26 *416:DIODE *1312:B1 9.0059e-05 -27 *416:DIODE *208:34 0.000250645 -28 *1172:B *1288:B 0.000168427 -29 *1251:A *1288:B 7.9642e-06 -30 *1296:C *208:34 1.19928e-05 -31 *1298:A1 *1312:B1 2.76356e-05 -32 *140:8 *208:34 2.75229e-05 -33 *163:57 *1288:B 3.96088e-05 -*RES -1 *1251:Y *208:4 1.6 -2 *208:4 *1288:B 11.42 -3 *208:4 *208:34 8.87 -4 *208:34 *1312:B1 13.26 -5 *208:34 *1253:B1 4.98 -*END - -*D_NET *209 0.00179937 -*CONN -*I *1313:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1253:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1252:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1313:B1 6.8614e-05 -2 *1253:C1 0.000305263 -3 *1252:Y 6.54699e-05 -4 *209:5 0.000439347 -5 *1253:C1 *1189:A 0 -6 *1253:C1 *1314:B2 0 -7 *1253:C1 *220:81 3.0015e-05 -8 *1313:B1 *1189:A 0.000167643 -9 *409:DIODE *1253:C1 0 -10 *417:DIODE *1253:C1 2.53191e-06 -11 *417:DIODE *1313:B1 0.000121659 -12 *417:DIODE *209:5 0.000194921 -13 *1253:B1 *1253:C1 0.000116165 -14 *1313:B2 *1313:B1 4.77769e-05 -15 *92:43 *1253:C1 0 -16 *92:54 *1253:C1 4.72896e-05 -17 *139:5 *1313:B1 2.65814e-06 -18 *139:10 *1313:B1 2.4202e-05 -19 *139:10 *209:5 2.65814e-06 -20 *163:8 *1253:C1 3.80537e-05 -21 *163:8 *209:5 3.33839e-05 -22 *208:34 *1253:C1 9.17229e-05 -*RES -1 *1252:Y *209:5 3.43 -2 *209:5 *1253:C1 11.315 -3 *209:5 *1313:B1 3.775 -*END - -*D_NET *210 0.00498378 -*CONN -*I *1298:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *1258:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1272:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1255:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1298:A2 0.000385969 -2 *1258:A2 0.000145601 -3 *1272:A2 0.000631574 -4 *1255:Y 0 -5 *210:33 0.000587002 -6 *210:5 0.000687006 -7 *1258:A2 *1258:B1 9.03508e-06 -8 *1258:A2 *213:5 4.89295e-05 -9 *1272:A2 *1259:B1 0.000612003 -10 *1272:A2 *1272:B2 3.03684e-05 -11 *1272:A2 *1289:B2 6.98641e-05 -12 *1272:A2 *1304:A1 0 -13 *1272:A2 *215:15 8.49205e-05 -14 *1272:A2 *220:21 2.9763e-05 -15 *1272:A2 *301:17 2.32627e-05 -16 *1272:A2 *330:21 2.42556e-05 -17 *1298:A2 *1292:B1 4.21973e-05 -18 *1298:A2 *1297:A 8.17571e-05 -19 *1298:A2 *1298:B1 0.000250971 -20 *1298:A2 *1298:B2 1.72115e-05 -21 *1298:A2 *213:5 0.0001104 -22 *1298:A2 *235:7 4.82863e-05 -23 *210:33 *232:10 9.15865e-05 -24 *406:DIODE *1258:A2 8.12896e-06 -25 *1255:A *1272:A2 1.90723e-05 -26 *1255:A *210:33 0 -27 *1258:A1 *1258:A2 4.25679e-05 -28 *1260:A *1298:A2 0.00027042 -29 *1273:A2 *1272:A2 4.61701e-06 -30 *1293:A *1272:A2 0.000575198 -31 *197:66 *1272:A2 1.6271e-05 -32 *204:8 *1272:A2 3.5539e-05 -*RES -1 *1255:Y *210:5 3.6 -2 *210:5 *1272:A2 11.8853 -3 *210:5 *210:33 3.125 -4 *210:33 *1258:A2 4.045 -5 *210:33 *1298:A2 9.31 -*END - -*D_NET *211 0.00630863 -*CONN -*I *1295:C I *D sky130_fd_sc_hd__nand3_2 -*I *1310:C I *D sky130_fd_sc_hd__nand3_2 -*I *1257:B I *D sky130_fd_sc_hd__nand2_2 -*I *1284:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1256:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1295:C 4.67883e-05 -2 *1310:C 0 -3 *1257:B 7.15118e-05 -4 *1284:B1 9.77792e-05 -5 *1256:X 0 -6 *211:40 0.00114716 -7 *211:10 0.000245394 -8 *211:4 0.00117648 -9 *1257:B *212:5 3.09774e-05 -10 *1257:B *212:13 1.19928e-05 -11 *1257:B *212:55 2.96426e-05 -12 *1257:B *275:67 1.67131e-05 -13 *1257:B *372:14 0.000160635 -14 *1284:B1 *1247:A 8.49205e-05 -15 *1284:B1 *212:13 0.00015639 -16 *1284:B1 *275:67 7.72175e-05 -17 *1284:B1 *372:14 2.17931e-05 -18 *211:10 *220:12 9.044e-05 -19 *211:40 *1166:A 8.40269e-05 -20 *211:40 *234:11 0.000130374 -21 *211:40 *236:8 5.66315e-05 -22 *211:40 *294:23 9.27255e-06 -23 *211:40 *372:14 0.000706973 -24 *410:DIODE *1295:C 0.000158851 -25 *410:DIODE *211:40 4.04634e-05 -26 *417:DIODE *211:40 3.54277e-05 -27 *1172:B *211:40 0.000140354 -28 *1243:B *1284:B1 4.66108e-05 -29 *1251:A *211:40 0.000158072 -30 *1257:A *1257:B 3.65496e-05 -31 *1288:A *211:40 1.19928e-05 -32 *1288:B *211:40 8.01002e-05 -33 *1296:C *211:40 0.00023468 -34 *1298:A1 *1295:C 0.000150136 -35 *1298:A1 *211:40 4.0031e-05 -36 *26:9 *211:40 2.86375e-05 -37 *30:23 *1257:B 3.09774e-05 -38 *37:24 *211:10 4.82863e-05 -39 *37:24 *211:40 0.000260432 -40 *93:55 *211:40 0 -41 *162:63 *211:10 9.044e-05 -42 *163:18 *211:40 7.02246e-05 -43 *201:7 *1284:B1 0.000158072 -44 *208:34 *211:40 3.518e-05 -*RES -1 *1256:X *211:4 1.6 -2 *211:4 *211:10 5.575 -3 *211:10 *1284:B1 4.81 -4 *211:10 *1257:B 4.06 -5 *211:4 *211:40 16.5818 -6 *211:40 *1310:C 3.6 -7 *211:40 *1295:C 5.49 -*END - -*D_NET *212 0.00616579 -*CONN -*I *1258:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1272:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1262:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1303:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1278:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1257:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1258:B1 8.81775e-05 -2 *1272:B1 0.000108306 -3 *1262:A2 1.98127e-05 -4 *1303:A2 3.45531e-05 -5 *1277:A1 0 -6 *1278:A2 7.65603e-05 -7 *1257:Y 2.39154e-05 -8 *212:55 0.000629931 -9 *212:19 0.000334917 -10 *212:17 0.000656169 -11 *212:13 0.000949164 -12 *212:5 0.00102345 -13 *1272:B1 *1272:B2 1.38077e-05 -14 *1278:A2 *1303:C1 0 -15 *1303:A2 *1277:A3 1.09922e-06 -16 *212:13 *1262:C1 7.60965e-05 -17 *212:13 *1272:B2 0.000197269 -18 *212:13 *1286:B2 0.000115873 -19 *212:13 *215:15 0.000142604 -20 *212:13 *275:60 6.18761e-05 -21 *212:13 *301:17 2.26018e-05 -22 *212:19 *1277:A3 3.92516e-05 -23 *212:19 *1303:B1 2.64607e-05 -24 *212:19 *1303:C1 4.80285e-05 -25 *212:55 *1273:B1 0.000125719 -26 *212:55 *220:12 0 -27 *212:55 *372:14 8.80142e-05 -28 *1243:B *212:13 4.76263e-05 -29 *1246:B *212:13 0.000128367 -30 *1257:A *212:5 1.67131e-05 -31 *1257:A *212:55 8.40213e-05 -32 *1257:B *212:5 3.09774e-05 -33 *1257:B *212:13 1.19928e-05 -34 *1257:B *212:55 2.96426e-05 -35 *1258:A2 *1258:B1 9.03508e-06 -36 *1258:C1 *1258:B1 5.99422e-05 -37 *1258:C1 *1272:B1 6.33229e-05 -38 *1262:A1 *212:17 0.000112857 -39 *1277:B1 *212:19 8.3303e-07 -40 *1284:B1 *212:13 0.00015639 -41 *1303:A1 *212:17 7.82669e-05 -42 *17:7 *212:55 0.000105903 -43 *37:24 *212:55 5.65085e-05 -44 *144:22 *212:55 1.37804e-05 -45 *162:63 *212:13 3.33873e-05 -46 *202:20 *212:13 4.18569e-05 -47 *206:8 *1278:A2 4.55864e-06 -48 *206:17 *1278:A2 6.0724e-05 -49 *206:30 *212:19 1.40193e-05 -50 *206:46 *1262:A2 1.09145e-05 -51 *206:46 *1303:A2 8.59537e-06 -52 *206:46 *212:13 3.75913e-05 -53 *206:46 *212:17 2.71507e-05 -54 *206:46 *212:19 1.67358e-05 -55 *206:60 *212:13 4.16349e-07 -*RES -1 *1257:Y *212:5 2.215 -2 *212:5 *212:13 15.205 -3 *212:13 *212:17 4.605 -4 *212:17 *212:19 3.21 -5 *212:19 *1278:A2 6.98 -6 *212:19 *1277:A1 1.6 -7 *212:17 *1303:A2 2.28625 -8 *212:13 *1262:A2 1.96 -9 *212:5 *212:55 11.17 -10 *212:55 *1272:B1 4.6 -11 *212:55 *1258:B1 3.31 -*END - -*D_NET *213 0.0106702 -*CONN -*I *1259:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1297:A I *D sky130_fd_sc_hd__and3_2 -*I *1258:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1259:B1 0.00239668 -2 *1297:A 0.000364229 -3 *1258:X 4.59217e-05 -4 *213:5 0.00280683 -5 *1259:B1 *404:DIODE 2.59934e-06 -6 *1259:B1 *1260:B 4.68279e-05 -7 *1259:B1 *1267:A2 0.000390828 -8 *1259:B1 *1282:B1 0.000121643 -9 *1259:B1 *1304:D1 0.00019568 -10 *1259:B1 *1414:A 0.00012398 -11 *1259:B1 *1414:TE 0.000158551 -12 *1259:B1 *1419:A 0.000155119 -13 *1259:B1 *220:21 1.58927e-05 -14 *1259:B1 *226:132 8.34281e-06 -15 *1259:B1 *232:10 5.38638e-05 -16 *1259:B1 *233:27 3.79555e-05 -17 *1259:B1 *287:8 0.000442428 -18 *1259:B1 *301:17 7.64076e-05 -19 *1259:B1 *328:9 0.000197858 -20 *1259:B1 *330:21 6.9733e-05 -21 *1297:A *1292:B1 8.49205e-05 -22 *1297:A *1297:B 0.000111162 -23 *1297:A *1298:B1 1.91597e-05 -24 *1297:A *1298:C1 8.23457e-05 -25 *1297:A *221:24 5.83121e-06 -26 *1297:A *221:26 0.000108031 -27 *1297:A *234:29 0.000112445 -28 *406:DIODE *213:5 4.4487e-05 -29 *1254:A2 *1259:B1 5.87399e-05 -30 *1255:A *1259:B1 0.000250157 -31 *1258:A2 *213:5 4.89295e-05 -32 *1260:A *1259:B1 4.92971e-05 -33 *1271:A *1297:A 0.00018955 -34 *1272:A2 *1259:B1 0.000612003 -35 *1298:A2 *1297:A 8.17571e-05 -36 *1298:A2 *213:5 0.0001104 -37 *31:11 *1259:B1 0.000303624 -38 *197:66 *1259:B1 8.10009e-05 -39 *200:39 *1259:B1 8.4469e-05 -40 *206:60 *1259:B1 0.000495107 -41 *206:68 *1259:B1 2.54431e-05 -*RES -1 *1258:X *213:5 3.085 -2 *213:5 *1297:A 12.98 -3 *213:5 *1259:B1 38.3699 -*END - -*D_NET *214 0.0056515 -*CONN -*I *1287:B I *D sky130_fd_sc_hd__nand2_2 -*I *1261:A I *D sky130_fd_sc_hd__nand2_2 -*I *1260:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1287:B 0.000582201 -2 *1261:A 0.000866267 -3 *1260:Y 0 -4 *214:4 0.00144847 -5 *1261:A *1260:B 7.59573e-06 -6 *1261:A *1289:B1 0.000345002 -7 *1261:A *1300:B1 9.72226e-06 -8 *1261:A *221:39 4.82863e-05 -9 *1261:A *233:27 0.000457045 -10 *1261:A *357:13 8.53011e-05 -11 *1287:B *1289:B1 0.000486157 -12 *1287:B *226:102 6.90254e-05 -13 *406:DIODE *1261:A 4.66108e-05 -14 *409:DIODE *1287:B 0 -15 *1246:B *1261:A 1.54495e-05 -16 *1255:A *1261:A 5.14451e-05 -17 *1273:A2 *1261:A 0.000105897 -18 *1287:A *1287:B 0.000218785 -19 *1289:A2 *1261:A 4.78726e-05 -20 *1298:A1 *1287:B 0.000160635 -21 *17:7 *1261:A 0 -22 *18:5 *1261:A 1.99808e-05 -23 *24:31 *1261:A 6.34835e-05 -24 *37:24 *1261:A 0.00042884 -25 *197:69 *1287:B 7.8898e-05 -26 *203:10 *1261:A 8.53113e-06 -*RES -1 *1260:Y *214:4 1.6 -2 *214:4 *1261:A 25.14 -3 *214:4 *1287:B 15.35 -*END - -*D_NET *215 0.00408611 -*CONN -*I *1270:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1304:B1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1262:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1261:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1270:B2 0.000170724 -2 *1304:B1 9.64113e-05 -3 *1262:C1 3.90299e-05 -4 *1261:Y 0.000253839 -5 *215:15 0.000311438 -6 *215:8 0.00060056 -7 *1262:C1 *301:17 7.35729e-05 -8 *1270:B2 *1270:A1 4.66108e-05 -9 *1270:B2 *220:21 0.000183841 -10 *1270:B2 *220:58 8.59699e-05 -11 *1270:B2 *233:27 4.77769e-05 -12 *215:8 *1273:B1 0.000198945 -13 *215:8 *220:12 6.59891e-05 -14 *215:8 *220:21 0.000166226 -15 *215:8 *221:39 0.000197535 -16 *215:8 *275:60 0.000423057 -17 *215:15 *1272:B2 1.94385e-05 -18 *215:15 *301:17 0.000259845 -19 *406:DIODE *215:8 1.12578e-05 -20 *413:DIODE *1304:B1 0.000130644 -21 *1246:B *215:15 7.04675e-05 -22 *1270:B1 *1270:B2 0.000126768 -23 *1272:A2 *215:15 8.49205e-05 -24 *1304:A2 *1304:B1 1.88656e-05 -25 *17:7 *1270:B2 5.20406e-05 -26 *17:7 *215:8 5.41812e-05 -27 *204:8 *215:15 4.99619e-05 -28 *206:46 *1262:C1 1.59289e-05 -29 *206:60 *1304:B1 0 -30 *207:15 *1304:B1 1.15688e-05 -31 *212:13 *1262:C1 7.60965e-05 -32 *212:13 *215:15 0.000142604 -*RES -1 *1261:Y *215:8 10.41 -2 *215:8 *215:15 7.055 -3 *215:15 *1262:C1 3.1 -4 *215:15 *1304:B1 7.49 -5 *215:8 *1270:B2 7.725 -*END - -*D_NET *216 0.0107965 -*CONN -*I *1263:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1273:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1286:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1262:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1263:B1 0.0024123 -2 *1273:B1 0.000316528 -3 *1286:B1 1.65753e-05 -4 *1262:X 7.35981e-05 -5 *216:25 0.000720426 -6 *216:6 0.00287322 -7 *1263:B1 *1456:A 2.28415e-05 -8 *1263:B1 *330:21 0 -9 *1273:B1 *1273:B2 0.000138777 -10 *1273:B1 *1286:A1 3.60457e-05 -11 *1273:B1 *220:26 8.73389e-06 -12 *1273:B1 *221:39 3.86814e-05 -13 *1273:B1 *275:60 1.33285e-05 -14 *1273:B1 *309:21 0.000148084 -15 *1273:B1 *317:24 1.34594e-05 -16 *1273:B1 *330:21 8.23457e-05 -17 *216:6 *1307:A3 0.000163425 -18 *216:6 *217:22 7.7659e-05 -19 *216:25 *1244:A_N 4.82863e-05 -20 *216:25 *1276:A 0.0001249 -21 *216:25 *1307:A3 1.7635e-05 -22 *216:25 *217:22 1.88602e-05 -23 *216:25 *218:8 0 -24 *216:25 *309:21 0.000449237 -25 *216:25 *317:24 7.66574e-05 -26 *406:DIODE *1273:B1 3.96653e-05 -27 *1244:B *216:25 2.61143e-05 -28 *1255:A *1273:B1 1.10085e-05 -29 *1258:C1 *1273:B1 0.000163472 -30 *1276:B *216:25 1.80918e-05 -31 *1286:A2 *1273:B1 0.00021213 -32 *17:7 *1273:B1 2.3917e-05 -33 *30:23 *1263:B1 0.000423596 -34 *31:11 *1263:B1 0.000259022 -35 *37:24 *1273:B1 5.31592e-05 -36 *164:15 *216:25 0.000214005 -37 *165:23 *1263:B1 0.00027241 -38 *207:15 *1263:B1 0.00029126 -39 *207:15 *216:25 1.81522e-05 -40 *207:20 *1263:B1 0.000554273 -41 *212:55 *1273:B1 0.000125719 -42 *215:8 *1273:B1 0.000198945 -*RES -1 *1262:X *216:6 5.6175 -2 *216:6 *216:25 14.9625 -3 *216:25 *1286:B1 1.96 -4 *216:25 *1273:B1 14.495 -5 *216:6 *1263:B1 9.55377 -*END - -*D_NET *217 0.0070261 -*CONN -*I *1307:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1265:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1264:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1307:A1 0.00028624 -2 *1265:B1 0.00106778 -3 *1264:Y 0.0005968 -4 *217:22 0.00195082 -5 *1265:B1 *1409:A 0.000309231 -6 *1265:B1 *1429:A 0.000129707 -7 *1265:B1 *1429:TE 0.00021935 -8 *1265:B1 *1435:TE 8.74062e-05 -9 *1265:B1 *343:10 3.87579e-05 -10 *1265:B1 *344:13 0.000312042 -11 *1307:A1 *1307:A2 8.7152e-06 -12 *1307:A1 *226:132 3.82018e-05 -13 *217:22 *1282:B1 1.0293e-05 -14 *217:22 *1304:D1 6.8729e-05 -15 *217:22 *1307:A3 0.000255176 -16 *217:22 *1435:Z 1.7635e-05 -17 *217:22 *218:8 8.09021e-06 -18 *217:22 *294:23 0.000158571 -19 *399:DIODE *1307:A1 1.37527e-05 -20 *1191:B *217:22 0.000284969 -21 *1267:A1 *217:22 0 -22 *1275:A2 *217:22 0.000192721 -23 *36:27 *1265:B1 5.58008e-05 -24 *36:27 *1307:A1 4.55149e-05 -25 *37:16 *217:22 5.74791e-05 -26 *146:7 *217:22 0.000119762 -27 *165:23 *217:22 0.000191302 -28 *197:53 *1265:B1 0.00023422 -29 *197:66 *1307:A1 0.000170518 -30 *216:6 *217:22 7.7659e-05 -31 *216:25 *217:22 1.88602e-05 -*RES -1 *1264:Y *217:22 19.0625 -2 *217:22 *1265:B1 21.2836 -3 *217:22 *1307:A1 5.09163 -*END - -*D_NET *218 0.0100739 -*CONN -*I *1292:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1290:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1281:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1302:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1267:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1266:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1292:A2 1.30408e-05 -2 *1290:A_N 6.65623e-05 -3 *1281:A2 1.65386e-05 -4 *1302:B2 8.73577e-05 -5 *1267:A2 0.000442858 -6 *1266:Y 0.000600582 -7 *218:56 0.000842708 -8 *218:38 0.00037367 -9 *218:9 0.00086201 -10 *218:8 0.00151307 -11 *1267:A2 *1282:B1 3.35815e-05 -12 *1267:A2 *287:8 0.000347319 -13 *1267:A2 *330:21 6.37869e-05 -14 *1281:A2 *309:21 4.66108e-05 -15 *1281:A2 *317:24 1.33205e-05 -16 *1290:A_N *1260:B 8.73147e-06 -17 *1290:A_N *233:11 0.00012042 -18 *1290:A_N *271:31 3.59531e-06 -19 *1292:A2 *1260:B 1.1071e-05 -20 *1292:A2 *233:11 4.0031e-05 -21 *218:8 *1307:A3 0.00046187 -22 *218:9 *330:21 9.3781e-05 -23 *218:38 *1282:B1 9.98706e-05 -24 *218:38 *224:14 5.72605e-05 -25 *218:56 *221:39 7.21657e-05 -26 *218:56 *301:17 0.000958696 -27 *218:56 *330:21 0.000213538 -28 *405:DIODE *1267:A2 3.51744e-05 -29 *1191:B *218:8 0 -30 *1244:B *218:8 0 -31 *1246:B *218:56 4.31143e-05 -32 *1255:A *218:56 0.000129334 -33 *1259:B1 *1267:A2 0.000390828 -34 *1267:A1 *1267:A2 4.46795e-05 -35 *1267:A1 *1302:B2 1.6383e-05 -36 *1267:A1 *218:38 3.63701e-06 -37 *1292:A1 *1290:A_N 0.000119138 -38 *1292:A1 *1292:A2 1.05827e-05 -39 *1296:C *1290:A_N 1.1071e-05 -40 *1302:B1 *1302:B2 6.15659e-05 -41 *137:27 *218:8 2.41231e-05 -42 *137:40 *218:8 5.88108e-05 -43 *162:42 *218:8 1.56078e-05 -44 *162:68 *218:56 0.000940202 -45 *163:39 *218:8 0.000107896 -46 *164:15 *218:8 1.37895e-05 -47 *164:15 *218:56 1.43043e-05 -48 *165:23 *1302:B2 0.000118287 -49 *165:23 *218:38 0.000172659 -50 *207:15 *1267:A2 2.68804e-05 -51 *207:15 *218:8 0 -52 *207:15 *218:9 8.49744e-05 -53 *207:15 *218:56 0.000158732 -54 *216:25 *218:8 0 -55 *217:22 *218:8 8.09021e-06 -*RES -1 *1266:Y *218:8 14.7875 -2 *218:8 *218:9 0.3876 -3 *218:9 *1267:A2 10.4057 -4 *218:9 *218:38 4.8125 -5 *218:38 *1302:B2 5.49 -6 *218:38 *1281:A2 4.05 -7 *218:8 *218:56 3.10173 -8 *218:56 *1290:A_N 5.3625 -9 *218:56 *1292:A2 4.0875 -*END - -*D_NET *219 0.00139796 -*CONN -*I *1268:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1267:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1268:B1 0.00022997 -2 *1267:X 0.00022997 -3 *1268:B1 *404:DIODE 4.77807e-05 -4 *1268:B1 *1427:A 0.000122331 -5 *1268:B1 *331:12 4.21973e-05 -6 *1268:B1 *350:10 4.82863e-05 -7 *412:DIODE *1268:B1 7.11818e-05 -8 *1277:B1 *1268:B1 0.000100392 -9 *14:9 *1268:B1 5.65627e-05 -10 *15:14 *1268:B1 0.000449292 -*RES -1 *1267:X *1268:B1 14.25 -*END - -*D_NET *220 0.0103159 -*CONN -*I *1313:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1314:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1270:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1301:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1289:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1273:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1286:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1269:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1313:A1 6.95241e-05 -2 *1314:A1 2.11176e-05 -3 *1270:A1 4.15882e-05 -4 *1301:A1 4.16052e-05 -5 *1289:A1 0.000319239 -6 *1273:A1 0 -7 *1286:A1 2.60856e-05 -8 *1269:X 0 -9 *220:81 0.000989374 -10 *220:58 0.000206188 -11 *220:26 0.00059976 -12 *220:21 0.000588918 -13 *220:12 0.000825043 -14 *220:4 0.00151229 -15 *1270:A1 *233:27 6.06134e-05 -16 *1286:A1 *317:24 0.000122325 -17 *1289:A1 *1289:B1 4.66108e-05 -18 *1289:A1 *1289:B2 0.000139879 -19 *1289:A1 *1300:B1 3.69766e-05 -20 *1289:A1 *233:27 8.70851e-05 -21 *1289:A1 *330:21 1.7292e-05 -22 *1301:A1 *1304:C1 6.81888e-05 -23 *1301:A1 *233:27 4.42148e-05 -24 *1313:A1 *1309:B1 1.1392e-05 -25 *220:12 *273:47 0.000232442 -26 *220:12 *372:14 6.13314e-05 -27 *220:21 *301:17 3.69766e-05 -28 *220:21 *330:21 1.7635e-05 -29 *220:26 *330:21 2.19562e-06 -30 *220:81 *1309:B1 8.73389e-06 -31 *220:81 *1314:B1 0.000119873 -32 *416:DIODE *220:81 0.000604053 -33 *417:DIODE *220:81 5.29301e-05 -34 *1182:A3 *220:81 9.98519e-05 -35 *1193:A *220:12 0 -36 *1210:A2 *220:12 5.96623e-06 -37 *1210:A2 *220:81 0.000123805 -38 *1253:C1 *220:81 3.0015e-05 -39 *1255:A *1289:A1 0.000122191 -40 *1255:A *220:21 0 -41 *1256:B *220:12 8.30117e-05 -42 *1258:C1 *220:26 0.000194921 -43 *1259:B1 *220:21 1.58927e-05 -44 *1270:A2 *1270:A1 0.000143509 -45 *1270:A2 *1301:A1 0.000196077 -46 *1270:B2 *1270:A1 4.66108e-05 -47 *1270:B2 *220:21 0.000183841 -48 *1270:B2 *220:58 8.59699e-05 -49 *1272:A1 *220:21 5.93727e-05 -50 *1272:A2 *220:21 2.9763e-05 -51 *1273:A2 *1289:A1 1.2818e-05 -52 *1273:B1 *1286:A1 3.60457e-05 -53 *1273:B1 *220:26 8.73389e-06 -54 *1283:C1 *220:12 0 -55 *1286:A2 *1286:A1 6.23711e-05 -56 *1293:A *1289:A1 9.14678e-05 -57 *1301:B1 *220:58 0 -58 *29:10 *1313:A1 9.05535e-05 -59 *139:34 *220:12 0.000158552 -60 *139:34 *220:81 0.000107608 -61 *139:83 *1313:A1 8.9257e-05 -62 *144:22 *220:12 0 -63 *162:63 *220:12 0.000227014 -64 *162:63 *220:21 6.76957e-05 -65 *163:18 *220:81 0.000337424 -66 *163:26 *220:81 0.000204265 -67 *170:19 *220:12 0 -68 *170:19 *220:81 2.78575e-05 -69 *206:68 *220:26 3.93531e-05 -70 *211:10 *220:12 9.044e-05 -71 *212:55 *220:12 0 -72 *215:8 *220:12 6.59891e-05 -73 *215:8 *220:21 0.000166226 -*RES -1 *1269:X *220:4 1.6 -2 *220:4 *220:12 13.76 -3 *220:12 *1286:A1 4.74 -4 *220:12 *220:21 4.44 -5 *220:21 *220:26 5.57 -6 *220:26 *1273:A1 1.6 -7 *220:26 *1289:A1 11.915 -8 *220:21 *220:58 3.575 -9 *220:58 *1301:A1 3.43 -10 *220:58 *1270:A1 3.07375 -11 *220:4 *220:81 10.6156 -12 *220:81 *1314:A1 1.96 -13 *220:81 *1313:A1 7.175 -*END - -*D_NET *221 0.00921622 -*CONN -*I *1295:B I *D sky130_fd_sc_hd__nand3_2 -*I *1298:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *1290:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1304:A1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1272:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1276:A I *D sky130_fd_sc_hd__nand2_2 -*I *1296:B I *D sky130_fd_sc_hd__nand3b_2 -*I *1271:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1295:B 2.08101e-05 -2 *1298:B2 0.000120298 -3 *1290:B 0 -4 *1304:A1 0.000114946 -5 *1272:B2 0.000350565 -6 *1276:A 0.000111467 -7 *1296:B 4.27448e-05 -8 *1271:X 0.000259387 -9 *221:50 0.000504301 -10 *221:39 0.000686564 -11 *221:26 0.000659628 -12 *221:24 0.000407727 -13 *221:12 0.000392775 -14 *221:7 0.00046612 -15 *1276:A *309:21 4.94832e-05 -16 *1276:A *317:24 0.000457696 -17 *1296:B *1297:C 0.000140006 -18 *1298:B2 *1298:B1 4.63218e-05 -19 *1298:B2 *1298:C1 0 -20 *1298:B2 *315:8 0.000136884 -21 *221:24 *1297:B 3.96653e-05 -22 *221:24 *1297:C 0.00019327 -23 *221:26 *1297:B 8.88799e-05 -24 *221:26 *1298:C1 2.75229e-05 -25 *221:39 *1190:B 0.000122325 -26 *221:39 *1298:C1 1.91597e-05 -27 *221:39 *233:11 2.75145e-05 -28 *221:39 *275:60 0.00010112 -29 *406:DIODE *221:39 0.000127727 -30 *409:DIODE *1298:B2 0.000136884 -31 *1246:B *1272:B2 1.91597e-05 -32 *1246:B *221:39 0.000402517 -33 *1253:A1 *221:12 9.13951e-05 -34 *1253:B1 *1296:B 4.84393e-05 -35 *1253:B1 *221:24 1.89685e-05 -36 *1255:A *1304:A1 4.04858e-05 -37 *1261:A *221:39 4.82863e-05 -38 *1271:A *1295:B 0 -39 *1271:A *221:7 0.000184819 -40 *1271:A *221:12 4.16353e-05 -41 *1271:A *221:24 3.59784e-05 -42 *1271:A *221:26 2.32597e-05 -43 *1271:A *221:39 2.7441e-05 -44 *1272:A2 *1272:B2 3.03684e-05 -45 *1272:A2 *1304:A1 0 -46 *1272:B1 *1272:B2 1.38077e-05 -47 *1273:B1 *221:39 3.86814e-05 -48 *1276:B *1276:A 0.000237243 -49 *1297:A *221:24 5.83121e-06 -50 *1297:A *221:26 0.000108031 -51 *1298:A1 *1298:B2 1.37328e-05 -52 *1298:A2 *1298:B2 1.72115e-05 -53 *37:24 *221:39 3.86814e-05 -54 *92:67 *221:24 0 -55 *163:63 *221:39 0.000120655 -56 *164:15 *221:39 0.000601663 -57 *164:47 *221:39 8.05251e-05 -58 *202:20 *1304:A1 2.41376e-05 -59 *202:20 *221:39 1.88656e-05 -60 *202:20 *221:50 4.31189e-05 -61 *204:8 *1304:A1 2.60478e-05 -62 *207:15 *1304:A1 0.000227275 -63 *207:15 *221:39 4.36573e-06 -64 *207:15 *221:50 9.21178e-05 -65 *208:34 *1296:B 2.8377e-05 -66 *212:13 *1272:B2 0.000197269 -67 *215:8 *221:39 0.000197535 -68 *215:15 *1272:B2 1.94385e-05 -69 *216:25 *1276:A 0.0001249 -70 *218:56 *221:39 7.21657e-05 -*RES -1 *1271:X *221:7 5.515 -2 *221:7 *221:12 4.97 -3 *221:12 *1296:B 5.235 -4 *221:12 *221:24 5.54 -5 *221:24 *221:26 2.865 -6 *221:26 *221:39 12.087 -7 *221:39 *1276:A 8.205 -8 *221:39 *221:50 1.125 -9 *221:50 *1272:B2 8.325 -10 *221:50 *1304:A1 6.51 -11 *221:26 *1290:B 1.6 -12 *221:24 *1298:B2 8.75 -13 *221:7 *1295:B 1.96 -*END - -*D_NET *222 0.000560153 -*CONN -*I *1273:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1272:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1273:B2 8.29338e-05 -2 *1272:X 8.29338e-05 -3 *1273:B2 *330:21 1.19928e-05 -4 *1258:C1 *1273:B2 6.82387e-05 -5 *1273:B1 *1273:B2 0.000138777 -6 *17:7 *1273:B2 0.000175277 -*RES -1 *1272:X *1273:B2 9.795 -*END - -*D_NET *223 0.000728717 -*CONN -*I *1275:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1274:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1275:B1 0.000170163 -2 *1274:Y 0.000170163 -3 *1238:A *1275:B1 0.000118294 -4 *37:16 *1275:B1 0.000113282 -5 *197:5 *1275:B1 7.35729e-05 -6 *197:21 *1275:B1 5.05521e-05 -7 *197:28 *1275:B1 3.26889e-05 -*RES -1 *1274:Y *1275:B1 7.805 -*END - -*D_NET *224 0.00166716 -*CONN -*I *1303:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1276:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1303:B1 1.3421e-05 -2 *1277:A3 6.09414e-05 -3 *1276:Y 0.000301279 -4 *224:14 0.000375642 -5 *1277:A3 *1303:C1 8.49205e-05 -6 *224:14 *1282:B1 7.9642e-06 -7 *224:14 *309:21 0.00019417 -8 *224:14 *317:24 0.000160717 -9 *1267:A1 *224:14 0.000114492 -10 *1277:A2 *1277:A3 0 -11 *1277:B1 *1277:A3 0 -12 *1281:B1 *224:14 8.41703e-06 -13 *1303:A2 *1277:A3 1.09922e-06 -14 *206:46 *1277:A3 0.000200099 -15 *206:46 *1303:B1 2.10214e-05 -16 *212:19 *1277:A3 3.92516e-05 -17 *212:19 *1303:B1 2.64607e-05 -18 *218:38 *224:14 5.72605e-05 -*RES -1 *1276:Y *224:14 10.91 -2 *224:14 *1277:A3 3.79 -3 *224:14 *1303:B1 2.05 -*END - -*D_NET *225 0.00035534 -*CONN -*I *1279:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1278:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1279:B1 9.96951e-05 -2 *1278:X 9.96951e-05 -3 *1279:B1 *309:21 2.75229e-05 -4 *1279:A2 *1279:B1 4.62396e-05 -5 *36:27 *1279:B1 8.53113e-06 -6 *197:28 *1279:B1 7.36562e-05 -*RES -1 *1278:X *1279:B1 9.285 -*END - -*D_NET *226 0.0229683 -*CONN -*I *411:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1309:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *408:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1294:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1300:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1282:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *404:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1312:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *418:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *415:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1317:A I *D sky130_fd_sc_hd__nor2_2 -*I *1318:A I *D sky130_fd_sc_hd__nor2_2 -*I *425:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *424:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1280:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *411:DIODE 0.000478173 -2 *1309:A1 0 -3 *408:DIODE 0 -4 *1294:A1 0 -5 *1300:A1 0 -6 *1282:A1 0 -7 *404:DIODE 0.00050928 -8 *1312:A1 0 -9 *418:DIODE 0.000263287 -10 *415:DIODE 0 -11 *1317:A 0 -12 *1318:A 0 -13 *425:DIODE 8.8343e-05 -14 *424:DIODE 7.38716e-05 -15 *1280:X 0.00100154 -16 *226:132 0.00169654 -17 *226:114 0.00191112 -18 *226:102 0.00103585 -19 *226:94 0.000543918 -20 *226:88 0.000378823 -21 *226:85 0.00103103 -22 *226:78 0.00124744 -23 *226:64 0.00123734 -24 *226:37 0.000448425 -25 *226:24 0.000579603 -26 *226:17 0.000286814 -27 *226:10 0.000285191 -28 *226:7 0.00127812 -29 *404:DIODE *1282:B1 4.75031e-05 -30 *404:DIODE *287:8 0.000159258 -31 *411:DIODE *1309:B1 1.74776e-05 -32 *411:DIODE *1442:A 7.60965e-05 -33 *411:DIODE *1442:TE 0 -34 *411:DIODE *1442:Z 5.83121e-06 -35 *411:DIODE *1443:Z 0 -36 *411:DIODE *1445:TE_B 7.59067e-05 -37 *411:DIODE *1446:A 0 -38 *418:DIODE *1459:A 0.00016031 -39 *418:DIODE *1459:B 8.23457e-05 -40 *425:DIODE *1237:A_N 7.60502e-05 -41 *226:7 *1331:B 5.58772e-05 -42 *226:7 *1356:CLK 3.03775e-05 -43 *226:7 *1461:TE 0.000174364 -44 *226:10 *1459:A 0 -45 *226:10 *275:15 0 -46 *226:24 *1459:A 0.000220255 -47 *226:37 *1318:B 0.000301266 -48 *226:37 *1459:A 9.12494e-06 -49 *226:37 *371:72 0.000113891 -50 *226:64 *1459:A 0 -51 *226:64 *275:15 0 -52 *226:78 *1309:B1 9.91888e-05 -53 *226:78 *1381:A 0 -54 *226:78 *1387:Z 0.000161091 -55 *226:78 *1389:TE_B 0 -56 *226:78 *1459:A 0.000190633 -57 *226:78 *1465:A 5.4256e-05 -58 *226:78 *275:15 0 -59 *226:85 *1309:B1 0.000255335 -60 *226:85 *1378:A 0 -61 *226:85 *1380:A 6.65787e-05 -62 *226:85 *1380:Z 0.000105849 -63 *226:85 *1381:A 0 -64 *226:85 *1449:TE 0 -65 *226:85 *1450:A 0.000116158 -66 *226:94 *1309:B1 7.76471e-05 -67 *226:94 *1450:A 0.000163568 -68 *226:94 *1452:A 8.33066e-06 -69 *226:94 *363:17 4.29365e-05 -70 *226:94 *365:11 2.20725e-05 -71 *226:94 *365:15 0.000235352 -72 *226:102 *363:17 0.000264696 -73 *226:114 *1300:B1 5.83121e-06 -74 *226:114 *1400:TE 6.86208e-05 -75 *226:114 *1401:TE 5.05521e-05 -76 *226:114 *1440:A 0.000142896 -77 *226:114 *312:8 9.24256e-05 -78 *226:114 *315:8 0.000132319 -79 *226:114 *323:15 7.15997e-05 -80 *226:132 *1282:B1 2.01504e-05 -81 *226:132 *1300:B1 4.88478e-05 -82 *226:132 *1304:D1 0.000344387 -83 *226:132 *1307:A2 2.9707e-05 -84 *226:132 *1307:A3 0 -85 *226:132 *1406:A 8.65961e-05 -86 *226:132 *1408:A 0.000154969 -87 *226:132 *1440:A 0.000177001 -88 *226:132 *344:13 0.000305081 -89 *226:132 *351:8 4.28112e-06 -90 *399:DIODE *226:132 2.17425e-05 -91 *405:DIODE *404:DIODE 0.00019901 -92 *407:DIODE *226:88 8.97028e-05 -93 *407:DIODE *226:114 0.00023003 -94 *414:DIODE *226:132 0.000107904 -95 *1106:A *425:DIODE 0.000116853 -96 *1106:A *226:37 6.29692e-05 -97 *1181:B *226:24 0.000128692 -98 *1181:B *226:37 6.04361e-05 -99 *1181:B *226:78 0 -100 *1201:B1 *418:DIODE 1.91597e-05 -101 *1235:S *425:DIODE 1.83756e-05 -102 *1259:B1 *404:DIODE 2.59934e-06 -103 *1259:B1 *226:132 8.34281e-06 -104 *1267:A1 *404:DIODE 0.000139359 -105 *1267:B1 *404:DIODE 4.66108e-05 -106 *1268:B1 *404:DIODE 4.77807e-05 -107 *1280:A *226:7 9.51101e-05 -108 *1287:B *226:102 6.90254e-05 -109 *1299:B1 *226:102 0.000108753 -110 *1307:A1 *226:132 3.82018e-05 -111 *1312:A2 *226:78 0 -112 *1356:D *226:7 0.000111901 -113 *1356:RESET_B *226:7 2.81685e-05 -114 *16:8 *226:132 0.0003819 -115 *17:7 *226:132 0.000255874 -116 *19:5 *226:114 0.000190518 -117 *24:31 *226:132 0.000435554 -118 *28:10 *425:DIODE 1.05174e-05 -119 *36:27 *226:132 8.04597e-06 -120 *197:53 *226:132 1.65162e-05 -121 *197:66 *226:102 0.000268169 -122 *197:69 *226:102 7.08297e-05 -*RES -1 *1280:X *226:7 15.78 -2 *226:7 *226:10 4.145 -3 *226:10 *424:DIODE 2.395 -4 *226:10 *226:17 0.795 -5 *226:17 *226:24 6.845 -6 *226:24 *226:37 8.21 -7 *226:37 *425:DIODE 7.685 -8 *226:37 *1318:A 1.6 -9 *226:24 *1317:A 3.6 -10 *226:17 *415:DIODE 1.6 -11 *226:7 *226:64 4.145 -12 *226:64 *418:DIODE 4.81 -13 *226:64 *226:78 16.82 -14 *226:78 *1312:A1 3.6 -15 *226:78 *226:85 9.285 -16 *226:85 *226:88 3.83 -17 *226:88 *226:94 9.445 -18 *226:94 *226:102 10.945 -19 *226:102 *226:114 19.73 -20 *226:114 *226:132 30.125 -21 *226:132 *404:DIODE 10.135 -22 *226:132 *1282:A1 1.6 -23 *226:114 *1300:A1 1.6 -24 *226:102 *1294:A1 1.6 -25 *226:94 *408:DIODE 1.6 -26 *226:88 *1309:A1 1.6 -27 *226:85 *411:DIODE 11.76 -*END - -*D_NET *227 0.00105679 -*CONN -*I *1282:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1281:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1282:B1 0.000277404 -2 *1281:X 0.000277404 -3 *1282:B1 *1304:D1 0.000108833 -4 *1282:B1 *317:24 4.77757e-05 -5 *404:DIODE *1282:B1 4.75031e-05 -6 *1259:B1 *1282:B1 0.000121643 -7 *1267:A2 *1282:B1 3.35815e-05 -8 *165:23 *1282:B1 4.36573e-06 -9 *217:22 *1282:B1 1.0293e-05 -10 *218:38 *1282:B1 9.98706e-05 -11 *224:14 *1282:B1 7.9642e-06 -12 *226:132 *1282:B1 2.01504e-05 -*RES -1 *1281:X *1282:B1 12.57 -*END - -*D_NET *228 0.00054601 -*CONN -*I *1285:B I *D sky130_fd_sc_hd__and3b_2 -*I *1283:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1285:B 0.000144449 -2 *1283:X 0.000144449 -3 *1285:B *1168:A 7.23533e-05 -4 *1285:B *294:23 0.000158066 -5 *93:8 *1285:B 2.66935e-05 -*RES -1 *1283:X *1285:B 9.555 -*END - -*D_NET *229 0.000338401 -*CONN -*I *1285:C I *D sky130_fd_sc_hd__and3b_2 -*I *1284:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1285:C 4.49379e-05 -2 *1284:Y 4.49379e-05 -3 *1285:C *1244:A_N 0.000112446 -4 *1306:A *1285:C 0.000112446 -5 *37:16 *1285:C 1.43717e-05 -6 *146:7 *1285:C 9.26175e-06 -*RES -1 *1284:Y *1285:C 8.71875 -*END - -*D_NET *230 0.000879798 -*CONN -*I *1286:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1285:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *1286:B2 0.000189766 -2 *1285:X 0.000189766 -3 *1286:B2 *1168:A 0.000172928 -4 *1286:B2 *1244:A_N 3.09572e-05 -5 *1243:B *1286:B2 3.60566e-05 -6 *37:16 *1286:B2 2.49909e-05 -7 *93:8 *1286:B2 2.97004e-06 -8 *146:7 *1286:B2 4.82919e-05 -9 *202:20 *1286:B2 6.81984e-05 -10 *212:13 *1286:B2 0.000115873 -*RES -1 *1285:X *1286:B2 11.835 -*END - -*D_NET *231 0.00271851 -*CONN -*I *1289:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1287:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1289:B1 0.00047227 -2 *1287:Y 0.00047227 -3 *1289:B1 *1260:B 0.000160342 -4 *1289:B1 *1299:A1 0.000179129 -5 *1289:B1 *233:27 1.91597e-05 -6 *407:DIODE *1289:B1 2.61431e-05 -7 *1261:A *1289:B1 0.000345002 -8 *1287:B *1289:B1 0.000486157 -9 *1289:A1 *1289:B1 4.66108e-05 -10 *1289:A2 *1289:B1 2.75827e-05 -11 *24:31 *1289:B1 0.000423469 -12 *197:69 *1289:B1 6.03709e-05 -*RES -1 *1287:Y *1289:B1 20.475 -*END - -*D_NET *232 0.00348469 -*CONN -*I *1300:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1289:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1288:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1300:B1 0.000315825 -2 *1289:B2 0.000124613 -3 *1288:X 0.000418909 -4 *232:10 0.000859346 -5 *1300:B1 *1440:A 8.52845e-05 -6 *1300:B1 *233:27 0.00019634 -7 *1300:B1 *337:9 0 -8 *232:10 *1170:A 4.88568e-05 -9 *232:10 *233:27 6.0739e-05 -10 *232:10 *275:60 8.30109e-05 -11 *232:10 *294:23 4.82919e-05 -12 *412:DIODE *1300:B1 0.000172818 -13 *1172:B *232:10 4.66108e-05 -14 *1247:B *232:10 0 -15 *1251:A *232:10 0.000109634 -16 *1258:A1 *232:10 0.000151179 -17 *1259:B1 *232:10 5.38638e-05 -18 *1260:A *232:10 6.69744e-05 -19 *1261:A *1300:B1 9.72226e-06 -20 *1272:A2 *1289:B2 6.98641e-05 -21 *1288:B *232:10 3.75393e-05 -22 *1289:A1 *1289:B2 0.000139879 -23 *1289:A1 *1300:B1 3.69766e-05 -24 *18:5 *1300:B1 2.48381e-05 -25 *21:11 *1300:B1 2.06767e-05 -26 *37:24 *232:10 0 -27 *163:57 *232:10 0.00010726 -28 *163:63 *232:10 4.93699e-05 -29 *203:10 *232:10 0 -30 *210:33 *232:10 9.15865e-05 -31 *226:114 *1300:B1 5.83121e-06 -32 *226:132 *1300:B1 4.88478e-05 -*RES -1 *1288:X *232:10 13.02 -2 *232:10 *1289:B2 6.54 -3 *232:10 *1300:B1 10.62 -*END - -*D_NET *233 0.0060688 -*CONN -*I *1298:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *1293:B I *D sky130_fd_sc_hd__and3_2 -*I *1301:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1304:C1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1290:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1298:C1 0.000256177 -2 *1293:B 0 -3 *1301:B2 2.11176e-05 -4 *1304:C1 0.000325725 -5 *1290:Y 0 -6 *233:27 0.000939034 -7 *233:11 0.000758979 -8 *233:4 0.000422965 -9 *1298:C1 *1298:B1 0.000131839 -10 *1298:C1 *234:29 9.0444e-05 -11 *1304:C1 *1409:A 1.11025e-05 -12 *233:11 *1260:B 3.39272e-05 -13 *233:11 *330:21 4.82863e-05 -14 *233:27 *1260:B 1.048e-05 -15 *233:27 *330:21 0.000196376 -16 *233:27 *357:13 1.33285e-05 -17 *413:DIODE *1304:C1 0 -18 *1259:B1 *233:27 3.79555e-05 -19 *1261:A *233:27 0.000457045 -20 *1270:A1 *233:27 6.06134e-05 -21 *1270:A2 *233:27 2.12497e-05 -22 *1270:B2 *233:27 4.77769e-05 -23 *1271:A *1298:C1 8.23457e-05 -24 *1271:A *233:11 4.66108e-05 -25 *1287:A *1298:C1 8.93328e-05 -26 *1287:A *233:11 6.29882e-05 -27 *1289:A1 *233:27 8.70851e-05 -28 *1289:B1 *233:27 1.91597e-05 -29 *1290:A_N *233:11 0.00012042 -30 *1292:A2 *233:11 4.0031e-05 -31 *1292:B2 *233:11 5.09707e-05 -32 *1296:C *233:11 7.87501e-05 -33 *1297:A *1298:C1 8.23457e-05 -34 *1298:B2 *1298:C1 0 -35 *1300:B1 *233:27 0.00019634 -36 *1301:A1 *1304:C1 6.81888e-05 -37 *1301:A1 *233:27 4.42148e-05 -38 *1301:A2 *1304:C1 2.92298e-05 -39 *1301:B1 *1304:C1 0.00010903 -40 *1304:A2 *1304:C1 2.0187e-05 -41 *16:8 *1304:C1 8.41384e-05 -42 *17:7 *1304:C1 0 -43 *24:31 *1301:B2 0 -44 *24:31 *1304:C1 0.000122821 -45 *24:31 *233:27 0.000421202 -46 *37:24 *233:27 2.76356e-05 -47 *206:60 *1304:C1 6.07575e-06 -48 *207:15 *1304:C1 0.000160342 -49 *221:26 *1298:C1 2.75229e-05 -50 *221:39 *1298:C1 1.91597e-05 -51 *221:39 *233:11 2.75145e-05 -52 *232:10 *233:27 6.0739e-05 -*RES -1 *1290:Y *233:4 1.6 -2 *233:4 *233:11 8.065 -3 *233:11 *233:27 18.055 -4 *233:27 *1304:C1 11.24 -5 *233:27 *1301:B2 1.96 -6 *233:11 *1293:B 1.6 -7 *233:4 *1298:C1 10.415 -*END - -*D_NET *234 0.0031835 -*CONN -*I *1298:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *1292:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1296:A_N I *D sky130_fd_sc_hd__nand3b_2 -*I *1308:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1291:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1298:B1 0.000106344 -2 *1292:B1 2.03952e-05 -3 *1296:A_N 0 -4 *1308:A3 7.52738e-05 -5 *1291:Y 3.45092e-05 -6 *234:29 0.000303203 -7 *234:11 0.000239741 -8 *234:7 0.00037544 -9 *1308:A3 *1308:B1 7.00889e-06 -10 *1308:A3 *294:23 4.66108e-05 -11 *234:11 *236:8 0 -12 *234:29 *1297:B 5.88147e-05 -13 *234:29 *236:8 0 -14 *390:DIODE *234:7 6.71882e-05 -15 *391:DIODE *1308:A3 0.00015327 -16 *409:DIODE *1308:A3 0.000151603 -17 *1287:A *234:29 0.000102095 -18 *1296:C *234:11 5.74791e-05 -19 *1297:A *1292:B1 8.49205e-05 -20 *1297:A *1298:B1 1.91597e-05 -21 *1297:A *234:29 0.000112445 -22 *1298:A2 *1292:B1 4.21973e-05 -23 *1298:A2 *1298:B1 0.000250971 -24 *1298:B2 *1298:B1 4.63218e-05 -25 *1298:C1 *1298:B1 0.000131839 -26 *1298:C1 *234:29 9.0444e-05 -27 *1308:A2 *1308:A3 1.34503e-05 -28 *30:23 *234:7 0.000120655 -29 *93:55 *234:11 7.90806e-05 -30 *93:55 *234:29 3.05712e-05 -31 *208:34 *234:11 0.000232099 -32 *211:40 *234:11 0.000130374 -*RES -1 *1291:Y *234:7 4.74 -2 *234:7 *234:11 6.065 -3 *234:11 *1308:A3 7.94 -4 *234:11 *1296:A_N 1.6 -5 *234:7 *234:29 6.185 -6 *234:29 *1292:B1 2.395 -7 *234:29 *1298:B1 4.87375 -*END - -*D_NET *235 0.00219992 -*CONN -*I *1293:C I *D sky130_fd_sc_hd__and3_2 -*I *1297:B I *D sky130_fd_sc_hd__and3_2 -*I *1292:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1293:C 0.000247724 -2 *1297:B 0.000370857 -3 *1292:X 3.38751e-05 -4 *235:7 0.000652456 -5 *1293:C *236:5 6.81928e-05 -6 *1293:C *317:24 7.49106e-05 -7 *1293:C *323:15 2.98587e-05 -8 *1293:C *363:17 4.63995e-05 -9 *1297:B *236:8 4.06323e-05 -10 *1297:B *317:24 0.000188214 -11 *1260:A *1293:C 8.68786e-05 -12 *1260:A *1297:B 4.43871e-06 -13 *1287:A *1297:B 8.67648e-06 -14 *1297:A *1297:B 0.000111162 -15 *1298:A2 *235:7 4.82863e-05 -16 *221:24 *1297:B 3.96653e-05 -17 *221:26 *1297:B 8.88799e-05 -18 *234:29 *1297:B 5.88147e-05 -*RES -1 *1292:X *235:7 4.05 -2 *235:7 *1297:B 9.75 -3 *235:7 *1293:C 7.92 -*END - -*D_NET *236 0.00392788 -*CONN -*I *1294:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1308:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1314:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1293:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1294:B1 0 -2 *1308:B1 2.69014e-05 -3 *1314:B1 0.000311745 -4 *1293:X 8.22268e-05 -5 *236:8 0.000728111 -6 *236:5 0.000471691 -7 *1308:B1 *294:23 2.54522e-05 -8 *1314:B1 *1309:B1 2.54431e-05 -9 *1314:B1 *1314:B2 0.00014836 -10 *236:5 *363:17 0.000230037 -11 *236:8 *315:8 0.000473785 -12 *236:8 *317:24 0.000331376 -13 *391:DIODE *1314:B1 4.7284e-05 -14 *391:DIODE *236:8 0.000368659 -15 *409:DIODE *236:8 1.1747e-05 -16 *416:DIODE *236:8 3.25153e-05 -17 *1252:A *1314:B1 4.864e-05 -18 *1293:C *236:5 6.81928e-05 -19 *1297:B *236:8 4.06323e-05 -20 *1308:A2 *1308:B1 8.40269e-05 -21 *1308:A2 *1314:B1 0.000165813 -22 *1308:A3 *1308:B1 7.00889e-06 -23 *93:55 *236:8 0 -24 *197:66 *236:5 5.83121e-06 -25 *198:41 *236:8 1.58927e-05 -26 *211:40 *236:8 5.66315e-05 -27 *220:81 *1314:B1 0.000119873 -28 *234:11 *236:8 0 -29 *234:29 *236:8 0 -*RES -1 *1293:X *236:5 3.775 -2 *236:5 *236:8 12.56 -3 *236:8 *1314:B1 9.27 -4 *236:8 *1308:B1 4.395 -5 *236:5 *1294:B1 1.6 -*END - -*D_NET *237 0.00139168 -*CONN -*I *1299:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1295:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1299:A1 0.000229111 -2 *1295:Y 0.000229111 -3 *1299:A1 *1299:A2 0.000285066 -4 *1299:A1 *1311:A2 8.09497e-05 -5 *1299:A1 *1311:A3 0.000183043 -6 *1289:B1 *1299:A1 0.000179129 -7 *1298:A1 *1299:A1 2.05583e-05 -8 *1311:B1 *1299:A1 0.00018471 -9 *197:69 *1299:A1 0 -*RES -1 *1295:Y *1299:A1 13.935 -*END - -*D_NET *238 0.00191391 -*CONN -*I *1297:C I *D sky130_fd_sc_hd__and3_2 -*I *1314:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1296:Y O *D sky130_fd_sc_hd__nand3b_2 -*CAP -1 *1297:C 0.00016009 -2 *1314:B2 0.00016894 -3 *1296:Y 0 -4 *238:5 0.00032903 -5 *1314:B2 *1309:B1 0.000162311 -6 *1314:B2 *294:23 6.90876e-05 -7 *409:DIODE *1297:C 0.00040806 -8 *409:DIODE *1314:B2 0.000112446 -9 *1253:C1 *1314:B2 0 -10 *1271:A *1297:C 0 -11 *1296:B *1297:C 0.000140006 -12 *1308:A2 *1314:B2 7.00889e-06 -13 *1314:B1 *1314:B2 0.00014836 -14 *208:34 *1297:C 1.23015e-05 -15 *208:34 *1314:B2 2.99518e-06 -16 *221:24 *1297:C 0.00019327 -*RES -1 *1296:Y *238:5 3.6 -2 *238:5 *1314:B2 8.22 -3 *238:5 *1297:C 8.55 -*END - -*D_NET *239 0.00137866 -*CONN -*I *1299:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1297:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1299:A2 0.000165657 -2 *1311:A1 0 -3 *1297:X 0.000153838 -4 *239:9 0.000319495 -5 *1299:A2 *1299:A3 0.000137082 -6 *239:9 *1311:A3 8.65905e-05 -7 *410:DIODE *1299:A2 2.10382e-05 -8 *1271:A *239:9 8.65905e-05 -9 *1298:A1 *1299:A2 9.37731e-05 -10 *1299:A1 *1299:A2 0.000285066 -11 *1311:B1 *1299:A2 1.54111e-05 -12 *1311:B2 *1299:A2 3.77372e-06 -13 *1311:B2 *239:9 1.03423e-05 -*RES -1 *1297:X *239:9 4.495 -2 *239:9 *1311:A1 1.6 -3 *239:9 *1299:A2 9.815 -*END - -*D_NET *240 0.00116166 -*CONN -*I *1299:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1298:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *1299:A3 0.000143916 -2 *1311:A2 7.5582e-05 -3 *1298:X 6.46151e-05 -4 *240:5 0.000284113 -5 *410:DIODE *1299:A3 7.40886e-05 -6 *1287:A *1299:A3 7.40446e-05 -7 *1287:A *240:5 0.000122331 -8 *1298:A1 *1299:A3 2.39856e-05 -9 *1299:A1 *1311:A2 8.09497e-05 -10 *1299:A2 *1299:A3 0.000137082 -11 *197:69 *1311:A2 8.09497e-05 -*RES -1 *1298:X *240:5 2.74 -2 *240:5 *1311:A2 7.49 -3 *240:5 *1299:A3 8.72 -*END - -*D_NET *241 0.000936266 -*CONN -*I *1303:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1302:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1303:C1 0.000238627 -2 *1302:X 0.000238627 -3 *1303:C1 *372:14 4.66108e-05 -4 *1248:B *1303:C1 2.75145e-05 -5 *1267:A1 *1303:C1 7.77923e-05 -6 *1277:A3 *1303:C1 8.49205e-05 -7 *1278:A2 *1303:C1 0 -8 *163:39 *1303:C1 0.000174146 -9 *206:8 *1303:C1 0 -10 *206:17 *1303:C1 0 -11 *212:19 *1303:C1 4.80285e-05 -*RES -1 *1302:X *1303:C1 12.375 -*END - -*D_NET *242 0.00114914 -*CONN -*I *1304:D1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1303:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1304:D1 0.000150999 -2 *1303:X 0.000150999 -3 *1259:B1 *1304:D1 0.00019568 -4 *1282:B1 *1304:D1 0.000108833 -5 *16:8 *1304:D1 4.42148e-05 -6 *206:60 *1304:D1 8.53011e-05 -7 *217:22 *1304:D1 6.8729e-05 -8 *226:132 *1304:D1 0.000344387 -*RES -1 *1303:X *1304:D1 12.735 -*END - -*D_NET *243 0.000377685 -*CONN -*I *1307:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1304:X O *D sky130_fd_sc_hd__o2111a_2 -*CAP -1 *1307:A2 9.26078e-05 -2 *1304:X 9.26078e-05 -3 *1307:A1 *1307:A2 8.7152e-06 -4 *16:8 *1307:A2 8.53011e-05 -5 *36:27 *1307:A2 6.87462e-05 -6 *226:132 *1307:A2 2.9707e-05 -*RES -1 *1304:X *1307:A2 8.865 -*END - -*D_NET *244 0.000542809 -*CONN -*I *1306:B I *D sky130_fd_sc_hd__nand2_2 -*I *1305:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1306:B 7.09123e-05 -2 *1305:Y 7.09123e-05 -3 *1266:B *1306:B 0.000153881 -4 *1306:A *1306:B 4.66108e-05 -5 *146:7 *1306:B 4.66108e-05 -6 *163:39 *1306:B 0.000153881 -*RES -1 *1305:Y *1306:B 9.54 -*END - -*D_NET *245 0.00255836 -*CONN -*I *1307:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1306:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1307:A3 0.000498294 -2 *1306:Y 0.000498294 -3 *1307:A3 *1409:A 9.78714e-05 -4 *1307:A3 *1435:Z 2.40143e-05 -5 *1307:A3 *344:13 0.000268176 -6 *414:DIODE *1307:A3 4.39783e-05 -7 *1304:A2 *1307:A3 0 -8 *1307:B1 *1307:A3 2.87433e-05 -9 *24:31 *1307:A3 0.000119873 -10 *197:53 *1307:A3 3.97966e-05 -11 *197:66 *1307:A3 4.12094e-05 -12 *206:60 *1307:A3 0 -13 *216:6 *1307:A3 0.000163425 -14 *216:25 *1307:A3 1.7635e-05 -15 *217:22 *1307:A3 0.000255176 -16 *218:8 *1307:A3 0.00046187 -17 *226:132 *1307:A3 0 -*RES -1 *1306:Y *1307:A3 19.77 -*END - -*D_NET *246 0.00393806 -*CONN -*I *1309:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1308:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1309:B1 0.000874588 -2 *1308:X 0.000874588 -3 *1309:B1 *1379:TE 7.09928e-05 -4 *1309:B1 *1380:TE 0.000105841 -5 *1309:B1 *1450:A 6.28765e-05 -6 *1309:B1 *1452:A 0.000178921 -7 *1309:B1 *1453:A 3.18316e-05 -8 *1309:B1 *294:23 0.000597757 -9 *1309:B1 *295:8 7.63282e-05 -10 *1309:B1 *373:11 0.000193801 -11 *411:DIODE *1309:B1 1.74776e-05 -12 *416:DIODE *1309:B1 0 -13 *421:DIODE *1309:B1 0.000193806 -14 *1313:A1 *1309:B1 1.1392e-05 -15 *1314:A2 *1309:B1 1.92062e-05 -16 *1314:B1 *1309:B1 2.54431e-05 -17 *1314:B2 *1309:B1 0.000162311 -18 *220:81 *1309:B1 8.73389e-06 -19 *226:78 *1309:B1 9.91888e-05 -20 *226:85 *1309:B1 0.000255335 -21 *226:94 *1309:B1 7.76471e-05 -*RES -1 *1308:X *1309:B1 29.37 -*END - -*D_NET *247 0.00088043 -*CONN -*I *1311:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1310:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1311:A3 0.000161089 -2 *1310:Y 0.000161089 -3 *1253:A1 *1311:A3 3.37198e-05 -4 *1299:A1 *1311:A3 0.000183043 -5 *1311:B1 *1311:A3 8.17801e-05 -6 *1311:B2 *1311:A3 3.45588e-05 -7 *92:54 *1311:A3 8.36316e-05 -8 *92:67 *1311:A3 2.23203e-05 -9 *197:69 *1311:A3 3.26084e-05 -10 *239:9 *1311:A3 8.65905e-05 -*RES -1 *1310:Y *1311:A3 11.925 -*END - -*D_NET *248 0.00496565 -*CONN -*I *1324:A I *D sky130_fd_sc_hd__buf_2 -*I *1316:A I *D sky130_fd_sc_hd__buf_2 -*I *1342:B I *D sky130_fd_sc_hd__nor2_2 -*I *1333:A I *D sky130_fd_sc_hd__buf_2 -*I *1344:B I *D sky130_fd_sc_hd__nor2_2 -*I *1343:B I *D sky130_fd_sc_hd__nor2_2 -*I *1315:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1324:A 9.24072e-05 -2 *1316:A 0 -3 *1342:B 0 -4 *1333:A 8.29908e-05 -5 *1344:B 2.79598e-05 -6 *1343:B 2.0132e-05 -7 *1315:Y 0.000159309 -8 *248:49 9.24072e-05 -9 *248:35 0.000349756 -10 *248:28 0.000416408 -11 *248:17 0.000946718 -12 *248:5 0.000948557 -13 *1324:A *252:47 0.000239813 -14 *1333:A *252:13 2.24336e-05 -15 *1333:A *252:47 0.00011852 -16 *1333:A *257:53 0 -17 *1344:B *253:71 2.00162e-05 -18 *248:5 *1127:A 4.28454e-05 -19 *248:17 *1343:A 4.77769e-05 -20 *248:17 *253:11 6.40128e-05 -21 *248:17 *253:48 8.76766e-05 -22 *248:17 *253:60 1.43396e-05 -23 *248:28 *253:60 3.15169e-05 -24 *248:35 *1111:A0 0 -25 *248:35 *1326:B 0.000132961 -26 *248:35 *258:17 0.000215207 -27 *248:35 *259:11 0.00013686 -28 *248:35 *259:42 0 -29 *1129:B *248:5 7.30505e-05 -30 *1366:RESET_B *248:5 0.000271117 -31 *1367:RESET_B *248:17 0.000264247 -32 *39:16 *248:5 4.66108e-05 -*RES -1 *1315:Y *248:5 5.5 -2 *248:5 *1343:B 1.96 -3 *248:5 *248:17 10.92 -4 *248:17 *1344:B 2.215 -5 *248:17 *248:28 4.175 -6 *248:28 *248:35 8.06 -7 *248:35 *1333:A 7.49 -8 *248:35 *1342:B 1.6 -9 *248:28 *248:49 2 -10 *248:49 *1316:A 1.6 -11 *248:49 *1324:A 3.775 -*END - -*D_NET *249 0.00767922 -*CONN -*I *1325:A I *D sky130_fd_sc_hd__nor2_2 -*I *1320:A I *D sky130_fd_sc_hd__nor2_2 -*I *1323:A I *D sky130_fd_sc_hd__nor2_2 -*I *1322:A I *D sky130_fd_sc_hd__nor2_2 -*I *1326:A I *D sky130_fd_sc_hd__nor2_2 -*I *1321:A I *D sky130_fd_sc_hd__nor2_2 -*I *1327:A I *D sky130_fd_sc_hd__nor2_2 -*I *1319:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1325:A 3.76723e-05 -2 *1320:A 1.98298e-05 -3 *1323:A 0 -4 *1322:A 2.0091e-05 -5 *1326:A 0.000147975 -6 *1321:A 0.000183967 -7 *1327:A 0.00012112 -8 *1319:X 0.00016022 -9 *249:40 0.00144169 -10 *249:29 0.00130396 -11 *249:25 0.000203178 -12 *249:24 0.000237048 -13 *249:20 0.000299307 -14 *249:5 0.000354814 -15 *1320:A *371:40 4.66108e-05 -16 *1321:A *1321:B 0 -17 *1321:A *256:22 8.31173e-05 -18 *1322:A *1322:B 3.21081e-06 -19 *1325:A *250:38 0.0001615 -20 *1325:A *252:57 0.000201503 -21 *1326:A *1321:B 0 -22 *1326:A *1326:B 5.18317e-06 -23 *1326:A *259:6 0 -24 *1326:A *259:42 0 -25 *1326:A *371:8 0 -26 *1327:A *254:202 6.73084e-05 -27 *1327:A *271:8 6.72833e-06 -28 *249:20 *1331:B 7.26029e-05 -29 *249:20 *250:38 0.000132827 -30 *249:25 *371:40 8.65905e-05 -31 *249:29 *1323:B 3.21081e-06 -32 *249:29 *371:32 0.000168213 -33 *249:29 *371:40 6.20787e-05 -34 *249:40 *254:84 4.87317e-05 -35 *249:40 *257:32 0.000751941 -36 *249:40 *371:24 0.000129788 -37 *249:40 *371:32 6.66768e-05 -38 *1229:A *249:40 2.75229e-05 -39 *1328:A *249:20 0.000154987 -40 *1328:A *249:24 0.000356256 -41 *1349:RESET_B *249:40 8.52845e-05 -42 *1351:RESET_B *249:24 0 -43 *1352:RESET_B *1326:A 9.5433e-05 -44 *1353:RESET_B *1327:A 4.66108e-05 -45 *1353:RESET_B *249:5 0.000220943 -46 *198:60 *249:20 6.3478e-05 -*RES -1 *1319:X *249:5 3.775 -2 *249:5 *1327:A 3.82 -3 *249:5 *249:20 4.655 -4 *249:20 *249:24 6.185 -5 *249:24 *249:25 0.795 -6 *249:25 *249:29 3.915 -7 *249:29 *249:40 18.3425 -8 *249:40 *1321:A 6.9375 -9 *249:40 *1326:A 6.3825 -10 *249:29 *1322:A 1.96 -11 *249:25 *1323:A 1.6 -12 *249:24 *1320:A 2.05 -13 *249:20 *1325:A 5.43 -*END - -*D_NET *250 0.00678353 -*CONN -*I *1332:B I *D sky130_fd_sc_hd__nor2_2 -*I *1329:B I *D sky130_fd_sc_hd__nor2_2 -*I *1331:B I *D sky130_fd_sc_hd__nor2_2 -*I *1327:B I *D sky130_fd_sc_hd__nor2_2 -*I *1325:B I *D sky130_fd_sc_hd__nor2_2 -*I *1330:B I *D sky130_fd_sc_hd__nor2_2 -*I *1326:B I *D sky130_fd_sc_hd__nor2_2 -*I *1324:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1332:B 0.000181497 -2 *1329:B 0 -3 *1331:B 0.000346233 -4 *1327:B 0 -5 *1325:B 1.80269e-05 -6 *1330:B 1.86374e-05 -7 *1326:B 0.000197677 -8 *1324:X 0 -9 *250:58 0.000253422 -10 *250:38 0.000536027 -11 *250:27 0.000474594 -12 *250:19 0.000815999 -13 *250:16 0.000648908 -14 *250:4 0.000244072 -15 *1325:B *252:57 9.12671e-06 -16 *1326:B *252:47 0.00035048 -17 *1326:B *259:42 0 -18 *1326:B *371:8 0.000201503 -19 *1330:B *251:42 3.5678e-06 -20 *1331:B *271:8 0.00015428 -21 *1332:B *1329:A 0.000159722 -22 *1332:B *251:42 3.12341e-05 -23 *1332:B *253:71 4.06695e-05 -24 *250:19 *251:42 0.000234786 -25 *250:19 *252:47 0.000185517 -26 *250:27 *251:30 0.000160037 -27 *250:27 *251:42 6.20787e-05 -28 *250:27 *252:47 3.63267e-05 -29 *250:27 *252:57 0.000222047 -30 *250:38 *252:57 3.90495e-05 -31 *1107:S *250:16 7.17267e-05 -32 *1107:S *250:58 0.000134756 -33 *1226:B *250:19 0.000160338 -34 *1280:A *1331:B 8.49205e-05 -35 *1325:A *250:38 0.0001615 -36 *1326:A *1326:B 5.18317e-06 -37 *198:60 *1331:B 0.000145318 -38 *226:7 *1331:B 5.58772e-05 -39 *248:35 *1326:B 0.000132961 -40 *249:20 *1331:B 7.26029e-05 -41 *249:20 *250:38 0.000132827 -*RES -1 *1324:X *250:4 1.6 -2 *250:4 *1326:B 10.7 -3 *250:4 *250:16 2.87 -4 *250:16 *250:19 11.42 -5 *250:19 *1330:B 1.96 -6 *250:19 *250:27 5.28 -7 *250:27 *1325:B 1.96 -8 *250:27 *250:38 5.81 -9 *250:38 *1327:B 3.6 -10 *250:38 *1331:B 10.41 -11 *250:16 *250:58 3.635 -12 *250:58 *1329:B 1.6 -13 *250:58 *1332:B 5.185 -*END - -*D_NET *251 0.00633087 -*CONN -*I *1331:A I *D sky130_fd_sc_hd__nor2_2 -*I *1335:A I *D sky130_fd_sc_hd__nor2_2 -*I *1334:A I *D sky130_fd_sc_hd__nor2_2 -*I *1330:A I *D sky130_fd_sc_hd__nor2_2 -*I *1332:A I *D sky130_fd_sc_hd__nor2_2 -*I *1329:A I *D sky130_fd_sc_hd__nor2_2 -*I *1336:A I *D sky130_fd_sc_hd__nor2_2 -*I *1328:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1331:A 0.000282913 -2 *1335:A 6.08803e-05 -3 *1334:A 0 -4 *1330:A 0 -5 *1332:A 0 -6 *1329:A 3.07288e-05 -7 *1336:A 0 -8 *1328:X 0.000209098 -9 *251:62 0.00038275 -10 *251:42 0.000379045 -11 *251:30 0.000379344 -12 *251:23 0.000619779 -13 *251:15 0.00067599 -14 *251:8 0.00025738 -15 *1329:A *253:71 8.54923e-05 -16 *1331:A *1356:CLK 0 -17 *1335:A *252:57 4.99619e-05 -18 *251:8 *252:70 5.53249e-06 -19 *251:8 *254:138 0 -20 *251:15 *252:70 7.89611e-05 -21 *251:23 *252:47 4.86747e-05 -22 *251:23 *252:57 0.000344587 -23 *251:30 *252:47 0.000113606 -24 *251:42 *1221:A 9.50342e-06 -25 *251:42 *252:47 0.000513617 -26 *251:42 *253:71 0.00038546 -27 *251:62 *252:70 2.86623e-05 -28 *1221:B *251:42 9.43372e-06 -29 *1328:A *1331:A 5.17086e-05 -30 *1328:A *251:8 0.000119286 -31 *1328:A *251:15 5.30057e-05 -32 *1328:A *251:62 2.59616e-05 -33 *1330:B *251:42 3.5678e-06 -34 *1332:B *1329:A 0.000159722 -35 *1332:B *251:42 3.12341e-05 -36 *1351:RESET_B *251:23 0 -37 *1353:D *1331:A 7.81954e-05 -38 *1353:RESET_B *1331:A 7.11732e-05 -39 *1360:RESET_B *251:8 0.000246098 -40 *198:60 *1331:A 8.26132e-05 -41 *250:19 *251:42 0.000234786 -42 *250:27 *251:30 0.000160037 -43 *250:27 *251:42 6.20787e-05 -*RES -1 *1328:X *251:8 7.815 -2 *251:8 *1336:A 3.6 -3 *251:8 *251:15 1.635 -4 *251:15 *251:23 13.53 -5 *251:23 *251:30 1.815 -6 *251:30 *251:42 14.755 -7 *251:42 *1329:A 3.085 -8 *251:42 *1332:A 1.6 -9 *251:30 *1330:A 1.6 -10 *251:23 *1334:A 1.6 -11 *251:15 *251:62 0.87 -12 *251:62 *1335:A 4.5 -13 *251:62 *1331:A 9.57 -*END - -*D_NET *252 0.0120275 -*CONN -*I *1336:B I *D sky130_fd_sc_hd__nor2_2 -*I *1339:B I *D sky130_fd_sc_hd__nor2_2 -*I *1338:B I *D sky130_fd_sc_hd__nor2_2 -*I *1335:B I *D sky130_fd_sc_hd__nor2_2 -*I *1334:B I *D sky130_fd_sc_hd__nor2_2 -*I *1341:B I *D sky130_fd_sc_hd__nor2_2 -*I *1340:B I *D sky130_fd_sc_hd__nor2_2 -*I *1333:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1336:B 0 -2 *1339:B 0.000425463 -3 *1338:B 0 -4 *1335:B 2.3867e-05 -5 *1334:B 0 -6 *1341:B 0 -7 *1340:B 0.000171333 -8 *1333:X 0 -9 *252:79 0.00107064 -10 *252:70 0.000752431 -11 *252:57 0.000290729 -12 *252:47 0.00131905 -13 *252:13 0.000702914 -14 *252:4 0.00169102 -15 *1339:B *1104:A 3.96737e-05 -16 *1339:B *1104:B 0.000100139 -17 *1339:B *1360:CLK 0 -18 *1340:B *1341:A 4.82863e-05 -19 *252:13 *1120:A 0.000124895 -20 *252:13 *257:32 1.83847e-05 -21 *252:13 *257:53 3.26084e-05 -22 *252:13 *261:10 7.63204e-05 -23 *252:47 *1342:A 0.000180682 -24 *252:47 *257:32 4.85494e-05 -25 *252:47 *259:6 3.68682e-05 -26 *252:47 *259:42 5.98004e-06 -27 *252:47 *262:8 0.000110211 -28 *252:70 *1362:CLK 0.000100308 -29 *252:70 *254:138 5.53249e-06 -30 *252:79 *1345:CLK 0.000239813 -31 *252:79 *253:71 0 -32 *1121:B *252:13 4.99619e-05 -33 *1226:B *252:47 9.66021e-05 -34 *1324:A *252:47 0.000239813 -35 *1325:A *252:57 0.000201503 -36 *1325:B *252:57 9.12671e-06 -37 *1326:B *252:47 0.00035048 -38 *1333:A *252:13 2.24336e-05 -39 *1333:A *252:47 0.00011852 -40 *1335:A *252:57 4.99619e-05 -41 *1345:D *252:79 6.97034e-05 -42 *1347:D *1339:B 0 -43 *1359:RESET_B *252:57 3.64998e-06 -44 *1359:RESET_B *252:70 3.1835e-05 -45 *1362:RESET_B *1339:B 7.90661e-05 -46 *1363:RESET_B *1340:B 0.000105068 -47 *1364:RESET_B *252:13 0.000201508 -48 *1365:RESET_B *252:47 6.35448e-05 -49 *29:10 *252:79 0.00048819 -50 *84:26 *1340:B 0.000185398 -51 *97:12 *1340:B 0.000280837 -52 *97:12 *252:13 0.000147985 -53 *250:19 *252:47 0.000185517 -54 *250:27 *252:47 3.63267e-05 -55 *250:27 *252:57 0.000222047 -56 *250:38 *252:57 3.90495e-05 -57 *251:8 *252:70 5.53249e-06 -58 *251:15 *252:70 7.89611e-05 -59 *251:23 *252:47 4.86747e-05 -60 *251:23 *252:57 0.000344587 -61 *251:30 *252:47 0.000113606 -62 *251:42 *252:47 0.000513617 -63 *251:62 *252:70 2.86623e-05 -*RES -1 *1333:X *252:4 1.6 -2 *252:4 *252:13 14.53 -3 *252:13 *1340:B 10.625 -4 *252:13 *1341:B 1.6 -5 *252:4 *252:47 28.24 -6 *252:47 *1334:B 1.6 -7 *252:47 *252:57 6.435 -8 *252:57 *1335:B 1.96 -9 *252:57 *252:70 6.94 -10 *252:70 *252:79 16.15 -11 *252:79 *1338:B 1.6 -12 *252:79 *1339:B 12.515 -13 *252:70 *1336:B 1.6 -*END - -*D_NET *253 0.0145003 -*CONN -*I *1344:A I *D sky130_fd_sc_hd__nor2_2 -*I *1338:A I *D sky130_fd_sc_hd__nor2_2 -*I *1339:A I *D sky130_fd_sc_hd__nor2_2 -*I *1342:A I *D sky130_fd_sc_hd__nor2_2 -*I *1341:A I *D sky130_fd_sc_hd__nor2_2 -*I *1340:A I *D sky130_fd_sc_hd__nor2_2 -*I *1343:A I *D sky130_fd_sc_hd__nor2_2 -*I *1337:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1344:A 0 -2 *1338:A 0 -3 *1339:A 0.000278704 -4 *1342:A 0.000178749 -5 *1341:A 2.22788e-05 -6 *1340:A 0.000178761 -7 *1343:A 1.8134e-05 -8 *1337:X 0.000466081 -9 *253:71 0.00307585 -10 *253:60 0.00298182 -11 *253:48 0.000772917 -12 *253:24 0.000724262 -13 *253:11 0.000772696 -14 *253:8 0.00110691 -15 *1339:A *1362:D 0 -16 *1339:A *266:8 5.16046e-05 -17 *1342:A *259:42 0.000201477 -18 *253:8 *1151:A 0 -19 *253:8 *1151:B 0 -20 *253:8 *264:14 0 -21 *253:24 *1111:A1 0.000116853 -22 *253:24 *1364:CLK 5.72287e-05 -23 *253:24 *254:45 1.53032e-05 -24 *253:24 *254:48 9.76389e-05 -25 *253:24 *262:8 0 -26 *253:71 *1362:D 0 -27 *253:71 *254:190 0.000167965 -28 *253:71 *254:202 0.000602202 -29 *253:71 *271:8 3.48218e-05 -30 *253:71 *371:56 0.000273008 -31 *1108:A *253:8 0 -32 *1112:A *253:8 0.000126407 -33 *1112:A *253:24 0 -34 *1121:B *253:24 0 -35 *1137:A *253:24 0.000133386 -36 *1215:A *253:8 0 -37 *1329:A *253:71 8.54923e-05 -38 *1332:B *253:71 4.06695e-05 -39 *1340:B *1341:A 4.82863e-05 -40 *1344:B *253:71 2.00162e-05 -41 *1354:RESET_B *253:71 2.01737e-05 -42 *1359:D *253:71 0.00012398 -43 *1361:RESET_B *1339:A 8.08439e-05 -44 *1362:RESET_B *1339:A 2.75229e-05 -45 *1362:RESET_B *253:71 0 -46 *1364:D *1340:A 0.00013886 -47 *1364:D *253:24 0.000139245 -48 *1365:D *253:8 0.000133657 -49 *1365:RESET_B *1342:A 0 -50 *1367:D *253:8 7.06865e-05 -51 *1367:RESET_B *253:48 2.73727e-05 -52 *1367:RESET_B *253:60 0.000112133 -53 *29:10 *1339:A 0 -54 *29:10 *253:71 0 -55 *84:26 *1340:A 0 -56 *84:26 *253:24 0 -57 *90:13 *1340:A 0.000164874 -58 *248:17 *1343:A 4.77769e-05 -59 *248:17 *253:11 6.40128e-05 -60 *248:17 *253:48 8.76766e-05 -61 *248:17 *253:60 1.43396e-05 -62 *248:28 *253:60 3.15169e-05 -63 *251:42 *253:71 0.00038546 -64 *252:47 *1342:A 0.000180682 -65 *252:79 *253:71 0 -*RES -1 *1337:X *253:8 14.63 -2 *253:8 *253:11 3.045 -3 *253:11 *1343:A 2.05 -4 *253:11 *253:24 12.605 -5 *253:24 *1340:A 7.485 -6 *253:24 *1341:A 4.05 -7 *253:8 *253:48 5.76 -8 *253:48 *1342:A 10.04 -9 *253:48 *253:60 3.57 -10 *253:60 *253:71 40.415 -11 *253:71 *1339:A 8.73 -12 *253:71 *1338:A 3.6 -13 *253:60 *1344:A 1.6 -*END - -*D_NET *254 0.0246077 -*CONN -*I *1366:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1365:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1359:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1356:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1353:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1355:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1358:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1351:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1350:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1360:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1362:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1345:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1347:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1346:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1361:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1349:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1348:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1352:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1357:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1354:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1367:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1364:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1363:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1368:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1455:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *1366:CLK 0 -2 *1365:CLK 5.10773e-05 -3 *1359:CLK 0 -4 *1356:CLK 0.000126773 -5 *1353:CLK 0.00030526 -6 *1355:CLK 0 -7 *1358:CLK 0 -8 *1351:CLK 0.000140898 -9 *1350:CLK 6.82727e-05 -10 *1360:CLK 0.000369913 -11 *1362:CLK 9.16801e-05 -12 *1345:CLK 8.72468e-05 -13 *1347:CLK 0 -14 *1346:CLK 0.000143153 -15 *1361:CLK 0.000137569 -16 *1349:CLK 3.55506e-05 -17 *1348:CLK 0.000347649 -18 *1352:CLK 0 -19 *1357:CLK 0 -20 *1354:CLK 0 -21 *1367:CLK 0 -22 *1364:CLK 5.96463e-05 -23 *1363:CLK 0 -24 *1368:A 0.000179721 -25 *1455:Y 0.000199044 -26 *254:205 0.000531289 -27 *254:202 0.000328976 -28 *254:190 0.000532986 -29 *254:178 0.000709409 -30 *254:138 0.000307975 -31 *254:131 0.000216437 -32 *254:122 0.000307407 -33 *254:114 0.000214434 -34 *254:112 0.000739178 -35 *254:104 0.000978355 -36 *254:93 0.00103867 -37 *254:89 0.000370635 -38 *254:84 0.000487342 -39 *254:75 0.000591085 -40 *254:70 0.000984944 -41 *254:64 0.000642036 -42 *254:58 0.000817978 -43 *254:48 0.000391828 -44 *254:45 0.00031017 -45 *254:34 0.000797594 -46 *254:16 0.000328371 -47 *254:13 0.00108187 -48 *254:8 0.000586802 -49 *1348:CLK *256:7 9.27255e-06 -50 *1350:CLK *257:11 0.000144916 -51 *1351:CLK *258:5 2.75229e-05 -52 *1356:CLK *276:13 0.000116158 -53 *1360:CLK *1361:D 1.32843e-05 -54 *1361:CLK *1361:D 4.70256e-05 -55 *1365:CLK *258:17 0.000112349 -56 *254:8 *1455:A 3.64998e-06 -57 *254:45 *1111:A1 2.68721e-05 -58 *254:45 *258:17 0.000196376 -59 *254:75 *1225:A1 0 -60 *254:75 *1226:A 0.00020312 -61 *254:84 *1225:A1 0.000119762 -62 *254:84 *257:32 0.000169051 -63 *254:84 *371:24 0.000730958 -64 *254:89 *1220:A 0 -65 *254:89 *257:23 0 -66 *254:93 *1220:A 0 -67 *254:112 *1361:D 4.07937e-05 -68 *254:178 *273:8 8.5841e-05 -69 *254:190 *271:8 0.000241583 -70 *254:202 *271:8 0.000125545 -71 clockp[1] *254:8 0 -72 *375:DIODE *254:8 3.06341e-05 -73 *383:DIODE *1368:A 0 -74 *427:DIODE *1346:CLK 1.83756e-05 -75 *427:DIODE *1361:CLK 0 -76 *427:DIODE *254:122 0 -77 *1107:S *254:75 0 -78 *1108:A *254:58 8.65961e-05 -79 *1112:A *254:48 9.93748e-05 -80 *1112:A *254:58 0.000101735 -81 *1117:A *1368:A 1.83756e-05 -82 *1117:A *254:16 0 -83 *1126:A1 *254:34 0.000371905 -84 *1126:B1 *254:34 0.000159741 -85 *1129:B *254:58 0 -86 *1134:A *254:8 1.00941e-05 -87 *1137:A *254:45 0.000291365 -88 *1137:B *254:45 0.000360618 -89 *1141:A *254:34 0.000161794 -90 *1146:A *254:16 0.00011852 -91 *1148:B *254:8 5.05774e-05 -92 *1148:B *254:13 1.53032e-05 -93 *1149:A1 *254:13 0.000103704 -94 *1149:A2 *254:8 5.13924e-05 -95 *1149:A2 *254:13 0.000246158 -96 *1150:D1 *254:8 0 -97 *1177:A1 *1368:A 0 -98 *1177:A1 *254:16 0 -99 *1177:A2 *254:16 0.000180682 -100 *1221:B *1351:CLK 0 -101 *1221:B *254:75 0 -102 *1225:A2 *254:84 6.52265e-05 -103 *1227:B1 *254:93 0 -104 *1228:A *254:89 1.88602e-05 -105 *1228:B *254:89 5.81275e-05 -106 *1229:A *254:89 1.44259e-05 -107 *1229:A *254:93 6.23288e-05 -108 *1232:B *1348:CLK 5.01281e-05 -109 *1319:A *254:202 0.000121659 -110 *1327:A *254:202 6.73084e-05 -111 *1331:A *1356:CLK 0 -112 *1339:B *1360:CLK 0 -113 *1349:D *1348:CLK 0.000142202 -114 *1349:D *254:104 0.000249964 -115 *1350:D *1350:CLK 5.83121e-06 -116 *1351:D *1351:CLK 2.75229e-05 -117 *1353:RESET_B *1356:CLK 1.94723e-05 -118 *1353:RESET_B *254:202 6.9186e-05 -119 *1353:RESET_B *254:205 5.4654e-05 -120 *1354:RESET_B *254:64 0.000160646 -121 *1354:RESET_B *254:70 5.83121e-06 -122 *1356:RESET_B *1356:CLK 4.66826e-05 -123 *1357:D *254:70 7.35813e-05 -124 *1357:RESET_B *254:75 0 -125 *1358:D *254:178 7.60965e-05 -126 *1358:D *254:190 7.60965e-05 -127 *1358:RESET_B *254:190 0 -128 *1359:D *1356:CLK 0 -129 *1359:D *254:205 0 -130 *1360:D *1360:CLK 6.69341e-05 -131 *1360:RESET_B *1361:CLK 1.22676e-05 -132 *1360:RESET_B *254:122 5.42303e-05 -133 *1360:RESET_B *254:131 6.23119e-05 -134 *1360:RESET_B *254:138 4.41451e-05 -135 *1363:D *254:16 3.39064e-05 -136 *1367:D *254:58 2.49909e-05 -137 *7:19 *254:8 0 -138 *38:5 *1361:CLK 0 -139 *84:26 *1364:CLK 5.42473e-05 -140 *84:26 *254:45 4.24292e-06 -141 *85:19 *1348:CLK 8.49205e-05 -142 *85:19 *254:89 0 -143 *85:19 *254:93 0 -144 *85:43 *1351:CLK 0 -145 *96:27 *254:16 0 -146 *98:5 *254:34 3.66286e-05 -147 *108:8 *254:8 7.23533e-05 -148 *115:5 *254:13 0.000127199 -149 *117:10 *254:13 7.89689e-05 -150 *121:6 *254:13 0.000159387 -151 *187:10 *254:75 0 -152 *191:8 *254:75 0.000329746 -153 *226:7 *1356:CLK 3.03775e-05 -154 *249:40 *254:84 4.87317e-05 -155 *251:8 *254:138 0 -156 *252:70 *1362:CLK 0.000100308 -157 *252:70 *254:138 5.53249e-06 -158 *252:79 *1345:CLK 0.000239813 -159 *253:24 *1364:CLK 5.72287e-05 -160 *253:24 *254:45 1.53032e-05 -161 *253:24 *254:48 9.76389e-05 -162 *253:71 *254:190 0.000167965 -163 *253:71 *254:202 0.000602202 -*RES -1 *1455:Y *254:8 7.47 -2 *254:8 *254:13 10.25 -3 *254:13 *254:16 5.675 -4 *254:16 *1368:A 6.45 -5 *254:16 *1363:CLK 3.6 -6 *254:13 *254:34 11.075 -7 *254:34 *1364:CLK 5.235 -8 *254:34 *254:45 8.27 -9 *254:45 *254:48 4.145 -10 *254:48 *1367:CLK 3.6 -11 *254:48 *254:58 7.355 -12 *254:58 *1354:CLK 1.6 -13 *254:58 *254:64 8.04 -14 *254:64 *1357:CLK 1.6 -15 *254:64 *254:70 2.52 -16 *254:70 *254:75 12.01 -17 *254:75 *1352:CLK 1.6 -18 *254:75 *254:84 9.02 -19 *254:84 *254:89 2.955 -20 *254:89 *254:93 3.89 -21 *254:93 *1348:CLK 7.585 -22 *254:93 *254:104 7.365 -23 *254:104 *1349:CLK 2.215 -24 *254:104 *254:112 4.245 -25 *254:112 *254:114 2 -26 *254:114 *1361:CLK 6.255 -27 *254:114 *254:122 1.635 -28 *254:122 *1346:CLK 5.775 -29 *254:122 *254:131 1.89 -30 *254:131 *1347:CLK 3.6 -31 *254:131 *254:138 2.145 -32 *254:138 *1345:CLK 5.775 -33 *254:138 *1362:CLK 5.745 -34 *254:112 *1360:CLK 6.115 -35 *254:89 *1350:CLK 5.085 -36 *254:84 *1351:CLK 5.94 -37 *254:70 *254:178 7.005 -38 *254:178 *1358:CLK 1.6 -39 *254:178 *254:190 11.275 -40 *254:190 *1355:CLK 1.6 -41 *254:190 *254:202 7.995 -42 *254:202 *254:205 3.89 -43 *254:205 *1353:CLK 7.86 -44 *254:205 *1356:CLK 6.54 -45 *254:202 *1359:CLK 1.6 -46 *254:45 *1365:CLK 2.74 -47 *254:8 *1366:CLK 3.6 -*END - -*D_NET *255 0.00325606 -*CONN -*I *1122:A I *D sky130_fd_sc_hd__and2_2 -*I *1115:A I *D sky130_fd_sc_hd__buf_2 -*I *1348:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1122:A 0.00111716 -2 *1115:A 0.000218689 -3 *1348:Q 7.62056e-05 -4 *255:7 0.00141205 -5 *1115:A *1113:A0 0 -6 *1115:A *256:29 0 -7 *1122:A *1125:B 3.63661e-05 -8 *1122:A *256:22 1.51467e-05 -9 *1122:A *256:29 0.000199943 -10 *1122:A *261:7 2.75145e-05 -11 *90:13 *1115:A 4.28568e-05 -12 *90:52 *1115:A 1.14169e-05 -13 *99:5 *1122:A 9.8707e-05 -*RES -1 *1348:Q *255:7 4.395 -2 *255:7 *1115:A 7.305 -3 *255:7 *1122:A 16.92 -*END - -*D_NET *256 0.00545101 -*CONN -*I *1225:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1220:B I *D sky130_fd_sc_hd__and3_2 -*I *1125:A I *D sky130_fd_sc_hd__and2_2 -*I *1123:A I *D sky130_fd_sc_hd__xor2_2 -*I *1113:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1230:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1349:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1225:A1 9.56785e-05 -2 *1220:B 0 -3 *1125:A 0 -4 *1123:A 0 -5 *1113:A0 0.000148152 -6 *1230:A 8.86776e-05 -7 *1349:Q 0.000331902 -8 *256:29 0.000289474 -9 *256:22 0.000855291 -10 *256:18 0.000804495 -11 *256:15 0.000352945 -12 *256:7 0.00058732 -13 *1113:A0 *1113:A1 6.73751e-05 -14 *1225:A1 *257:32 6.16204e-05 -15 *256:18 *1220:A 3.27443e-05 -16 *256:22 *1220:A 2.77183e-05 -17 *256:22 *1321:B 1.83847e-05 -18 *256:29 *1113:A1 5.98004e-06 -19 *256:29 *1125:B 0.000162688 -20 *256:29 *261:10 7.81876e-05 -21 *1115:A *1113:A0 0 -22 *1115:A *256:29 0 -23 *1122:A *256:22 1.51467e-05 -24 *1122:A *256:29 0.000199943 -25 *1225:A2 *1225:A1 8.26756e-05 -26 *1225:A2 *256:15 4.99874e-05 -27 *1232:B *256:7 1.08758e-05 -28 *1321:A *256:22 8.31173e-05 -29 *1348:CLK *256:7 9.27255e-06 -30 *1349:D *256:7 0.000389566 -31 *85:19 *256:7 3.09179e-05 -32 *85:19 *256:15 9.42302e-05 -33 *90:13 *1113:A0 4.92935e-05 -34 *90:71 *1230:A 4.49498e-05 -35 *90:71 *256:15 7.16268e-05 -36 *187:7 *256:18 5.83121e-06 -37 *187:10 *1225:A1 0.000132842 -38 *187:10 *256:15 5.23362e-05 -39 *191:8 *1225:A1 0 -40 *191:20 *1230:A 0 -41 *191:20 *256:15 0 -42 *254:75 *1225:A1 0 -43 *254:84 *1225:A1 0.000119762 -*RES -1 *1349:Q *256:7 8.19 -2 *256:7 *1230:A 5.235 -3 *256:7 *256:15 3.42 -4 *256:15 *256:18 3.14 -5 *256:18 *256:22 8.565 -6 *256:22 *256:29 6.08 -7 *256:29 *1113:A0 6.63 -8 *256:29 *1123:A 3.6 -9 *256:22 *1125:A 1.6 -10 *256:18 *1220:B 1.6 -11 *256:15 *1225:A1 6.375 -*END - -*D_NET *257 0.00761994 -*CONN -*I *1225:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1121:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1111:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1124:A I *D sky130_fd_sc_hd__and2_2 -*I *1136:A I *D sky130_fd_sc_hd__nor2_2 -*I *1220:A I *D sky130_fd_sc_hd__and3_2 -*I *1350:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1225:B1 0 -2 *1121:A_N 0 -3 *1111:A0 0.000274676 -4 *1124:A 0 -5 *1136:A 0.000131258 -6 *1220:A 0.000294244 -7 *1350:Q 0.000740386 -8 *257:53 0.00041602 -9 *257:34 0.000182396 -10 *257:32 0.000884209 -11 *257:23 0.000771288 -12 *257:11 0.00111419 -13 *1111:A0 *258:17 4.87317e-05 -14 *1136:A *262:32 0.000268501 -15 *257:32 *371:24 8.80686e-05 -16 *257:53 *1120:A 0 -17 *257:53 *262:8 0 -18 *1225:A1 *257:32 6.16204e-05 -19 *1225:A2 *257:32 0.00015896 -20 *1227:A1 *1220:A 4.21973e-05 -21 *1227:A2 *1220:A 0.000103965 -22 *1227:A2 *257:23 0.000108322 -23 *1227:B1 *1220:A 4.82919e-05 -24 *1227:B1 *257:11 2.66586e-05 -25 *1228:B *257:11 0.000238919 -26 *1333:A *257:53 0 -27 *1350:CLK *257:11 0.000144916 -28 *1350:D *257:11 3.56834e-05 -29 *85:19 *1220:A 0 -30 *95:8 *1111:A0 0.000124744 -31 *98:5 *1136:A 0.000203178 -32 *187:7 *1220:A 2.75229e-05 -33 *248:35 *1111:A0 0 -34 *249:40 *257:32 0.000751941 -35 *252:13 *257:32 1.83847e-05 -36 *252:13 *257:53 3.26084e-05 -37 *252:47 *257:32 4.85494e-05 -38 *254:84 *257:32 0.000169051 -39 *254:89 *1220:A 0 -40 *254:89 *257:23 0 -41 *254:93 *1220:A 0 -42 *256:18 *1220:A 3.27443e-05 -43 *256:22 *1220:A 2.77183e-05 -*RES -1 *1350:Q *257:11 13.83 -2 *257:11 *1220:A 8.715 -3 *257:11 *257:23 3.635 -4 *257:23 *257:32 16.215 -5 *257:32 *257:34 0.795 -6 *257:34 *1136:A 5.275 -7 *257:34 *1124:A 1.6 -8 *257:32 *257:53 6.145 -9 *257:53 *1111:A0 6.205 -10 *257:53 *1121:A_N 1.6 -11 *257:23 *1225:B1 1.6 -*END - -*D_NET *258 0.00956528 -*CONN -*I *1224:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1221:A I *D sky130_fd_sc_hd__and2_2 -*I *1153:A I *D sky130_fd_sc_hd__and2_2 -*I *1127:A I *D sky130_fd_sc_hd__nor2_2 -*I *1128:A I *D sky130_fd_sc_hd__nand2_2 -*I *1109:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1351:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1224:A1 6.59595e-05 -2 *1221:A 6.78026e-05 -3 *1153:A 0.000154186 -4 *1127:A 0.000235436 -5 *1128:A 0 -6 *1109:A0 4.89248e-05 -7 *1351:Q 0.00072957 -8 *258:35 0.000424591 -9 *258:28 0.000155016 -10 *258:17 0.00185852 -11 *258:7 0.00187125 -12 *258:5 0.000909433 -13 *1109:A0 *1109:A1 1.03323e-05 -14 *1127:A *1127:B 0.000232403 -15 *1153:A *1153:B 0.000199833 -16 *1153:A *263:17 5.38986e-05 -17 *258:17 *1109:A1 2.65814e-06 -18 *258:17 *259:11 5.74361e-05 -19 *258:17 *259:23 8.69098e-05 -20 *258:17 *262:8 2.67022e-05 -21 *258:28 *263:8 2.3228e-05 -22 *258:28 *263:17 5.31158e-06 -23 *258:35 *263:17 3.72039e-05 -24 *378:DIODE *1127:A 0.000232502 -25 *378:DIODE *1153:A 0.000161837 -26 *1109:S *258:28 3.09233e-05 -27 *1111:A0 *258:17 4.87317e-05 -28 *1112:A *258:17 7.23602e-05 -29 *1129:B *1153:A 0 -30 *1129:B *258:35 0 -31 *1131:B1 *1127:A 5.18317e-06 -32 *1137:B *258:28 0 -33 *1221:B *1221:A 6.28843e-05 -34 *1224:A2 *1224:A1 5.4155e-06 -35 *1224:A2 *258:7 5.062e-06 -36 *1224:B1 *258:7 1.33293e-05 -37 *1224:B1 *258:17 1.17409e-05 -38 *1351:CLK *258:5 2.75229e-05 -39 *1351:D *258:5 6.5048e-05 -40 *1365:CLK *258:17 0.000112349 -41 *1366:RESET_B *1109:A0 4.9253e-06 -42 *1366:RESET_B *258:28 8.9257e-05 -43 *85:52 *1224:A1 0 -44 *85:52 *258:17 0.000533416 -45 *95:8 *1127:A 9.30717e-05 -46 *95:8 *258:17 0.000124895 -47 *100:6 *1127:A 9.14049e-05 -48 *100:6 *258:28 2.83684e-05 -49 *112:9 *1127:A 2.45182e-05 -50 *248:5 *1127:A 4.28454e-05 -51 *248:35 *258:17 0.000215207 -52 *251:42 *1221:A 9.50342e-06 -53 *254:45 *258:17 0.000196376 -*RES -1 *1351:Q *258:5 9.985 -2 *258:5 *258:7 1.485 -3 *258:7 *258:17 26.83 -4 *258:17 *1109:A0 2.41 -5 *258:17 *258:28 4.4 -6 *258:28 *1128:A 3.6 -7 *258:28 *258:35 0.87 -8 *258:35 *1127:A 17 -9 *258:35 *1153:A 7.5 -10 *258:7 *1221:A 6.98 -11 *258:5 *1224:A1 2.85625 -*END - -*D_NET *259 0.00513812 -*CONN -*I *1107:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1151:A I *D sky130_fd_sc_hd__xor2_2 -*I *1159:A I *D sky130_fd_sc_hd__nand2_2 -*I *1223:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1226:A I *D sky130_fd_sc_hd__nand2_2 -*I *1222:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1352:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1107:A0 0 -2 *1151:A 9.14687e-05 -3 *1159:A 9.40606e-05 -4 *1223:A_N 9.10537e-06 -5 *1226:A 0.000109205 -6 *1222:A1 0 -7 *1352:Q 5.83458e-05 -8 *259:48 0.00047428 -9 *259:42 0.000798238 -10 *259:23 0.000239454 -11 *259:11 0.000333211 -12 *259:6 0.000779899 -13 *1151:A *1151:B 0.000165146 -14 *1151:A *284:35 2.75145e-05 -15 *1159:A *1159:B 4.99619e-05 -16 *259:42 *264:9 0.00027295 -17 *259:42 *264:14 5.30673e-05 -18 *259:42 *277:8 0 -19 *259:48 *1159:B 3.66286e-05 -20 *259:48 *264:14 0 -21 *1107:S *259:42 0.000124895 -22 *1215:B *259:48 0 -23 *1222:A2 *1223:A_N 3.83041e-05 -24 *1222:A2 *259:11 3.26889e-05 -25 *1222:A2 *259:23 0.000196491 -26 *1222:B1 *259:23 4.82863e-05 -27 *1226:B *1226:A 0 -28 *1326:A *259:6 0 -29 *1326:A *259:42 0 -30 *1326:B *259:42 0 -31 *1342:A *259:42 0.000201477 -32 *1352:RESET_B *259:11 8.35068e-05 -33 *1354:D *259:42 0 -34 *1354:D *259:48 0.000103692 -35 *85:52 *1223:A_N 2.75229e-05 -36 *85:52 *259:23 0.000161544 -37 *188:9 *1226:A 0 -38 *248:35 *259:11 0.00013686 -39 *248:35 *259:42 0 -40 *252:47 *259:6 3.68682e-05 -41 *252:47 *259:42 5.98004e-06 -42 *253:8 *1151:A 0 -43 *254:75 *1226:A 0.00020312 -44 *258:17 *259:11 5.74361e-05 -45 *258:17 *259:23 8.69098e-05 -*RES -1 *1352:Q *259:6 4.725 -2 *259:6 *259:11 6.26 -3 *259:11 *1222:A1 1.6 -4 *259:11 *259:23 4.035 -5 *259:23 *1226:A 8 -6 *259:23 *1223:A_N 2.05 -7 *259:6 *259:42 12.695 -8 *259:42 *259:48 8.83 -9 *259:48 *1159:A 3.1 -10 *259:48 *1151:A 7.685 -11 *259:42 *1107:A0 1.6 -*END - -*D_NET *260 0.00418789 -*CONN -*I *1122:B I *D sky130_fd_sc_hd__and2_2 -*I *1116:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1145:B I *D sky130_fd_sc_hd__nor2_2 -*I *1144:B I *D sky130_fd_sc_hd__nand2_2 -*I *1363:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1122:B 0.000113552 -2 *1116:A1 0.000205315 -3 *1145:B 6.85395e-05 -4 *1144:B 0.000333414 -5 *1363:Q 0 -6 *260:7 0.000856954 -7 *260:5 0.000813833 -8 *260:4 0.00026707 -9 clockp[1] *1145:B 0.00010415 -10 *1116:A0 *1116:A1 2.97522e-05 -11 *1116:S *1116:A1 2.97802e-05 -12 *1117:A *1145:B 2.53191e-06 -13 *1144:A *1144:B 6.37286e-05 -14 *1144:A *260:5 5.8321e-06 -15 *1144:A *260:7 1.92324e-05 -16 *1147:B *1144:B 4.99619e-05 -17 *1177:A1 *260:7 0.000153971 -18 *1177:A2 *1144:B 0.000198046 -19 *1177:A2 *1145:B 0.000114492 -20 *1177:A2 *260:7 3.66286e-05 -21 *1177:B1 *1144:B 3.69753e-05 -22 *1178:D *1144:B 8.65905e-05 -23 *1363:D *1145:B 2.49909e-05 -24 *1363:RESET_B *1122:B 3.66286e-05 -25 *1363:RESET_B *260:5 0.000116899 -26 *39:16 *1116:A1 0.000296782 -27 *84:26 *1122:B 6.55328e-05 -28 *97:12 *1122:B 4.65246e-05 -29 *97:25 *1122:B 1.0183e-05 -*RES -1 *1363:Q *260:4 1.6 -2 *260:4 *260:5 1.83 -3 *260:5 *260:7 5.625 -4 *260:7 *1144:B 6.91 -5 *260:7 *1145:B 7.43 -6 *260:5 *1116:A1 9.815 -7 *260:4 *1122:B 7.775 -*END - -*D_NET *261 0.00118062 -*CONN -*I *1125:B I *D sky130_fd_sc_hd__and2_2 -*I *1123:B I *D sky130_fd_sc_hd__xor2_2 -*I *1113:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1364:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1125:B 6.1762e-05 -2 *1123:B 0 -3 *1113:A1 0.000152536 -4 *1364:Q 3.59225e-05 -5 *261:10 0.000218003 -6 *261:7 0.000163152 -7 *1113:A0 *1113:A1 6.73751e-05 -8 *1113:S *1113:A1 9.50342e-06 -9 *1122:A *1125:B 3.63661e-05 -10 *1122:A *261:7 2.75145e-05 -11 *99:5 *1125:B 6.69341e-05 -12 *99:5 *261:7 1.83756e-05 -13 *252:13 *261:10 7.63204e-05 -14 *256:29 *1113:A1 5.98004e-06 -15 *256:29 *1125:B 0.000162688 -16 *256:29 *261:10 7.81876e-05 -*RES -1 *1364:Q *261:7 2.41 -2 *261:7 *261:10 3.89 -3 *261:10 *1113:A1 6.705 -4 *261:10 *1123:B 2 -5 *261:7 *1125:B 3.775 -*END - -*D_NET *262 0.00386208 -*CONN -*I *1111:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1136:B I *D sky130_fd_sc_hd__nor2_2 -*I *1124:B I *D sky130_fd_sc_hd__and2_2 -*I *1120:A I *D sky130_fd_sc_hd__inv_2 -*I *1365:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1111:A1 7.81382e-05 -2 *1136:B 1.96402e-05 -3 *1124:B 0 -4 *1120:A 0.000214162 -5 *1365:Q 0.000682148 -6 *262:32 0.0003093 -7 *262:19 0.000211522 -8 *262:8 0.000896309 -9 *1121:B *1120:A 0.000312771 -10 *1121:B *262:8 0.000151439 -11 *1126:B1 *262:32 0 -12 *1136:A *262:32 0.000268501 -13 *1137:A *1111:A1 7.23533e-05 -14 *1364:D *1120:A 0 -15 *1365:RESET_B *262:8 0 -16 *95:8 *1111:A1 1.1392e-05 -17 *97:12 *1120:A 0.00012657 -18 *98:5 *1136:B 3.83041e-05 -19 *98:5 *262:32 6.39952e-05 -20 *252:13 *1120:A 0.000124895 -21 *252:47 *262:8 0.000110211 -22 *253:24 *1111:A1 0.000116853 -23 *253:24 *262:8 0 -24 *254:45 *1111:A1 2.68721e-05 -25 *257:53 *1120:A 0 -26 *257:53 *262:8 0 -27 *258:17 *262:8 2.67022e-05 -*RES -1 *1365:Q *262:8 12.645 -2 *262:8 *1120:A 8.925 -3 *262:8 *262:19 2 -4 *262:19 *1124:B 1.6 -5 *262:19 *262:32 4.155 -6 *262:32 *1136:B 2.05 -7 *262:32 *1111:A1 7.43 -*END - -*D_NET *263 0.00313113 -*CONN -*I *1109:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1153:B I *D sky130_fd_sc_hd__and2_2 -*I *1127:B I *D sky130_fd_sc_hd__nor2_2 -*I *1128:B I *D sky130_fd_sc_hd__nand2_2 -*I *1366:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1109:A1 6.82592e-05 -2 *1153:B 5.53185e-05 -3 *1127:B 0.000144947 -4 *1128:B 0 -5 *1366:Q 0.000217858 -6 *263:17 0.00029407 -7 *263:8 0.000131938 -8 *263:5 0.000324251 -9 *378:DIODE *1127:B 3.3485e-05 -10 *378:DIODE *1153:B 9.80032e-06 -11 *1109:A0 *1109:A1 1.03323e-05 -12 *1127:A *1127:B 0.000232403 -13 *1131:A1 *1127:B 8.49205e-05 -14 *1131:A2 *1127:B 5.61451e-05 -15 *1131:A2 *1153:B 2.16917e-05 -16 *1131:B1 *1127:B 4.89295e-05 -17 *1153:A *1153:B 0.000199833 -18 *1153:A *263:17 5.38986e-05 -19 *1366:RESET_B *1109:A1 3.63576e-05 -20 *1366:RESET_B *263:5 0.000304419 -21 *1366:RESET_B *263:8 7.03276e-05 -22 *100:6 *263:8 0.000112595 -23 *100:6 *263:17 0.00014834 -24 *100:17 *263:17 4.9968e-05 -25 *101:10 *1127:B 0.000112822 -26 *101:10 *1153:B 0.000239813 -27 *258:17 *1109:A1 2.65814e-06 -28 *258:28 *263:8 2.3228e-05 -29 *258:28 *263:17 5.31158e-06 -30 *258:35 *263:17 3.72039e-05 -*RES -1 *1366:Q *263:5 4.465 -2 *263:5 *263:8 3.38 -3 *263:8 *1128:B 3.6 -4 *263:8 *263:17 4.4 -5 *263:17 *1127:B 5.875 -6 *263:17 *1153:B 4.12 -7 *263:5 *1109:A1 2.395 -*END - -*D_NET *264 0.00235206 -*CONN -*I *1107:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1151:B I *D sky130_fd_sc_hd__xor2_2 -*I *1159:B I *D sky130_fd_sc_hd__nand2_2 -*I *1367:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1107:A1 0 -2 *1151:B 0.000163542 -3 *1159:B 3.44213e-05 -4 *1367:Q 0.000200704 -5 *264:14 0.000527823 -6 *264:9 0.000530564 -7 *1151:B *284:35 2.81759e-05 -8 *1107:S *264:9 8.65905e-05 -9 *1108:A *264:14 0.000127884 -10 *1151:A *1151:B 0.000165146 -11 *1159:A *1159:B 4.99619e-05 -12 *1354:D *264:9 0 -13 *1354:D *264:14 7.45968e-05 -14 *253:8 *1151:B 0 -15 *253:8 *264:14 0 -16 *259:42 *264:9 0.00027295 -17 *259:42 *264:14 5.30673e-05 -18 *259:48 *1159:B 3.66286e-05 -19 *259:48 *264:14 0 -*RES -1 *1367:Q *264:9 9.65 -2 *264:9 *264:14 7.43 -3 *264:14 *1159:B 4.395 -4 *264:14 *1151:B 5.0825 -5 *264:9 *1107:A1 1.6 -*END - -*D_NET *265 0.000974635 -*CONN -*I *1361:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1360:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1361:D 0.000377509 -2 *1360:Q 0.000377509 -3 *1360:CLK *1361:D 1.32843e-05 -4 *1361:CLK *1361:D 4.70256e-05 -5 *38:5 *1361:D 0.000118513 -6 *254:112 *1361:D 4.07937e-05 -*RES -1 *1360:Q *1361:D 12.48 -*END - -*D_NET *266 0.00377818 -*CONN -*I *1362:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1104:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1361:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1362:D 0.000674753 -2 *1104:A 0.000175217 -3 *1361:Q 0.000223464 -4 *266:8 0.00107343 -5 *1104:A *1104:B 0.000162311 -6 *1362:D *371:56 0.000196669 -7 *1339:A *1362:D 0 -8 *1339:A *266:8 5.16046e-05 -9 *1339:B *1104:A 3.96737e-05 -10 *1359:RESET_B *1362:D 0.000118207 -11 *1361:RESET_B *266:8 0 -12 *1362:RESET_B *1362:D 0.000836294 -13 *1362:RESET_B *266:8 0.000226555 -14 *253:71 *1362:D 0 -*RES -1 *1361:Q *266:8 7.395 -2 *266:8 *1104:A 6.825 -3 *266:8 *1362:D 18.525 -*END - -*D_NET *267 0.00220217 -*CONN -*I *1104:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1362:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1104:B 0.000438327 -2 *1362:Q 0.000438327 -3 *1104:B *371:56 0.000194267 -4 *1104:A *1104:B 0.000162311 -5 *1339:B *1104:B 0.000100139 -6 *1345:D *1104:B 0.000116853 -7 *1345:RESET_B *1104:B 2.42236e-05 -8 *1346:D *1104:B 4.7043e-05 -9 *1347:D *1104:B 0 -10 *1362:RESET_B *1104:B 0.000637962 -11 *85:11 *1104:B 4.27152e-05 -*RES -1 *1362:Q *1104:B 18.015 -*END - -*D_NET *268 0.00201567 -*CONN -*I *1237:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1176:B I *D sky130_fd_sc_hd__and4b_2 -*I *1235:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1345:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1237:A_N 0.000183875 -2 *1176:B 9.85868e-05 -3 *1235:A0 0 -4 *1345:Q 0.00039886 -5 *268:15 0.000328707 -6 *268:7 0.000445106 -7 *268:7 *1235:A1 3.82323e-06 -8 *268:15 *1235:A1 4.9253e-06 -9 *425:DIODE *1237:A_N 7.60502e-05 -10 *1106:A *1176:B 7.21296e-06 -11 *1106:A *1237:A_N 1.82563e-05 -12 *1235:S *268:15 4.66108e-05 -13 *1236:A *268:7 8.5654e-06 -14 *1345:D *268:7 5.83121e-06 -15 *1345:RESET_B *268:7 1.03323e-05 -16 *1346:RESET_B *1176:B 0.000137571 -17 *1346:RESET_B *1237:A_N 0.000196404 -18 *84:60 *1176:B 4.49498e-05 -*RES -1 *1345:Q *268:7 6.115 -2 *268:7 *1235:A0 1.6 -3 *268:7 *268:15 2.795 -4 *268:15 *1176:B 5.685 -5 *268:15 *1237:A_N 7.53 -*END - -*D_NET *269 0.00171485 -*CONN -*I *1233:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1235:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1176:D I *D sky130_fd_sc_hd__and4b_2 -*I *1346:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1233:A0 4.96899e-05 -2 *1235:A1 0.000116185 -3 *1176:D 0 -4 *1346:Q 0.000267449 -5 *269:8 0.000197369 -6 *269:5 0.000398323 -7 *1235:A1 *1233:A1 7.70758e-05 -8 *269:8 *1233:A1 0.000205329 -9 *1176:A_N *269:8 0 -10 *1234:A *269:8 5.47609e-05 -11 *1236:A *1235:A1 0 -12 *1346:RESET_B *1233:A0 2.75229e-05 -13 *1346:RESET_B *1235:A1 3.23391e-05 -14 *1346:RESET_B *269:5 0.000227047 -15 *1347:D *269:8 5.30144e-05 -16 *268:7 *1235:A1 3.82323e-06 -17 *268:15 *1235:A1 4.9253e-06 -*RES -1 *1346:Q *269:5 5.155 -2 *269:5 *269:8 4.655 -3 *269:8 *1176:D 3.6 -4 *269:8 *1235:A1 5.685 -5 *269:5 *1233:A0 2.41 -*END - -*D_NET *270 0.0020474 -*CONN -*I *1176:C I *D sky130_fd_sc_hd__and4b_2 -*I *1233:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1347:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1176:C 0 -2 *1233:A1 0.000144908 -3 *1347:Q 0.000498195 -4 *270:5 0.000643103 -5 *1176:A_N *1233:A1 6.57653e-06 -6 *1181:B *270:5 4.82919e-05 -7 *1235:A1 *1233:A1 7.70758e-05 -8 *1346:RESET_B *1233:A1 0.000244794 -9 *1347:D *270:5 0.000179124 -10 *269:8 *1233:A1 0.000205329 -*RES -1 *1347:Q *270:5 7.57 -2 *270:5 *1233:A1 9.77 -3 *270:5 *1176:C 1.6 -*END - -*D_NET *271 0.00823254 -*CONN -*I *1213:B1 I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1166:A I *D sky130_fd_sc_hd__inv_2 -*I *1260:B I *D sky130_fd_sc_hd__nor2_2 -*I *1190:B I *D sky130_fd_sc_hd__nand2_2 -*I *1209:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1355:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1213:B1 0 -2 *1166:A 5.26256e-05 -3 *1260:B 0.000333873 -4 *1190:B 2.46542e-05 -5 *1209:A0 2.94809e-05 -6 *1355:Q 0.00106245 -7 *271:31 0.000580538 -8 *271:25 0.000615626 -9 *271:12 0.000500696 -10 *271:8 0.00119268 -11 *271:8 *274:8 0 -12 *271:25 *275:30 0.000438192 -13 *271:31 *275:30 0.000139984 -14 *271:31 *275:42 4.92861e-05 -15 *1198:B *1209:A0 7.43607e-05 -16 *1198:B *271:8 5.53249e-06 -17 *1198:B *271:12 4.32778e-05 -18 *1210:A2 *271:25 1.92979e-05 -19 *1210:B1 *271:12 3.09164e-05 -20 *1210:B1 *271:25 0.000247594 -21 *1219:A2 *271:8 7.16181e-05 -22 *1219:A2 *271:12 2.86623e-05 -23 *1259:B1 *1260:B 4.68279e-05 -24 *1260:A *1260:B 0.000204765 -25 *1260:A *271:31 3.913e-05 -26 *1261:A *1260:B 7.59573e-06 -27 *1289:B1 *1260:B 0.000160342 -28 *1290:A_N *1260:B 8.73147e-06 -29 *1290:A_N *271:31 3.59531e-06 -30 *1292:A1 *1260:B 0.000132082 -31 *1292:A2 *1260:B 1.1071e-05 -32 *1296:C *271:31 0.000277656 -33 *1327:A *271:8 6.72833e-06 -34 *1331:B *271:8 0.00015428 -35 *1355:D *271:8 0 -36 *24:31 *1260:B 0.000179207 -37 *93:55 *1166:A 2.46936e-05 -38 *93:55 *271:25 0.000176152 -39 *93:55 *271:31 1.08994e-05 -40 *140:8 *271:25 3.60738e-06 -41 *140:17 *271:25 4.68159e-05 -42 *155:18 *271:12 7.17811e-05 -43 *155:18 *271:25 0.000143846 -44 *163:57 *271:31 0 -45 *164:47 *1190:B 0.000122325 -46 *171:9 *1209:A0 0.000122331 -47 *198:58 *271:8 0 -48 *198:58 *271:12 0 -49 *198:60 *271:8 0 -50 *208:34 *1166:A 8.40269e-05 -51 *211:40 *1166:A 8.40269e-05 -52 *221:39 *1190:B 0.000122325 -53 *233:11 *1260:B 3.39272e-05 -54 *233:27 *1260:B 1.048e-05 -55 *253:71 *271:8 3.48218e-05 -56 *254:190 *271:8 0.000241583 -57 *254:202 *271:8 0.000125545 -*RES -1 *1355:Q *271:8 19.83 -2 *271:8 *271:12 2.595 -3 *271:12 *1209:A0 4.74 -4 *271:12 *271:25 9.2025 -5 *271:25 *271:31 5.3025 -6 *271:31 *1190:B 4.74 -7 *271:31 *1260:B 11.055 -8 *271:25 *1166:A 5.0775 -9 *271:8 *1213:B1 3.6 -*END - -*D_NET *272 0.00406346 -*CONN -*I *1165:A I *D sky130_fd_sc_hd__inv_2 -*I *1189:A I *D sky130_fd_sc_hd__buf_2 -*I *1356:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1165:A 5.4157e-05 -2 *1189:A 0.000383826 -3 *1356:Q 0.000508075 -4 *272:10 0.000946058 -5 *272:10 *1461:TE 0.0001967 -6 *272:10 *275:15 0.000379589 -7 *272:10 *301:17 0.000176724 -8 *272:10 *372:14 0.000231197 -9 *272:10 *373:11 0.000549523 -10 *410:DIODE *272:10 3.04982e-05 -11 *417:DIODE *1189:A 2.46669e-05 -12 *1201:B1 *272:10 0.000113797 -13 *1253:C1 *1189:A 0 -14 *1313:A2 *1165:A 0.000121023 -15 *1313:A2 *1189:A 9.20682e-05 -16 *1313:B1 *1189:A 0.000167643 -17 *92:30 *1189:A 4.28112e-06 -18 *92:43 *1189:A 3.95954e-05 -19 *139:5 *1189:A 8.39205e-06 -20 *139:10 *1189:A 3.56402e-05 -*RES -1 *1356:Q *272:10 19.19 -2 *272:10 *1189:A 11.39 -3 *272:10 *1165:A 2.74 -*END - -*D_NET *273 0.00720964 -*CONN -*I *1245:C I *D sky130_fd_sc_hd__and3_2 -*I *1171:B I *D sky130_fd_sc_hd__nor2_2 -*I *1244:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *1186:A I *D sky130_fd_sc_hd__and2_2 -*I *1163:A I *D sky130_fd_sc_hd__buf_2 -*I *1357:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1245:C 0.000220246 -2 *1171:B 0 -3 *1244:A_N 0.00041887 -4 *1186:A 0 -5 *1163:A 0.000185261 -6 *1357:Q 0.000544383 -7 *273:47 0.00052263 -8 *273:30 0.000933392 -9 *273:10 0.000185261 -10 *273:8 0.000756521 -11 *1163:A *1164:A 4.18603e-06 -12 *1163:A *1164:B 7.48954e-05 -13 *1244:A_N *1168:A 0.000212559 -14 *1244:A_N *309:21 4.82863e-05 -15 *273:8 *1164:B 0 -16 *273:8 *1174:B 0 -17 *416:DIODE *273:47 1.83975e-05 -18 *1168:C *1163:A 0 -19 *1168:C *273:30 0 -20 *1175:A *273:30 9.21507e-05 -21 *1182:A2 *273:47 0 -22 *1182:A3 *1244:A_N 9.90908e-05 -23 *1186:B *273:8 8.7152e-06 -24 *1186:B *273:30 1.88602e-05 -25 *1187:A *1163:A 0.000237243 -26 *1187:A *273:8 0.000312097 -27 *1193:A *1244:A_N 0 -28 *1193:A *273:30 7.08432e-05 -29 *1196:A *273:47 3.11771e-06 -30 *1213:A2_N *1163:A 0.000111285 -31 *1247:B *1245:C 6.71585e-05 -32 *1251:A *1245:C 0 -33 *1276:B *1244:A_N 0 -34 *1284:A2 *1244:A_N 0 -35 *1285:C *1244:A_N 0.000112446 -36 *1286:B2 *1244:A_N 3.09572e-05 -37 *1288:B *1245:C 0 -38 *1306:A *1244:A_N 1.97442e-05 -39 *1357:D *273:8 0 -40 *1358:D *273:8 0 -41 *5:90 *1244:A_N 0.000128542 -42 *5:90 *273:47 0.000277815 -43 *5:96 *273:47 0.000295991 -44 *5:113 *273:47 0.00010962 -45 *92:67 *1244:A_N 9.5799e-06 -46 *93:30 *1245:C 1.30548e-05 -47 *135:41 *1163:A 7.96104e-06 -48 *138:25 *1163:A 0 -49 *139:34 *1244:A_N 4.90227e-05 -50 *139:34 *273:47 0.000166177 -51 *140:33 *1244:A_N 7.03824e-05 -52 *140:33 *273:30 2.69443e-06 -53 *148:24 *273:8 0.000110934 -54 *170:7 *273:47 4.88075e-05 -55 *170:19 *1245:C 0.000140669 -56 *170:19 *273:47 8.07079e-05 -57 *202:20 *1244:A_N 2.25119e-05 -58 *216:25 *1244:A_N 4.82863e-05 -59 *220:12 *273:47 0.000232442 -60 *254:178 *273:8 8.5841e-05 -*RES -1 *1357:Q *273:8 13.185 -2 *273:8 *273:10 2 -3 *273:10 *1163:A 10.28 -4 *273:10 *1186:A 1.6 -5 *273:8 *273:30 6.035 -6 *273:30 *1244:A_N 15.11 -7 *273:30 *273:47 10.805 -8 *273:47 *1171:B 3.6 -9 *273:47 *1245:C 7.83 -*END - -*D_NET *274 0.00596528 -*CONN -*I *1119:A I *D sky130_fd_sc_hd__inv_2 -*I *1283:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1305:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1171:A I *D sky130_fd_sc_hd__nor2_2 -*I *1204:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1358:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1119:A 0 -2 *1283:A1 2.86387e-05 -3 *1305:B1 0.000274952 -4 *1171:A 0 -5 *1204:A0 9.83343e-05 -6 *1358:Q 0.000343023 -7 *274:34 0.000630047 -8 *274:30 0.00042357 -9 *274:20 0.000595021 -10 *274:8 0.000939264 -11 *1204:A0 *276:21 0.00027107 -12 *274:20 *275:30 0 -13 *1182:A2 *274:20 0.000297047 -14 *1182:A3 *274:20 0.000196843 -15 *1182:A3 *274:30 0.000103515 -16 *1184:A *274:20 0.000110191 -17 *1196:A *274:20 1.12141e-05 -18 *1203:A *274:20 0 -19 *1211:B *274:20 9.42927e-05 -20 *1213:A2_N *274:20 6.95505e-05 -21 *1219:A2 *274:20 0 -22 *1283:A2 *1283:A1 3.14021e-05 -23 *1283:A2 *1305:B1 8.41384e-05 -24 *1283:B1 *1283:A1 4.84982e-06 -25 *1283:B1 *274:34 1.83818e-05 -26 *1283:C1 *274:34 0.000155659 -27 *1355:D *274:8 0.000296081 -28 *1355:D *274:20 0.000131401 -29 *93:8 *274:34 3.64051e-06 -30 *93:30 *274:20 0.000159331 -31 *93:30 *274:30 8.69098e-05 -32 *93:30 *274:34 3.06569e-06 -33 *136:38 *274:20 0.000180689 -34 *137:47 *1305:B1 1.40993e-05 -35 *137:47 *274:34 1.80779e-05 -36 *145:5 *274:20 1.53053e-05 -37 *145:5 *274:30 8.52883e-05 -38 *155:18 *274:20 0 -39 *157:48 *1204:A0 4.89295e-05 -40 *163:26 *1305:B1 0.000123822 -41 *163:57 *274:20 1.7635e-05 -42 *271:8 *274:8 0 -*RES -1 *1358:Q *274:8 9.195 -2 *274:8 *1204:A0 6.12 -3 *274:8 *274:20 14.135 -4 *274:20 *1171:A 1.6 -5 *274:20 *274:30 2.895 -6 *274:30 *274:34 4.51875 -7 *274:34 *1305:B1 5.16625 -8 *274:34 *1283:A1 2.215 -9 *274:30 *1119:A 1.6 -*END - -*D_NET *275 0.0122195 -*CONN -*I *1168:A I *D sky130_fd_sc_hd__nand4_2 -*I *1247:A I *D sky130_fd_sc_hd__nor2_2 -*I *1243:A I *D sky130_fd_sc_hd__nor2_2 -*I *1170:A I *D sky130_fd_sc_hd__inv_2 -*I *1291:A I *D sky130_fd_sc_hd__nand2_2 -*I *1118:A I *D sky130_fd_sc_hd__buf_2 -*I *1359:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1168:A 0.000260184 -2 *1247:A 0.000170936 -3 *1243:A 0 -4 *1170:A 4.67506e-05 -5 *1291:A 0 -6 *1118:A 0 -7 *1359:Q 0.000801001 -8 *275:67 0.000491587 -9 *275:60 0.000726722 -10 *275:42 0.000781417 -11 *275:30 0.00104182 -12 *275:15 0.00177441 -13 *1247:A *372:14 0.000129338 -14 *275:15 *373:11 0.000206824 -15 *275:60 *309:21 8.23457e-05 -16 *275:67 *372:14 0.0001947 -17 *390:DIODE *275:30 0.000113372 -18 *410:DIODE *275:15 0 -19 *1182:A3 *1168:A 9.8026e-05 -20 *1182:B1 *275:30 0.000132413 -21 *1183:A *275:30 4.82919e-05 -22 *1184:A *275:30 2.30908e-05 -23 *1193:A *1168:A 1.53024e-05 -24 *1199:A *275:30 0.000107415 -25 *1200:A2 *275:30 8.65905e-05 -26 *1200:B1 *275:30 0.000239813 -27 *1243:B *275:60 7.9642e-06 -28 *1243:B *275:67 4.66108e-05 -29 *1244:A_N *1168:A 0.000212559 -30 *1245:B *275:42 0.000197264 -31 *1247:B *1247:A 0.00010068 -32 *1257:B *275:67 1.67131e-05 -33 *1273:B1 *275:60 1.33285e-05 -34 *1283:A2 *1168:A 0.000119105 -35 *1284:B1 *1247:A 8.49205e-05 -36 *1284:B1 *275:67 7.72175e-05 -37 *1285:B *1168:A 7.23533e-05 -38 *1286:B2 *1168:A 0.000172928 -39 *5:113 *275:30 0.000336985 -40 *29:10 *275:15 0 -41 *30:23 *1170:A 4.66108e-05 -42 *30:23 *275:42 0.000197264 -43 *92:7 *275:30 0.000123225 -44 *155:18 *275:30 0.000180796 -45 *162:63 *1168:A 0 -46 *162:63 *275:60 0.000130352 -47 *163:26 *1168:A 1.03755e-05 -48 *163:39 *1247:A 4.82863e-05 -49 *163:57 *1170:A 4.76263e-05 -50 *163:57 *275:30 0 -51 *163:57 *275:42 0 -52 *163:57 *275:60 9.0444e-05 -53 *163:63 *275:60 8.35068e-05 -54 *171:9 *275:30 0.000329699 -55 *201:7 *1247:A 0.00017533 -56 *212:13 *275:60 6.18761e-05 -57 *215:8 *275:60 0.000423057 -58 *221:39 *275:60 0.00010112 -59 *226:10 *275:15 0 -60 *226:64 *275:15 0 -61 *226:78 *275:15 0 -62 *232:10 *1170:A 4.88568e-05 -63 *232:10 *275:60 8.30109e-05 -64 *271:25 *275:30 0.000438192 -65 *271:31 *275:30 0.000139984 -66 *271:31 *275:42 4.92861e-05 -67 *272:10 *275:15 0.000379589 -68 *274:20 *275:30 0 -*RES -1 *1359:Q *275:15 19.265 -2 *275:15 *1118:A 1.6 -3 *275:15 *275:30 22.475 -4 *275:30 *1291:A 3.6 -5 *275:30 *275:42 6.445 -6 *275:42 *1170:A 4.665 -7 *275:42 *275:60 16.11 -8 *275:60 *1243:A 1.6 -9 *275:60 *275:67 2.19 -10 *275:67 *1247:A 6.685 -11 *275:67 *1168:A 11.825 -*END - -*D_NET *276 0.00581077 -*CONN -*I *1218:A I *D sky130_fd_sc_hd__nand2_2 -*I *1219:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1164:B I *D sky130_fd_sc_hd__and2_2 -*I *1174:B I *D sky130_fd_sc_hd__nor2_2 -*I *1353:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1218:A 0 -2 *1219:A1 0 -3 *1164:B 0.000314761 -4 *1174:B 0.000107368 -5 *1353:Q 0.000626818 -6 *276:21 0.00110158 -7 *276:15 0.000887807 -8 *276:13 0.000835175 -9 *1164:B *1164:A 0 -10 *1174:B *1164:A 0 -11 *1163:A *1164:B 7.48954e-05 -12 *1187:A *1164:B 2.30292e-05 -13 *1201:A1 *276:13 0 -14 *1201:A2 *276:13 0.000239807 -15 *1201:B2 *276:13 1.52184e-05 -16 *1204:A0 *276:21 0.00027107 -17 *1205:A *276:21 8.65961e-05 -18 *1206:B *1164:B 2.37234e-05 -19 *1208:A *276:21 5.83121e-06 -20 *1213:A2_N *1164:B 8.40213e-05 -21 *1219:B1 *276:15 0.000234674 -22 *1353:D *276:13 0 -23 *1356:CLK *276:13 0.000116158 -24 *1357:D *1174:B 5.51158e-05 -25 *1357:D *276:21 8.65961e-05 -26 *1359:D *276:13 0 -27 *29:10 *276:13 0 -28 *138:13 *1164:B 7.06865e-05 -29 *157:13 *276:13 2.55546e-05 -30 *157:13 *276:15 4.82919e-05 -31 *157:48 *276:21 0.000475993 -32 *198:58 *276:13 0 -33 *273:8 *1164:B 0 -34 *273:8 *1174:B 0 -*RES -1 *1353:Q *276:13 14.93 -2 *276:13 *276:15 3.9 -3 *276:15 *276:21 14.795 -4 *276:21 *1174:B 5.49 -5 *276:21 *1164:B 9.09 -6 *276:15 *1219:A1 1.6 -7 *276:13 *1218:A 1.6 -*END - -*D_NET *277 0.00272069 -*CONN -*I *1164:A I *D sky130_fd_sc_hd__and2_2 -*I *1174:A I *D sky130_fd_sc_hd__nor2_2 -*I *1216:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1354:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1164:A 0.000210713 -2 *1174:A 4.47792e-05 -3 *1216:A0 0.000174084 -4 *1354:Q 0.000135369 -5 *277:21 0.000492123 -6 *277:8 0.000546084 -7 *1107:S *277:8 0 -8 *1163:A *1164:A 4.18603e-06 -9 *1164:B *1164:A 0 -10 *1174:B *1164:A 0 -11 *1206:B *1164:A 0.00013687 -12 *1214:A *1174:A 4.82863e-05 -13 *1214:A *277:21 9.27255e-06 -14 *1214:B *1164:A 0.000352768 -15 *1214:B *1174:A 5.23362e-05 -16 *1214:B *1216:A0 7.18771e-05 -17 *1214:B *277:8 0 -18 *1214:B *277:21 0.000180373 -19 *1357:D *1164:A 7.23602e-05 -20 *1357:D *1174:A 7.5416e-05 -21 *138:25 *1164:A 0.000113797 -22 *259:42 *277:8 0 -*RES -1 *1354:Q *277:8 7.745 -2 *277:8 *1216:A0 8.12 -3 *277:8 *277:21 5.21 -4 *277:21 *1174:A 4.92 -5 *277:21 *1164:A 9.06 -*END - -*D_NET *278 0.000229965 -*CONN -*I *1455:A I *D sky130_fd_sc_hd__clkinv_8 -*I *1454:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1455:A 8.81767e-05 -2 *1454:Y 8.81767e-05 -3 *1455:A *429:DIODE 4.99619e-05 -4 *254:8 *1455:A 3.64998e-06 -*RES -1 *1454:Y *1455:A 4.685 -*END - -*D_NET *279 0.000198381 -*CONN -*I *1457:A I *D sky130_fd_sc_hd__clkinv_8 -*I *1456:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1457:A 9.91904e-05 -2 *1456:Y 9.91904e-05 -3 *1457:A *1374:TE_B 0 -*RES -1 *1456:Y *1457:A 8.835 -*END - -*D_NET *280 0.000483601 -*CONN -*I *1369:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1457:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *1369:A 0.000241801 -2 *1457:Y 0.000241801 -3 *374:DIODE *1369:A 0 -*RES -1 *1457:Y *1369:A 11.13 -*END - -*D_NET *281 0.00120128 -*CONN -*I *1373:A I *D sky130_fd_sc_hd__einvp_2 -*I *1371:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1373:A 0.00028303 -2 *1371:X 0.00028303 -3 *1373:A *1371:A 0.000137168 -4 *1373:A *1376:A 0.000124895 -5 *1373:A *282:8 6.22865e-05 -6 *1373:A *288:8 9.48701e-05 -7 *1181:C *1373:A 0.00016436 -8 *1192:B *1373:A 5.16418e-05 -*RES -1 *1371:X *1373:A 14.4 -*END - -*D_NET *282 0.000974025 -*CONN -*I *1373:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1376:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1375:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1373:Z 0 -2 *1376:A 0.000144626 -3 *1375:Z 9.75864e-05 -4 *282:8 0.000242212 -5 *1376:A *1373:TE 8.65961e-05 -6 *1181:C *1376:A 5.68633e-05 -7 *1192:B *1376:A 0.00015896 -8 *1373:A *1376:A 0.000124895 -9 *1373:A *282:8 6.22865e-05 -*RES -1 *1375:Z *282:8 7.49 -2 *282:8 *1376:A 5.185 -3 *282:8 *1373:Z 1.6 -*END - -*D_NET *283 0.00131494 -*CONN -*I *1372:A I *D sky130_fd_sc_hd__einvp_2 -*I *1376:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1372:A 0.000286176 -2 *1376:Y 0.000286176 -3 *1372:A *1373:TE 0.00013726 -4 *1372:A *1391:A 0.000162684 -5 *1372:A *286:5 0.000126584 -6 *1372:A *287:8 0.00013709 -7 *387:DIODE *1372:A 0.000115726 -8 *1241:B1 *1372:A 6.3241e-05 -*RES -1 *1376:Y *1372:A 13.92 -*END - -*D_NET *284 0.0161734 -*CONN -*I *428:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1370:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *429:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1454:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1463:Z O *D sky130_fd_sc_hd__einvn_8 -*I *1461:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1466:Z O *D sky130_fd_sc_hd__einvp_1 -*CAP -1 *428:DIODE 0.000196524 -2 *1370:A 3.88498e-05 -3 *429:DIODE 0.000557568 -4 *1454:A 0 -5 *1463:Z 0.000200665 -6 *1461:Z 0 -7 *1466:Z 0.000281251 -8 *284:66 0.000318148 -9 *284:45 0.00117365 -10 *284:35 0.00258696 -11 *284:33 0.00335467 -12 *284:15 0.00170403 -13 *284:8 0.000318046 -14 *1370:A *288:8 4.88478e-05 -15 *284:8 *1460:A 0 -16 *284:8 *1461:A 0.000118527 -17 *284:8 *1466:A 0.000179613 -18 *284:33 *1462:TE 0.000119083 -19 *284:33 *1462:Z 8.23457e-05 -20 *284:33 *368:7 8.65905e-05 -21 *284:33 *373:11 6.80137e-05 -22 *419:DIODE *284:8 4.18603e-06 -23 *419:DIODE *284:15 4.70343e-05 -24 *419:DIODE *284:33 7.1932e-06 -25 *1106:A *284:8 5.05521e-05 -26 *1139:A1 *429:DIODE 3.09088e-05 -27 *1139:A3 *429:DIODE 1.08758e-05 -28 *1140:A *429:DIODE 0.000201503 -29 *1140:B *429:DIODE 7.68414e-06 -30 *1151:A *284:35 2.75145e-05 -31 *1151:B *284:35 2.81759e-05 -32 *1155:B *284:35 2.75229e-05 -33 *1156:A *284:45 0.000160635 -34 *1156:B *284:45 0.000174245 -35 *1158:A *284:35 5.05521e-05 -36 *1158:B *428:DIODE 8.53113e-06 -37 *1158:B *284:66 0.000163019 -38 *1160:A2 *284:35 2.32506e-05 -39 *1160:A2 *284:45 5.13714e-05 -40 *1179:A1 *284:45 0 -41 *1179:B1 *284:45 5.12942e-05 -42 *1180:B *284:45 0.000520669 -43 *1180:C *284:45 0 -44 *1180:D *284:66 0 -45 *1181:B *284:8 0.000623304 -46 *1181:B *284:15 0.000110683 -47 *1181:B *284:33 0.000240411 -48 *1181:C *428:DIODE 0.00016031 -49 *1192:B *428:DIODE 0 -50 *1197:A3 *284:35 1.59999e-05 -51 *1198:A *284:33 0.000134314 -52 *1198:B *284:33 3.09179e-05 -53 *1199:B *284:33 0.00022786 -54 *1202:B1 *284:35 4.66108e-05 -55 *1203:B *284:35 6.5058e-05 -56 *1204:A1 *284:35 8.73389e-06 -57 *1206:A *284:35 1.12578e-05 -58 *1207:A1 *284:35 1.83756e-05 -59 *1213:B2 *284:33 8.49205e-05 -60 *1215:B *284:35 4.70393e-05 -61 *1216:A1 *284:35 4.82299e-05 -62 *1312:A2 *284:33 8.99024e-05 -63 *1346:RESET_B *284:8 0 -64 *1455:A *429:DIODE 4.99619e-05 -65 *10:21 *284:45 1.56406e-05 -66 *11:10 *429:DIODE 4.57897e-05 -67 *28:10 *284:8 0 -68 *108:8 *429:DIODE 3.1812e-05 -69 *112:9 *284:45 0 -70 *125:5 *284:35 0.000141323 -71 *135:8 *428:DIODE 0 -72 *138:13 *284:35 0.000158066 -73 *157:32 *284:33 0.000187246 -74 *157:39 *284:33 0.000103589 -75 *157:39 *284:35 3.78533e-05 -76 *161:11 *284:35 2.75145e-05 -77 *169:20 *284:35 0.000330615 -*RES -1 *1466:Z *284:8 11.64 -2 *284:8 *1461:Z 3.6 -3 *284:8 *284:15 1.38 -4 *284:15 *1463:Z 6.12 -5 *284:15 *284:33 24.9875 -6 *284:33 *284:35 29.2575 -7 *284:35 *284:45 16.315 -8 *284:45 *1454:A 1.6 -9 *284:45 *429:DIODE 13.16 -10 *284:35 *284:66 3.89 -11 *284:66 *1370:A 4.395 -12 *284:66 *428:DIODE 6.465 -*END - -*D_NET *285 0.0008631 -*CONN -*I *1391:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1372:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1374:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1391:A 5.61477e-05 -2 *1372:Z 0 -3 *1374:Z 0.00015091 -4 *285:9 0.000207058 -5 *1391:A *286:5 0.000200197 -6 *285:9 *286:5 6.41593e-05 -7 *1372:A *1391:A 0.000162684 -8 *12:11 *285:9 2.19432e-05 -*RES -1 *1374:Z *285:9 8.12 -2 *285:9 *1372:Z 1.6 -3 *285:9 *1391:A 3.79 -*END - -*D_NET *286 0.00224268 -*CONN -*I *1372:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1374:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1241:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1372:TE 0 -2 *1374:TE_B 0.000443587 -3 *1241:Y 0.000189775 -4 *286:5 0.000633362 -5 *286:5 *310:8 8.65961e-05 -6 *401:DIODE *1374:TE_B 0.000122155 -7 *401:DIODE *286:5 0.000376264 -8 *1372:A *286:5 0.000126584 -9 *1391:A *286:5 0.000200197 -10 *1457:A *1374:TE_B 0 -11 *5:8 *1374:TE_B 0 -12 *285:9 *286:5 6.41593e-05 -*RES -1 *1241:Y *286:5 8.26 -2 *286:5 *1374:TE_B 13.025 -3 *286:5 *1372:TE 1.6 -*END - -*D_NET *287 0.00474673 -*CONN -*I *1375:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1373:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1282:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1375:TE_B 0 -2 *1373:TE 0.000137856 -3 *1282:X 0.000934342 -4 *287:8 0.0010722 -5 *1373:TE *288:8 7.46366e-05 -6 *287:8 *1371:A 6.26232e-05 -7 *287:8 *1392:A 0.000180682 -8 *287:8 *1396:TE_B 0 -9 *287:8 *1418:A 5.56228e-05 -10 *287:8 *288:8 7.27704e-06 -11 *287:8 *310:8 0.000137571 -12 *287:8 *322:8 4.31979e-05 -13 *287:8 *328:9 6.52627e-05 -14 *387:DIODE *1373:TE 3.50033e-05 -15 *401:DIODE *287:8 0 -16 *404:DIODE *287:8 0.000159258 -17 *405:DIODE *287:8 2.10214e-05 -18 *1181:C *1373:TE 8.65961e-05 -19 *1254:A2 *287:8 8.53011e-05 -20 *1254:B1 *287:8 0.000118081 -21 *1259:B1 *287:8 0.000442428 -22 *1267:A1 *287:8 0.000272899 -23 *1267:A2 *287:8 0.000347319 -24 *1267:B1 *287:8 4.66108e-05 -25 *1372:A *1373:TE 0.00013726 -26 *1372:A *287:8 0.00013709 -27 *1376:A *1373:TE 8.65961e-05 -28 *5:14 *287:8 0 -29 *13:13 *287:8 0 -*RES -1 *1282:X *287:8 26.145 -2 *287:8 *1373:TE 7.245 -3 *287:8 *1375:TE_B 3.6 -*END - -*D_NET *288 0.00259344 -*CONN -*I *1371:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1375:A I *D sky130_fd_sc_hd__einvn_4 -*I *1374:A I *D sky130_fd_sc_hd__einvn_8 -*I *1370:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1371:A 0.000148259 -2 *1375:A 0 -3 *1374:A 8.13965e-05 -4 *1370:X 0.000394742 -5 *288:11 0.000226262 -6 *288:8 0.000687866 -7 *1371:A *1392:A 1.26626e-05 -8 *1371:A *310:8 7.44375e-05 -9 *387:DIODE *288:8 0 -10 *1158:B *288:8 2.75229e-05 -11 *1192:B *288:8 0.000158297 -12 *1370:A *288:8 4.88478e-05 -13 *1373:A *1371:A 0.000137168 -14 *1373:A *288:8 9.48701e-05 -15 *1373:TE *288:8 7.46366e-05 -16 *12:11 *1374:A 0.000161467 -17 *12:11 *288:11 0.000146814 -18 *135:13 *288:8 4.82919e-05 -19 *287:8 *1371:A 6.26232e-05 -20 *287:8 *288:8 7.27704e-06 -*RES -1 *1370:X *288:8 10.395 -2 *288:8 *288:11 4.52 -3 *288:11 *1374:A 3.445 -4 *288:11 *1375:A 1.6 -5 *288:8 *1371:A 7.53 -*END - -*D_NET *289 0.000652917 -*CONN -*I *1380:A I *D sky130_fd_sc_hd__einvp_2 -*I *1378:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1380:A 0.000201478 -2 *1378:X 0.000201478 -3 *1380:A *1449:TE 9.84614e-05 -4 *1380:A *1450:TE 8.49205e-05 -5 *226:85 *1380:A 6.65787e-05 -*RES -1 *1378:X *1380:A 11.175 -*END - -*D_NET *290 0.00123768 -*CONN -*I *1380:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1383:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1382:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1380:Z 8.98833e-05 -2 *1383:A 0.000348831 -3 *1382:Z 0 -4 *290:4 0.000438715 -5 *1380:Z *1377:A 0 -6 *1380:Z *1378:A 0 -7 *1380:Z *1381:A 1.22762e-05 -8 *1383:A *295:8 0.00011686 -9 *416:DIODE *1383:A 0 -10 *1312:B1 *1383:A 0.000125264 -11 *226:85 *1380:Z 0.000105849 -*RES -1 *1382:Z *290:4 1.6 -2 *290:4 *1383:A 10.985 -3 *290:4 *1380:Z 7.745 -*END - -*D_NET *291 0.0013845 -*CONN -*I *1379:A I *D sky130_fd_sc_hd__einvp_2 -*I *1383:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1379:A 0.000423633 -2 *1383:Y 0.000423633 -3 *1379:A *1379:TE 0.000387902 -4 *1312:A2 *1379:A 6.12852e-05 -5 *1312:B1 *1379:A 8.80473e-05 -*RES -1 *1383:Y *1379:A 10.67 -*END - -*D_NET *292 0.00104396 -*CONN -*I *1449:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1377:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1451:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1449:Z 2.54989e-05 -2 *1377:A 0.000137986 -3 *1451:Z 0.000187779 -4 *292:6 0.000351264 -5 *1377:A *1378:A 0.000163992 -6 *1377:A *1381:A 0 -7 *1449:Z *1449:A 4.82919e-05 -8 *292:6 *1378:A 0.000129148 -9 *292:6 *1449:TE 0 -10 *1380:Z *1377:A 0 -*RES -1 *1451:Z *292:6 7.02 -2 *292:6 *1377:A 6.255 -3 *292:6 *1449:Z 4.05 -*END - -*D_NET *293 0.000624789 -*CONN -*I *1379:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1384:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1381:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1379:Z 6.45164e-05 -2 *1384:A 0.000128918 -3 *1381:Z 3.59699e-05 -4 *293:7 0.000229404 -5 *1312:A2 *1379:Z 4.49328e-05 -6 *1312:A2 *1384:A 7.21085e-05 -7 *1313:A2 *1384:A 4.89395e-05 -*RES -1 *1381:Z *293:7 4.05 -2 *293:7 *1384:A 6.195 -3 *293:7 *1379:Z 4.98 -*END - -*D_NET *294 0.00951636 -*CONN -*I *1381:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1379:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1275:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1381:TE_B 0 -2 *1379:TE 0.000198909 -3 *1275:X 0.00221141 -4 *294:23 0.00241032 -5 *1379:TE *373:11 0 -6 *294:23 *373:11 0.000193801 -7 *416:DIODE *1379:TE 0 -8 *1172:B *294:23 0.000130741 -9 *1252:A *294:23 6.63468e-05 -10 *1275:A2 *294:23 6.04526e-05 -11 *1285:B *294:23 0.000158066 -12 *1288:A *294:23 0.000158434 -13 *1288:B *294:23 0.00022786 -14 *1308:A2 *294:23 1.37599e-05 -15 *1308:A3 *294:23 4.66108e-05 -16 *1308:B1 *294:23 2.54522e-05 -17 *1309:B1 *1379:TE 7.09928e-05 -18 *1309:B1 *294:23 0.000597757 -19 *1312:A2 *1379:TE 0.00012657 -20 *1312:B1 *1379:TE 0.000160641 -21 *1314:B2 *294:23 6.90876e-05 -22 *1379:A *1379:TE 0.000387902 -23 *5:74 *294:23 8.23457e-05 -24 *5:90 *294:23 0.000490862 -25 *37:24 *294:23 2.8185e-05 -26 *93:55 *294:23 7.23533e-05 -27 *140:8 *294:23 7.06865e-05 -28 *146:7 *294:23 0.00120236 -29 *208:34 *294:23 3.83194e-05 -30 *211:40 *294:23 9.27255e-06 -31 *217:22 *294:23 0.000158571 -32 *232:10 *294:23 4.82919e-05 -*RES -1 *1275:X *294:23 42.74 -2 *294:23 *1379:TE 11.3 -3 *294:23 *1381:TE_B 1.6 -*END - -*D_NET *295 0.000842803 -*CONN -*I *1380:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1382:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1312:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1380:TE 0.000129031 -2 *1382:TE_B 0 -3 *1312:X 7.54149e-05 -4 *295:8 0.000204446 -5 *1380:TE *1449:A 8.49205e-05 -6 *416:DIODE *1380:TE 0 -7 *1309:B1 *1380:TE 0.000105841 -8 *1309:B1 *295:8 7.63282e-05 -9 *1312:B1 *295:8 4.99619e-05 -10 *1383:A *295:8 0.00011686 -*RES -1 *1312:X *295:8 5.685 -2 *295:8 *1382:TE_B 3.6 -3 *295:8 *1380:TE 6.54 -*END - -*D_NET *296 0.00163824 -*CONN -*I *1378:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1382:A I *D sky130_fd_sc_hd__einvn_4 -*I *1381:A I *D sky130_fd_sc_hd__einvn_8 -*I *1377:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1378:A 0.000190119 -2 *1382:A 0 -3 *1381:A 0.000219378 -4 *1377:X 0 -5 *296:9 0.000373233 -6 *296:4 0.000343974 -7 *1378:A *1449:TE 0 -8 *1312:A2 *1381:A 0.000206116 -9 *1377:A *1378:A 0.000163992 -10 *1377:A *1381:A 0 -11 *1380:Z *1378:A 0 -12 *1380:Z *1381:A 1.22762e-05 -13 *226:78 *1381:A 0 -14 *226:85 *1378:A 0 -15 *226:85 *1381:A 0 -16 *292:6 *1378:A 0.000129148 -*RES -1 *1377:X *296:4 1.6 -2 *296:4 *296:9 2.46 -3 *296:9 *1381:A 9.785 -4 *296:9 *1382:A 1.6 -5 *296:4 *1378:A 9.47 -*END - -*D_NET *297 0.00116444 -*CONN -*I *1387:A I *D sky130_fd_sc_hd__einvp_2 -*I *1385:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1387:A 0.000222371 -2 *1385:X 0.000222371 -3 *1387:A *300:14 0.000164679 -4 *1387:A *303:6 0.000139717 -5 *1387:A *303:10 0.000120186 -6 *1312:A2 *1387:A 2.39947e-05 -7 *1313:A2 *1387:A 0.000271121 -8 *28:10 *1387:A 0 -*RES -1 *1385:X *1387:A 13.14 -*END - -*D_NET *298 0.00115946 -*CONN -*I *1387:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1390:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1389:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1387:Z 0.000142364 -2 *1390:A 0.000204615 -3 *1389:Z 5.94682e-05 -4 *298:5 0.000406448 -5 *1390:A *1386:A 8.696e-05 -6 *1390:A *1389:TE_B 4.82919e-05 -7 *1181:B *1387:Z 5.02217e-05 -8 *1312:A2 *1387:Z 0 -9 *226:78 *1387:Z 0.000161091 -*RES -1 *1389:Z *298:5 2.395 -2 *298:5 *1390:A 4.81 -3 *298:5 *1387:Z 9.02 -*END - -*D_NET *299 0.000487673 -*CONN -*I *1386:A I *D sky130_fd_sc_hd__einvp_2 -*I *1390:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1386:A 0.000153817 -2 *1390:Y 0.000153817 -3 *1386:A *1389:TE_B 9.30787e-05 -4 *1386:A *373:11 0 -5 *1390:A *1386:A 8.696e-05 -*RES -1 *1390:Y *1386:A 9.465 -*END - -*D_NET *300 0.00313947 -*CONN -*I *1464:A I *D sky130_fd_sc_hd__einvn_4 -*I *1463:A I *D sky130_fd_sc_hd__einvn_8 -*I *1460:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1386:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1388:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1464:A 0.000169877 -2 *1463:A 0 -3 *1460:A 0.000140022 -4 *1386:Z 0.000412762 -5 *1388:Z 3.20296e-05 -6 *300:16 0.000182365 -7 *300:14 0.000427056 -8 *300:5 0.000659628 -9 *1386:Z *1388:TE_B 9.45966e-05 -10 *1460:A *1462:A 0.000135896 -11 *1464:A *1462:TE 0.000119762 -12 *1464:A *1462:Z 0.000108761 -13 *300:14 *1462:A 8.53113e-06 -14 *300:14 *303:10 1.15688e-05 -15 *300:16 *1462:A 0.000141599 -16 *419:DIODE *1460:A 9.69572e-05 -17 *1181:B *1386:Z 6.78079e-05 -18 *1312:A2 *1460:A 1.54862e-05 -19 *1312:A2 *300:14 9.70704e-05 -20 *1312:A2 *300:16 5.30144e-05 -21 *1387:A *300:14 0.000164679 -22 *28:10 *1460:A 0 -23 *28:10 *300:14 0 -24 *284:8 *1460:A 0 -*RES -1 *1388:Z *300:5 2.05 -2 *300:5 *1386:Z 7.57 -3 *300:5 *300:14 6.95 -4 *300:14 *300:16 1.635 -5 *300:16 *1460:A 6.795 -6 *300:16 *1463:A 3.6 -7 *300:14 *1464:A 6.465 -*END - -*D_NET *301 0.0111419 -*CONN -*I *1386:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1388:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1277:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1386:TE 0 -2 *1388:TE_B 0.000135505 -3 *1277:X 0.00289577 -4 *301:17 0.00303128 -5 *301:17 *317:24 6.52452e-05 -6 *301:17 *364:9 0.000141599 -7 *301:17 *372:14 0.000525548 -8 *301:17 *373:11 9.81232e-05 -9 *410:DIODE *301:17 0.000211179 -10 *416:DIODE *301:17 0.000571324 -11 *1181:B *1388:TE_B 0.000279872 -12 *1181:B *301:17 2.16833e-05 -13 *1254:B1 *301:17 8.23457e-05 -14 *1255:A *301:17 0.00047846 -15 *1259:B1 *301:17 7.64076e-05 -16 *1262:C1 *301:17 7.35729e-05 -17 *1272:A2 *301:17 2.32627e-05 -18 *1309:A2 *301:17 4.15452e-05 -19 *1386:Z *1388:TE_B 9.45966e-05 -20 *22:8 *301:17 0 -21 *92:67 *301:17 0 -22 *162:68 *301:17 3.91983e-05 -23 *197:66 *301:17 0 -24 *204:8 *301:17 4.82919e-05 -25 *206:30 *301:17 0.0001249 -26 *206:46 *301:17 0.000623597 -27 *206:60 *301:17 3.78034e-06 -28 *212:13 *301:17 2.26018e-05 -29 *215:15 *301:17 0.000259845 -30 *218:56 *301:17 0.000958696 -31 *220:21 *301:17 3.69766e-05 -32 *272:10 *301:17 0.000176724 -*RES -1 *1277:X *301:17 37.7894 -2 *301:17 *1388:TE_B 5.17 -3 *301:17 *1386:TE 1.6 -*END - -*D_NET *302 0.00153017 -*CONN -*I *1387:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1389:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1313:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1387:TE 3.47815e-05 -2 *1389:TE_B 0.000245048 -3 *1313:X 0.000148504 -4 *302:5 0.000428333 -5 *1389:TE_B *373:11 0 -6 *1313:A2 *1387:TE 5.04019e-05 -7 *1313:A2 *302:5 0.00029102 -8 *1386:A *1389:TE_B 9.30787e-05 -9 *1390:A *1389:TE_B 4.82919e-05 -10 *139:5 *302:5 0.000190715 -11 *226:78 *1389:TE_B 0 -*RES -1 *1313:X *302:5 5.155 -2 *302:5 *1389:TE_B 9.725 -3 *302:5 *1387:TE 2.39125 -*END - -*D_NET *303 0.00101328 -*CONN -*I *1388:A I *D sky130_fd_sc_hd__einvn_8 -*I *1385:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1389:A I *D sky130_fd_sc_hd__einvn_4 -*I *1384:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1388:A 0 -2 *1385:A 9.94677e-05 -3 *1389:A 6.14841e-05 -4 *1384:X 3.47426e-05 -5 *303:10 0.000200251 -6 *303:6 7.4042e-05 -7 *1312:A2 *303:6 0.000130213 -8 *1312:A2 *303:10 0.000141606 -9 *1387:A *303:6 0.000139717 -10 *1387:A *303:10 0.000120186 -11 *300:14 *303:10 1.15688e-05 -*RES -1 *1384:X *303:6 5.235 -2 *303:6 *303:10 3.635 -3 *303:10 *1389:A 2.395 -4 *303:10 *1385:A 3.1 -5 *303:6 *1388:A 3.6 -*END - -*D_NET *304 0.0012155 -*CONN -*I *1394:A I *D sky130_fd_sc_hd__einvp_2 -*I *1392:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1394:A 0.000359682 -2 *1392:X 0.000359682 -3 *1394:A *1398:A 0.000112261 -4 *1394:A *305:8 0.000113373 -5 *30:23 *1394:A 0.0002705 -*RES -1 *1392:X *1394:A 12.825 -*END - -*D_NET *305 0.00071481 -*CONN -*I *1394:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1397:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1396:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1394:Z 0 -2 *1397:A 6.5896e-05 -3 *1396:Z 0.000125056 -4 *305:8 0.000190952 -5 *1397:A *1398:A 8.49205e-05 -6 *305:8 *1396:TE_B 0 -7 *305:8 *1398:A 2.17455e-05 -8 *305:8 *307:8 2.78732e-05 -9 *1394:A *305:8 0.000113373 -10 *36:20 *1397:A 8.49936e-05 -*RES -1 *1396:Z *305:8 8 -2 *305:8 *1397:A 3.085 -3 *305:8 *1394:Z 1.6 -*END - -*D_NET *306 0.000381885 -*CONN -*I *1393:A I *D sky130_fd_sc_hd__einvp_2 -*I *1397:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1393:A 8.12212e-05 -2 *1397:Y 8.12212e-05 -3 *36:20 *1393:A 0.000162782 -4 *37:16 *1393:A 5.66611e-05 -*RES -1 *1397:Y *1393:A 9.03 -*END - -*D_NET *307 0.00202225 -*CONN -*I *1398:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1393:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1395:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1398:A 0.00029335 -2 *1393:Z 0.000120809 -3 *1395:Z 0.000200783 -4 *307:8 0.000614943 -5 *1398:A *1394:TE 7.29049e-05 -6 *1398:A *309:21 2.75229e-05 -7 *307:8 *1396:A 0 -8 *1394:A *1398:A 0.000112261 -9 *1397:A *1398:A 8.49205e-05 -10 *5:8 *307:8 0 -11 *5:11 *307:8 3.39496e-05 -12 *30:23 *1393:Z 4.66108e-05 -13 *30:23 *1398:A 3.11859e-05 -14 *30:23 *307:8 2.92496e-05 -15 *36:20 *1398:A 6.72854e-05 -16 *37:16 *1398:A 0.000120333 -17 *37:16 *307:8 7.07801e-05 -18 *200:5 *1393:Z 4.57447e-05 -19 *305:8 *1398:A 2.17455e-05 -20 *305:8 *307:8 2.78732e-05 -*RES -1 *1395:Z *307:8 7.305 -2 *307:8 *1393:Z 5.43 -3 *307:8 *1398:A 9.645 -*END - -*D_NET *308 0.000513262 -*CONN -*I *1393:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1395:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1250:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1393:TE 3.50832e-05 -2 *1395:TE_B 0.000102521 -3 *1250:X 4.21512e-05 -4 *308:5 0.000179756 -5 *1395:TE_B *1456:A 0 -6 *388:DIODE *1395:TE_B 0 -7 *5:8 *1395:TE_B 0 -8 *36:20 *1395:TE_B 6.10014e-05 -9 *200:7 *1393:TE 4.47209e-05 -10 *200:7 *308:5 4.80285e-05 -*RES -1 *1250:X *308:5 2.395 -2 *308:5 *1395:TE_B 7.49 -3 *308:5 *1393:TE 2.395 -*END - -*D_NET *309 0.0055025 -*CONN -*I *1396:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1394:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1286:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1396:TE_B 0.000149601 -2 *1394:TE 2.72028e-05 -3 *1286:X 0.00105048 -4 *309:21 0.00122729 -5 *1396:TE_B *1392:A 0 -6 *309:21 *1415:A 2.30292e-05 -7 *309:21 *1415:Z 0.000124007 -8 *309:21 *317:24 0.000293397 -9 *1244:A_N *309:21 4.82863e-05 -10 *1273:B1 *309:21 0.000148084 -11 *1276:A *309:21 4.94832e-05 -12 *1276:B *309:21 0.000237243 -13 *1278:A1 *309:21 6.27347e-05 -14 *1279:B1 *309:21 2.75229e-05 -15 *1281:A1 *309:21 1.19928e-05 -16 *1281:A2 *309:21 4.66108e-05 -17 *1281:B1 *309:21 9.16333e-06 -18 *1302:B1 *309:21 0.000132116 -19 *1398:A *1394:TE 7.29049e-05 -20 *1398:A *309:21 2.75229e-05 -21 *15:14 *309:21 9.27495e-05 -22 *36:20 *1394:TE 7.27778e-05 -23 *36:20 *309:21 0.000514227 -24 *164:15 *309:21 3.96737e-05 -25 *202:5 *309:21 5.30732e-05 -26 *202:20 *309:21 0.000235573 -27 *216:25 *309:21 0.000449237 -28 *224:14 *309:21 0.00019417 -29 *275:60 *309:21 8.23457e-05 -30 *287:8 *1396:TE_B 0 -31 *305:8 *1396:TE_B 0 -*RES -1 *1286:X *309:21 27.28 -2 *309:21 *1394:TE 2.74 -3 *309:21 *1396:TE_B 7.745 -*END - -*D_NET *310 0.00214061 -*CONN -*I *1392:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1395:A I *D sky130_fd_sc_hd__einvn_8 -*I *1396:A I *D sky130_fd_sc_hd__einvn_4 -*I *1391:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1392:A 9.06966e-05 -2 *1395:A 0 -3 *1396:A 0.000156926 -4 *1391:X 6.47718e-05 -5 *310:13 0.000375315 -6 *310:8 0.000373858 -7 *401:DIODE *310:8 8.49205e-05 -8 *1371:A *1392:A 1.26626e-05 -9 *1371:A *310:8 7.44375e-05 -10 *1396:TE_B *1392:A 0 -11 *5:11 *310:13 0.000250194 -12 *30:23 *1396:A 9.20336e-05 -13 *200:5 *1396:A 0.000159947 -14 *286:5 *310:8 8.65961e-05 -15 *287:8 *1392:A 0.000180682 -16 *287:8 *310:8 0.000137571 -17 *307:8 *1396:A 0 -*RES -1 *1391:X *310:8 6.03 -2 *310:8 *310:13 6.26 -3 *310:13 *1396:A 8.72 -4 *310:13 *1395:A 1.6 -5 *310:8 *1392:A 5.745 -*END - -*D_NET *311 0.00100439 -*CONN -*I *1401:A I *D sky130_fd_sc_hd__einvp_2 -*I *1399:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1401:A 0.00037343 -2 *1399:X 0.00037343 -3 *1401:A *1440:A 0.000257531 -*RES -1 *1399:X *1401:A 8.15 -*END - -*D_NET *312 0.00257549 -*CONN -*I *1404:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1401:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1403:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1404:A 0.000531149 -2 *1401:Z 2.55105e-05 -3 *1403:Z 0.000135631 -4 *312:8 0.000692291 -5 *1401:Z *1440:A 4.82863e-05 -6 *1404:A *1400:A 0.000668326 -7 *1404:A *1440:A 0.000294909 -8 *1404:A *1442:Z 8.69583e-05 -9 *312:8 *1400:TE 0 -10 *312:8 *1401:TE 0 -11 *18:5 *312:8 0 -12 *19:5 *312:8 0 -13 *226:114 *312:8 9.24256e-05 -*RES -1 *1403:Z *312:8 7.745 -2 *312:8 *1401:Z 2.05 -3 *312:8 *1404:A 12.43 -*END - -*D_NET *313 0.00127862 -*CONN -*I *1400:A I *D sky130_fd_sc_hd__einvp_2 -*I *1404:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1400:A 0.000134324 -2 *1404:Y 0.000134324 -3 *1400:A *1399:A 8.35835e-05 -4 *1400:A *1440:A 0.000237551 -5 *1400:A *1442:Z 2.0514e-05 -6 *1404:A *1400:A 0.000668326 -*RES -1 *1404:Y *1400:A 8.825 -*END - -*D_NET *314 0.00136183 -*CONN -*I *1400:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1405:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1402:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1400:Z 7.50601e-05 -2 *1405:A 4.24726e-05 -3 *1402:Z 0.000259789 -4 *314:9 0.000377321 -5 *1405:A *357:13 5.09431e-05 -6 *314:9 *1399:A 9.29903e-05 -7 *314:9 *1402:A 9.17857e-05 -8 *314:9 *1442:TE 0.000269335 -9 *314:9 *357:13 3.74864e-05 -10 *314:9 *359:8 9.23804e-06 -11 *19:5 *1400:Z 4.24833e-05 -12 *20:9 *314:9 1.29292e-05 -13 *24:31 *1400:Z 0 -*RES -1 *1402:Z *314:9 10.955 -2 *314:9 *1405:A 2.395 -3 *314:9 *1400:Z 6.98 -*END - -*D_NET *315 0.00228484 -*CONN -*I *1400:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1402:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1253:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1400:TE 0.000179877 -2 *1402:TE_B 0 -3 *1253:X 0.000270975 -4 *315:8 0.000450851 -5 *1400:TE *1403:A 3.96421e-05 -6 *1400:TE *317:24 5.98024e-05 -7 *315:8 *317:24 4.61406e-05 -8 *409:DIODE *315:8 0.000177867 -9 *1253:A2 *315:8 2.75229e-05 -10 *1298:B2 *315:8 0.000136884 -11 *19:5 *1400:TE 0.000149294 -12 *19:5 *315:8 7.58798e-06 -13 *30:23 *315:8 5.83121e-06 -14 *197:66 *315:8 5.78448e-05 -15 *226:114 *1400:TE 6.86208e-05 -16 *226:114 *315:8 0.000132319 -17 *236:8 *315:8 0.000473785 -18 *312:8 *1400:TE 0 -*RES -1 *1253:X *315:8 11.55 -2 *315:8 *1402:TE_B 3.6 -3 *315:8 *1400:TE 7.785 -*END - -*D_NET *316 0.000788422 -*CONN -*I *1401:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1403:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1289:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1401:TE 0.000113395 -2 *1403:TE_B 0 -3 *1289:X 0.000110136 -4 *316:8 0.000223531 -5 *1401:TE *1440:A 8.65961e-05 -6 *407:DIODE *316:8 4.89395e-05 -7 *18:5 *1401:TE 8.0209e-05 -8 *18:5 *316:8 7.50642e-05 -9 *226:114 *1401:TE 5.05521e-05 -10 *312:8 *1401:TE 0 -*RES -1 *1289:X *316:8 5.685 -2 *316:8 *1403:TE_B 3.6 -3 *316:8 *1401:TE 6.285 -*END - -*D_NET *317 0.01159 -*CONN -*I *1403:A I *D sky130_fd_sc_hd__einvn_4 -*I *1399:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1402:A I *D sky130_fd_sc_hd__einvn_8 -*I *1398:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1403:A 2.29488e-05 -2 *1399:A 0.000135827 -3 *1402:A 7.13982e-05 -4 *1398:X 0.0028362 -5 *317:29 0.000612895 -6 *317:24 0.00326481 -7 *1399:A *1440:A 5.95777e-05 -8 *1399:A *359:8 0 -9 *1403:A *323:15 6.31259e-05 -10 *317:24 *1415:A 0.000122325 -11 *317:24 *1415:Z 2.05056e-05 -12 *317:24 *323:15 0.00025398 -13 *317:29 *1442:TE 8.70721e-05 -14 *317:29 *357:13 0.000197906 -15 *406:DIODE *317:24 0.000368116 -16 *1246:B *317:24 3.83233e-05 -17 *1273:B1 *317:24 1.34594e-05 -18 *1276:A *317:24 0.000457696 -19 *1278:A1 *317:24 9.27326e-05 -20 *1281:A1 *317:24 2.49909e-05 -21 *1281:A2 *317:24 1.33205e-05 -22 *1281:B1 *317:24 9.16333e-06 -23 *1282:B1 *317:24 4.77757e-05 -24 *1286:A1 *317:24 0.000122325 -25 *1286:A2 *317:24 7.8555e-05 -26 *1293:C *317:24 7.49106e-05 -27 *1297:B *317:24 0.000188214 -28 *1400:A *1399:A 8.35835e-05 -29 *1400:TE *1403:A 3.96421e-05 -30 *1400:TE *317:24 5.98024e-05 -31 *15:14 *317:24 0.00015639 -32 *20:9 *1399:A 0 -33 *20:9 *1402:A 0 -34 *24:31 *1399:A 0.00011642 -35 *24:31 *1402:A 5.59034e-05 -36 *92:67 *317:24 0.000177084 -37 *162:68 *317:24 0.000271218 -38 *164:15 *317:24 0.000134341 -39 *197:66 *317:24 5.91413e-05 -40 *216:25 *317:24 7.66574e-05 -41 *224:14 *317:24 0.000160717 -42 *236:8 *317:24 0.000331376 -43 *301:17 *317:24 6.52452e-05 -44 *309:21 *317:24 0.000293397 -45 *314:9 *1399:A 9.29903e-05 -46 *314:9 *1402:A 9.17857e-05 -47 *315:8 *317:24 4.61406e-05 -*RES -1 *1398:X *317:24 41.9101 -2 *317:24 *317:29 9.28 -3 *317:29 *1402:A 5.235 -4 *317:29 *1399:A 7.395 -5 *317:24 *1403:A 4.47 -*END - -*D_NET *318 0.000974467 -*CONN -*I *1408:A I *D sky130_fd_sc_hd__einvp_2 -*I *1406:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1408:A 0.000297944 -2 *1406:X 0.000297944 -3 *1408:A *1411:A 3.43132e-05 -4 *1408:A *1440:A 4.43682e-05 -5 *1408:A *319:9 0.000122821 -6 *412:DIODE *1408:A 2.21074e-05 -7 *226:132 *1408:A 0.000154969 -*RES -1 *1406:X *1408:A 11.985 -*END - -*D_NET *319 0.00117079 -*CONN -*I *1408:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1411:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1410:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1408:Z 0 -2 *1411:A 9.9087e-05 -3 *1410:Z 0.000170213 -4 *319:9 0.0002693 -5 *1411:A *1407:A 3.86607e-05 -6 *1411:A *1434:A 0 -7 *319:9 *1408:TE 0.00013055 -8 *319:9 *324:7 8.53011e-05 -9 *399:DIODE *1411:A 4.82863e-05 -10 *412:DIODE *319:9 0.00017226 -11 *1408:A *1411:A 3.43132e-05 -12 *1408:A *319:9 0.000122821 -*RES -1 *1410:Z *319:9 5.965 -2 *319:9 *1411:A 7.43 -3 *319:9 *1408:Z 1.6 -*END - -*D_NET *320 0.0033024 -*CONN -*I *1407:A I *D sky130_fd_sc_hd__einvp_2 -*I *1411:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1407:A 0.00101257 -2 *1411:Y 0.00101257 -3 *1407:A *1434:A 0 -4 *1407:A *1438:A 2.69217e-05 -5 *1407:A *347:10 0.000157401 -6 *1407:A *352:21 0.000498304 -7 *1407:A *352:33 0.00049756 -8 *412:DIODE *1407:A 5.8403e-05 -9 *1411:A *1407:A 3.86607e-05 -*RES -1 *1411:Y *1407:A 21.3 -*END - -*D_NET *321 0.00107053 -*CONN -*I *1412:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1407:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1409:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1412:A 0.000149143 -2 *1407:Z 3.82272e-05 -3 *1409:Z 7.04062e-05 -4 *321:6 0.000257777 -5 *1407:Z *337:9 0.000194921 -6 *1412:A *1427:A 2.75145e-05 -7 *1412:A *1435:TE 2.0794e-05 -8 *1412:A *350:10 4.24222e-05 -9 *321:6 *1435:TE 5.79947e-05 -10 *412:DIODE *1407:Z 0.000194921 -11 *197:53 *321:6 1.64067e-05 -*RES -1 *1409:Z *321:6 4.98 -2 *321:6 *1407:Z 5.43 -3 *321:6 *1412:A 6.195 -*END - -*D_NET *322 0.0012501 -*CONN -*I *1407:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1409:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1254:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1407:TE 0.000122824 -2 *1409:TE_B 0 -3 *1254:X 0.000115622 -4 *322:8 0.000238446 -5 *1407:TE *1427:A 0.000130897 -6 *1407:TE *337:9 2.21074e-05 -7 *322:8 *331:17 6.54361e-06 -8 *402:DIODE *1407:TE 0.000158564 -9 *1254:B1 *322:8 4.66108e-05 -10 *1268:A1 *1407:TE 0.00016739 -11 *1268:A1 *322:8 0.000197896 -12 *287:8 *322:8 4.31979e-05 -*RES -1 *1254:X *322:8 6.375 -2 *322:8 *1409:TE_B 3.6 -3 *322:8 *1407:TE 7.23 -*END - -*D_NET *323 0.00301815 -*CONN -*I *1410:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1408:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1294:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1410:TE_B 0 -2 *1408:TE 0.000132583 -3 *1294:X 0.000307233 -4 *323:15 0.000439816 -5 *1408:TE *324:7 0.00015558 -6 *1408:TE *357:13 0.000196056 -7 *323:15 *324:7 0.000438938 -8 *323:15 *357:13 0.000444868 -9 *412:DIODE *1408:TE 0.000322374 -10 *1260:A *323:15 3.15896e-05 -11 *1293:C *323:15 2.98587e-05 -12 *1403:A *323:15 6.31259e-05 -13 *226:114 *323:15 7.15997e-05 -14 *317:24 *323:15 0.00025398 -15 *319:9 *1408:TE 0.00013055 -*RES -1 *1294:X *323:15 15.635 -2 *323:15 *1408:TE 7 -3 *323:15 *1410:TE_B 1.6 -*END - -*D_NET *324 0.00661422 -*CONN -*I *1406:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1410:A I *D sky130_fd_sc_hd__einvn_4 -*I *1409:A I *D sky130_fd_sc_hd__einvn_8 -*I *1405:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1406:A 8.80404e-05 -2 *1410:A 0 -3 *1409:A 0.000970965 -4 *1405:X 0.000800266 -5 *324:8 0.000999513 -6 *324:7 0.000916854 -7 *1406:A *1440:A 8.65961e-05 -8 *1409:A *1435:A 4.89362e-05 -9 *1409:A *1435:TE 0.000160338 -10 *1409:A *1435:Z 0.000158549 -11 *1409:A *337:9 0 -12 *1409:A *344:13 0.00019738 -13 *324:7 *357:13 6.69327e-05 -14 *324:8 *337:9 0 -15 *413:DIODE *1409:A 3.83338e-05 -16 *414:DIODE *1409:A 5.87594e-05 -17 *1265:B1 *1409:A 0.000309231 -18 *1270:A2 *1409:A 0.000195457 -19 *1301:A2 *1409:A 3.89692e-05 -20 *1304:C1 *1409:A 1.11025e-05 -21 *1307:A3 *1409:A 9.78714e-05 -22 *1408:TE *324:7 0.00015558 -23 *17:7 *1406:A 5.65627e-05 -24 *17:7 *1409:A 1.96485e-05 -25 *17:7 *324:8 2.36463e-05 -26 *22:8 *1409:A 0.000191246 -27 *24:31 *1409:A 0.000312607 -28 *226:132 *1406:A 8.65961e-05 -29 *319:9 *324:7 8.53011e-05 -30 *323:15 *324:7 0.000438938 -*RES -1 *1405:X *324:7 14.055 -2 *324:7 *324:8 0.615 -3 *324:8 *1409:A 18.4437 -4 *324:8 *1410:A 3.6 -5 *324:7 *1406:A 5.775 -*END - -*D_NET *325 0.00138348 -*CONN -*I *1415:A I *D sky130_fd_sc_hd__einvp_2 -*I *1413:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1415:A 0.00016299 -2 *1413:X 0.00016299 -3 *1415:A *1415:Z 0.000220218 -4 *1415:A *1418:A 0.000215029 -5 *1415:A *331:17 4.33239e-05 -6 *13:13 *1415:A 0.000433578 -7 *309:21 *1415:A 2.30292e-05 -8 *317:24 *1415:A 0.000122325 -*RES -1 *1413:X *1415:A 13.545 -*END - -*D_NET *326 0.00181026 -*CONN -*I *1418:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1415:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1417:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1418:A 0.000114653 -2 *1415:Z 8.60388e-05 -3 *1417:Z 0 -4 *326:5 0.000200692 -5 *1415:Z *1415:TE 6.73424e-05 -6 *1415:Z *331:17 3.09233e-05 -7 *1418:A *331:17 0.000224533 -8 *1254:A2 *1418:A 0.00012398 -9 *1254:B1 *1418:A 4.66108e-05 -10 *1268:A1 *1418:A 0.000107827 -11 *1415:A *1415:Z 0.000220218 -12 *1415:A *1418:A 0.000215029 -13 *13:13 *1415:Z 1.07797e-05 -14 *200:39 *1418:A 0.0001615 -15 *287:8 *1418:A 5.56228e-05 -16 *309:21 *1415:Z 0.000124007 -17 *317:24 *1415:Z 2.05056e-05 -*RES -1 *1417:Z *326:5 3.6 -2 *326:5 *1415:Z 6.63 -3 *326:5 *1418:A 8.775 -*END - -*D_NET *327 0.000279095 -*CONN -*I *1414:A I *D sky130_fd_sc_hd__einvp_2 -*I *1418:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1414:A 1.55673e-05 -2 *1418:Y 1.55673e-05 -3 *1259:B1 *1414:A 0.00012398 -4 *31:11 *1414:A 0.00012398 -*RES -1 *1418:Y *1414:A 4.34 -*END - -*D_NET *328 0.00180005 -*CONN -*I *1414:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1419:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1416:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1414:Z 0 -2 *1419:A 0.000424504 -3 *1416:Z 0.000153992 -4 *328:9 0.000578496 -5 *1419:A *1414:TE 0.000158551 -6 *1259:B1 *1419:A 0.000155119 -7 *1259:B1 *328:9 0.000197858 -8 *200:31 *1419:A 4.60814e-05 -9 *200:39 *1419:A 2.01829e-05 -10 *287:8 *328:9 6.52627e-05 -*RES -1 *1416:Z *328:9 8.81 -2 *328:9 *1419:A 8.53 -3 *328:9 *1414:Z 1.6 -*END - -*D_NET *329 0.000773266 -*CONN -*I *1414:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1416:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1259:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1414:TE 3.0765e-05 -2 *1416:TE_B 5.46207e-05 -3 *1259:X 0 -4 *329:4 8.53857e-05 -5 *1259:B1 *1414:TE 0.000158551 -6 *1419:A *1414:TE 0.000158551 -7 *31:11 *1416:TE_B 0.000100869 -8 *37:16 *1416:TE_B 0.000184524 -*RES -1 *1259:X *329:4 1.6 -2 *329:4 *1416:TE_B 7.745 -3 *329:4 *1414:TE 3.085 -*END - -*D_NET *330 0.00874899 -*CONN -*I *1417:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1415:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1299:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1417:TE_B 0 -2 *1415:TE 0.00013881 -3 *1299:X 0.00205516 -4 *330:21 0.00219397 -5 *1415:TE *331:17 3.09233e-05 -6 *330:21 *331:17 0 -7 *330:21 *363:17 0.001042 -8 *1250:B1 *1415:TE 0.000142669 -9 *1250:B1 *330:21 4.60584e-05 -10 *1254:B1 *1415:TE 0.000142669 -11 *1254:B1 *330:21 2.30292e-05 -12 *1255:A *330:21 0.000266561 -13 *1258:C1 *330:21 2.97373e-05 -14 *1259:B1 *330:21 6.9733e-05 -15 *1263:B1 *330:21 0 -16 *1267:A2 *330:21 6.37869e-05 -17 *1272:A2 *330:21 2.42556e-05 -18 *1273:A2 *330:21 5.80482e-06 -19 *1273:B1 *330:21 8.23457e-05 -20 *1273:B2 *330:21 1.19928e-05 -21 *1277:B1 *330:21 0.000152419 -22 *1279:A2 *1415:TE 0 -23 *1287:A *330:21 0.000452563 -24 *1289:A1 *330:21 1.7292e-05 -25 *1293:A *330:21 2.97685e-05 -26 *1304:A2 *330:21 0.000284474 -27 *1415:Z *1415:TE 6.73424e-05 -28 *36:27 *1415:TE 0 -29 *36:27 *330:21 0.000754011 -30 *197:66 *330:21 7.43035e-06 -31 *206:68 *330:21 4.23703e-05 -32 *207:20 *330:21 0 -33 *218:9 *330:21 9.3781e-05 -34 *218:56 *330:21 0.000213538 -35 *220:21 *330:21 1.7635e-05 -36 *220:26 *330:21 2.19562e-06 -37 *233:11 *330:21 4.82863e-05 -38 *233:27 *330:21 0.000196376 -*RES -1 *1299:X *330:21 26.855 -2 *330:21 *1415:TE 9.665 -3 *330:21 *1417:TE_B 1.6 -*END - -*D_NET *331 0.00330197 -*CONN -*I *1413:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1417:A I *D sky130_fd_sc_hd__einvn_4 -*I *1416:A I *D sky130_fd_sc_hd__einvn_8 -*I *1412:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1413:A 0 -2 *1417:A 0 -3 *1416:A 8.26967e-05 -4 *1412:X 0.000449808 -5 *331:17 0.000282976 -6 *331:12 0.000650087 -7 *331:12 *1427:A 5.35155e-05 -8 *331:12 *1429:A 9.80032e-06 -9 *331:12 *1431:TE_B 0.000175721 -10 *331:12 *350:10 0.000158066 -11 *412:DIODE *331:12 7.4027e-05 -12 *1250:B1 *1416:A 0.000161529 -13 *1250:B1 *331:17 0.000108886 -14 *1254:B1 *331:17 0.000158142 -15 *1268:A1 *331:12 0.0002884 -16 *1268:A1 *331:17 6.04361e-05 -17 *1268:A2 *331:12 0.00011964 -18 *1268:B1 *331:12 4.21973e-05 -19 *1277:B1 *331:17 0 -20 *1415:A *331:17 4.33239e-05 -21 *1415:TE *331:17 3.09233e-05 -22 *1415:Z *331:17 3.09233e-05 -23 *1418:A *331:17 0.000224533 -24 *13:13 *331:12 7.59777e-05 -25 *13:13 *331:17 1.38207e-05 -26 *322:8 *331:17 6.54361e-06 -27 *330:21 *331:17 0 -*RES -1 *1412:X *331:12 13.275 -2 *331:12 *331:17 7.58 -3 *331:17 *1416:A 3.445 -4 *331:17 *1417:A 1.6 -5 *331:12 *1413:A 3.6 -*END - -*D_NET *332 0.000920286 -*CONN -*I *1422:A I *D sky130_fd_sc_hd__einvp_2 -*I *1420:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1422:A 0.000173695 -2 *1420:X 0.000173695 -3 *1422:A *1420:A 4.28137e-05 -4 *1422:A *1426:A 9.03066e-05 -5 *1422:A *338:13 1.0404e-05 -6 *33:11 *1422:A 0.000144704 -7 *36:20 *1422:A 0.000284668 -*RES -1 *1420:X *1422:A 12.105 -*END - -*D_NET *333 0.000648271 -*CONN -*I *1425:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1422:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1424:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1425:A 5.06678e-05 -2 *1422:Z 9.67999e-05 -3 *1424:Z 3.48424e-05 -4 *333:7 0.00018231 -5 *1422:Z *1421:A 0.000177154 -6 *1425:A *1421:A 9.51046e-05 -7 *333:7 *337:9 1.1392e-05 -*RES -1 *1424:Z *333:7 4.05 -2 *333:7 *1422:Z 5.745 -3 *333:7 *1425:A 4.725 -*END - -*D_NET *334 0.000905893 -*CONN -*I *1421:A I *D sky130_fd_sc_hd__einvp_2 -*I *1425:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1421:A 0.000182284 -2 *1425:Y 0.000182284 -3 *1421:A *1426:A 0.00011265 -4 *1422:Z *1421:A 0.000177154 -5 *1425:A *1421:A 9.51046e-05 -6 *36:20 *1421:A 0.000156416 -*RES -1 *1425:Y *1421:A 12.405 -*END - -*D_NET *335 0.00233961 -*CONN -*I *1426:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1421:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1456:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1423:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1426:A 0.000307234 -2 *1421:Z 0 -3 *1456:A 0.000339962 -4 *1423:Z 0.000152624 -5 *335:15 0.000390965 -6 *335:7 0.000576316 -7 *1456:A *1421:TE 0 -8 *1456:A *336:8 0 -9 *335:15 *1421:TE 0 -10 *1250:B1 *1456:A 7.39416e-05 -11 *1250:B1 *335:7 4.68045e-05 -12 *1263:A1 *1456:A 0.000225968 -13 *1263:B1 *1456:A 2.28415e-05 -14 *1395:TE_B *1456:A 0 -15 *1421:A *1426:A 0.00011265 -16 *1422:A *1426:A 9.03066e-05 -17 *23:10 *1456:A 0 -18 *36:20 *1456:A 0 -19 *36:20 *335:15 0 -*RES -1 *1423:Z *335:7 5.775 -2 *335:7 *1456:A 10.08 -3 *335:7 *335:15 1.38 -4 *335:15 *1421:Z 3.6 -5 *335:15 *1426:A 8.61 -*END - -*D_NET *336 0.000855128 -*CONN -*I *1421:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1423:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1263:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1421:TE 0.000211148 -2 *1423:TE_B 0 -3 *1263:X 0.000216416 -4 *336:8 0.000427564 -5 *1456:A *1421:TE 0 -6 *1456:A *336:8 0 -7 *335:15 *1421:TE 0 -*RES -1 *1263:X *336:8 6.72 -2 *336:8 *1423:TE_B 3.6 -3 *336:8 *1421:TE 6.885 -*END - -*D_NET *337 0.00969299 -*CONN -*I *1422:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1424:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1300:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1422:TE 8.76199e-05 -2 *1424:TE_B 9.54064e-05 -3 *1300:X 0.0031819 -4 *337:9 0.00336492 -5 *337:9 *1424:A 5.51055e-05 -6 *337:9 *1427:A 0.000710059 -7 *337:9 *342:10 0.000457541 -8 *337:9 *345:9 2.01934e-05 -9 *337:9 *345:17 9.94767e-05 -10 *337:9 *352:21 8.28018e-06 -11 *337:9 *357:13 2.50751e-05 -12 *402:DIODE *337:9 2.747e-05 -13 *412:DIODE *337:9 0.00133152 -14 *1300:B1 *337:9 0 -15 *1407:TE *337:9 2.21074e-05 -16 *1407:Z *337:9 0.000194921 -17 *1409:A *337:9 0 -18 *324:8 *337:9 0 -19 *333:7 *337:9 1.1392e-05 -*RES -1 *1300:X *337:9 47.435 -2 *337:9 *1424:TE_B 2.92 -3 *337:9 *1422:TE 6.98 -*END - -*D_NET *338 0.00148877 -*CONN -*I *1420:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1424:A I *D sky130_fd_sc_hd__einvn_4 -*I *1423:A I *D sky130_fd_sc_hd__einvn_8 -*I *1419:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1420:A 0.000121212 -2 *1424:A 9.08531e-05 -3 *1423:A 0.000135167 -4 *1419:X 0 -5 *338:13 0.000367515 -6 *338:5 0.000290617 -7 *338:13 *345:9 7.51327e-05 -8 *1265:A1 *1423:A 0 -9 *1265:A1 *338:13 0 -10 *1422:A *1420:A 4.28137e-05 -11 *1422:A *338:13 1.0404e-05 -12 *33:11 *1423:A 0.000139899 -13 *33:11 *338:13 0.000141674 -14 *34:8 *1420:A 0 -15 *35:10 *1420:A 1.83756e-05 -16 *36:20 *1420:A 0 -17 *200:28 *1423:A 0 -18 *337:9 *1424:A 5.51055e-05 -*RES -1 *1419:X *338:5 3.6 -2 *338:5 *1423:A 6.51 -3 *338:5 *338:13 3.675 -4 *338:13 *1424:A 5.085 -5 *338:13 *1420:A 5.94 -*END - -*D_NET *339 0.00307915 -*CONN -*I *1429:A I *D sky130_fd_sc_hd__einvp_2 -*I *1427:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1429:A 0.000698205 -2 *1427:X 0.000698205 -3 *1429:A *1427:A 0.000541118 -4 *1429:A *1429:TE 8.64599e-05 -5 *1429:A *352:21 9.64606e-05 -6 *392:DIODE *1429:A 0 -7 *1265:B1 *1429:A 0.000129707 -8 *1268:A2 *1429:A 0.000390466 -9 *13:13 *1429:A 0.000112306 -10 *15:14 *1429:A 0.000316421 -11 *331:12 *1429:A 9.80032e-06 -*RES -1 *1427:X *1429:A 23.235 -*END - -*D_NET *340 0.0018691 -*CONN -*I *1429:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1432:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1431:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1429:Z 0.000105631 -2 *1432:A 0.00023538 -3 *1431:Z 2.61632e-05 -4 *340:5 0.000367173 -5 *1429:Z *343:10 4.63004e-05 -6 *1432:A *1427:A 1.32026e-05 -7 *1432:A *1428:A 0.000313428 -8 *1432:A *1428:TE 0.000132961 -9 *1432:A *1433:A 4.66108e-05 -10 *1432:A *342:10 0.000114196 -11 *1432:A *345:17 9.20457e-05 -12 *340:5 *1427:A 1.42674e-05 -13 *392:DIODE *1429:Z 6.45499e-05 -14 *1268:A2 *1432:A 0.000236643 -15 *35:10 *1432:A 6.05513e-05 -*RES -1 *1431:Z *340:5 2.05 -2 *340:5 *1432:A 12.62 -3 *340:5 *1429:Z 7.745 -*END - -*D_NET *341 0.000665424 -*CONN -*I *1428:A I *D sky130_fd_sc_hd__einvp_2 -*I *1432:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1428:A 0.000170827 -2 *1432:Y 0.000170827 -3 *1432:A *1428:A 0.000313428 -4 *35:10 *1428:A 1.03423e-05 -*RES -1 *1432:Y *1428:A 6.41 -*END - -*D_NET *342 0.00228183 -*CONN -*I *1433:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1428:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1430:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1433:A 0.000254291 -2 *1428:Z 0 -3 *1430:Z 0.00017613 -4 *342:10 0.000430421 -5 *1433:A *1428:TE 0.0001249 -6 *342:10 *1428:TE 1.25877e-05 -7 *342:10 *343:10 1.31901e-05 -8 *342:10 *345:9 0.000159722 -9 *342:10 *345:17 0.0002972 -10 *1268:A2 *1433:A 0.000195039 -11 *1432:A *1433:A 4.66108e-05 -12 *1432:A *342:10 0.000114196 -13 *37:16 *342:10 0 -14 *337:9 *342:10 0.000457541 -*RES -1 *1430:Z *342:10 11.99 -2 *342:10 *1428:Z 1.6 -3 *342:10 *1433:A 6.19 -*END - -*D_NET *343 0.00112096 -*CONN -*I *1428:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1430:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1265:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1428:TE 0.000107115 -2 *1430:TE_B 0 -3 *1265:X 0.000206567 -4 *343:10 0.000313682 -5 *1265:B1 *343:10 3.87579e-05 -6 *1268:A2 *1428:TE 0.0001249 -7 *1429:Z *343:10 4.63004e-05 -8 *1432:A *1428:TE 0.000132961 -9 *1433:A *1428:TE 0.0001249 -10 *342:10 *1428:TE 1.25877e-05 -11 *342:10 *343:10 1.31901e-05 -*RES -1 *1265:X *343:10 6.825 -2 *343:10 *1430:TE_B 3.6 -3 *343:10 *1428:TE 6.63 -*END - -*D_NET *344 0.00461168 -*CONN -*I *1431:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1429:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1301:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1431:TE_B 8.27215e-05 -2 *1429:TE 9.44512e-05 -3 *1301:X 0.000685637 -4 *344:13 0.00086281 -5 *1431:TE_B *1427:A 4.6075e-05 -6 *1431:TE_B *352:21 8.35118e-05 -7 *344:13 *1435:A 4.77807e-05 -8 *344:13 *1435:TE 0.000261648 -9 *344:13 *351:8 4.77807e-05 -10 *1265:B1 *1429:TE 0.00021935 -11 *1265:B1 *344:13 0.000312042 -12 *1307:A3 *344:13 0.000268176 -13 *1409:A *344:13 0.00019738 -14 *1429:A *1429:TE 8.64599e-05 -15 *13:13 *1431:TE_B 0.000157258 -16 *24:31 *344:13 0.000108326 -17 *197:53 *344:13 0.000569472 -18 *226:132 *344:13 0.000305081 -19 *331:12 *1431:TE_B 0.000175721 -*RES -1 *1301:X *344:13 19.105 -2 *344:13 *1429:TE 4.24 -3 *344:13 *1431:TE_B 8.54 -*END - -*D_NET *345 0.00456582 -*CONN -*I *1431:A I *D sky130_fd_sc_hd__einvn_4 -*I *1427:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1430:A I *D sky130_fd_sc_hd__einvn_8 -*I *1426:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1431:A 2.0132e-05 -2 *1427:A 0.00057396 -3 *1430:A 2.0132e-05 -4 *1426:X 0.000138535 -5 *345:17 0.000763646 -6 *345:9 0.00032822 -7 *1427:A *350:10 6.1357e-05 -8 *1427:A *352:21 3.70195e-05 -9 *402:DIODE *1427:A 4.02438e-05 -10 *1265:A2 *345:9 1.28874e-05 -11 *1268:A1 *1427:A 0 -12 *1268:B1 *1427:A 0.000122331 -13 *1277:B1 *1427:A 2.50751e-05 -14 *1407:TE *1427:A 0.000130897 -15 *1412:A *1427:A 2.75145e-05 -16 *1429:A *1427:A 0.000541118 -17 *1431:TE_B *1427:A 4.6075e-05 -18 *1432:A *1427:A 1.32026e-05 -19 *1432:A *345:17 9.20457e-05 -20 *13:13 *1427:A 0 -21 *14:9 *1427:A 5.98099e-05 -22 *15:14 *1427:A 4.60584e-05 -23 *34:8 *345:9 3.59904e-05 -24 *331:12 *1427:A 5.35155e-05 -25 *337:9 *1427:A 0.000710059 -26 *337:9 *345:9 2.01934e-05 -27 *337:9 *345:17 9.94767e-05 -28 *338:13 *345:9 7.51327e-05 -29 *340:5 *1427:A 1.42674e-05 -30 *342:10 *345:9 0.000159722 -31 *342:10 *345:17 0.0002972 -*RES -1 *1426:X *345:9 9.065 -2 *345:9 *1430:A 1.96 -3 *345:9 *345:17 4.59 -4 *345:17 *1427:A 21.26 -5 *345:17 *1431:A 1.96 -*END - -*D_NET *346 0.000317428 -*CONN -*I *1436:A I *D sky130_fd_sc_hd__einvp_2 -*I *1434:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1436:A 0.000108891 -2 *1434:X 0.000108891 -3 *1436:A *1440:A 1.47256e-05 -4 *399:DIODE *1436:A 8.49205e-05 -*RES -1 *1434:X *1436:A 4.7 -*END - -*D_NET *347 0.00122607 -*CONN -*I *1436:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1439:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1438:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1436:Z 0 -2 *1439:A 0.000218862 -3 *1438:Z 8.73737e-05 -4 *347:10 0.000306236 -5 *1439:A *1436:TE 8.65905e-05 -6 *1439:A *1440:A 0.00010877 -7 *347:10 *1436:TE 4.15348e-05 -8 *347:10 *352:33 0.00015856 -9 *399:DIODE *347:10 6.07402e-05 -10 *1407:A *347:10 0.000157401 -*RES -1 *1438:Z *347:10 8.465 -2 *347:10 *1439:A 5.155 -3 *347:10 *1436:Z 1.6 -*END - -*D_NET *348 0.000619733 -*CONN -*I *1435:A I *D sky130_fd_sc_hd__einvp_2 -*I *1439:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1435:A 0.000261508 -2 *1439:Y 0.000261508 -3 *1409:A *1435:A 4.89362e-05 -4 *344:13 *1435:A 4.77807e-05 -*RES -1 *1439:Y *1435:A 11.07 -*END - -*D_NET *349 0.00550454 -*CONN -*I *1440:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1435:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1437:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1440:A 0.00141377 -2 *1435:Z 0.000214676 -3 *1437:Z 0 -4 *349:5 0.00162845 -5 *1440:A *1436:TE 8.65905e-05 -6 *399:DIODE *1440:A 0.000296151 -7 *1300:B1 *1440:A 8.52845e-05 -8 *1307:A3 *1435:Z 2.40143e-05 -9 *1399:A *1440:A 5.95777e-05 -10 *1400:A *1440:A 0.000237551 -11 *1401:A *1440:A 0.000257531 -12 *1401:TE *1440:A 8.65961e-05 -13 *1401:Z *1440:A 4.82863e-05 -14 *1404:A *1440:A 0.000294909 -15 *1406:A *1440:A 8.65961e-05 -16 *1408:A *1440:A 4.43682e-05 -17 *1409:A *1435:Z 0.000158549 -18 *1436:A *1440:A 1.47256e-05 -19 *1439:A *1440:A 0.00010877 -20 *197:53 *1435:Z 2.06058e-05 -21 *217:22 *1435:Z 1.7635e-05 -22 *226:114 *1440:A 0.000142896 -23 *226:132 *1440:A 0.000177001 -*RES -1 *1437:Z *349:5 3.6 -2 *349:5 *1435:Z 7.23 -3 *349:5 *1440:A 33.555 -*END - -*D_NET *350 0.00179019 -*CONN -*I *1437:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1435:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1268:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1437:TE_B 9.88613e-06 -2 *1435:TE 0.000147903 -3 *1268:X 0.000176068 -4 *350:10 0.000333857 -5 *1437:TE_B *352:21 4.77807e-05 -6 *412:DIODE *1437:TE_B 4.77807e-05 -7 *1265:B1 *1435:TE 8.74062e-05 -8 *1268:B1 *350:10 4.82863e-05 -9 *1409:A *1435:TE 0.000160338 -10 *1412:A *1435:TE 2.0794e-05 -11 *1412:A *350:10 4.24222e-05 -12 *1427:A *350:10 6.1357e-05 -13 *15:14 *1435:TE 5.74727e-05 -14 *15:14 *350:10 7.11294e-05 -15 *321:6 *1435:TE 5.79947e-05 -16 *331:12 *350:10 0.000158066 -17 *344:13 *1435:TE 0.000261648 -*RES -1 *1268:X *350:10 7.17 -2 *350:10 *1435:TE 8.61 -3 *350:10 *1437:TE_B 4.05 -*END - -*D_NET *351 0.000812812 -*CONN -*I *1436:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1438:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1307:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1436:TE 0.00012151 -2 *1438:TE_B 0 -3 *1307:X 0.000142284 -4 *351:8 0.000263794 -5 *1439:A *1436:TE 8.65905e-05 -6 *1440:A *1436:TE 8.65905e-05 -7 *197:53 *351:8 1.84465e-05 -8 *226:132 *351:8 4.28112e-06 -9 *344:13 *351:8 4.77807e-05 -10 *347:10 *1436:TE 4.15348e-05 -*RES -1 *1307:X *351:8 5.685 -2 *351:8 *1438:TE_B 3.6 -3 *351:8 *1436:TE 6.285 -*END - -*D_NET *352 0.00452328 -*CONN -*I *1438:A I *D sky130_fd_sc_hd__einvn_4 -*I *1434:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1437:A I *D sky130_fd_sc_hd__einvn_8 -*I *1433:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1438:A 9.34779e-06 -2 *1434:A 0.000100128 -3 *1437:A 2.09808e-05 -4 *1433:X 0.000385497 -5 *352:33 0.000270886 -6 *352:21 0.000567888 -7 *402:DIODE *352:21 0.000305077 -8 *412:DIODE *1438:A 3.75203e-05 -9 *412:DIODE *352:21 0.000703062 -10 *412:DIODE *352:33 0.000535524 -11 *1407:A *1434:A 0 -12 *1407:A *1438:A 2.69217e-05 -13 *1407:A *352:21 0.000498304 -14 *1407:A *352:33 0.00049756 -15 *1411:A *1434:A 0 -16 *1427:A *352:21 3.70195e-05 -17 *1429:A *352:21 9.64606e-05 -18 *1431:TE_B *352:21 8.35118e-05 -19 *1437:TE_B *352:21 4.77807e-05 -20 *13:13 *352:21 5.18356e-05 -21 *16:8 *1434:A 8.11357e-05 -22 *337:9 *352:21 8.28018e-06 -23 *347:10 *352:33 0.00015856 -*RES -1 *1433:X *352:21 18.395 -2 *352:21 *1437:A 1.96 -3 *352:21 *352:33 7.125 -4 *352:33 *1434:A 7.49 -5 *352:33 *1438:A 2.05 -*END - -*D_NET *353 0.000344848 -*CONN -*I *1443:A I *D sky130_fd_sc_hd__einvp_2 -*I *1441:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1443:A 0.000129194 -2 *1441:X 0.000129194 -3 *1443:A *1443:Z 0 -4 *407:DIODE *1443:A 8.64599e-05 -5 *22:8 *1443:A 0 -*RES -1 *1441:X *1443:A 9.375 -*END - -*D_NET *354 0.000540905 -*CONN -*I *1446:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1443:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1445:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1446:A 8.65952e-05 -2 *1443:Z 0.000158386 -3 *1445:Z 0 -4 *354:5 0.000244981 -5 *407:DIODE *1443:Z 5.09431e-05 -6 *411:DIODE *1443:Z 0 -7 *411:DIODE *1446:A 0 -8 *1443:A *1443:Z 0 -*RES -1 *1445:Z *354:5 3.6 -2 *354:5 *1443:Z 6.285 -3 *354:5 *1446:A 4.98 -*END - -*D_NET *355 0.00019372 -*CONN -*I *1442:A I *D sky130_fd_sc_hd__einvp_2 -*I *1446:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1442:A 5.88117e-05 -2 *1446:Y 5.88117e-05 -3 *411:DIODE *1442:A 7.60965e-05 -*RES -1 *1446:Y *1442:A 4.34 -*END - -*D_NET *356 0.00161867 -*CONN -*I *1442:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1447:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1444:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1442:Z 0.000429695 -2 *1447:A 0.000112239 -3 *1444:Z 2.74453e-05 -4 *356:7 0.000569379 -5 *1442:Z *1442:TE 0 -6 *356:7 *359:17 2.69217e-05 -7 *407:DIODE *1447:A 8.52977e-05 -8 *411:DIODE *1442:Z 5.83121e-06 -9 *1309:A2 *1447:A 8.64565e-05 -10 *1400:A *1442:Z 2.0514e-05 -11 *1404:A *1442:Z 8.69583e-05 -12 *20:9 *1442:Z 9.51905e-05 -13 *20:9 *1447:A 7.27447e-05 -*RES -1 *1444:Z *356:7 4.05 -2 *356:7 *1447:A 6.285 -3 *356:7 *1442:Z 10.335 -*END - -*D_NET *357 0.0045236 -*CONN -*I *1442:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1444:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1270:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1442:TE 0.000575788 -2 *1444:TE_B 0 -3 *1270:X 0.000629673 -4 *357:13 0.00120546 -5 *1442:TE *359:17 0.000284929 -6 *411:DIODE *1442:TE 0 -7 *412:DIODE *357:13 0.000268146 -8 *1261:A *357:13 8.53011e-05 -9 *1405:A *357:13 5.09431e-05 -10 *1408:TE *357:13 0.000196056 -11 *1442:Z *1442:TE 0 -12 *17:7 *357:13 0 -13 *20:9 *1442:TE 0 -14 *24:31 *357:13 8.52972e-05 -15 *233:27 *357:13 1.33285e-05 -16 *314:9 *1442:TE 0.000269335 -17 *314:9 *357:13 3.74864e-05 -18 *317:29 *1442:TE 8.70721e-05 -19 *317:29 *357:13 0.000197906 -20 *323:15 *357:13 0.000444868 -21 *324:7 *357:13 6.69327e-05 -22 *337:9 *357:13 2.50751e-05 -*RES -1 *1270:X *357:13 19.625 -2 *357:13 *1444:TE_B 1.6 -3 *357:13 *1442:TE 15.05 -*END - -*D_NET *358 0.000675451 -*CONN -*I *1443:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1445:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1309:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1443:TE 9.83539e-05 -2 *1445:TE_B 0.000108296 -3 *1309:X 0 -4 *358:4 0.00020665 -5 *407:DIODE *1443:TE 0.000168757 -6 *407:DIODE *1445:TE_B 1.74876e-05 -7 *411:DIODE *1445:TE_B 7.59067e-05 -*RES -1 *1309:X *358:4 1.6 -2 *358:4 *1445:TE_B 7.685 -3 *358:4 *1443:TE 3.7 -*END - -*D_NET *359 0.00189455 -*CONN -*I *1441:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1445:A I *D sky130_fd_sc_hd__einvn_4 -*I *1444:A I *D sky130_fd_sc_hd__einvn_8 -*I *1440:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1441:A 0.000149461 -2 *1445:A 0 -3 *1444:A 0 -4 *1440:X 6.16219e-05 -5 *359:17 0.000667692 -6 *359:8 0.000579853 -7 *1399:A *359:8 0 -8 *1442:TE *359:17 0.000284929 -9 *20:9 *359:8 0.000114839 -10 *314:9 *359:8 9.23804e-06 -11 *356:7 *359:17 2.69217e-05 -*RES -1 *1440:X *359:8 6.98 -2 *359:8 *1444:A 1.6 -3 *359:8 *359:17 8.85 -4 *359:17 *1445:A 1.6 -5 *359:17 *1441:A 3.79 -*END - -*D_NET *360 0.000939107 -*CONN -*I *1450:A I *D sky130_fd_sc_hd__einvp_2 -*I *1448:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1450:A 0.000257321 -2 *1448:X 0.000257321 -3 *1450:A *1451:A 6.1357e-05 -4 *1450:A *365:15 2.05056e-05 -5 *1309:B1 *1450:A 6.28765e-05 -6 *226:85 *1450:A 0.000116158 -7 *226:94 *1450:A 0.000163568 -*RES -1 *1448:X *1450:A 11.445 -*END - -*D_NET *361 0.000811051 -*CONN -*I *1450:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1453:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1452:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1450:Z 0.000114956 -2 *1453:A 0.000161751 -3 *1452:Z 0 -4 *361:4 0.000276707 -5 *1450:Z *1450:TE 0.000137459 -6 *1453:A *1449:A 7.44006e-05 -7 *1453:A *1452:A 1.39456e-05 -8 *416:DIODE *1453:A 0 -9 *1309:B1 *1453:A 3.18316e-05 -*RES -1 *1452:Z *361:4 1.6 -2 *361:4 *1453:A 8.12 -3 *361:4 *1450:Z 3.775 -*END - -*D_NET *362 0.00120286 -*CONN -*I *1449:A I *D sky130_fd_sc_hd__einvp_2 -*I *1453:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1449:A 0.00047348 -2 *1453:Y 0.00047348 -3 *1449:A *1449:TE 4.82919e-05 -4 *1380:TE *1449:A 8.49205e-05 -5 *1449:Z *1449:A 4.82919e-05 -6 *1453:A *1449:A 7.44006e-05 -*RES -1 *1453:Y *1449:A 10.895 -*END - -*D_NET *363 0.00518213 -*CONN -*I *1449:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1451:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1273:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1449:TE 0.000421557 -2 *1451:TE_B 0 -3 *1273:X 0.000762034 -4 *363:17 0.00118359 -5 *1449:TE *1451:A 0.000344383 -6 *1449:TE *365:15 1.14192e-05 -7 *363:17 *365:11 4.77757e-05 -8 *363:17 *365:15 0.000144734 -9 *1255:A *363:17 0.000165177 -10 *1293:A *363:17 8.00447e-05 -11 *1293:C *363:17 4.63995e-05 -12 *1299:B1 *363:17 0.000202682 -13 *1378:A *1449:TE 0 -14 *1380:A *1449:TE 9.84614e-05 -15 *1449:A *1449:TE 4.82919e-05 -16 *197:66 *363:17 4.59027e-05 -17 *226:85 *1449:TE 0 -18 *226:94 *363:17 4.29365e-05 -19 *226:102 *363:17 0.000264696 -20 *236:5 *363:17 0.000230037 -21 *292:6 *1449:TE 0 -22 *330:21 *363:17 0.001042 -*RES -1 *1273:X *363:17 20.95 -2 *363:17 *1451:TE_B 1.6 -3 *363:17 *1449:TE 13.7 -*END - -*D_NET *364 0.00165454 -*CONN -*I *1450:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1452:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1311:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1450:TE 0.000506942 -2 *1452:TE_B 0 -3 *1311:X 6.67044e-05 -4 *364:9 0.000573647 -5 *410:DIODE *364:9 0.000143266 -6 *1287:A *1450:TE 0 -7 *1380:A *1450:TE 8.49205e-05 -8 *1450:Z *1450:TE 0.000137459 -9 *301:17 *364:9 0.000141599 -*RES -1 *1311:X *364:9 7.685 -2 *364:9 *1452:TE_B 1.6 -3 *364:9 *1450:TE 9.34 -*END - -*D_NET *365 0.00227384 -*CONN -*I *1448:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1451:A I *D sky130_fd_sc_hd__einvn_8 -*I *1452:A I *D sky130_fd_sc_hd__einvn_4 -*I *1447:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1448:A 0 -2 *1451:A 0.000169584 -3 *1452:A 0.000115651 -4 *1447:X 0.00013597 -5 *365:15 0.000357174 -6 *365:11 0.000207909 -7 *1309:A2 *1452:A 0 -8 *1309:A2 *365:11 0.000198758 -9 *1309:B1 *1452:A 0.000178921 -10 *1449:TE *1451:A 0.000344383 -11 *1449:TE *365:15 1.14192e-05 -12 *1450:A *1451:A 6.1357e-05 -13 *1450:A *365:15 2.05056e-05 -14 *1453:A *1452:A 1.39456e-05 -15 *226:94 *1452:A 8.33066e-06 -16 *226:94 *365:11 2.20725e-05 -17 *226:94 *365:15 0.000235352 -18 *363:17 *365:11 4.77757e-05 -19 *363:17 *365:15 0.000144734 -*RES -1 *1447:X *365:11 8.225 -2 *365:11 *365:15 2.88 -3 *365:15 *1452:A 8.105 -4 *365:15 *1451:A 5.515 -5 *365:11 *1448:A 1.6 -*END - -*D_NET *366 0.000353189 -*CONN -*I *1463:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1459:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *1463:TE_B 7.69401e-05 -2 *1459:X 7.69401e-05 -3 *1463:TE_B *1459:A 0.000199309 -*RES -1 *1459:X *1463:TE_B 5.03 -*END - -*D_NET *367 0.000706342 -*CONN -*I *1462:A I *D sky130_fd_sc_hd__einvp_2 -*I *1460:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1462:A 0.000133862 -2 *1460:X 0.000133862 -3 *419:DIODE *1462:A 4.82919e-05 -4 *1460:A *1462:A 0.000135896 -5 *28:10 *1462:A 0.000104299 -6 *300:14 *1462:A 8.53113e-06 -7 *300:16 *1462:A 0.000141599 -*RES -1 *1460:X *1462:A 10.815 -*END - -*D_NET *368 0.00183792 -*CONN -*I *1462:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1465:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1464:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1462:Z 0.000125757 -2 *1465:A 0.000153034 -3 *1464:Z 3.36705e-05 -4 *368:7 0.000312462 -5 *1462:Z *1462:TE 0.000108886 -6 *1465:A *1459:A 0.000194588 -7 *368:7 *1462:TE 8.08498e-05 -8 *1181:B *1465:A 0.000496721 -9 *1464:A *1462:Z 0.000108761 -10 *226:78 *1465:A 5.4256e-05 -11 *284:33 *1462:Z 8.23457e-05 -12 *284:33 *368:7 8.65905e-05 -*RES -1 *1464:Z *368:7 2.755 -2 *368:7 *1465:A 11.315 -3 *368:7 *1462:Z 4.465 -*END - -*D_NET *369 0.000312688 -*CONN -*I *1461:A I *D sky130_fd_sc_hd__einvp_2 -*I *1465:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1461:A 9.70808e-05 -2 *1465:Y 9.70808e-05 -3 *28:10 *1461:A 0 -4 *284:8 *1461:A 0.000118527 -*RES -1 *1465:Y *1461:A 9.03 -*END - -*D_NET *370 0.000764587 -*CONN -*I *1466:A I *D sky130_fd_sc_hd__einvp_1 -*I *1458:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *1466:A 0.000286969 -2 *1458:HI 0.000286969 -3 *1346:RESET_B *1466:A 1.10359e-05 -4 *28:10 *1466:A 0 -5 *284:8 *1466:A 0.000179613 -*RES -1 *1458:HI *1466:A 12.27 -*END - -*D_NET *371 0.0119041 -*CONN -*I *1466:TE I *D sky130_fd_sc_hd__einvp_1 -*I *1459:A I *D sky130_fd_sc_hd__or2_2 -*I *1317:B I *D sky130_fd_sc_hd__nor2_2 -*I *1318:B I *D sky130_fd_sc_hd__nor2_2 -*I *1320:B I *D sky130_fd_sc_hd__nor2_2 -*I *1323:B I *D sky130_fd_sc_hd__nor2_2 -*I *1322:B I *D sky130_fd_sc_hd__nor2_2 -*I *1321:B I *D sky130_fd_sc_hd__nor2_2 -*I *1316:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1466:TE 5.82136e-05 -2 *1459:A 0.00040232 -3 *1317:B 0 -4 *1318:B 0.000112512 -5 *1320:B 2.21004e-05 -6 *1323:B 2.07361e-05 -7 *1322:B 1.7926e-05 -8 *1321:B 0.00020761 -9 *1316:X 0.000311487 -10 *371:72 0.000446175 -11 *371:59 0.000184085 -12 *371:56 0.0010259 -13 *371:40 0.00112866 -14 *371:32 0.000372172 -15 *371:24 0.00112657 -16 *371:8 0.00144289 -17 *418:DIODE *1459:A 0.00016031 -18 *1104:B *371:56 0.000194267 -19 *1106:A *1318:B 0.000344028 -20 *1106:A *1466:TE 5.59676e-05 -21 *1106:A *371:56 2.49909e-05 -22 *1107:S *371:8 4.39001e-05 -23 *1181:B *1459:A 5.12017e-06 -24 *1181:B *371:59 2.64289e-05 -25 *1181:B *371:72 7.28465e-06 -26 *1222:B1 *371:8 2.97561e-05 -27 *1237:B *371:72 9.10613e-05 -28 *1320:A *371:40 4.66108e-05 -29 *1321:A *1321:B 0 -30 *1322:A *1322:B 3.21081e-06 -31 *1326:A *1321:B 0 -32 *1326:A *371:8 0 -33 *1326:B *371:8 0.000201503 -34 *1345:D *1459:A 7.27704e-06 -35 *1345:D *371:72 9.12857e-06 -36 *1347:D *371:56 0.000135558 -37 *1347:RESET_B *371:56 0.000422941 -38 *1352:D *1321:B 1.22676e-05 -39 *1352:D *371:8 2.67022e-05 -40 *1352:RESET_B *1321:B 0 -41 *1352:RESET_B *371:8 0 -42 *1362:D *371:56 0.000196669 -43 *1362:RESET_B *371:56 1.19928e-05 -44 *1463:TE_B *1459:A 0.000199309 -45 *1465:A *1459:A 0.000194588 -46 *85:7 *371:56 1.74678e-05 -47 *85:11 *371:59 7.35263e-05 -48 *85:11 *371:72 2.07338e-05 -49 *191:8 *1321:B 0 -50 *191:8 *371:8 0 -51 *226:10 *1459:A 0 -52 *226:24 *1459:A 0.000220255 -53 *226:37 *1318:B 0.000301266 -54 *226:37 *1459:A 9.12494e-06 -55 *226:37 *371:72 0.000113891 -56 *226:64 *1459:A 0 -57 *226:78 *1459:A 0.000190633 -58 *249:25 *371:40 8.65905e-05 -59 *249:29 *1323:B 3.21081e-06 -60 *249:29 *371:32 0.000168213 -61 *249:29 *371:40 6.20787e-05 -62 *249:40 *371:24 0.000129788 -63 *249:40 *371:32 6.66768e-05 -64 *253:71 *371:56 0.000273008 -65 *254:84 *371:24 0.000730958 -66 *256:22 *1321:B 1.83847e-05 -67 *257:32 *371:24 8.80686e-05 -*RES -1 *1316:X *371:8 8.34 -2 *371:8 *1321:B 6.96 -3 *371:8 *371:24 14.645 -4 *371:24 *1322:B 1.96 -5 *371:24 *371:32 3.555 -6 *371:32 *1323:B 1.96 -7 *371:32 *371:40 2.865 -8 *371:40 *1320:B 1.96 -9 *371:40 *371:56 19.12 -10 *371:56 *371:59 2.87 -11 *371:59 *1318:B 7.62 -12 *371:59 *371:72 1.635 -13 *371:72 *1317:B 3.6 -14 *371:72 *1459:A 13.89 -15 *371:56 *1466:TE 2.755 -*END - -*D_NET *372 0.0120141 -*CONN -*I *1459:B I *D sky130_fd_sc_hd__or2_2 -*I *1461:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1279:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1459:B 3.1338e-05 -2 *1461:TE 0.000276509 -3 *1279:X 0.0021091 -4 *372:14 0.00241695 -5 *410:DIODE *1461:TE 0.00020779 -6 *410:DIODE *372:14 0.00101827 -7 *418:DIODE *1459:B 8.23457e-05 -8 *1201:B1 *1459:B 4.66108e-05 -9 *1201:B1 *1461:TE 2.92496e-05 -10 *1201:B1 *372:14 9.30787e-05 -11 *1247:A *372:14 0.000129338 -12 *1247:B *372:14 0.000323745 -13 *1248:B *372:14 0.000120761 -14 *1257:B *372:14 0.000160635 -15 *1284:B1 *372:14 2.17931e-05 -16 *1302:A1 *372:14 1.91597e-05 -17 *1302:A2 *372:14 0.000122797 -18 *1302:B1 *372:14 8.60943e-05 -19 *1303:C1 *372:14 4.66108e-05 -20 *26:9 *372:14 1.38912e-05 -21 *30:23 *372:14 0.00171739 -22 *92:67 *372:14 0.000362235 -23 *144:22 *372:14 3.03154e-05 -24 *163:18 *372:14 2.32565e-06 -25 *163:39 *372:14 1.6408e-05 -26 *198:41 *372:14 0.000265179 -27 *205:5 *372:14 3.87203e-05 -28 *206:8 *372:14 4.66108e-05 -29 *211:40 *372:14 0.000706973 -30 *212:55 *372:14 8.80142e-05 -31 *220:12 *372:14 6.13314e-05 -32 *226:7 *1461:TE 0.000174364 -33 *272:10 *1461:TE 0.0001967 -34 *272:10 *372:14 0.000231197 -35 *275:67 *372:14 0.0001947 -36 *301:17 *372:14 0.000525548 -*RES -1 *1279:X *372:14 37.8998 -2 *372:14 *1461:TE 10.59 -3 *372:14 *1459:B 4.395 -*END - -*D_NET *373 0.00328565 -*CONN -*I *1464:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1462:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1314:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1464:TE_B 0 -2 *1462:TE 0.000264811 -3 *1314:X 0.000508684 -4 *373:11 0.000773494 -5 *1199:B *1462:TE 0 -6 *1309:B1 *373:11 0.000193801 -7 *1379:TE *373:11 0 -8 *1386:A *373:11 0 -9 *1389:TE_B *373:11 0 -10 *1462:Z *1462:TE 0.000108886 -11 *1464:A *1462:TE 0.000119762 -12 *272:10 *373:11 0.000549523 -13 *275:15 *373:11 0.000206824 -14 *284:33 *1462:TE 0.000119083 -15 *284:33 *373:11 6.80137e-05 -16 *294:23 *373:11 0.000193801 -17 *301:17 *373:11 9.81232e-05 -18 *368:7 *1462:TE 8.08498e-05 -*RES -1 *1314:X *373:11 17.345 -2 *373:11 *1462:TE 7.015 -3 *373:11 *1464:TE_B 1.6 -*END diff --git a/signoff/digital_pll/openlane-signoff/spef/digital_pll.nom.spef b/signoff/digital_pll/openlane-signoff/spef/digital_pll.nom.spef deleted file mode 100644 index fa47f9d9..00000000 --- a/signoff/digital_pll/openlane-signoff/spef/digital_pll.nom.spef +++ /dev/null @@ -1,14066 +0,0 @@ -*SPEF "ieee 1481-1999" -*DESIGN "digital_pll" -*DATE "11:11:11 Fri 11 11, 1111" -*VENDOR "OpenRCX" -*PROGRAM "Parallel Extraction" -*VERSION "1.0" -*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" -*DIVIDER / -*DELIMITER : -*BUS_DELIMITER [] -*T_UNIT 1 NS -*C_UNIT 1 PF -*R_UNIT 1 OHM -*L_UNIT 1 HENRY - -*NAME_MAP -*3 clockp[0] -*4 clockp[1] -*5 dco -*6 div[0] -*7 div[1] -*8 div[2] -*9 div[3] -*10 div[4] -*11 enable -*12 ext_trim[0] -*13 ext_trim[10] -*14 ext_trim[11] -*15 ext_trim[12] -*16 ext_trim[13] -*17 ext_trim[14] -*18 ext_trim[15] -*19 ext_trim[16] -*20 ext_trim[17] -*21 ext_trim[18] -*22 ext_trim[19] -*23 ext_trim[1] -*24 ext_trim[20] -*25 ext_trim[21] -*26 ext_trim[22] -*27 ext_trim[23] -*28 ext_trim[24] -*29 ext_trim[25] -*30 ext_trim[2] -*31 ext_trim[3] -*32 ext_trim[4] -*33 ext_trim[5] -*34 ext_trim[6] -*35 ext_trim[7] -*36 ext_trim[8] -*37 ext_trim[9] -*38 osc -*39 resetb -*40 _000_ -*41 _001_ -*42 _002_ -*43 _003_ -*44 _004_ -*45 _005_ -*46 _006_ -*47 _007_ -*48 _008_ -*49 _009_ -*50 _010_ -*51 _011_ -*52 _012_ -*53 _013_ -*54 _014_ -*55 _015_ -*56 _016_ -*57 _017_ -*58 _018_ -*59 _019_ -*60 _020_ -*61 _021_ -*62 _022_ -*63 _023_ -*64 _024_ -*65 _025_ -*66 _026_ -*67 _027_ -*68 _028_ -*69 _029_ -*70 _030_ -*71 _031_ -*72 _032_ -*73 _033_ -*74 _034_ -*75 _035_ -*76 _036_ -*77 _037_ -*78 _038_ -*79 _039_ -*80 _040_ -*81 _041_ -*82 _042_ -*83 _043_ -*84 _044_ -*85 _045_ -*86 _046_ -*87 _047_ -*88 _048_ -*89 _049_ -*90 _050_ -*91 _051_ -*92 _052_ -*93 _053_ -*94 _054_ -*95 _055_ -*96 _056_ -*97 _057_ -*98 _058_ -*99 _059_ -*100 _060_ -*101 _061_ -*102 _062_ -*103 _063_ -*104 _064_ -*105 _065_ -*106 _066_ -*107 _067_ -*108 _068_ -*109 _069_ -*110 _070_ -*111 _071_ -*112 _072_ -*113 _073_ -*114 _074_ -*115 _075_ -*116 _076_ -*117 _077_ -*118 _078_ -*119 _079_ -*120 _080_ -*121 _081_ -*122 _082_ -*123 _083_ -*124 _084_ -*125 _085_ -*126 _086_ -*127 _087_ -*128 _088_ -*129 _089_ -*130 _090_ -*131 _091_ -*132 _092_ -*133 _093_ -*134 _094_ -*135 _095_ -*136 _096_ -*137 _097_ -*138 _098_ -*139 _099_ -*140 _100_ -*141 _101_ -*142 _102_ -*143 _103_ -*144 _104_ -*145 _105_ -*146 _106_ -*147 _107_ -*148 _108_ -*149 _109_ -*150 _110_ -*151 _111_ -*152 _112_ -*153 _113_ -*154 _114_ -*155 _115_ -*156 _116_ -*157 _117_ -*158 _118_ -*159 _119_ -*160 _120_ -*161 _121_ -*162 _122_ -*163 _123_ -*164 _124_ -*165 _125_ -*166 _126_ -*167 _127_ -*168 _128_ -*169 _129_ -*170 _130_ -*171 _131_ -*172 _132_ -*173 _133_ -*174 _134_ -*175 _135_ -*176 _136_ -*177 _137_ -*178 _138_ -*179 _139_ -*180 _140_ -*181 _141_ -*182 _142_ -*183 _143_ -*184 _144_ -*185 _145_ -*186 _146_ -*187 _147_ -*188 _148_ -*189 _149_ -*190 _150_ -*191 _151_ -*192 _152_ -*193 _153_ -*194 _154_ -*195 _155_ -*196 _156_ -*197 _157_ -*198 _158_ -*199 _159_ -*200 _160_ -*201 _161_ -*202 _162_ -*203 _163_ -*204 _164_ -*205 _165_ -*206 _166_ -*207 _167_ -*208 _168_ -*209 _169_ -*210 _170_ -*211 _171_ -*212 _172_ -*213 _173_ -*214 _174_ -*215 _175_ -*216 _176_ -*217 _177_ -*218 _178_ -*219 _179_ -*220 _180_ -*221 _181_ -*222 _182_ -*223 _183_ -*224 _184_ -*225 _185_ -*226 _186_ -*227 _187_ -*228 _188_ -*229 _189_ -*230 _190_ -*231 _191_ -*232 _192_ -*233 _193_ -*234 _194_ -*235 _195_ -*236 _196_ -*237 _197_ -*238 _198_ -*239 _199_ -*240 _200_ -*241 _201_ -*242 _202_ -*243 _203_ -*244 _204_ -*245 _205_ -*246 _206_ -*247 _207_ -*248 _208_ -*249 _209_ -*250 _210_ -*251 _211_ -*252 _212_ -*253 _213_ -*254 pll_control\.clock -*255 pll_control\.count0\[0\] -*256 pll_control\.count0\[1\] -*257 pll_control\.count0\[2\] -*258 pll_control\.count0\[3\] -*259 pll_control\.count0\[4\] -*260 pll_control\.count1\[0\] -*261 pll_control\.count1\[1\] -*262 pll_control\.count1\[2\] -*263 pll_control\.count1\[3\] -*264 pll_control\.count1\[4\] -*265 pll_control\.oscbuf\[0\] -*266 pll_control\.oscbuf\[1\] -*267 pll_control\.oscbuf\[2\] -*268 pll_control\.prep\[0\] -*269 pll_control\.prep\[1\] -*270 pll_control\.prep\[2\] -*271 pll_control\.tint\[0\] -*272 pll_control\.tint\[1\] -*273 pll_control\.tint\[2\] -*274 pll_control\.tint\[3\] -*275 pll_control\.tint\[4\] -*276 pll_control\.tval\[0\] -*277 pll_control\.tval\[1\] -*278 ringosc\.c\[0\] -*279 ringosc\.c\[1\] -*280 ringosc\.clockp\[1\] -*281 ringosc\.dstage\[0\]\.id\.d0 -*282 ringosc\.dstage\[0\]\.id\.d1 -*283 ringosc\.dstage\[0\]\.id\.d2 -*284 ringosc\.dstage\[0\]\.id\.in -*285 ringosc\.dstage\[0\]\.id\.out -*286 ringosc\.dstage\[0\]\.id\.trim\[0\] -*287 ringosc\.dstage\[0\]\.id\.trim\[1\] -*288 ringosc\.dstage\[0\]\.id\.ts -*289 ringosc\.dstage\[10\]\.id\.d0 -*290 ringosc\.dstage\[10\]\.id\.d1 -*291 ringosc\.dstage\[10\]\.id\.d2 -*292 ringosc\.dstage\[10\]\.id\.in -*293 ringosc\.dstage\[10\]\.id\.out -*294 ringosc\.dstage\[10\]\.id\.trim\[0\] -*295 ringosc\.dstage\[10\]\.id\.trim\[1\] -*296 ringosc\.dstage\[10\]\.id\.ts -*297 ringosc\.dstage\[11\]\.id\.d0 -*298 ringosc\.dstage\[11\]\.id\.d1 -*299 ringosc\.dstage\[11\]\.id\.d2 -*300 ringosc\.dstage\[11\]\.id\.out -*301 ringosc\.dstage\[11\]\.id\.trim\[0\] -*302 ringosc\.dstage\[11\]\.id\.trim\[1\] -*303 ringosc\.dstage\[11\]\.id\.ts -*304 ringosc\.dstage\[1\]\.id\.d0 -*305 ringosc\.dstage\[1\]\.id\.d1 -*306 ringosc\.dstage\[1\]\.id\.d2 -*307 ringosc\.dstage\[1\]\.id\.out -*308 ringosc\.dstage\[1\]\.id\.trim\[0\] -*309 ringosc\.dstage\[1\]\.id\.trim\[1\] -*310 ringosc\.dstage\[1\]\.id\.ts -*311 ringosc\.dstage\[2\]\.id\.d0 -*312 ringosc\.dstage\[2\]\.id\.d1 -*313 ringosc\.dstage\[2\]\.id\.d2 -*314 ringosc\.dstage\[2\]\.id\.out -*315 ringosc\.dstage\[2\]\.id\.trim\[0\] -*316 ringosc\.dstage\[2\]\.id\.trim\[1\] -*317 ringosc\.dstage\[2\]\.id\.ts -*318 ringosc\.dstage\[3\]\.id\.d0 -*319 ringosc\.dstage\[3\]\.id\.d1 -*320 ringosc\.dstage\[3\]\.id\.d2 -*321 ringosc\.dstage\[3\]\.id\.out -*322 ringosc\.dstage\[3\]\.id\.trim\[0\] -*323 ringosc\.dstage\[3\]\.id\.trim\[1\] -*324 ringosc\.dstage\[3\]\.id\.ts -*325 ringosc\.dstage\[4\]\.id\.d0 -*326 ringosc\.dstage\[4\]\.id\.d1 -*327 ringosc\.dstage\[4\]\.id\.d2 -*328 ringosc\.dstage\[4\]\.id\.out -*329 ringosc\.dstage\[4\]\.id\.trim\[0\] -*330 ringosc\.dstage\[4\]\.id\.trim\[1\] -*331 ringosc\.dstage\[4\]\.id\.ts -*332 ringosc\.dstage\[5\]\.id\.d0 -*333 ringosc\.dstage\[5\]\.id\.d1 -*334 ringosc\.dstage\[5\]\.id\.d2 -*335 ringosc\.dstage\[5\]\.id\.out -*336 ringosc\.dstage\[5\]\.id\.trim\[0\] -*337 ringosc\.dstage\[5\]\.id\.trim\[1\] -*338 ringosc\.dstage\[5\]\.id\.ts -*339 ringosc\.dstage\[6\]\.id\.d0 -*340 ringosc\.dstage\[6\]\.id\.d1 -*341 ringosc\.dstage\[6\]\.id\.d2 -*342 ringosc\.dstage\[6\]\.id\.out -*343 ringosc\.dstage\[6\]\.id\.trim\[0\] -*344 ringosc\.dstage\[6\]\.id\.trim\[1\] -*345 ringosc\.dstage\[6\]\.id\.ts -*346 ringosc\.dstage\[7\]\.id\.d0 -*347 ringosc\.dstage\[7\]\.id\.d1 -*348 ringosc\.dstage\[7\]\.id\.d2 -*349 ringosc\.dstage\[7\]\.id\.out -*350 ringosc\.dstage\[7\]\.id\.trim\[0\] -*351 ringosc\.dstage\[7\]\.id\.trim\[1\] -*352 ringosc\.dstage\[7\]\.id\.ts -*353 ringosc\.dstage\[8\]\.id\.d0 -*354 ringosc\.dstage\[8\]\.id\.d1 -*355 ringosc\.dstage\[8\]\.id\.d2 -*356 ringosc\.dstage\[8\]\.id\.out -*357 ringosc\.dstage\[8\]\.id\.trim\[0\] -*358 ringosc\.dstage\[8\]\.id\.trim\[1\] -*359 ringosc\.dstage\[8\]\.id\.ts -*360 ringosc\.dstage\[9\]\.id\.d0 -*361 ringosc\.dstage\[9\]\.id\.d1 -*362 ringosc\.dstage\[9\]\.id\.d2 -*363 ringosc\.dstage\[9\]\.id\.trim\[0\] -*364 ringosc\.dstage\[9\]\.id\.trim\[1\] -*365 ringosc\.dstage\[9\]\.id\.ts -*366 ringosc\.iss\.ctrl0 -*367 ringosc\.iss\.d0 -*368 ringosc\.iss\.d1 -*369 ringosc\.iss\.d2 -*370 ringosc\.iss\.one -*371 ringosc\.iss\.reset -*372 ringosc\.iss\.trim\[0\] -*373 ringosc\.iss\.trim\[1\] -*374 ANTENNA__243__A -*375 ANTENNA__244__A -*376 ANTENNA__252__A -*377 ANTENNA__257__A_N -*378 ANTENNA__258__A -*379 ANTENNA__260__A1 -*380 ANTENNA__266__A -*381 ANTENNA__267__A1 -*382 ANTENNA__270__A1 -*383 ANTENNA__287__B1 -*384 ANTENNA__289__A1 -*385 ANTENNA__348__A -*386 ANTENNA__349__A -*387 ANTENNA__350__A -*388 ANTENNA__358__A -*389 ANTENNA__360__A2 -*390 ANTENNA__361__B -*391 ANTENNA__363__A2 -*392 ANTENNA__364__A2 -*393 ANTENNA__369__A2 -*394 ANTENNA__373__A2 -*395 ANTENNA__374__B1 -*396 ANTENNA__375__A2 -*397 ANTENNA__378__A2 -*398 ANTENNA__379__A -*399 ANTENNA__380__A2 -*400 ANTENNA__383__A2 -*401 ANTENNA__384__A_N -*402 ANTENNA__387__B1 -*403 ANTENNA__389__A2 -*404 ANTENNA__392__A1 -*405 ANTENNA__392__A2 -*406 ANTENNA__396__A2 -*407 ANTENNA__399__A2 -*408 ANTENNA__404__A1 -*409 ANTENNA__404__A2 -*410 ANTENNA__409__B1 -*411 ANTENNA__410__A1 -*412 ANTENNA__410__A2 -*413 ANTENNA__411__A2 -*414 ANTENNA__417__B1 -*415 ANTENNA__419__A1 -*416 ANTENNA__419__A2 -*417 ANTENNA__421__B1 -*418 ANTENNA__422__A1 -*419 ANTENNA__422__A2 -*420 ANTENNA__423__A2 -*421 ANTENNA__424__A2 -*422 ANTENNA__425__A -*423 ANTENNA__425__B -*424 ANTENNA__427__A -*425 ANTENNA__428__A -*426 ANTENNA__447__A -*427 ANTENNA__470__D -*428 ANTENNA_ringosc\.dstage\[0\]\.id\.delaybuf0_A -*429 ANTENNA_ringosc\.ibufp00_A -*430 FILLER_0_111 -*431 FILLER_0_113 -*432 FILLER_0_117 -*433 FILLER_0_122 -*434 FILLER_0_134 -*435 FILLER_0_141 -*436 FILLER_0_145 -*437 FILLER_0_167 -*438 FILLER_0_169 -*439 FILLER_0_175 -*440 FILLER_0_183 -*441 FILLER_0_189 -*442 FILLER_0_24 -*443 FILLER_0_29 -*444 FILLER_0_3 -*445 FILLER_0_39 -*446 FILLER_0_43 -*447 FILLER_0_49 -*448 FILLER_0_55 -*449 FILLER_0_57 -*450 FILLER_0_60 -*451 FILLER_0_72 -*452 FILLER_0_78 -*453 FILLER_0_83 -*454 FILLER_0_85 -*455 FILLER_0_94 -*456 FILLER_10_110 -*457 FILLER_10_125 -*458 FILLER_10_136 -*459 FILLER_10_141 -*460 FILLER_10_155 -*461 FILLER_10_163 -*462 FILLER_10_177 -*463 FILLER_10_186 -*464 FILLER_10_19 -*465 FILLER_10_27 -*466 FILLER_10_29 -*467 FILLER_10_3 -*468 FILLER_10_34 -*469 FILLER_10_49 -*470 FILLER_10_64 -*471 FILLER_10_7 -*472 FILLER_10_79 -*473 FILLER_10_83 -*474 FILLER_10_85 -*475 FILLER_10_99 -*476 FILLER_11_106 -*477 FILLER_11_113 -*478 FILLER_11_123 -*479 FILLER_11_127 -*480 FILLER_11_137 -*481 FILLER_11_143 -*482 FILLER_11_154 -*483 FILLER_11_16 -*484 FILLER_11_160 -*485 FILLER_11_167 -*486 FILLER_11_169 -*487 FILLER_11_181 -*488 FILLER_11_186 -*489 FILLER_11_3 -*490 FILLER_11_30 -*491 FILLER_11_38 -*492 FILLER_11_45 -*493 FILLER_11_50 -*494 FILLER_11_55 -*495 FILLER_11_57 -*496 FILLER_11_6 -*497 FILLER_11_62 -*498 FILLER_11_70 -*499 FILLER_11_81 -*500 FILLER_11_90 -*501 FILLER_11_98 -*502 FILLER_12_107 -*503 FILLER_12_118 -*504 FILLER_12_133 -*505 FILLER_12_139 -*506 FILLER_12_141 -*507 FILLER_12_144 -*508 FILLER_12_159 -*509 FILLER_12_169 -*510 FILLER_12_189 -*511 FILLER_12_24 -*512 FILLER_12_29 -*513 FILLER_12_3 -*514 FILLER_12_35 -*515 FILLER_12_44 -*516 FILLER_12_49 -*517 FILLER_12_53 -*518 FILLER_12_68 -*519 FILLER_12_83 -*520 FILLER_12_85 -*521 FILLER_12_93 -*522 FILLER_12_99 -*523 FILLER_13_102 -*524 FILLER_13_107 -*525 FILLER_13_111 -*526 FILLER_13_113 -*527 FILLER_13_118 -*528 FILLER_13_123 -*529 FILLER_13_128 -*530 FILLER_13_138 -*531 FILLER_13_145 -*532 FILLER_13_15 -*533 FILLER_13_151 -*534 FILLER_13_161 -*535 FILLER_13_166 -*536 FILLER_13_169 -*537 FILLER_13_177 -*538 FILLER_13_185 -*539 FILLER_13_189 -*540 FILLER_13_3 -*541 FILLER_13_34 -*542 FILLER_13_47 -*543 FILLER_13_55 -*544 FILLER_13_57 -*545 FILLER_13_62 -*546 FILLER_13_70 -*547 FILLER_13_74 -*548 FILLER_13_81 -*549 FILLER_13_9 -*550 FILLER_13_90 -*551 FILLER_14_109 -*552 FILLER_14_113 -*553 FILLER_14_117 -*554 FILLER_14_124 -*555 FILLER_14_128 -*556 FILLER_14_137 -*557 FILLER_14_141 -*558 FILLER_14_150 -*559 FILLER_14_160 -*560 FILLER_14_164 -*561 FILLER_14_168 -*562 FILLER_14_17 -*563 FILLER_14_187 -*564 FILLER_14_27 -*565 FILLER_14_29 -*566 FILLER_14_3 -*567 FILLER_14_38 -*568 FILLER_14_44 -*569 FILLER_14_48 -*570 FILLER_14_56 -*571 FILLER_14_63 -*572 FILLER_14_70 -*573 FILLER_14_77 -*574 FILLER_14_83 -*575 FILLER_14_85 -*576 FILLER_14_89 -*577 FILLER_14_97 -*578 FILLER_15_102 -*579 FILLER_15_111 -*580 FILLER_15_113 -*581 FILLER_15_119 -*582 FILLER_15_127 -*583 FILLER_15_13 -*584 FILLER_15_134 -*585 FILLER_15_139 -*586 FILLER_15_151 -*587 FILLER_15_161 -*588 FILLER_15_167 -*589 FILLER_15_169 -*590 FILLER_15_17 -*591 FILLER_15_177 -*592 FILLER_15_186 -*593 FILLER_15_3 -*594 FILLER_15_36 -*595 FILLER_15_40 -*596 FILLER_15_44 -*597 FILLER_15_55 -*598 FILLER_15_57 -*599 FILLER_15_62 -*600 FILLER_15_72 -*601 FILLER_15_81 -*602 FILLER_15_85 -*603 FILLER_15_92 -*604 FILLER_16_100 -*605 FILLER_16_107 -*606 FILLER_16_114 -*607 FILLER_16_122 -*608 FILLER_16_127 -*609 FILLER_16_135 -*610 FILLER_16_139 -*611 FILLER_16_141 -*612 FILLER_16_150 -*613 FILLER_16_160 -*614 FILLER_16_166 -*615 FILLER_16_18 -*616 FILLER_16_181 -*617 FILLER_16_187 -*618 FILLER_16_27 -*619 FILLER_16_29 -*620 FILLER_16_3 -*621 FILLER_16_41 -*622 FILLER_16_46 -*623 FILLER_16_52 -*624 FILLER_16_59 -*625 FILLER_16_68 -*626 FILLER_16_72 -*627 FILLER_16_81 -*628 FILLER_16_85 -*629 FILLER_16_9 -*630 FILLER_16_91 -*631 FILLER_17_110 -*632 FILLER_17_113 -*633 FILLER_17_122 -*634 FILLER_17_129 -*635 FILLER_17_138 -*636 FILLER_17_14 -*637 FILLER_17_142 -*638 FILLER_17_149 -*639 FILLER_17_161 -*640 FILLER_17_167 -*641 FILLER_17_169 -*642 FILLER_17_179 -*643 FILLER_17_18 -*644 FILLER_17_188 -*645 FILLER_17_24 -*646 FILLER_17_29 -*647 FILLER_17_3 -*648 FILLER_17_38 -*649 FILLER_17_44 -*650 FILLER_17_55 -*651 FILLER_17_57 -*652 FILLER_17_62 -*653 FILLER_17_71 -*654 FILLER_17_82 -*655 FILLER_17_90 -*656 FILLER_17_99 -*657 FILLER_18_105 -*658 FILLER_18_115 -*659 FILLER_18_125 -*660 FILLER_18_129 -*661 FILLER_18_139 -*662 FILLER_18_141 -*663 FILLER_18_151 -*664 FILLER_18_158 -*665 FILLER_18_172 -*666 FILLER_18_181 -*667 FILLER_18_186 -*668 FILLER_18_22 -*669 FILLER_18_26 -*670 FILLER_18_29 -*671 FILLER_18_3 -*672 FILLER_18_48 -*673 FILLER_18_61 -*674 FILLER_18_72 -*675 FILLER_18_83 -*676 FILLER_18_85 -*677 FILLER_18_94 -*678 FILLER_18_98 -*679 FILLER_19_100 -*680 FILLER_19_108 -*681 FILLER_19_113 -*682 FILLER_19_122 -*683 FILLER_19_127 -*684 FILLER_19_136 -*685 FILLER_19_14 -*686 FILLER_19_145 -*687 FILLER_19_158 -*688 FILLER_19_162 -*689 FILLER_19_167 -*690 FILLER_19_169 -*691 FILLER_19_18 -*692 FILLER_19_189 -*693 FILLER_19_23 -*694 FILLER_19_3 -*695 FILLER_19_32 -*696 FILLER_19_41 -*697 FILLER_19_46 -*698 FILLER_19_55 -*699 FILLER_19_57 -*700 FILLER_19_60 -*701 FILLER_19_69 -*702 FILLER_19_73 -*703 FILLER_19_82 -*704 FILLER_19_88 -*705 FILLER_1_11 -*706 FILLER_1_111 -*707 FILLER_1_113 -*708 FILLER_1_135 -*709 FILLER_1_158 -*710 FILLER_1_165 -*711 FILLER_1_169 -*712 FILLER_1_186 -*713 FILLER_1_20 -*714 FILLER_1_3 -*715 FILLER_1_45 -*716 FILLER_1_49 -*717 FILLER_1_55 -*718 FILLER_1_57 -*719 FILLER_1_61 -*720 FILLER_1_76 -*721 FILLER_1_99 -*722 FILLER_20_106 -*723 FILLER_20_116 -*724 FILLER_20_120 -*725 FILLER_20_131 -*726 FILLER_20_138 -*727 FILLER_20_14 -*728 FILLER_20_141 -*729 FILLER_20_160 -*730 FILLER_20_167 -*731 FILLER_20_176 -*732 FILLER_20_18 -*733 FILLER_20_185 -*734 FILLER_20_189 -*735 FILLER_20_23 -*736 FILLER_20_27 -*737 FILLER_20_29 -*738 FILLER_20_3 -*739 FILLER_20_37 -*740 FILLER_20_47 -*741 FILLER_20_54 -*742 FILLER_20_74 -*743 FILLER_20_83 -*744 FILLER_20_85 -*745 FILLER_20_95 -*746 FILLER_21_100 -*747 FILLER_21_111 -*748 FILLER_21_113 -*749 FILLER_21_125 -*750 FILLER_21_129 -*751 FILLER_21_141 -*752 FILLER_21_147 -*753 FILLER_21_167 -*754 FILLER_21_169 -*755 FILLER_21_17 -*756 FILLER_21_184 -*757 FILLER_21_189 -*758 FILLER_21_3 -*759 FILLER_21_38 -*760 FILLER_21_51 -*761 FILLER_21_55 -*762 FILLER_21_57 -*763 FILLER_21_67 -*764 FILLER_21_87 -*765 FILLER_22_105 -*766 FILLER_22_109 -*767 FILLER_22_11 -*768 FILLER_22_113 -*769 FILLER_22_117 -*770 FILLER_22_127 -*771 FILLER_22_131 -*772 FILLER_22_139 -*773 FILLER_22_141 -*774 FILLER_22_149 -*775 FILLER_22_15 -*776 FILLER_22_154 -*777 FILLER_22_161 -*778 FILLER_22_166 -*779 FILLER_22_169 -*780 FILLER_22_177 -*781 FILLER_22_182 -*782 FILLER_22_186 -*783 FILLER_22_20 -*784 FILLER_22_27 -*785 FILLER_22_29 -*786 FILLER_22_3 -*787 FILLER_22_32 -*788 FILLER_22_41 -*789 FILLER_22_45 -*790 FILLER_22_51 -*791 FILLER_22_55 -*792 FILLER_22_57 -*793 FILLER_22_65 -*794 FILLER_22_71 -*795 FILLER_22_76 -*796 FILLER_22_83 -*797 FILLER_22_85 -*798 FILLER_22_94 -*799 FILLER_22_99 -*800 FILLER_2_103 -*801 FILLER_2_112 -*802 FILLER_2_139 -*803 FILLER_2_141 -*804 FILLER_2_146 -*805 FILLER_2_150 -*806 FILLER_2_173 -*807 FILLER_2_177 -*808 FILLER_2_187 -*809 FILLER_2_20 -*810 FILLER_2_27 -*811 FILLER_2_29 -*812 FILLER_2_3 -*813 FILLER_2_38 -*814 FILLER_2_48 -*815 FILLER_2_71 -*816 FILLER_2_79 -*817 FILLER_2_83 -*818 FILLER_2_85 -*819 FILLER_2_9 -*820 FILLER_2_92 -*821 FILLER_2_96 -*822 FILLER_3_110 -*823 FILLER_3_113 -*824 FILLER_3_120 -*825 FILLER_3_127 -*826 FILLER_3_131 -*827 FILLER_3_137 -*828 FILLER_3_14 -*829 FILLER_3_144 -*830 FILLER_3_167 -*831 FILLER_3_169 -*832 FILLER_3_176 -*833 FILLER_3_18 -*834 FILLER_3_187 -*835 FILLER_3_3 -*836 FILLER_3_33 -*837 FILLER_3_37 -*838 FILLER_3_47 -*839 FILLER_3_55 -*840 FILLER_3_57 -*841 FILLER_3_64 -*842 FILLER_3_72 -*843 FILLER_3_95 -*844 FILLER_4_102 -*845 FILLER_4_112 -*846 FILLER_4_135 -*847 FILLER_4_139 -*848 FILLER_4_141 -*849 FILLER_4_147 -*850 FILLER_4_151 -*851 FILLER_4_173 -*852 FILLER_4_185 -*853 FILLER_4_189 -*854 FILLER_4_20 -*855 FILLER_4_25 -*856 FILLER_4_29 -*857 FILLER_4_3 -*858 FILLER_4_43 -*859 FILLER_4_47 -*860 FILLER_4_58 -*861 FILLER_4_67 -*862 FILLER_4_74 -*863 FILLER_4_83 -*864 FILLER_4_85 -*865 FILLER_4_9 -*866 FILLER_4_93 -*867 FILLER_5_106 -*868 FILLER_5_113 -*869 FILLER_5_12 -*870 FILLER_5_120 -*871 FILLER_5_127 -*872 FILLER_5_134 -*873 FILLER_5_138 -*874 FILLER_5_144 -*875 FILLER_5_167 -*876 FILLER_5_169 -*877 FILLER_5_174 -*878 FILLER_5_181 -*879 FILLER_5_188 -*880 FILLER_5_3 -*881 FILLER_5_35 -*882 FILLER_5_46 -*883 FILLER_5_53 -*884 FILLER_5_57 -*885 FILLER_5_79 -*886 FILLER_5_85 -*887 FILLER_5_91 -*888 FILLER_5_98 -*889 FILLER_6_100 -*890 FILLER_6_108 -*891 FILLER_6_12 -*892 FILLER_6_130 -*893 FILLER_6_139 -*894 FILLER_6_141 -*895 FILLER_6_146 -*896 FILLER_6_169 -*897 FILLER_6_17 -*898 FILLER_6_177 -*899 FILLER_6_186 -*900 FILLER_6_21 -*901 FILLER_6_27 -*902 FILLER_6_29 -*903 FILLER_6_3 -*904 FILLER_6_32 -*905 FILLER_6_39 -*906 FILLER_6_46 -*907 FILLER_6_53 -*908 FILLER_6_76 -*909 FILLER_6_83 -*910 FILLER_6_85 -*911 FILLER_6_93 -*912 FILLER_7_108 -*913 FILLER_7_113 -*914 FILLER_7_135 -*915 FILLER_7_158 -*916 FILLER_7_162 -*917 FILLER_7_167 -*918 FILLER_7_169 -*919 FILLER_7_17 -*920 FILLER_7_172 -*921 FILLER_7_179 -*922 FILLER_7_186 -*923 FILLER_7_23 -*924 FILLER_7_27 -*925 FILLER_7_3 -*926 FILLER_7_34 -*927 FILLER_7_43 -*928 FILLER_7_51 -*929 FILLER_7_55 -*930 FILLER_7_57 -*931 FILLER_7_62 -*932 FILLER_7_85 -*933 FILLER_8_114 -*934 FILLER_8_126 -*935 FILLER_8_13 -*936 FILLER_8_130 -*937 FILLER_8_135 -*938 FILLER_8_139 -*939 FILLER_8_141 -*940 FILLER_8_147 -*941 FILLER_8_171 -*942 FILLER_8_180 -*943 FILLER_8_185 -*944 FILLER_8_189 -*945 FILLER_8_24 -*946 FILLER_8_29 -*947 FILLER_8_3 -*948 FILLER_8_37 -*949 FILLER_8_46 -*950 FILLER_8_55 -*951 FILLER_8_6 -*952 FILLER_8_61 -*953 FILLER_8_74 -*954 FILLER_8_81 -*955 FILLER_8_85 -*956 FILLER_8_98 -*957 FILLER_9_100 -*958 FILLER_9_107 -*959 FILLER_9_111 -*960 FILLER_9_113 -*961 FILLER_9_12 -*962 FILLER_9_123 -*963 FILLER_9_138 -*964 FILLER_9_145 -*965 FILLER_9_156 -*966 FILLER_9_160 -*967 FILLER_9_167 -*968 FILLER_9_169 -*969 FILLER_9_189 -*970 FILLER_9_22 -*971 FILLER_9_3 -*972 FILLER_9_32 -*973 FILLER_9_39 -*974 FILLER_9_49 -*975 FILLER_9_54 -*976 FILLER_9_57 -*977 FILLER_9_63 -*978 FILLER_9_67 -*979 FILLER_9_7 -*980 FILLER_9_72 -*981 FILLER_9_83 -*982 FILLER_9_94 -*983 PHY_0 -*984 PHY_1 -*985 PHY_10 -*986 PHY_11 -*987 PHY_12 -*988 PHY_13 -*989 PHY_14 -*990 PHY_15 -*991 PHY_16 -*992 PHY_17 -*993 PHY_18 -*994 PHY_19 -*995 PHY_2 -*996 PHY_20 -*997 PHY_21 -*998 PHY_22 -*999 PHY_23 -*1000 PHY_24 -*1001 PHY_25 -*1002 PHY_26 -*1003 PHY_27 -*1004 PHY_28 -*1005 PHY_29 -*1006 PHY_3 -*1007 PHY_30 -*1008 PHY_31 -*1009 PHY_32 -*1010 PHY_33 -*1011 PHY_34 -*1012 PHY_35 -*1013 PHY_36 -*1014 PHY_37 -*1015 PHY_38 -*1016 PHY_39 -*1017 PHY_4 -*1018 PHY_40 -*1019 PHY_41 -*1020 PHY_42 -*1021 PHY_43 -*1022 PHY_44 -*1023 PHY_45 -*1024 PHY_5 -*1025 PHY_6 -*1026 PHY_7 -*1027 PHY_8 -*1028 PHY_9 -*1029 TAP_100 -*1030 TAP_101 -*1031 TAP_102 -*1032 TAP_103 -*1033 TAP_104 -*1034 TAP_105 -*1035 TAP_106 -*1036 TAP_107 -*1037 TAP_108 -*1038 TAP_109 -*1039 TAP_110 -*1040 TAP_111 -*1041 TAP_112 -*1042 TAP_113 -*1043 TAP_114 -*1044 TAP_115 -*1045 TAP_116 -*1046 TAP_117 -*1047 TAP_118 -*1048 TAP_119 -*1049 TAP_120 -*1050 TAP_46 -*1051 TAP_47 -*1052 TAP_48 -*1053 TAP_49 -*1054 TAP_50 -*1055 TAP_51 -*1056 TAP_52 -*1057 TAP_53 -*1058 TAP_54 -*1059 TAP_55 -*1060 TAP_56 -*1061 TAP_57 -*1062 TAP_58 -*1063 TAP_59 -*1064 TAP_60 -*1065 TAP_61 -*1066 TAP_62 -*1067 TAP_63 -*1068 TAP_64 -*1069 TAP_65 -*1070 TAP_66 -*1071 TAP_67 -*1072 TAP_68 -*1073 TAP_69 -*1074 TAP_70 -*1075 TAP_71 -*1076 TAP_72 -*1077 TAP_73 -*1078 TAP_74 -*1079 TAP_75 -*1080 TAP_76 -*1081 TAP_77 -*1082 TAP_78 -*1083 TAP_79 -*1084 TAP_80 -*1085 TAP_81 -*1086 TAP_82 -*1087 TAP_83 -*1088 TAP_84 -*1089 TAP_85 -*1090 TAP_86 -*1091 TAP_87 -*1092 TAP_88 -*1093 TAP_89 -*1094 TAP_90 -*1095 TAP_91 -*1096 TAP_92 -*1097 TAP_93 -*1098 TAP_94 -*1099 TAP_95 -*1100 TAP_96 -*1101 TAP_97 -*1102 TAP_98 -*1103 TAP_99 -*1104 _214_ -*1105 _215_ -*1106 _216_ -*1107 _217_ -*1108 _218_ -*1109 _219_ -*1110 _220_ -*1111 _221_ -*1112 _222_ -*1113 _223_ -*1114 _224_ -*1115 _225_ -*1116 _226_ -*1117 _227_ -*1118 _228_ -*1119 _229_ -*1120 _230_ -*1121 _231_ -*1122 _232_ -*1123 _233_ -*1124 _234_ -*1125 _235_ -*1126 _236_ -*1127 _237_ -*1128 _238_ -*1129 _239_ -*1130 _240_ -*1131 _241_ -*1132 _242_ -*1133 _243_ -*1134 _244_ -*1135 _245_ -*1136 _246_ -*1137 _247_ -*1138 _248_ -*1139 _249_ -*1140 _250_ -*1141 _251_ -*1142 _252_ -*1143 _253_ -*1144 _254_ -*1145 _255_ -*1146 _256_ -*1147 _257_ -*1148 _258_ -*1149 _259_ -*1150 _260_ -*1151 _261_ -*1152 _262_ -*1153 _263_ -*1154 _264_ -*1155 _265_ -*1156 _266_ -*1157 _267_ -*1158 _268_ -*1159 _269_ -*1160 _270_ -*1161 _271_ -*1162 _272_ -*1163 _273_ -*1164 _274_ -*1165 _275_ -*1166 _276_ -*1167 _277_ -*1168 _278_ -*1169 _279_ -*1170 _280_ -*1171 _281_ -*1172 _282_ -*1173 _283_ -*1174 _284_ -*1175 _285_ -*1176 _286_ -*1177 _287_ -*1178 _288_ -*1179 _289_ -*1180 _290_ -*1181 _291_ -*1182 _292_ -*1183 _293_ -*1184 _294_ -*1185 _295_ -*1186 _296_ -*1187 _297_ -*1188 _298_ -*1189 _299_ -*1190 _300_ -*1191 _301_ -*1192 _302_ -*1193 _303_ -*1194 _304_ -*1195 _305_ -*1196 _306_ -*1197 _307_ -*1198 _308_ -*1199 _309_ -*1200 _310_ -*1201 _311_ -*1202 _312_ -*1203 _313_ -*1204 _314_ -*1205 _315_ -*1206 _316_ -*1207 _317_ -*1208 _318_ -*1209 _319_ -*1210 _320_ -*1211 _321_ -*1212 _322_ -*1213 _323_ -*1214 _324_ -*1215 _325_ -*1216 _326_ -*1217 _327_ -*1218 _328_ -*1219 _329_ -*1220 _330_ -*1221 _331_ -*1222 _332_ -*1223 _333_ -*1224 _334_ -*1225 _335_ -*1226 _336_ -*1227 _337_ -*1228 _338_ -*1229 _339_ -*1230 _340_ -*1231 _341_ -*1232 _342_ -*1233 _343_ -*1234 _344_ -*1235 _345_ -*1236 _346_ -*1237 _347_ -*1238 _348_ -*1239 _349_ -*1240 _350_ -*1241 _351_ -*1242 _352_ -*1243 _353_ -*1244 _354_ -*1245 _355_ -*1246 _356_ -*1247 _357_ -*1248 _358_ -*1249 _359_ -*1250 _360_ -*1251 _361_ -*1252 _362_ -*1253 _363_ -*1254 _364_ -*1255 _365_ -*1256 _366_ -*1257 _367_ -*1258 _368_ -*1259 _369_ -*1260 _370_ -*1261 _371_ -*1262 _372_ -*1263 _373_ -*1264 _374_ -*1265 _375_ -*1266 _376_ -*1267 _377_ -*1268 _378_ -*1269 _379_ -*1270 _380_ -*1271 _381_ -*1272 _382_ -*1273 _383_ -*1274 _384_ -*1275 _385_ -*1276 _386_ -*1277 _387_ -*1278 _388_ -*1279 _389_ -*1280 _390_ -*1281 _391_ -*1282 _392_ -*1283 _393_ -*1284 _394_ -*1285 _395_ -*1286 _396_ -*1287 _397_ -*1288 _398_ -*1289 _399_ -*1290 _400_ -*1291 _401_ -*1292 _402_ -*1293 _403_ -*1294 _404_ -*1295 _405_ -*1296 _406_ -*1297 _407_ -*1298 _408_ -*1299 _409_ -*1300 _410_ -*1301 _411_ -*1302 _412_ -*1303 _413_ -*1304 _414_ -*1305 _415_ -*1306 _416_ -*1307 _417_ -*1308 _418_ -*1309 _419_ -*1310 _420_ -*1311 _421_ -*1312 _422_ -*1313 _423_ -*1314 _424_ -*1315 _425_ -*1316 _426_ -*1317 _427_ -*1318 _428_ -*1319 _429_ -*1320 _430_ -*1321 _431_ -*1322 _432_ -*1323 _433_ -*1324 _434_ -*1325 _435_ -*1326 _436_ -*1327 _437_ -*1328 _438_ -*1329 _439_ -*1330 _440_ -*1331 _441_ -*1332 _442_ -*1333 _443_ -*1334 _444_ -*1335 _445_ -*1336 _446_ -*1337 _447_ -*1338 _448_ -*1339 _449_ -*1340 _450_ -*1341 _451_ -*1342 _452_ -*1343 _453_ -*1344 _454_ -*1345 _455_ -*1346 _456_ -*1347 _457_ -*1348 _458_ -*1349 _459_ -*1350 _460_ -*1351 _461_ -*1352 _462_ -*1353 _463_ -*1354 _464_ -*1355 _465_ -*1356 _466_ -*1357 _467_ -*1358 _468_ -*1359 _469_ -*1360 _470_ -*1361 _471_ -*1362 _472_ -*1363 _473_ -*1364 _474_ -*1365 _475_ -*1366 _476_ -*1367 _477_ -*1368 clockp_buffer_0 -*1369 clockp_buffer_1 -*1370 ringosc\.dstage\[0\]\.id\.delaybuf0 -*1371 ringosc\.dstage\[0\]\.id\.delaybuf1 -*1372 ringosc\.dstage\[0\]\.id\.delayen0 -*1373 ringosc\.dstage\[0\]\.id\.delayen1 -*1374 ringosc\.dstage\[0\]\.id\.delayenb0 -*1375 ringosc\.dstage\[0\]\.id\.delayenb1 -*1376 ringosc\.dstage\[0\]\.id\.delayint0 -*1377 ringosc\.dstage\[10\]\.id\.delaybuf0 -*1378 ringosc\.dstage\[10\]\.id\.delaybuf1 -*1379 ringosc\.dstage\[10\]\.id\.delayen0 -*1380 ringosc\.dstage\[10\]\.id\.delayen1 -*1381 ringosc\.dstage\[10\]\.id\.delayenb0 -*1382 ringosc\.dstage\[10\]\.id\.delayenb1 -*1383 ringosc\.dstage\[10\]\.id\.delayint0 -*1384 ringosc\.dstage\[11\]\.id\.delaybuf0 -*1385 ringosc\.dstage\[11\]\.id\.delaybuf1 -*1386 ringosc\.dstage\[11\]\.id\.delayen0 -*1387 ringosc\.dstage\[11\]\.id\.delayen1 -*1388 ringosc\.dstage\[11\]\.id\.delayenb0 -*1389 ringosc\.dstage\[11\]\.id\.delayenb1 -*1390 ringosc\.dstage\[11\]\.id\.delayint0 -*1391 ringosc\.dstage\[1\]\.id\.delaybuf0 -*1392 ringosc\.dstage\[1\]\.id\.delaybuf1 -*1393 ringosc\.dstage\[1\]\.id\.delayen0 -*1394 ringosc\.dstage\[1\]\.id\.delayen1 -*1395 ringosc\.dstage\[1\]\.id\.delayenb0 -*1396 ringosc\.dstage\[1\]\.id\.delayenb1 -*1397 ringosc\.dstage\[1\]\.id\.delayint0 -*1398 ringosc\.dstage\[2\]\.id\.delaybuf0 -*1399 ringosc\.dstage\[2\]\.id\.delaybuf1 -*1400 ringosc\.dstage\[2\]\.id\.delayen0 -*1401 ringosc\.dstage\[2\]\.id\.delayen1 -*1402 ringosc\.dstage\[2\]\.id\.delayenb0 -*1403 ringosc\.dstage\[2\]\.id\.delayenb1 -*1404 ringosc\.dstage\[2\]\.id\.delayint0 -*1405 ringosc\.dstage\[3\]\.id\.delaybuf0 -*1406 ringosc\.dstage\[3\]\.id\.delaybuf1 -*1407 ringosc\.dstage\[3\]\.id\.delayen0 -*1408 ringosc\.dstage\[3\]\.id\.delayen1 -*1409 ringosc\.dstage\[3\]\.id\.delayenb0 -*1410 ringosc\.dstage\[3\]\.id\.delayenb1 -*1411 ringosc\.dstage\[3\]\.id\.delayint0 -*1412 ringosc\.dstage\[4\]\.id\.delaybuf0 -*1413 ringosc\.dstage\[4\]\.id\.delaybuf1 -*1414 ringosc\.dstage\[4\]\.id\.delayen0 -*1415 ringosc\.dstage\[4\]\.id\.delayen1 -*1416 ringosc\.dstage\[4\]\.id\.delayenb0 -*1417 ringosc\.dstage\[4\]\.id\.delayenb1 -*1418 ringosc\.dstage\[4\]\.id\.delayint0 -*1419 ringosc\.dstage\[5\]\.id\.delaybuf0 -*1420 ringosc\.dstage\[5\]\.id\.delaybuf1 -*1421 ringosc\.dstage\[5\]\.id\.delayen0 -*1422 ringosc\.dstage\[5\]\.id\.delayen1 -*1423 ringosc\.dstage\[5\]\.id\.delayenb0 -*1424 ringosc\.dstage\[5\]\.id\.delayenb1 -*1425 ringosc\.dstage\[5\]\.id\.delayint0 -*1426 ringosc\.dstage\[6\]\.id\.delaybuf0 -*1427 ringosc\.dstage\[6\]\.id\.delaybuf1 -*1428 ringosc\.dstage\[6\]\.id\.delayen0 -*1429 ringosc\.dstage\[6\]\.id\.delayen1 -*1430 ringosc\.dstage\[6\]\.id\.delayenb0 -*1431 ringosc\.dstage\[6\]\.id\.delayenb1 -*1432 ringosc\.dstage\[6\]\.id\.delayint0 -*1433 ringosc\.dstage\[7\]\.id\.delaybuf0 -*1434 ringosc\.dstage\[7\]\.id\.delaybuf1 -*1435 ringosc\.dstage\[7\]\.id\.delayen0 -*1436 ringosc\.dstage\[7\]\.id\.delayen1 -*1437 ringosc\.dstage\[7\]\.id\.delayenb0 -*1438 ringosc\.dstage\[7\]\.id\.delayenb1 -*1439 ringosc\.dstage\[7\]\.id\.delayint0 -*1440 ringosc\.dstage\[8\]\.id\.delaybuf0 -*1441 ringosc\.dstage\[8\]\.id\.delaybuf1 -*1442 ringosc\.dstage\[8\]\.id\.delayen0 -*1443 ringosc\.dstage\[8\]\.id\.delayen1 -*1444 ringosc\.dstage\[8\]\.id\.delayenb0 -*1445 ringosc\.dstage\[8\]\.id\.delayenb1 -*1446 ringosc\.dstage\[8\]\.id\.delayint0 -*1447 ringosc\.dstage\[9\]\.id\.delaybuf0 -*1448 ringosc\.dstage\[9\]\.id\.delaybuf1 -*1449 ringosc\.dstage\[9\]\.id\.delayen0 -*1450 ringosc\.dstage\[9\]\.id\.delayen1 -*1451 ringosc\.dstage\[9\]\.id\.delayenb0 -*1452 ringosc\.dstage\[9\]\.id\.delayenb1 -*1453 ringosc\.dstage\[9\]\.id\.delayint0 -*1454 ringosc\.ibufp00 -*1455 ringosc\.ibufp01 -*1456 ringosc\.ibufp10 -*1457 ringosc\.ibufp11 -*1458 ringosc\.iss\.const1 -*1459 ringosc\.iss\.ctrlen0 -*1460 ringosc\.iss\.delaybuf0 -*1461 ringosc\.iss\.delayen0 -*1462 ringosc\.iss\.delayen1 -*1463 ringosc\.iss\.delayenb0 -*1464 ringosc\.iss\.delayenb1 -*1465 ringosc\.iss\.delayint0 -*1466 ringosc\.iss\.reseten0 - -*PORTS -clockp[0] O -clockp[1] O -dco I -div[0] I -div[1] I -div[2] I -div[3] I -div[4] I -enable I -ext_trim[0] I -ext_trim[10] I -ext_trim[11] I -ext_trim[12] I -ext_trim[13] I -ext_trim[14] I -ext_trim[15] I -ext_trim[16] I -ext_trim[17] I -ext_trim[18] I -ext_trim[19] I -ext_trim[1] I -ext_trim[20] I -ext_trim[21] I -ext_trim[22] I -ext_trim[23] I -ext_trim[24] I -ext_trim[25] I -ext_trim[2] I -ext_trim[3] I -ext_trim[4] I -ext_trim[5] I -ext_trim[6] I -ext_trim[7] I -ext_trim[8] I -ext_trim[9] I -osc I -resetb I - -*D_NET *3 0.000506503 -*CONN -*P clockp[0] O -*I *1368:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 clockp[0] 0.000253251 -2 *1368:X 0.000253251 -3 clockp[0] clockp[1] 0 -*RES -1 *1368:X clockp[0] 18.4985 -*END - -*D_NET *4 0.00611638 -*CONN -*P clockp[1] O -*I *1369:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 clockp[1] 0.00174472 -2 *1369:X 0.00174472 -3 clockp[1] *375:DIODE 0 -4 clockp[1] *377:DIODE 7.79781e-06 -5 clockp[1] *1117:A 3.95183e-05 -6 clockp[1] *1145:B 0.000112667 -7 clockp[1] *1146:A 0.0001746 -8 clockp[1] *1147:C 0.000164969 -9 clockp[1] *1161:A3 0 -10 clockp[1] *1177:A2 2.82057e-05 -11 clockp[1] *1180:A_N 3.77051e-05 -12 clockp[1] *1180:B 0.00127812 -13 clockp[1] *6:7 0 -14 clockp[1] *6:8 9.10431e-06 -15 clockp[1] *6:10 9.11365e-06 -16 clockp[1] *11:10 0.000765136 -17 clockp[1] *112:9 0 -18 clockp[1] *154:11 0 -19 clockp[1] *254:8 0 -20 clockp[0] clockp[1] 0 -*RES -1 *1369:X clockp[1] 44.6165 -*END - -*D_NET *5 0.0197584 -*CONN -*P dco I -*I *388:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1238:A I *D sky130_fd_sc_hd__buf_2 -*I *1248:A I *D sky130_fd_sc_hd__nor2_2 -*I *1264:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *390:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1251:B I *D sky130_fd_sc_hd__nor2_2 -*I *1269:A I *D sky130_fd_sc_hd__buf_2 -*I *398:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *395:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *386:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1239:A I *D sky130_fd_sc_hd__buf_2 -*I *385:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *426:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1337:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 dco 0.00111937 -2 *388:DIODE 0.000186032 -3 *1238:A 7.76628e-05 -4 *1248:A 0.000141125 -5 *1264:B1 9.14682e-05 -6 *390:DIODE 0.000303131 -7 *1251:B 0 -8 *1269:A 0 -9 *398:DIODE 0 -10 *395:DIODE 0 -11 *386:DIODE 0 -12 *1239:A 0 -13 *385:DIODE 0 -14 *426:DIODE 3.92213e-05 -15 *1337:A 0.000188623 -16 *5:113 0.000750582 -17 *5:96 0.000504441 -18 *5:90 0.000793696 -19 *5:74 0.000960148 -20 *5:65 0.000458932 -21 *5:56 0.000566892 -22 *5:44 0.00056581 -23 *5:18 0.000377723 -24 *5:16 0.000245475 -25 *5:14 0.000465705 -26 *5:13 0.000368292 -27 *5:11 0.000474358 -28 *5:8 0.0017021 -29 *388:DIODE *1395:TE_B 0 -30 *388:DIODE *36:16 2.05938e-05 -31 *388:DIODE *200:28 0.000104474 -32 *390:DIODE *1291:B 0.000113385 -33 *390:DIODE *30:23 5.19775e-05 -34 *390:DIODE *234:7 7.02611e-05 -35 *390:DIODE *275:30 0.000122933 -36 *426:DIODE *135:13 5.52302e-05 -37 *1238:A *1275:B1 0.000125057 -38 *1238:A *37:20 0.000271352 -39 *1248:A *1242:A 0.000127984 -40 *1248:A *1279:A1 2.14658e-05 -41 *1248:A *13:13 8.47107e-05 -42 *1248:A *30:17 5.33433e-05 -43 *1264:B1 *1267:A1 0.000147125 -44 *1264:B1 *1275:A3 0 -45 *1337:A *387:DIODE 0 -46 *1337:A *1158:A 0 -47 *1337:A *135:8 0 -48 *1337:A *135:13 0.000405733 -49 *5:8 *1374:TE_B 0 -50 *5:8 *1395:TE_B 0 -51 *5:8 *11:10 0 -52 *5:8 *12:11 0 -53 *5:8 *307:8 0 -54 *5:11 *1274:A_N 5.52238e-05 -55 *5:11 *36:16 0.000142856 -56 *5:11 *37:20 0.00104609 -57 *5:11 *307:8 3.57488e-05 -58 *5:11 *310:13 0.00026588 -59 *5:14 *401:DIODE 7.92879e-05 -60 *5:14 *1241:A2 2.26973e-05 -61 *5:14 *1241:B1 4.14986e-05 -62 *5:14 *1242:A 1.17968e-05 -63 *5:14 *13:13 1.52978e-05 -64 *5:14 *198:16 0.000175299 -65 *5:14 *287:8 0 -66 *5:16 *387:DIODE 0 -67 *5:16 *1192:A 0 -68 *5:18 *387:DIODE 0 -69 *5:18 *1192:A 0 -70 *5:44 *1240:B 2.11336e-05 -71 *5:44 *198:5 5.98787e-05 -72 *5:56 *1169:A 0.000143563 -73 *5:56 *1188:A 0.000193576 -74 *5:56 *1192:A 2.65939e-05 -75 *5:56 *139:38 5.33005e-05 -76 *5:56 *198:5 0.000100165 -77 *5:65 *1173:A 5.58875e-06 -78 *5:65 *1175:B 7.74135e-05 -79 *5:65 *1241:A2 4.5258e-05 -80 *5:65 *1267:A1 0.00017231 -81 *5:65 *139:38 8.34321e-05 -82 *5:74 *1173:B 0.000363647 -83 *5:74 *1264:A1 2.47433e-05 -84 *5:74 *141:21 0.000306076 -85 *5:74 *294:18 9.41642e-05 -86 *5:90 *416:DIODE 0.000141935 -87 *5:90 *1168:B 5.66971e-05 -88 *5:90 *1168:D 0.000212653 -89 *5:90 *1244:A_N 0.000128176 -90 *5:90 *1284:A2 7.6644e-05 -91 *5:90 *139:34 8.10737e-06 -92 *5:90 *140:33 0.00030783 -93 *5:90 *273:47 0.000317442 -94 *5:90 *294:18 0.000561379 -95 *5:96 *416:DIODE 0.000356682 -96 *5:96 *273:47 0.000341649 -97 *5:113 *416:DIODE 0.000181856 -98 *5:113 *1182:B1 0.000159357 -99 *5:113 *1184:A 9.21418e-06 -100 *5:113 *1196:A 5.65955e-05 -101 *5:113 *1211:B 4.58194e-05 -102 *5:113 *1291:B 3.22325e-05 -103 *5:113 *140:33 0.000287555 -104 *5:113 *145:24 0.000145403 -105 *5:113 *163:57 2.70725e-06 -106 *5:113 *170:7 1.72377e-05 -107 *5:113 *273:47 0.000126109 -108 *5:113 *275:30 0.000366203 -*RES -1 dco *5:8 13.585 -2 *5:8 *5:11 16.9464 -3 *5:11 *5:13 4.5 -4 *5:13 *5:14 5.58929 -5 *5:14 *5:16 1.64286 -6 *5:16 *5:18 2.55357 -7 *5:18 *1337:A 18.3536 -8 *5:18 *426:DIODE 14.3357 -9 *5:16 *385:DIODE 13.8 -10 *5:14 *5:44 7.51786 -11 *5:44 *1239:A 9.3 -12 *5:44 *5:56 6.85714 -13 *5:56 *386:DIODE 9.3 -14 *5:56 *5:65 7.69643 -15 *5:65 *5:74 18.7857 -16 *5:74 *395:DIODE 9.3 -17 *5:74 *5:90 24.25 -18 *5:90 *398:DIODE 9.3 -19 *5:90 *5:96 3.41071 -20 *5:96 *1269:A 9.3 -21 *5:96 *5:113 16.4643 -22 *5:113 *1251:B 13.8 -23 *5:113 *390:DIODE 18.4429 -24 *5:65 *1264:B1 15.7464 -25 *5:13 *1248:A 17.425 -26 *5:11 *1238:A 12.3179 -27 *5:8 *388:DIODE 17.5679 -*END - -*D_NET *6 0.00881164 -*CONN -*P div[0] I -*I *377:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1147:A_N I *D sky130_fd_sc_hd__nand3b_2 -*I *1177:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *383:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[0] 0.000576454 -2 *377:DIODE 0.000702604 -3 *1147:A_N 0 -4 *1177:B1 6.55077e-05 -5 *383:DIODE 0.00155009 -6 *6:10 0.00173115 -7 *6:8 0.000190872 -8 *6:7 0.00135437 -9 *377:DIODE *375:DIODE 0.000104974 -10 *377:DIODE *1138:B 0.000188586 -11 *377:DIODE *1146:A 5.52302e-05 -12 *377:DIODE *1147:C 0.000344013 -13 *377:DIODE *1178:D 3.79812e-05 -14 *377:DIODE *1180:B 4.88212e-05 -15 *377:DIODE *95:8 3.82357e-05 -16 *383:DIODE *1116:S 0.000438788 -17 *383:DIODE *1117:A 0.000125724 -18 *383:DIODE *1368:A 0 -19 *383:DIODE *84:11 0.000493359 -20 *1177:B1 *1144:A 0.000126629 -21 *1177:B1 *1144:B 3.99873e-05 -22 *1177:B1 *1177:A2 2.89016e-05 -23 *1177:B1 *1178:D 5.62995e-05 -24 *6:7 *7:7 0 -25 *6:8 *1147:C 0.000161136 -26 *6:8 *1178:D 9.296e-05 -27 *6:10 *1117:A 0.000165911 -28 *6:10 *1178:D 6.7033e-05 -29 clockp[1] *377:DIODE 7.79781e-06 -30 clockp[1] *6:7 0 -31 clockp[1] *6:8 9.10431e-06 -32 clockp[1] *6:10 9.11365e-06 -*RES -1 div[0] *6:7 5.12707 -2 *6:7 *6:8 2.40179 -3 *6:8 *6:10 2.55357 -4 *6:10 *383:DIODE 44.4607 -5 *6:10 *1177:B1 16.4071 -6 *6:8 *1147:A_N 13.8 -7 *6:7 *377:DIODE 27.4161 -*END - -*D_NET *7 0.0066607 -*CONN -*P div[1] I -*I *378:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *376:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1148:A I *D sky130_fd_sc_hd__and2_2 -*I *1142:A I *D sky130_fd_sc_hd__inv_2 -*CAP -1 div[1] 0.000282431 -2 *378:DIODE 0.000754071 -3 *376:DIODE 0.000424694 -4 *1148:A 4.26984e-05 -5 *1142:A 8.96618e-05 -6 *7:28 0.00163869 -7 *7:19 0.000649331 -8 *7:7 0.000518805 -9 *376:DIODE *1141:B 7.8195e-05 -10 *376:DIODE *1146:A 0 -11 *376:DIODE *1315:B 2.6304e-05 -12 *376:DIODE *112:9 0.000204693 -13 *378:DIODE *1127:A 0.000267877 -14 *378:DIODE *1127:B 3.50409e-05 -15 *378:DIODE *1131:A2 9.60939e-05 -16 *378:DIODE *1153:A 0.000170964 -17 *378:DIODE *1153:B 1.02821e-05 -18 *378:DIODE *112:9 5.91967e-05 -19 *1142:A *1148:B 0 -20 *1142:A *1178:A_N 6.98971e-05 -21 *7:7 *8:7 0 -22 *7:19 *1148:B 0 -23 *7:19 *1150:D1 0.000172726 -24 *7:19 *1178:A_N 0.000140107 -25 *7:19 *122:8 0.00011785 -26 *7:19 *254:8 0 -27 *7:28 *1110:A 0.000143819 -28 *7:28 *1148:B 0.000141935 -29 *7:28 *1315:A 0.000525347 -30 *6:7 *7:7 0 -*RES -1 div[1] *7:7 4.33433 -2 *7:7 *1142:A 15.5232 -3 *7:7 *7:19 8.72321 -4 *7:19 *1148:A 9.83571 -5 *7:19 *7:28 14.8929 -6 *7:28 *376:DIODE 21.9607 -7 *7:28 *378:DIODE 24.1929 -*END - -*D_NET *8 0.00209314 -*CONN -*P div[2] I -*I *375:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1134:A I *D sky130_fd_sc_hd__inv_2 -*CAP -1 div[2] 0.000572898 -2 *375:DIODE 0.000259625 -3 *1134:A 1.04191e-05 -4 *8:7 0.000842942 -5 *375:DIODE *1149:A2 0.000157855 -6 *375:DIODE *1178:D 0.000104974 -7 *375:DIODE *254:8 2.92594e-05 -8 *1134:A *254:8 1.01912e-05 -9 *8:7 *9:5 0 -10 clockp[1] *375:DIODE 0 -11 *377:DIODE *375:DIODE 0.000104974 -12 *7:7 *8:7 0 -*RES -1 div[2] *8:7 4.98293 -2 *8:7 *1134:A 14.0768 -3 *8:7 *375:DIODE 20.6839 -*END - -*D_NET *9 0.00524522 -*CONN -*P div[3] I -*I *1179:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *384:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1133:A I *D sky130_fd_sc_hd__inv_2 -*I *374:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1157:A1 I *D sky130_fd_sc_hd__o21ba_2 -*I *381:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1150:A1 I *D sky130_fd_sc_hd__o2111a_2 -*I *379:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[3] 0.000239356 -2 *1179:A1 0.000224767 -3 *384:DIODE 8.24606e-05 -4 *1133:A 0 -5 *374:DIODE 0.000235325 -6 *1157:A1 0 -7 *381:DIODE 8.61686e-05 -8 *1150:A1 0 -9 *379:DIODE 0 -10 *9:57 0.00115664 -11 *9:33 8.61686e-05 -12 *9:31 0.000394093 -13 *9:20 0.000264592 -14 *9:17 0.000283315 -15 *9:10 0.000319733 -16 *9:5 0.00123101 -17 *374:DIODE *1157:A2 4.46186e-06 -18 *374:DIODE *1161:A3 0 -19 *374:DIODE *1369:A 0 -20 *1179:A1 *1132:A 0 -21 *1179:A1 *1132:B 0 -22 *1179:A1 *1160:A1 1.76039e-05 -23 *1179:A1 *1179:B1 4.33438e-05 -24 *1179:A1 *1180:C 5.83304e-05 -25 *1179:A1 *106:5 6.26774e-06 -26 *1179:A1 *112:9 8.28006e-05 -27 *1179:A1 *134:5 6.26774e-06 -28 *1179:A1 *284:45 0 -29 *9:5 *10:10 0 -30 *9:10 *1150:D1 0.000257573 -31 *9:20 *1150:C1 0 -32 *9:20 *1150:D1 6.26653e-05 -33 *9:20 *1157:B1_N 0 -34 *9:20 *113:10 0 -35 *9:20 *114:8 0 -36 *9:31 *1150:D1 7.79781e-06 -37 *9:31 *1157:A2 9.44879e-05 -38 *9:31 *1157:B1_N 0 -39 *9:57 *10:10 0 -40 *8:7 *9:5 0 -*RES -1 div[3] *9:5 0.7802 -2 *9:5 *9:10 11.2225 -3 *9:10 *379:DIODE 9.3 -4 *9:10 *9:17 2.80357 -5 *9:17 *9:20 6.75 -6 *9:20 *1150:A1 13.8 -7 *9:20 *9:31 3.51786 -8 *9:31 *9:33 4.5 -9 *9:33 *381:DIODE 10.6571 -10 *9:33 *1157:A1 9.3 -11 *9:31 *374:DIODE 17.8714 -12 *9:17 *1133:A 9.3 -13 *9:5 *9:57 5.11453 -14 *9:57 *384:DIODE 14.7464 -15 *9:57 *1179:A1 18.3045 -*END - -*D_NET *10 0.00525 -*CONN -*P div[4] I -*I *382:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1156:A I *D sky130_fd_sc_hd__and2_2 -*I *1160:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *380:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 div[4] 0.000358471 -2 *382:DIODE 6.48747e-05 -3 *1156:A 6.10266e-05 -4 *1160:A1 0.000267334 -5 *380:DIODE 0 -6 *10:23 0.000328361 -7 *10:21 0.000773257 -8 *10:10 0.00106685 -9 *382:DIODE *1156:B 9.58181e-05 -10 *1156:A *1156:B 0.00018372 -11 *1156:A *1180:C 1.37292e-05 -12 *1156:A *1180:D 5.41794e-05 -13 *1156:A *284:45 0.00018372 -14 *1160:A1 *1132:A 0.000115958 -15 *1160:A1 *1132:B 8.88263e-05 -16 *1160:A1 *1154:A1 1.2847e-05 -17 *1160:A1 *1160:A2 0.000125638 -18 *1160:A1 *1180:C 3.52562e-05 -19 *1160:A1 *1180:D 0.000100823 -20 *1160:A1 *106:5 4.55099e-05 -21 *1160:A1 *134:5 0.00040349 -22 *1160:A1 *135:8 1.39726e-05 -23 *10:10 *1150:D1 0.000162735 -24 *10:10 *11:10 0 -25 *10:21 *1150:B1 0.00010096 -26 *10:21 *1150:D1 0.000160563 -27 *10:21 *1156:B 0.000281769 -28 *10:21 *1161:A1 5.33005e-05 -29 *10:21 *106:13 2.42516e-05 -30 *10:21 *130:7 3.88059e-05 -31 *10:21 *284:45 1.63453e-05 -32 *1179:A1 *1160:A1 1.76039e-05 -33 *9:5 *10:10 0 -34 *9:57 *10:10 0 -*RES -1 div[4] *10:10 10.7884 -2 *10:10 *380:DIODE 9.3 -3 *10:10 *10:21 11.375 -4 *10:21 *10:23 4.5 -5 *10:23 *1160:A1 22.0232 -6 *10:23 *1156:A 16.3 -7 *10:21 *382:DIODE 10.2464 -*END - -*D_NET *11 0.00475561 -*CONN -*P enable I -*I *422:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1315:A I *D sky130_fd_sc_hd__nand2_2 -*CAP -1 enable 0.00114223 -2 *422:DIODE 0 -3 *1315:A 0.000402643 -4 *11:10 0.00154487 -5 *1315:A *1110:A 5.86384e-05 -6 *11:10 *429:DIODE 4.57473e-05 -7 *11:10 *1139:B2 3.48282e-05 -8 *11:10 *1161:A2 0.000228479 -9 *11:10 *1180:A_N 7.69776e-06 -10 *11:10 *108:8 0 -11 *11:10 *113:10 0 -12 clockp[1] *11:10 0.000765136 -13 *5:8 *11:10 0 -14 *7:28 *1315:A 0.000525347 -15 *10:10 *11:10 0 -*RES -1 enable *11:10 19.7623 -2 *11:10 *1315:A 17.1571 -3 *11:10 *422:DIODE 9.3 -*END - -*D_NET *12 0.00387305 -*CONN -*P ext_trim[0] I -*I *1240:A I *D sky130_fd_sc_hd__nand2_2 -*I *387:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[0] 0.00135975 -2 *1240:A 8.98085e-05 -3 *387:DIODE 0.000204677 -4 *12:11 0.00165424 -5 *387:DIODE *1372:A 0.000126851 -6 *387:DIODE *1373:TE 3.57844e-05 -7 *387:DIODE *288:8 0 -8 *1240:A *1240:B 5.46383e-06 -9 *1240:A *1241:B1 3.11088e-05 -10 *12:11 *1374:A 0.000186765 -11 *12:11 *23:10 0 -12 *12:11 *285:9 2.23958e-05 -13 *12:11 *288:11 0.000156205 -14 *1337:A *387:DIODE 0 -15 *5:8 *12:11 0 -16 *5:16 *387:DIODE 0 -17 *5:18 *387:DIODE 0 -*RES -1 ext_trim[0] *12:11 18.8586 -2 *12:11 *387:DIODE 22.3714 -3 *12:11 *1240:A 11.0857 -*END - -*D_NET *13 0.00572692 -*CONN -*P ext_trim[10] I -*I *1274:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *401:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[10] 0.00115782 -2 *1274:A_N 2.36895e-05 -3 *401:DIODE 0.00079686 -4 *13:13 0.00197837 -5 *401:DIODE *1374:TE_B 0.000129595 -6 *401:DIODE *286:5 0.00040008 -7 *401:DIODE *287:8 0 -8 *401:DIODE *310:8 9.71197e-05 -9 *13:13 *1415:A 0.000472396 -10 *13:13 *1415:Z 1.08359e-05 -11 *13:13 *1427:A 0 -12 *13:13 *1429:A 0.000110163 -13 *13:13 *1431:TE_B 0.000171581 -14 *13:13 *287:8 0 -15 *13:13 *331:12 7.77128e-05 -16 *13:13 *331:17 1.30833e-05 -17 *13:13 *352:21 5.30847e-05 -18 *1248:A *13:13 8.47107e-05 -19 *5:11 *1274:A_N 5.52238e-05 -20 *5:14 *401:DIODE 7.92879e-05 -21 *5:14 *13:13 1.52978e-05 -*RES -1 ext_trim[10] *13:13 23.6071 -2 *13:13 *401:DIODE 25.7286 -3 *13:13 *1274:A_N 14.3357 -*END - -*D_NET *14 0.00364533 -*CONN -*P ext_trim[11] I -*I *402:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1277:B1 I *D sky130_fd_sc_hd__a32o_2 -*CAP -1 ext_trim[11] 0.000402553 -2 *402:DIODE 8.28937e-05 -3 *1277:B1 0.000552299 -4 *14:9 0.00103775 -5 *402:DIODE *1407:TE 0.000182811 -6 *402:DIODE *1427:A 4.23557e-05 -7 *402:DIODE *337:9 2.83425e-05 -8 *402:DIODE *352:21 0.000351476 -9 *1277:B1 *1250:B1 2.60984e-05 -10 *1277:B1 *1254:B1 0.000257619 -11 *1277:B1 *1268:B1 9.63697e-05 -12 *1277:B1 *1277:A2 8.62943e-06 -13 *1277:B1 *1277:A3 0 -14 *1277:B1 *1277:B2 2.59355e-05 -15 *1277:B1 *1427:A 2.50593e-05 -16 *1277:B1 *21:26 1.25275e-05 -17 *1277:B1 *36:27 4.79827e-05 -18 *1277:B1 *206:30 7.51769e-05 -19 *1277:B1 *212:19 8.64516e-07 -20 *1277:B1 *330:21 0.000168001 -21 *1277:B1 *331:17 0 -22 *14:9 *1268:B1 5.74562e-05 -23 *14:9 *1427:A 5.71818e-05 -24 *14:9 *15:14 5.21392e-05 -25 *14:9 *21:26 5.38072e-05 -*RES -1 ext_trim[11] *14:9 7.96429 -2 *14:9 *1277:B1 25.9964 -3 *14:9 *402:DIODE 17.2107 -*END - -*D_NET *15 0.00506277 -*CONN -*P ext_trim[12] I -*I *403:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1279:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[12] 0.00119347 -2 *403:DIODE 0 -3 *1279:A2 0.000199117 -4 *15:14 0.00139259 -5 *1279:A2 *1242:A 0 -6 *1279:A2 *1279:B1 4.43698e-05 -7 *1279:A2 *1415:TE 0 -8 *1279:A2 *36:27 4.90142e-05 -9 *1279:A2 *197:28 0 -10 *15:14 *1267:B1 0.000261309 -11 *15:14 *1268:B1 0.000492814 -12 *15:14 *1427:A 4.88637e-05 -13 *15:14 *1429:A 0.00036192 -14 *15:14 *1435:TE 5.4677e-05 -15 *15:14 *36:27 4.99283e-06 -16 *15:14 *197:28 0.000122946 -17 *15:14 *197:53 0.000337984 -18 *15:14 *207:20 9.8045e-05 -19 *15:14 *309:21 9.76689e-05 -20 *15:14 *317:18 0.000178847 -21 *15:14 *350:10 7.19954e-05 -22 *14:9 *15:14 5.21392e-05 -*RES -1 ext_trim[12] *15:14 37.9821 -2 *15:14 *1279:A2 21.7643 -3 *15:14 *403:DIODE 9.3 -*END - -*D_NET *16 0.00370768 -*CONN -*P ext_trim[13] I -*I *1282:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *405:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[13] 0.000769212 -2 *1282:A2 0 -3 *405:DIODE 0.000171614 -4 *16:8 0.000940826 -5 *405:DIODE *404:DIODE 0.00023044 -6 *405:DIODE *1267:A1 0.000141935 -7 *405:DIODE *1267:A2 3.58869e-05 -8 *405:DIODE *287:8 2.23592e-05 -9 *16:8 *399:DIODE 0.000186601 -10 *16:8 *413:DIODE 0.000175513 -11 *16:8 *414:DIODE 0.000141941 -12 *16:8 *1304:A2 5.50052e-05 -13 *16:8 *1304:C1 9.67754e-05 -14 *16:8 *1304:D1 4.77002e-05 -15 *16:8 *1307:A2 9.84729e-05 -16 *16:8 *1434:A 8.18801e-05 -17 *16:8 *36:27 4.30759e-05 -18 *16:8 *206:60 2.74737e-05 -19 *16:8 *226:132 0.000440966 -*RES -1 ext_trim[13] *16:8 22.2321 -2 *16:8 *405:DIODE 22.2464 -3 *16:8 *1282:A2 9.3 -*END - -*D_NET *17 0.00477483 -*CONN -*P ext_trim[14] I -*I *406:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1286:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[14] 0.000895111 -2 *406:DIODE 0.000408957 -3 *1286:A2 6.05121e-05 -4 *17:7 0.00136458 -5 *406:DIODE *1246:B 4.22135e-06 -6 *406:DIODE *1258:A1 5.18775e-05 -7 *406:DIODE *1258:A2 7.92229e-06 -8 *406:DIODE *1261:A 5.33005e-05 -9 *406:DIODE *1273:B1 4.13496e-05 -10 *406:DIODE *164:15 3.47641e-06 -11 *406:DIODE *213:5 4.60311e-05 -12 *406:DIODE *215:8 1.21289e-05 -13 *406:DIODE *221:39 0.000135479 -14 *406:DIODE *317:18 0.000419482 -15 *1286:A2 *1273:B1 0.000242607 -16 *1286:A2 *1286:A1 7.13237e-05 -17 *1286:A2 *317:18 8.25897e-05 -18 *17:7 *1258:C1 5.25192e-06 -19 *17:7 *1261:A 0 -20 *17:7 *1270:B1 5.96181e-05 -21 *17:7 *1270:B2 4.8803e-05 -22 *17:7 *1273:B1 2.34027e-05 -23 *17:7 *1273:B2 0.000191309 -24 *17:7 *1304:C1 0 -25 *17:7 *1406:A 5.74562e-05 -26 *17:7 *1409:A 1.97087e-05 -27 *17:7 *24:22 0 -28 *17:7 *212:55 0.000114854 -29 *17:7 *215:8 5.0047e-05 -30 *17:7 *226:132 0.000279152 -31 *17:7 *324:8 2.42797e-05 -32 *17:7 *357:13 0 -*RES -1 ext_trim[14] *17:7 21.1071 -2 *17:7 *1286:A2 11.6571 -3 *17:7 *406:DIODE 26.4786 -*END - -*D_NET *18 0.00570488 -*CONN -*P ext_trim[15] I -*I *407:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1289:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[15] 0.000388404 -2 *407:DIODE 0.00113307 -3 *1289:A2 4.37949e-05 -4 *18:5 0.00156527 -5 *407:DIODE *1289:B1 2.71789e-05 -6 *407:DIODE *1309:A2 0.00011863 -7 *407:DIODE *1443:A 0.000100165 -8 *407:DIODE *1443:TE 0.000194577 -9 *407:DIODE *1443:Z 5.4959e-05 -10 *407:DIODE *1445:TE_B 1.853e-05 -11 *407:DIODE *1447:A 9.84229e-05 -12 *407:DIODE *24:22 0.00104006 -13 *407:DIODE *226:88 9.42714e-05 -14 *407:DIODE *226:114 0.000264533 -15 *407:DIODE *316:8 5.66971e-05 -16 *1289:A2 *1261:A 5.20232e-05 -17 *1289:A2 *1289:B1 3.19083e-05 -18 *1289:A2 *24:22 3.10885e-05 -19 *18:5 *1261:A 2.1871e-05 -20 *18:5 *1300:B1 2.34216e-05 -21 *18:5 *1401:TE 8.17359e-05 -22 *18:5 *21:11 9.24299e-05 -23 *18:5 *21:26 9.48385e-05 -24 *18:5 *312:8 0 -25 *18:5 *316:8 7.70015e-05 -*RES -1 ext_trim[15] *18:5 9.01786 -2 *18:5 *1289:A2 14.8357 -3 *18:5 *407:DIODE 39.2643 -*END - -*D_NET *19 0.00497701 -*CONN -*P ext_trim[16] I -*I *1294:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *409:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[16] 0.000584236 -2 *1294:A2 0 -3 *409:DIODE 0.000891993 -4 *19:5 0.00147623 -5 *409:DIODE *391:DIODE 0.000260389 -6 *409:DIODE *1211:B 0.000146533 -7 *409:DIODE *1253:C1 0 -8 *409:DIODE *1271:A 0 -9 *409:DIODE *1287:A 3.80667e-05 -10 *409:DIODE *1287:B 0 -11 *409:DIODE *1297:C 0.000442294 -12 *409:DIODE *1298:B2 0.000148196 -13 *409:DIODE *1308:A3 0.000164566 -14 *409:DIODE *1314:B2 0.000122091 -15 *409:DIODE *139:10 0 -16 *409:DIODE *163:8 0.000100823 -17 *409:DIODE *197:69 0 -18 *409:DIODE *236:8 1.11813e-05 -19 *409:DIODE *315:8 0.000180336 -20 *19:5 *1400:TE 0.000152352 -21 *19:5 *1400:Z 4.08525e-05 -22 *19:5 *24:22 0 -23 *19:5 *226:114 0.000208941 -24 *19:5 *312:8 0 -25 *19:5 *315:8 7.92596e-06 -*RES -1 ext_trim[16] *19:5 11.75 -2 *19:5 *409:DIODE 32.9071 -3 *19:5 *1294:A2 13.8 -*END - -*D_NET *20 0.00724439 -*CONN -*P ext_trim[17] I -*I *1299:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *410:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[17] 0.000599895 -2 *1299:B1 6.90745e-05 -3 *410:DIODE 0.000939851 -4 *20:9 0.00160882 -5 *410:DIODE *1295:C 0.000172021 -6 *410:DIODE *1298:A1 0.000190628 -7 *410:DIODE *1299:A2 2.0279e-05 -8 *410:DIODE *1299:A3 7.57345e-05 -9 *410:DIODE *1309:A2 5.86476e-05 -10 *410:DIODE *1311:B1 4.77562e-05 -11 *410:DIODE *1356:D 0.000698934 -12 *410:DIODE *1461:TE 0.000224592 -13 *410:DIODE *29:10 0.000292453 -14 *410:DIODE *211:40 4.43299e-05 -15 *410:DIODE *272:10 3.01126e-05 -16 *410:DIODE *275:15 0 -17 *410:DIODE *301:17 0.000217209 -18 *410:DIODE *364:9 0.000154762 -19 *410:DIODE *372:14 0.0011058 -20 *1299:B1 *226:102 0.000113771 -21 *1299:B1 *363:17 0.000233458 -22 *20:9 *1309:A2 3.79336e-05 -23 *20:9 *1399:A 0 -24 *20:9 *1402:A 0 -25 *20:9 *1442:TE 0 -26 *20:9 *1442:Z 9.78086e-05 -27 *20:9 *1447:A 7.29381e-05 -28 *20:9 *24:22 0 -29 *20:9 *314:9 1.24735e-05 -30 *20:9 *359:8 0.00012511 -*RES -1 ext_trim[17] *20:9 12.4107 -2 *20:9 *410:DIODE 42.9964 -3 *20:9 *1299:B1 16.0679 -*END - -*D_NET *21 0.0112216 -*CONN -*P ext_trim[18] I -*I *1300:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *412:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[18] 0.0020374 -2 *1300:A2 0 -3 *412:DIODE 2.68304e-05 -4 *21:26 0.0010842 -5 *21:11 0.00309477 -6 *412:DIODE *1268:A1 7.83659e-05 -7 *412:DIODE *331:12 8.00806e-05 -8 *21:11 *1300:B1 2.27129e-05 -9 *21:11 *24:22 0.00013886 -10 *21:26 *1268:B1 7.81709e-05 -11 *21:26 *1300:B1 0.000189735 -12 *21:26 *1407:A 6.07474e-05 -13 *21:26 *1407:Z 0.000224582 -14 *21:26 *1408:A 2.38501e-05 -15 *21:26 *1408:TE 0.000370983 -16 *21:26 *1437:TE_B 5.50052e-05 -17 *21:26 *1438:A 4.34677e-05 -18 *21:26 *319:9 0.000198372 -19 *21:26 *337:9 0.00146426 -20 *21:26 *352:21 0.000788262 -21 *21:26 *352:33 0.000598755 -22 *21:26 *357:13 0.000308602 -23 *1277:B1 *21:26 1.25275e-05 -24 *14:9 *21:26 5.38072e-05 -25 *18:5 *21:11 9.24299e-05 -26 *18:5 *21:26 9.48385e-05 -*RES -1 ext_trim[18] *21:11 34.8571 -2 *21:11 *21:26 47.4643 -3 *21:26 *412:DIODE 19.3357 -4 *21:11 *1300:A2 13.8 -*END - -*D_NET *22 0.0107352 -*CONN -*P ext_trim[19] I -*I *1301:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *413:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[19] 0.00392784 -2 *1301:A2 2.56934e-05 -3 *413:DIODE 0.000362712 -4 *22:8 0.00431624 -5 *413:DIODE *1304:A2 1.06305e-05 -6 *413:DIODE *1304:B1 0.000141639 -7 *413:DIODE *1304:C1 0 -8 *413:DIODE *1409:A 3.7652e-05 -9 *413:DIODE *36:27 2.74981e-06 -10 *413:DIODE *197:66 0.000101926 -11 *413:DIODE *207:15 0.000187935 -12 *1301:A2 *1270:A2 8.55493e-06 -13 *1301:A2 *1304:C1 3.20759e-05 -14 *1301:A2 *1409:A 4.25489e-05 -15 *1301:A2 *24:31 7.13226e-06 -16 *22:8 *1409:A 0.000197691 -17 *22:8 *1443:A 0 -18 *22:8 *24:22 0.000591556 -19 *22:8 *25:7 0 -20 *22:8 *197:66 0.000565157 -21 *22:8 *301:13 0 -22 *16:8 *413:DIODE 0.000175513 -*RES -1 ext_trim[19] *22:8 19.1847 -2 *22:8 *413:DIODE 22.3756 -3 *22:8 *1301:A2 17.9377 -*END - -*D_NET *23 0.00110906 -*CONN -*P ext_trim[1] I -*I *1250:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *389:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[1] 0.000415368 -2 *1250:A2 0.000139161 -3 *389:DIODE 0 -4 *23:10 0.000554529 -5 *23:10 *1456:A 0 -6 *23:10 *30:17 0 -7 *12:11 *23:10 0 -*RES -1 ext_trim[1] *23:10 10.9325 -2 *23:10 *389:DIODE 9.3 -3 *23:10 *1250:A2 11.4964 -*END - -*D_NET *24 0.0111881 -*CONN -*P ext_trim[20] I -*I *414:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1307:B1 I *D sky130_fd_sc_hd__a32o_2 -*CAP -1 ext_trim[20] 0.00229932 -2 *414:DIODE 0.000206883 -3 *1307:B1 5.2272e-06 -4 *24:31 0.000500588 -5 *24:22 0.0025878 -6 *414:DIODE *1307:A3 4.68159e-05 -7 *414:DIODE *1409:A 6.7116e-05 -8 *414:DIODE *197:53 1.38167e-05 -9 *414:DIODE *197:66 2.07809e-05 -10 *414:DIODE *226:132 0.000117673 -11 *1307:B1 *1307:A3 3.28686e-05 -12 *24:22 *1260:B 0.000206026 -13 *24:22 *1261:A 6.86181e-05 -14 *24:22 *1289:B1 0.00045186 -15 *24:22 *1399:A 0.000119098 -16 *24:22 *1400:Z 0 -17 *24:22 *1402:A 5.59687e-05 -18 *24:22 *197:66 0.000581528 -19 *24:31 *1270:A2 1.44036e-05 -20 *24:31 *1301:B2 0 -21 *24:31 *1304:C1 0.000141941 -22 *24:31 *1307:A3 0.000137639 -23 *24:31 *1409:A 0.000357705 -24 *24:31 *226:132 0.000502292 -25 *24:31 *233:27 0.00048708 -26 *24:31 *344:13 0.00011593 -27 *24:31 *357:13 9.84673e-05 -28 *407:DIODE *24:22 0.00104006 -29 *1289:A2 *24:22 3.10885e-05 -30 *1301:A2 *24:31 7.13226e-06 -31 *16:8 *414:DIODE 0.000141941 -32 *17:7 *24:22 0 -33 *19:5 *24:22 0 -34 *20:9 *24:22 0 -35 *21:11 *24:22 0.00013886 -36 *22:8 *24:22 0.000591556 -*RES -1 ext_trim[20] *24:22 45.2039 -2 *24:22 *24:31 11.5 -3 *24:31 *1307:B1 9.63036 -4 *24:31 *414:DIODE 22.2464 -*END - -*D_NET *25 0.0112115 -*CONN -*P ext_trim[21] I -*I *1309:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *416:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[21] 0.00109361 -2 *1309:A2 0.000349662 -3 *416:DIODE 0.00011709 -4 *25:26 0.0017724 -5 *25:7 0.00309858 -6 *416:DIODE *140:33 0.000651461 -7 *1309:A2 *1447:A 0.000100115 -8 *1309:A2 *1452:A 0 -9 *1309:A2 *301:17 4.52779e-05 -10 *1309:A2 *365:11 0.000223288 -11 *25:26 *1196:A 5.77757e-06 -12 *25:26 *1210:A2 0.000588938 -13 *25:26 *1298:A1 9.60939e-05 -14 *25:26 *1312:B1 9.06222e-05 -15 *25:26 *1379:TE 0 -16 *25:26 *1380:TE 0 -17 *25:26 *1383:A 0 -18 *25:26 *1453:A 0 -19 *25:26 *139:34 3.6994e-05 -20 *25:26 *140:8 9.45429e-05 -21 *25:26 *140:17 0.000129062 -22 *25:26 *156:8 0.000107206 -23 *25:26 *198:41 5.66752e-05 -24 *25:26 *208:34 0.000270729 -25 *25:26 *220:81 0.000718872 -26 *25:26 *236:8 3.0912e-05 -27 *25:26 *246:18 0 -28 *25:26 *273:47 2.01732e-05 -29 *25:26 *301:17 0.000617715 -30 *407:DIODE *1309:A2 0.00011863 -31 *410:DIODE *1309:A2 5.86476e-05 -32 *5:90 *416:DIODE 0.000141935 -33 *5:96 *416:DIODE 0.000356682 -34 *5:113 *416:DIODE 0.000181856 -35 *20:9 *1309:A2 3.79336e-05 -36 *22:8 *25:7 0 -*RES -1 ext_trim[21] *25:7 6.06393 -2 *25:7 *25:26 44.2254 -3 *25:26 *416:DIODE 15.5857 -4 *25:7 *1309:A2 21.7554 -*END - -*D_NET *26 0.00779238 -*CONN -*P ext_trim[22] I -*I *1311:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *417:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[22] 0.00151254 -2 *1311:B1 0.000276326 -3 *417:DIODE 0.00105999 -4 *26:9 0.00284886 -5 *417:DIODE *1189:A 2.51174e-05 -6 *417:DIODE *1210:A2 7.0305e-06 -7 *417:DIODE *1210:C1 2.85234e-05 -8 *417:DIODE *1252:B 0.000135028 -9 *417:DIODE *1253:C1 2.30116e-06 -10 *417:DIODE *1313:B1 0.000140243 -11 *417:DIODE *92:43 7.67559e-05 -12 *417:DIODE *140:17 1.68806e-05 -13 *417:DIODE *140:33 0.000256791 -14 *417:DIODE *209:5 0.000224582 -15 *417:DIODE *211:40 3.56825e-05 -16 *417:DIODE *220:81 5.72807e-05 -17 *1311:B1 *1298:A1 0.000429376 -18 *1311:B1 *1299:A1 0.000199698 -19 *1311:B1 *1299:A2 1.59935e-05 -20 *1311:B1 *1310:B 5.42764e-05 -21 *1311:B1 *1311:A3 8.36152e-05 -22 *1311:B1 *1311:B2 9.75337e-06 -23 *1311:B1 *29:10 2.11419e-05 -24 *1311:B1 *92:54 4.04691e-06 -25 *1311:B1 *139:83 0.000179973 -26 *26:9 *211:40 2.90908e-05 -27 *26:9 *372:13 1.37252e-05 -28 *410:DIODE *1311:B1 4.77562e-05 -*RES -1 ext_trim[22] *26:9 3.3934 -2 *26:9 *417:DIODE 30.0178 -3 *26:9 *1311:B1 25.4064 -*END - -*D_NET *27 0.00407961 -*CONN -*P ext_trim[23] I -*I *1312:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *419:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[23] 0.00046362 -2 *1312:A2 0.00069557 -3 *419:DIODE 0.000216435 -4 *27:7 0.00137563 -5 *419:DIODE *1460:A 9.80071e-05 -6 *419:DIODE *1462:A 5.52302e-05 -7 *419:DIODE *284:8 4.1331e-06 -8 *419:DIODE *284:15 4.57445e-05 -9 *419:DIODE *284:33 6.92148e-06 -10 *1312:A2 *1379:A 6.87146e-05 -11 *1312:A2 *1379:TE 0.000144773 -12 *1312:A2 *1379:Z 4.38511e-05 -13 *1312:A2 *1381:A 0.00022287 -14 *1312:A2 *1384:A 7.00271e-05 -15 *1312:A2 *1387:A 2.47319e-05 -16 *1312:A2 *1387:Z 0 -17 *1312:A2 *1460:A 1.49084e-05 -18 *1312:A2 *150:13 0 -19 *1312:A2 *226:78 0 -20 *1312:A2 *284:33 8.7299e-05 -21 *1312:A2 *300:14 9.49225e-05 -22 *1312:A2 *300:16 5.16489e-05 -23 *1312:A2 *303:6 0.000141524 -24 *1312:A2 *303:10 0.000153054 -*RES -1 ext_trim[23] *27:7 4.69467 -2 *27:7 *419:DIODE 18.1839 -3 *27:7 *1312:A2 31.1304 -*END - -*D_NET *28 0.00518493 -*CONN -*P ext_trim[24] I -*I *1313:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *420:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[24] 0.0013353 -2 *1313:A2 0.000638136 -3 *420:DIODE 0 -4 *28:10 0.00197344 -5 *1313:A2 *1165:A 0.000139208 -6 *1313:A2 *1189:A 9.69912e-05 -7 *1313:A2 *1384:A 5.66971e-05 -8 *1313:A2 *1387:A 0.000313209 -9 *1313:A2 *1387:TE 5.77498e-05 -10 *1313:A2 *139:5 2.14888e-05 -11 *1313:A2 *302:5 0.000325894 -12 *28:10 *425:DIODE 1.05311e-05 -13 *28:10 *1106:A 0.000115206 -14 *28:10 *1387:A 0 -15 *28:10 *1460:A 0 -16 *28:10 *1461:A 0 -17 *28:10 *1462:A 0.000101073 -18 *28:10 *1466:A 0 -19 *28:10 *284:8 0 -20 *28:10 *300:14 0 -*RES -1 ext_trim[24] *28:10 29.3063 -2 *28:10 *420:DIODE 9.3 -3 *28:10 *1313:A2 23.7643 -*END - -*D_NET *29 0.00820195 -*CONN -*P ext_trim[25] I -*I *421:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1314:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[25] 0.00267131 -2 *421:DIODE 0.00010488 -3 *1314:A2 1.83975e-05 -4 *29:10 0.00279458 -5 *421:DIODE *246:18 0.000221641 -6 *1314:A2 *246:18 2.10819e-05 -7 *29:10 *427:DIODE 0 -8 *29:10 *1199:A 0.000196269 -9 *29:10 *1201:A1 6.98669e-05 -10 *29:10 *1313:A1 9.96487e-05 -11 *29:10 *1339:A 0 -12 *29:10 *1356:D 0.000694068 -13 *29:10 *1359:D 0.000191485 -14 *29:10 *1359:RESET_B 9.96553e-05 -15 *29:10 *1361:RESET_B 0 -16 *29:10 *38:5 0 -17 *29:10 *92:8 2.37761e-05 -18 *29:10 *92:30 9.04746e-05 -19 *29:10 *139:83 6.26858e-05 -20 *29:10 *252:79 0.000528537 -21 *29:10 *253:69 0 -22 *29:10 *275:15 0 -23 *29:10 *276:13 0 -24 *410:DIODE *29:10 0.000292453 -25 *1311:B1 *29:10 2.11419e-05 -*RES -1 ext_trim[25] *29:10 45.2011 -2 *29:10 *1314:A2 9.60357 -3 *29:10 *421:DIODE 11.4786 -*END - -*D_NET *30 0.0141782 -*CONN -*P ext_trim[2] I -*I *1253:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *391:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[2] 0.00316138 -2 *1253:A2 9.88957e-05 -3 *391:DIODE 0.000345076 -4 *30:23 0.000954875 -5 *30:17 0.00367228 -6 *391:DIODE *1252:A 7.95201e-05 -7 *391:DIODE *1308:A3 0.000166281 -8 *391:DIODE *1314:B1 5.14314e-05 -9 *391:DIODE *139:10 0 -10 *391:DIODE *198:58 8.43253e-05 -11 *391:DIODE *236:8 0.000399445 -12 *1253:A2 *1253:A1 3.51368e-05 -13 *1253:A2 *315:8 2.89114e-05 -14 *30:17 *1242:A 1.55885e-05 -15 *30:17 *1248:B 1.74352e-05 -16 *30:17 *1257:A 5.74499e-06 -17 *30:17 *1257:B 3.45371e-05 -18 *30:17 *1263:B1 0.000488438 -19 *30:17 *1279:A1 4.99241e-05 -20 *30:17 *1393:Z 5.33005e-05 -21 *30:17 *1394:A 0.000287706 -22 *30:17 *1396:A 9.77074e-05 -23 *30:17 *1398:A 3.40456e-05 -24 *30:17 *31:11 0 -25 *30:17 *37:13 6.74751e-05 -26 *30:17 *200:5 0.00020377 -27 *30:17 *206:8 0.000184797 -28 *30:17 *307:8 3.17148e-05 -29 *30:17 *372:13 0.00196512 -30 *30:23 *1170:A 5.33005e-05 -31 *30:23 *1245:B 0.000198487 -32 *30:23 *1257:A 9.71197e-05 -33 *30:23 *1291:B 5.71472e-05 -34 *30:23 *144:9 0.000134987 -35 *30:23 *144:11 0.000267697 -36 *30:23 *203:10 1.92905e-05 -37 *30:23 *234:7 0.000137983 -38 *30:23 *275:42 0.000225609 -39 *30:23 *315:8 6.05161e-06 -40 *390:DIODE *30:23 5.19775e-05 -41 *409:DIODE *391:DIODE 0.000260389 -42 *1248:A *30:17 5.33433e-05 -43 *23:10 *30:17 0 -*RES -1 ext_trim[2] *30:17 47.8216 -2 *30:17 *30:23 12.5893 -3 *30:23 *391:DIODE 27.8357 -4 *30:23 *1253:A2 11.0857 -*END - -*D_NET *31 0.00485149 -*CONN -*P ext_trim[3] I -*I *392:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1254:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[3] 0.0011219 -2 *392:DIODE 0.000355687 -3 *1254:A2 4.94749e-05 -4 *31:11 0.00152706 -5 *392:DIODE *1429:A 0 -6 *392:DIODE *1429:Z 6.19117e-05 -7 *1254:A2 *1418:A 0.000143633 -8 *1254:A2 *200:39 1.65914e-05 -9 *1254:A2 *213:37 6.69792e-05 -10 *1254:A2 *287:8 9.84729e-05 -11 *31:11 *1259:B1 0.000315423 -12 *31:11 *1263:B1 0.000264287 -13 *31:11 *1414:A 0.000143633 -14 *31:11 *1416:TE_B 0.000103716 -15 *31:11 *32:8 0 -16 *31:11 *37:13 1.84622e-05 -17 *31:11 *200:39 0.000531064 -18 *31:11 *213:37 3.31828e-05 -19 *30:17 *31:11 0 -*RES -1 ext_trim[3] *31:11 19.0021 -2 *31:11 *1254:A2 11.4964 -3 *31:11 *392:DIODE 24.4964 -*END - -*D_NET *32 0.00202438 -*CONN -*P ext_trim[4] I -*I *1259:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *393:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[4] 0.000808118 -2 *1259:A2 0 -3 *393:DIODE 9.54361e-05 -4 *32:8 0.000903554 -5 *393:DIODE *1265:A1 3.29297e-05 -6 *393:DIODE *37:13 0 -7 *393:DIODE *200:28 0.000149708 -8 *32:8 *1265:A1 3.46386e-05 -9 *32:8 *33:11 0 -10 *32:8 *37:13 0 -11 *31:11 *32:8 0 -*RES -1 ext_trim[4] *32:8 6.21189 -2 *32:8 *393:DIODE 16.05 -3 *32:8 *1259:A2 13.8 -*END - -*D_NET *33 0.00295121 -*CONN -*P ext_trim[5] I -*I *394:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1263:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[5] 0.00100814 -2 *394:DIODE 0 -3 *1263:A2 0.000102548 -4 *33:11 0.00111068 -5 *1263:A2 *1263:A1 6.05161e-06 -6 *33:11 *1422:A 0.00015926 -7 *33:11 *1423:A 0.000144523 -8 *33:11 *34:8 0 -9 *33:11 *36:16 0.000275625 -10 *33:11 *200:28 0 -11 *33:11 *338:13 0.000144386 -12 *32:8 *33:11 0 -*RES -1 ext_trim[5] *33:11 19.6539 -2 *33:11 *1263:A2 10.6571 -3 *33:11 *394:DIODE 9.3 -*END - -*D_NET *34 0.00269814 -*CONN -*P ext_trim[6] I -*I *396:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1265:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[6] 0.00106514 -2 *396:DIODE 0 -3 *1265:A2 0.000137977 -4 *34:8 0.00120312 -5 *1265:A2 *1265:A1 0.000122554 -6 *1265:A2 *345:9 1.25924e-05 -7 *34:8 *1420:A 0 -8 *34:8 *35:10 0.000122494 -9 *34:8 *36:16 0 -10 *34:8 *37:13 0 -11 *34:8 *345:9 3.42526e-05 -12 *33:11 *34:8 0 -*RES -1 ext_trim[6] *34:8 10.7655 -2 *34:8 *1265:A2 17.0143 -3 *34:8 *396:DIODE 13.8 -*END - -*D_NET *35 0.00488079 -*CONN -*P ext_trim[7] I -*I *397:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1268:A2 I *D sky130_fd_sc_hd__a21o_2 -*CAP -1 ext_trim[7] 0.00125473 -2 *397:DIODE 0 -3 *1268:A2 0.000480045 -4 *35:10 0.00173478 -5 *1268:A2 *1428:TE 0.000142856 -6 *1268:A2 *1429:A 0.000446603 -7 *1268:A2 *1432:A 0.000272597 -8 *1268:A2 *1433:A 0.000206321 -9 *1268:A2 *331:12 0.000126639 -10 *35:10 *1420:A 1.92789e-05 -11 *35:10 *1428:A 1.00073e-05 -12 *35:10 *1432:A 6.4443e-05 -13 *34:8 *35:10 0.000122494 -*RES -1 ext_trim[7] *35:10 20.9286 -2 *35:10 *1268:A2 22.0857 -3 *35:10 *397:DIODE 9.3 -*END - -*D_NET *36 0.0155073 -*CONN -*P ext_trim[8] I -*I *1270:A2 I *D sky130_fd_sc_hd__a22o_2 -*I *399:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 ext_trim[8] 0.00164362 -2 *1270:A2 0.000623682 -3 *399:DIODE 0.000489751 -4 *36:27 0.00329332 -5 *36:16 0.00382351 -6 *399:DIODE *1307:A1 1.34355e-05 -7 *399:DIODE *1411:A 5.52238e-05 -8 *399:DIODE *1436:A 9.71197e-05 -9 *399:DIODE *1440:A 0.000313017 -10 *399:DIODE *226:132 2.03039e-05 -11 *399:DIODE *347:10 6.16145e-05 -12 *1270:A2 *1270:A1 0.000164649 -13 *1270:A2 *1301:A1 0.000226272 -14 *1270:A2 *1409:A 0.000225367 -15 *1270:A2 *233:27 2.18263e-05 -16 *36:16 *1250:B1 0.000173449 -17 *36:16 *1263:A1 1.78447e-05 -18 *36:16 *1393:A 0.000179391 -19 *36:16 *1395:TE_B 6.19181e-05 -20 *36:16 *1397:A 8.85532e-05 -21 *36:16 *1398:A 6.24351e-05 -22 *36:16 *1420:A 0 -23 *36:16 *1421:A 0.000149898 -24 *36:16 *1422:A 0.00031176 -25 *36:16 *1456:A 0 -26 *36:16 *37:13 4.8476e-05 -27 *36:16 *200:28 0.000223246 -28 *36:16 *335:15 0 -29 *36:27 *1263:B1 0.000101601 -30 *36:27 *1265:B1 5.73264e-05 -31 *36:27 *1267:B1 0.000253645 -32 *36:27 *1279:B1 9.25014e-06 -33 *36:27 *1307:A1 4.70015e-05 -34 *36:27 *1307:A2 7.51975e-05 -35 *36:27 *1394:TE 7.70854e-05 -36 *36:27 *1398:A 7.15905e-06 -37 *36:27 *1415:TE 0 -38 *36:27 *197:28 2.14771e-05 -39 *36:27 *197:66 0.00015518 -40 *36:27 *207:20 0.000110841 -41 *36:27 *226:132 7.37379e-06 -42 *36:27 *309:21 0.00054378 -43 *36:27 *330:21 0.000854268 -44 *388:DIODE *36:16 2.05938e-05 -45 *413:DIODE *36:27 2.74981e-06 -46 *1277:B1 *36:27 4.79827e-05 -47 *1279:A2 *36:27 4.90142e-05 -48 *1301:A2 *1270:A2 8.55493e-06 -49 *5:11 *36:16 0.000142856 -50 *15:14 *36:27 4.99283e-06 -51 *16:8 *399:DIODE 0.000186601 -52 *16:8 *36:27 4.30759e-05 -53 *24:31 *1270:A2 1.44036e-05 -54 *33:11 *36:16 0.000275625 -55 *34:8 *36:16 0 -*RES -1 ext_trim[8] *36:16 47.0179 -2 *36:16 *36:27 32.3511 -3 *36:27 *399:DIODE 24.55 -4 *36:27 *1270:A2 22.4071 -*END - -*D_NET *37 0.0140255 -*CONN -*P ext_trim[9] I -*I *400:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1273:A2 I *D sky130_fd_sc_hd__a22o_2 -*CAP -1 ext_trim[9] 0.00118784 -2 *400:DIODE 0 -3 *1273:A2 9.95873e-05 -4 *37:24 0.000719655 -5 *37:20 0.00310603 -6 *37:13 0.0036738 -7 *1273:A2 *1261:A 0.000114847 -8 *1273:A2 *1272:A2 4.19624e-06 -9 *1273:A2 *1289:A1 1.46576e-05 -10 *1273:A2 *1293:A 9.59532e-06 -11 *1273:A2 *330:21 6.25394e-06 -12 *37:13 *1265:A1 0 -13 *37:13 *1393:A 5.69036e-05 -14 *37:13 *1398:A 0.000128969 -15 *37:13 *1416:TE_B 0.000200356 -16 *37:13 *200:28 0 -17 *37:13 *307:8 7.252e-05 -18 *37:13 *342:10 0 -19 *37:20 *1275:A2 0.000658072 -20 *37:20 *1275:B1 0.000122047 -21 *37:20 *1285:C 1.64343e-05 -22 *37:20 *1286:B2 2.59355e-05 -23 *37:20 *1306:A 0.000353086 -24 *37:20 *146:7 0.000349783 -25 *37:20 *197:21 3.61629e-06 -26 *37:20 *197:28 1.74352e-05 -27 *37:20 *211:10 5.52238e-05 -28 *37:20 *211:40 0.000297855 -29 *37:20 *217:22 5.96516e-05 -30 *37:20 *294:18 2.95726e-05 -31 *37:24 *1247:B 0.000132341 -32 *37:24 *1258:C1 0.000232075 -33 *37:24 *1261:A 0.000466194 -34 *37:24 *1273:B1 5.14012e-05 -35 *37:24 *144:22 0.000121871 -36 *37:24 *203:10 6.26205e-05 -37 *37:24 *212:55 5.31349e-05 -38 *37:24 *221:39 4.21517e-05 -39 *37:24 *232:10 0 -40 *37:24 *233:27 2.79421e-05 -41 *393:DIODE *37:13 0 -42 *1238:A *37:20 0.000271352 -43 *5:11 *37:20 0.00104609 -44 *30:17 *37:13 6.74751e-05 -45 *31:11 *37:13 1.84622e-05 -46 *32:8 *37:13 0 -47 *34:8 *37:13 0 -48 *36:16 *37:13 4.8476e-05 -*RES -1 ext_trim[9] *37:13 27.375 -2 *37:13 *37:20 41.3214 -3 *37:20 *37:24 16.5 -4 *37:24 *1273:A2 20.0902 -5 *37:24 *400:DIODE 9.3 -*END - -*D_NET *38 0.0012177 -*CONN -*P osc I -*I *427:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1360:D I *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 osc 0.000255041 -2 *427:DIODE 0.000163621 -3 *1360:D 8.0677e-05 -4 *38:5 0.000499339 -5 *427:DIODE *1346:CLK 1.92789e-05 -6 *427:DIODE *1361:CLK 0 -7 *427:DIODE *254:122 0 -8 *1360:D *1360:CLK 7.15962e-05 -9 *38:5 *1361:CLK 0 -10 *38:5 *1361:D 0.000128146 -11 *29:10 *427:DIODE 0 -12 *29:10 *38:5 0 -*RES -1 osc *38:5 5.07143 -2 *38:5 *1360:D 15.5679 -3 *38:5 *427:DIODE 16.2821 -*END - -*D_NET *39 0.0041548 -*CONN -*P resetb I -*I *1315:B I *D sky130_fd_sc_hd__nand2_2 -*I *423:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetb 0.000838732 -2 *1315:B 3.47928e-05 -3 *423:DIODE 9.84953e-05 -4 *39:16 0.00097202 -5 *423:DIODE *1110:A 0.00013926 -6 *1315:B *1110:A 8.18233e-06 -7 *39:16 *1110:A 0.000140088 -8 *39:16 *1116:A1 0.000320745 -9 *39:16 *1116:S 4.15113e-05 -10 *39:16 *1138:A 0.000337651 -11 *39:16 *1145:A 0.000189441 -12 *39:16 *1363:RESET_B 0 -13 *39:16 *84:26 0 -14 *39:16 *90:22 0.000126439 -15 *39:16 *95:8 0.000287051 -16 *39:16 *97:12 6.8646e-06 -17 *39:16 *97:25 7.81834e-05 -18 *39:16 *100:6 0.000455742 -19 *39:16 *248:5 5.33005e-05 -20 *376:DIODE *1315:B 2.6304e-05 -*RES -1 resetb *39:16 26.2321 -2 *39:16 *423:DIODE 10.6571 -3 *39:16 *1315:B 19.0321 -*END - -*D_NET *40 0.00127056 -*CONN -*I *1345:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1317:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1345:RESET_B 0.00022228 -2 *1317:Y 0.00022228 -3 *1345:RESET_B *1104:B 2.49484e-05 -4 *1345:RESET_B *1237:B 9.27562e-05 -5 *1345:RESET_B *1345:D 0.000403459 -6 *1345:RESET_B *85:11 0.000294837 -7 *1345:RESET_B *268:7 9.99644e-06 -*RES -1 *1317:Y *1345:RESET_B 24.9875 -*END - -*D_NET *41 0.00248227 -*CONN -*I *1346:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1318:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1346:RESET_B 0.000607477 -2 *1318:Y 0.000607477 -3 *1346:RESET_B *1176:A_N 6.80593e-05 -4 *1346:RESET_B *1176:B 0.000148903 -5 *1346:RESET_B *1233:A0 2.89114e-05 -6 *1346:RESET_B *1233:A1 0.000265059 -7 *1346:RESET_B *1235:A1 3.25078e-05 -8 *1346:RESET_B *1237:A_N 0.000213069 -9 *1346:RESET_B *1346:D 0.000260493 -10 *1346:RESET_B *1466:A 1.0609e-05 -11 *1346:RESET_B *84:60 0 -12 *1346:RESET_B *150:13 0 -13 *1346:RESET_B *269:5 0.000239702 -14 *1346:RESET_B *284:8 0 -*RES -1 *1318:Y *1346:RESET_B 33.0768 -*END - -*D_NET *42 0.000859425 -*CONN -*I *1347:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1320:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1347:RESET_B 0.000124899 -2 *1320:Y 0.000124899 -3 *1347:RESET_B *1347:D 0.000125877 -4 *1347:RESET_B *371:56 0.000483749 -*RES -1 *1320:Y *1347:RESET_B 13.8804 -*END - -*D_NET *43 0.000178873 -*CONN -*I *1348:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1321:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1348:RESET_B 8.94365e-05 -2 *1321:Y 8.94365e-05 -3 *1348:RESET_B *191:8 0 -*RES -1 *1321:Y *1348:RESET_B 19.6393 -*END - -*D_NET *44 0.000714077 -*CONN -*I *1349:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1322:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1349:RESET_B 0.000307869 -2 *1322:Y 0.000307869 -3 *1349:RESET_B *1228:B 0 -4 *1349:RESET_B *1229:A 0 -5 *1349:RESET_B *1350:D 0 -6 *1349:RESET_B *249:40 9.83388e-05 -*RES -1 *1322:Y *1349:RESET_B 23.3179 -*END - -*D_NET *45 0.000266479 -*CONN -*I *1350:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1323:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1350:RESET_B 0.00013324 -2 *1323:Y 0.00013324 -3 *1350:RESET_B *1328:A 0 -*RES -1 *1323:Y *1350:RESET_B 20.55 -*END - -*D_NET *46 0.000309233 -*CONN -*I *1351:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1325:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1351:RESET_B 0.000154616 -2 *1325:Y 0.000154616 -3 *1351:RESET_B *249:24 0 -4 *1351:RESET_B *251:23 0 -*RES -1 *1325:Y *1351:RESET_B 20.8536 -*END - -*D_NET *47 0.000460856 -*CONN -*I *1352:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1326:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1352:RESET_B 0.000130896 -2 *1326:Y 0.000130896 -3 *1352:RESET_B *1321:B 0 -4 *1352:RESET_B *1326:A 0.000103253 -5 *1352:RESET_B *259:11 9.58126e-05 -6 *1352:RESET_B *371:8 0 -*RES -1 *1326:Y *1352:RESET_B 20.5857 -*END - -*D_NET *48 0.000986339 -*CONN -*I *1353:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1327:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1353:RESET_B 0.000196004 -2 *1327:Y 0.000196004 -3 *1353:RESET_B *1319:A 7.36469e-05 -4 *1353:RESET_B *1327:A 5.33005e-05 -5 *1353:RESET_B *1331:A 6.90255e-05 -6 *1353:RESET_B *1356:CLK 1.91512e-05 -7 *1353:RESET_B *249:5 0.000254706 -8 *1353:RESET_B *254:202 7.14526e-05 -9 *1353:RESET_B *254:205 5.30472e-05 -*RES -1 *1327:Y *1353:RESET_B 24.2643 -*END - -*D_NET *49 0.000885778 -*CONN -*I *1354:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1329:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1354:RESET_B 0.000304558 -2 *1329:Y 0.000304558 -3 *1354:RESET_B *1107:S 7.88521e-05 -4 *1354:RESET_B *253:69 2.11336e-05 -5 *1354:RESET_B *254:64 0.000170625 -6 *1354:RESET_B *254:70 6.05161e-06 -*RES -1 *1329:Y *1354:RESET_B 24.6304 -*END - -*D_NET *50 0.000166934 -*CONN -*I *1355:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1330:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1355:RESET_B 8.34671e-05 -2 *1330:Y 8.34671e-05 -*RES -1 *1330:Y *1355:RESET_B 19.6393 -*END - -*D_NET *51 0.00102657 -*CONN -*I *1356:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1331:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1356:RESET_B 0.000419682 -2 *1331:Y 0.000419682 -3 *1356:RESET_B *1356:CLK 4.87953e-05 -4 *1356:RESET_B *1356:D 0.000109539 -5 *1356:RESET_B *226:7 2.88677e-05 -*RES -1 *1331:Y *1356:RESET_B 15.2554 -*END - -*D_NET *52 0.000292418 -*CONN -*I *1357:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1332:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1357:RESET_B 0.000146209 -2 *1332:Y 0.000146209 -3 *1357:RESET_B *1107:S 0 -4 *1357:RESET_B *254:75 0 -*RES -1 *1332:Y *1357:RESET_B 20.8536 -*END - -*D_NET *53 0.000703986 -*CONN -*I *1358:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1334:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1358:RESET_B 0.00021758 -2 *1334:Y 0.00021758 -3 *1358:RESET_B *1355:D 0.000268826 -4 *1358:RESET_B *254:190 0 -*RES -1 *1334:Y *1358:RESET_B 22.9786 -*END - -*D_NET *54 0.00131512 -*CONN -*I *1359:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1335:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1359:RESET_B 0.000523439 -2 *1335:Y 0.000523439 -3 *1359:RESET_B *1359:D 4.27842e-06 -4 *1359:RESET_B *1362:D 0.000127353 -5 *1359:RESET_B *252:57 3.69047e-06 -6 *1359:RESET_B *252:70 3.32631e-05 -7 *29:10 *1359:RESET_B 9.96553e-05 -*RES -1 *1335:Y *1359:RESET_B 25.5143 -*END - -*D_NET *55 0.000901169 -*CONN -*I *1360:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1336:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1360:RESET_B 0.000233291 -2 *1336:Y 0.000233291 -3 *1360:RESET_B *1328:A 0 -4 *1360:RESET_B *1361:CLK 1.19309e-05 -5 *1360:RESET_B *251:8 0.000266829 -6 *1360:RESET_B *254:122 5.29554e-05 -7 *1360:RESET_B *254:131 6.07532e-05 -8 *1360:RESET_B *254:138 4.21185e-05 -*RES -1 *1336:Y *1360:RESET_B 24.4964 -*END - -*D_NET *56 0.000275661 -*CONN -*I *1361:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1338:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1361:RESET_B 9.58806e-05 -2 *1338:Y 9.58806e-05 -3 *1361:RESET_B *1339:A 8.39e-05 -4 *1361:RESET_B *266:8 0 -5 *29:10 *1361:RESET_B 0 -*RES -1 *1338:Y *1361:RESET_B 20.55 -*END - -*D_NET *57 0.0031888 -*CONN -*I *1362:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1339:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1362:RESET_B 0.000591379 -2 *1339:Y 0.000591379 -3 *1362:RESET_B *1104:B 0.000690669 -4 *1362:RESET_B *1339:A 2.89114e-05 -5 *1362:RESET_B *1339:B 7.7017e-05 -6 *1362:RESET_B *1345:D 4.89044e-05 -7 *1362:RESET_B *1347:D 0 -8 *1362:RESET_B *1362:D 0.000903217 -9 *1362:RESET_B *253:69 0 -10 *1362:RESET_B *266:8 0.0002452 -11 *1362:RESET_B *371:56 1.21258e-05 -*RES -1 *1339:Y *1362:RESET_B 35.6661 -*END - -*D_NET *58 0.000749899 -*CONN -*I *1363:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1340:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1363:RESET_B 0.000184799 -2 *1340:Y 0.000184799 -3 *1363:RESET_B *1122:B 4.18895e-05 -4 *1363:RESET_B *1144:A 1.25672e-06 -5 *1363:RESET_B *1145:A 0 -6 *1363:RESET_B *1340:B 0.000108848 -7 *1363:RESET_B *84:26 1.78353e-05 -8 *1363:RESET_B *90:22 0 -9 *1363:RESET_B *97:12 7.67762e-05 -10 *1363:RESET_B *260:5 0.000133695 -11 *39:16 *1363:RESET_B 0 -*RES -1 *1340:Y *1363:RESET_B 23.1661 -*END - -*D_NET *59 0.000717734 -*CONN -*I *1364:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1341:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1364:RESET_B 0.000218565 -2 *1341:Y 0.000218565 -3 *1364:RESET_B *1121:B 5.01213e-05 -4 *1364:RESET_B *1364:D 0 -5 *1364:RESET_B *252:13 0.000230482 -*RES -1 *1341:Y *1364:RESET_B 21.8179 -*END - -*D_NET *60 0.000342376 -*CONN -*I *1365:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1342:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1365:RESET_B 0.000140331 -2 *1342:Y 0.000140331 -3 *1365:RESET_B *1342:A 0 -4 *1365:RESET_B *1365:D 0 -5 *1365:RESET_B *252:47 6.17134e-05 -6 *1365:RESET_B *262:8 0 -*RES -1 *1342:Y *1365:RESET_B 21.1571 -*END - -*D_NET *61 0.00193693 -*CONN -*I *1366:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1343:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1366:RESET_B 0.00051231 -2 *1343:Y 0.00051231 -3 *1366:RESET_B *1109:A0 5.30637e-06 -4 *1366:RESET_B *1109:A1 4.17702e-05 -5 *1366:RESET_B *1110:A 1.58163e-05 -6 *1366:RESET_B *100:6 1.03904e-05 -7 *1366:RESET_B *248:5 0.000313208 -8 *1366:RESET_B *258:28 9.8126e-05 -9 *1366:RESET_B *263:5 0.000350278 -10 *1366:RESET_B *263:8 7.74135e-05 -*RES -1 *1343:Y *1366:RESET_B 27.6304 -*END - -*D_NET *62 0.000588401 -*CONN -*I *1367:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1344:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1367:RESET_B 6.7826e-05 -2 *1344:Y 6.7826e-05 -3 *1367:RESET_B *248:17 0.000304741 -4 *1367:RESET_B *253:48 2.8524e-05 -5 *1367:RESET_B *253:60 0.000119485 -*RES -1 *1344:Y *1367:RESET_B 12.2375 -*END - -*D_NET *63 0.00241464 -*CONN -*I *1345:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1237:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1345:D 0.000804393 -2 *1237:Y 0.000804393 -3 *1345:D *1104:B 0.000126439 -4 *1345:D *1237:B 0.000131929 -5 *1345:D *1459:A 6.93626e-06 -6 *1345:D *252:79 7.32173e-05 -7 *1345:D *268:7 6.05161e-06 -8 *1345:D *371:72 8.91963e-06 -9 *1345:RESET_B *1345:D 0.000403459 -10 *1362:RESET_B *1345:D 4.89044e-05 -*RES -1 *1237:Y *1345:D 43.1 -*END - -*D_NET *64 0.00137803 -*CONN -*I *1346:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1236:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1346:D 0.000535893 -2 *1236:X 0.000535893 -3 *1346:D *1104:B 4.57538e-05 -4 *1346:D *1347:D 0 -5 *1346:RESET_B *1346:D 0.000260493 -*RES -1 *1236:X *1346:D 36.7607 -*END - -*D_NET *65 0.00310117 -*CONN -*I *1347:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1234:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1347:D 0.00105958 -2 *1234:X 0.00105958 -3 *1347:D *1104:B 0 -4 *1347:D *1234:A 0.000442586 -5 *1347:D *1339:B 0 -6 *1347:D *84:51 0 -7 *1347:D *150:13 2.89016e-05 -8 *1347:D *269:8 5.16489e-05 -9 *1347:D *270:5 0.000189337 -10 *1347:D *371:56 0.000143665 -11 *1346:D *1347:D 0 -12 *1347:RESET_B *1347:D 0.000125877 -13 *1362:RESET_B *1347:D 0 -*RES -1 *1234:X *1347:D 49.0107 -*END - -*D_NET *66 0.000610382 -*CONN -*I *1348:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1232:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1348:D 0.000179076 -2 *1232:Y 0.000179076 -3 *1348:D *1232:B 0.000189582 -4 *1348:D *191:8 6.26466e-05 -*RES -1 *1232:Y *1348:D 32.0286 -*END - -*D_NET *67 0.00174719 -*CONN -*I *1349:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1231:Y O *D sky130_fd_sc_hd__a21boi_2 -*CAP -1 *1349:D 0.000444113 -2 *1231:Y 0.000444113 -3 *1349:D *1348:CLK 0.000151616 -4 *1349:D *254:104 0.000261781 -5 *1349:D *256:7 0.000445571 -*RES -1 *1231:Y *1349:D 29.9571 -*END - -*D_NET *68 0.00101127 -*CONN -*I *1350:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1229:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1350:D 0.000335268 -2 *1229:X 0.000335268 -3 *1350:D *1229:A 0.000299729 -4 *1350:D *1350:CLK 6.05161e-06 -5 *1350:D *257:11 3.4954e-05 -6 *1349:RESET_B *1350:D 0 -*RES -1 *1229:X *1350:D 33.6714 -*END - -*D_NET *69 0.000368007 -*CONN -*I *1351:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1224:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1351:D 0.000137279 -2 *1224:X 0.000137279 -3 *1351:D *1351:CLK 2.89114e-05 -4 *1351:D *258:5 6.45381e-05 -*RES -1 *1224:X *1351:D 21.1893 -*END - -*D_NET *70 0.000195942 -*CONN -*I *1352:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1222:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1352:D 5.29572e-05 -2 *1222:X 5.29572e-05 -3 *1352:D *1321:B 1.19309e-05 -4 *1352:D *191:8 5.24274e-05 -5 *1352:D *371:8 2.56694e-05 -*RES -1 *1222:X *1352:D 28.9393 -*END - -*D_NET *71 0.00101024 -*CONN -*I *1353:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1219:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1353:D 0.000266212 -2 *1219:X 0.000266212 -3 *1353:D *1219:B1 9.76491e-05 -4 *1353:D *1331:A 8.06427e-05 -5 *1353:D *198:58 0.000148903 -6 *1353:D *198:60 0.000150618 -7 *1353:D *276:13 0 -*RES -1 *1219:X *1353:D 33.1357 -*END - -*D_NET *72 0.000656082 -*CONN -*I *1354:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1217:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1354:D 0.000238878 -2 *1217:X 0.000238878 -3 *1354:D *1108:A 0 -4 *1354:D *259:42 0 -5 *1354:D *259:48 0.000105622 -6 *1354:D *264:9 0 -7 *1354:D *264:14 7.27028e-05 -*RES -1 *1217:X *1354:D 32.3143 -*END - -*D_NET *73 0.00169364 -*CONN -*I *1355:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1213:X O *D sky130_fd_sc_hd__o2bb2a_2 -*CAP -1 *1355:D 0.000456956 -2 *1213:X 0.000456956 -3 *1355:D *157:39 4.87953e-05 -4 *1355:D *271:8 0 -5 *1355:D *274:8 0.000320024 -6 *1355:D *274:20 0.000142082 -7 *1358:RESET_B *1355:D 0.000268826 -*RES -1 *1213:X *1355:D 38.0821 -*END - -*D_NET *74 0.00214555 -*CONN -*I *1356:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1211:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1356:D 0.000262732 -2 *1211:Y 0.000262732 -3 *1356:D *226:7 0.000117543 -4 *410:DIODE *1356:D 0.000698934 -5 *1356:RESET_B *1356:D 0.000109539 -6 *29:10 *1356:D 0.000694068 -*RES -1 *1211:Y *1356:D 38.7071 -*END - -*D_NET *75 0.0012639 -*CONN -*I *1357:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1208:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1357:D 0.000391572 -2 *1208:X 0.000391572 -3 *1357:D *1107:S 4.35141e-05 -4 *1357:D *1164:A 7.83659e-05 -5 *1357:D *1174:A 8.15095e-05 -6 *1357:D *1174:B 5.35423e-05 -7 *1357:D *1208:A 4.70078e-05 -8 *1357:D *254:70 7.77751e-05 -9 *1357:D *273:8 0 -10 *1357:D *276:21 9.90431e-05 -*RES -1 *1208:X *1357:D 34.9036 -*END - -*D_NET *76 0.000579925 -*CONN -*I *1358:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1205:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1358:D 0.000209231 -2 *1205:X 0.000209231 -3 *1358:D *254:178 8.07313e-05 -4 *1358:D *254:190 8.07313e-05 -5 *1358:D *273:8 0 -*RES -1 *1205:X *1358:D 31.5286 -*END - -*D_NET *77 0.00114522 -*CONN -*I *1359:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1201:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1359:D 0.00040291 -2 *1201:X 0.00040291 -3 *1359:D *1356:CLK 0 -4 *1359:D *253:69 0.000143633 -5 *1359:D *254:205 0 -6 *1359:D *276:13 0 -7 *1359:RESET_B *1359:D 4.27842e-06 -8 *29:10 *1359:D 0.000191485 -*RES -1 *1201:X *1359:D 36.0643 -*END - -*D_NET *78 0.00211544 -*CONN -*I *1363:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1117:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1363:D 0.00077178 -2 *1117:X 0.00077178 -3 *1363:D *1117:A 0.000484518 -4 *1363:D *1145:A 2.89016e-05 -5 *1363:D *1145:B 2.59355e-05 -6 *1363:D *1177:A1 0 -7 *1363:D *254:16 3.25247e-05 -*RES -1 *1117:X *1363:D 37.6893 -*END - -*D_NET *79 0.000850259 -*CONN -*I *1364:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1114:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1364:D 0.000268107 -2 *1114:X 0.000268107 -3 *1364:D *1120:A 0 -4 *1364:D *1340:A 0.000150165 -5 *1364:D *90:13 1.32552e-05 -6 *1364:D *253:24 0.000150625 -7 *1364:RESET_B *1364:D 0 -*RES -1 *1114:X *1364:D 31.9036 -*END - -*D_NET *80 0.00106821 -*CONN -*I *1365:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1112:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1365:D 0.000424522 -2 *1112:X 0.000424522 -3 *1365:D *1108:A 6.5185e-05 -4 *1365:D *1112:A 0 -5 *1365:D *1367:D 1.72381e-05 -6 *1365:D *253:8 0.000136744 -7 *1365:RESET_B *1365:D 0 -*RES -1 *1112:X *1365:D 35.35 -*END - -*D_NET *81 0.000652486 -*CONN -*I *1366:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1110:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1366:D 0.000287092 -2 *1110:X 0.000287092 -3 *1366:D *1180:B 7.83027e-05 -4 *1366:D *112:9 0 -*RES -1 *1110:X *1366:D 32.5464 -*END - -*D_NET *82 0.00042892 -*CONN -*I *1367:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1108:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1367:D 9.11661e-05 -2 *1108:X 9.11661e-05 -3 *1367:D *1112:A 0.00012677 -4 *1367:D *253:8 7.6644e-05 -5 *1367:D *254:58 2.59355e-05 -6 *1365:D *1367:D 1.72381e-05 -*RES -1 *1108:X *1367:D 29.7786 -*END - -*D_NET *83 0.00134396 -*CONN -*I *1176:A_N I *D sky130_fd_sc_hd__and4b_2 -*I *1105:A I *D sky130_fd_sc_hd__buf_2 -*I *1104:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1176:A_N 0.000126898 -2 *1105:A 0.000159944 -3 *1104:Y 5.05962e-05 -4 *83:7 0.000337438 -5 *1105:A *1234:A 0 -6 *1105:A *84:51 0.000274738 -7 *1176:A_N *1233:A1 6.2589e-06 -8 *1176:A_N *1234:A 0 -9 *1176:A_N *84:51 0.000101545 -10 *1176:A_N *84:60 0.000218486 -11 *1176:A_N *269:8 0 -12 *1346:RESET_B *1176:A_N 6.80593e-05 -*RES -1 *1104:Y *83:7 14.3357 -2 *83:7 *1105:A 17.8 -3 *83:7 *1176:A_N 17.8714 -*END - -*D_NET *84 0.015328 -*CONN -*I *1106:A I *D sky130_fd_sc_hd__buf_2 -*I *1235:S I *D sky130_fd_sc_hd__mux2_2 -*I *1233:S I *D sky130_fd_sc_hd__mux2_2 -*I *1113:S I *D sky130_fd_sc_hd__mux2_2 -*I *1111:S I *D sky130_fd_sc_hd__mux2_2 -*I *1109:S I *D sky130_fd_sc_hd__mux2_2 -*I *1116:S I *D sky130_fd_sc_hd__mux2_2 -*I *1105:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1106:A 0.000356624 -2 *1235:S 0.000103905 -3 *1233:S 7.48367e-05 -4 *1113:S 0.000101919 -5 *1111:S 5.82687e-05 -6 *1109:S 0.000150203 -7 *1116:S 0.000263152 -8 *1105:X 0 -9 *84:60 0.000682401 -10 *84:51 0.000511641 -11 *84:26 0.000790525 -12 *84:11 0.00132191 -13 *84:9 0.00369579 -14 *84:8 0.00320142 -15 *84:5 0.000299188 -16 *1106:A *425:DIODE 0.000126439 -17 *1106:A *1176:B 6.8646e-06 -18 *1106:A *1237:A_N 1.78447e-05 -19 *1106:A *1318:B 0.000388789 -20 *1106:A *1466:TE 5.91094e-05 -21 *1106:A *85:7 6.05161e-06 -22 *1106:A *226:37 7.06479e-05 -23 *1106:A *284:8 5.33433e-05 -24 *1106:A *371:56 2.59355e-05 -25 *1109:S *1138:B 0.00014285 -26 *1109:S *95:8 0.000140933 -27 *1109:S *100:6 0 -28 *1109:S *258:28 3.34366e-05 -29 *1111:S *1138:B 4.08637e-05 -30 *1111:S *95:8 0.000135028 -31 *1113:S *1113:A1 1.02504e-05 -32 *1116:S *1116:A1 3.27526e-05 -33 *1235:S *425:DIODE 1.92789e-05 -34 *1235:S *268:15 5.33005e-05 -35 *84:26 *1122:B 6.74911e-05 -36 *84:26 *1126:C1 0.000148196 -37 *84:26 *1137:B 0.000103041 -38 *84:26 *1138:B 3.17148e-05 -39 *84:26 *1340:A 0 -40 *84:26 *1340:B 0.000200406 -41 *84:26 *1364:CLK 5.26091e-05 -42 *84:26 *97:25 0 -43 *84:26 *100:6 0 -44 *84:26 *253:24 0 -45 *84:26 *254:45 4.038e-06 -46 *84:60 *1176:B 4.35048e-05 -47 *383:DIODE *1116:S 0.000438788 -48 *383:DIODE *84:11 0.000493359 -49 *1105:A *84:51 0.000274738 -50 *1176:A_N *84:51 0.000101545 -51 *1176:A_N *84:60 0.000218486 -52 *1346:RESET_B *84:60 0 -53 *1347:D *84:51 0 -54 *1363:RESET_B *84:26 1.78353e-05 -55 *28:10 *1106:A 0.000115206 -56 *39:16 *1116:S 4.15113e-05 -57 *39:16 *84:26 0 -*RES -1 *1105:X *84:5 13.8 -2 *84:5 *84:8 5.83929 -3 *84:8 *84:9 48.1786 -4 *84:9 *84:11 9.57143 -5 *84:11 *1116:S 24.175 -6 *84:11 *84:26 20.9643 -7 *84:26 *1109:S 21.9071 -8 *84:26 *1111:S 10.6571 -9 *84:9 *1113:S 19.9429 -10 *84:5 *84:51 5.28571 -11 *84:51 *1233:S 14.7464 -12 *84:51 *84:60 5.28571 -13 *84:60 *1235:S 15.5679 -14 *84:60 *1106:A 22.7821 -*END - -*D_NET *85 0.0144075 -*CONN -*I *1237:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1228:A I *D sky130_fd_sc_hd__and2_2 -*I *1107:S I *D sky130_fd_sc_hd__mux2_2 -*I *1222:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1224:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1231:B1_N I *D sky130_fd_sc_hd__a21boi_2 -*I *1232:B I *D sky130_fd_sc_hd__nand3_2 -*I *1106:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1237:B 0.00021831 -2 *1228:A 1.10248e-05 -3 *1107:S 0.000794427 -4 *1222:B1 3.71008e-05 -5 *1224:C1 0 -6 *1231:B1_N 0 -7 *1232:B 0.000614652 -8 *1106:X 8.84252e-05 -9 *85:52 0.00101129 -10 *85:43 0.000306469 -11 *85:19 0.000900009 -12 *85:15 0.000859498 -13 *85:11 0.00279068 -14 *85:7 0.00263896 -15 *1107:S *1214:A 0.000445899 -16 *1107:S *1214:B 4.73286e-05 -17 *1107:S *191:8 4.71964e-05 -18 *1107:S *250:16 7.84584e-05 -19 *1107:S *250:58 0.00014852 -20 *1107:S *254:75 0 -21 *1107:S *259:42 0.00014285 -22 *1107:S *264:9 9.90367e-05 -23 *1107:S *277:8 0 -24 *1107:S *371:8 4.43046e-05 -25 *1222:B1 *1222:A2 5.71472e-05 -26 *1222:B1 *191:8 1.65558e-05 -27 *1222:B1 *259:23 5.52238e-05 -28 *1222:B1 *371:8 3.07555e-05 -29 *1228:A *1228:B 4.6025e-06 -30 *1228:A *254:89 2.06112e-05 -31 *1232:B *1348:CLK 5.03682e-05 -32 *1232:B *191:8 0 -33 *1232:B *256:7 1.24368e-05 -34 *1237:B *371:72 0.000100248 -35 *85:7 *371:56 1.65169e-05 -36 *85:11 *1104:B 4.09651e-05 -37 *85:11 *1236:A 5.59597e-05 -38 *85:11 *150:13 0 -39 *85:11 *371:56 0.000101601 -40 *85:11 *371:59 8.02298e-05 -41 *85:11 *371:72 2.28343e-05 -42 *85:19 *1220:A 0 -43 *85:19 *1227:A2 0 -44 *85:19 *1227:B1 0 -45 *85:19 *1348:CLK 9.71197e-05 -46 *85:19 *187:10 0.000205065 -47 *85:19 *254:89 0 -48 *85:19 *254:93 0 -49 *85:19 *256:7 3.30352e-05 -50 *85:19 *256:15 9.65171e-05 -51 *85:43 *1221:B 5.59013e-05 -52 *85:43 *1351:CLK 0 -53 *85:43 *187:10 0.000101091 -54 *85:52 *1222:A2 6.84283e-05 -55 *85:52 *1223:A_N 2.89114e-05 -56 *85:52 *1224:A1 0 -57 *85:52 *1224:B1 4.92997e-05 -58 *85:52 *188:9 5.33334e-05 -59 *85:52 *258:17 0.000610071 -60 *85:52 *259:23 0.0001807 -61 *1106:A *85:7 6.05161e-06 -62 *1345:D *1237:B 0.000131929 -63 *1345:RESET_B *1237:B 9.27562e-05 -64 *1345:RESET_B *85:11 0.000294837 -65 *1348:D *1232:B 0.000189582 -66 *1354:RESET_B *1107:S 7.88521e-05 -67 *1357:D *1107:S 4.35141e-05 -68 *1357:RESET_B *1107:S 0 -*RES -1 *1106:X *85:7 14.7464 -2 *85:7 *85:11 13.1464 -3 *85:11 *85:15 4.17767 -4 *85:15 *85:19 10.5804 -5 *85:19 *1232:B 27.4071 -6 *85:19 *1231:B1_N 9.3 -7 *85:15 *85:43 6.59821 -8 *85:43 *1224:C1 9.3 -9 *85:43 *85:52 11.1071 -10 *85:52 *1222:B1 15.0679 -11 *85:52 *1107:S 30.2464 -12 *85:11 *1228:A 17.4868 -13 *85:7 *1237:B 18.1571 -*END - -*D_NET *86 0.000788267 -*CONN -*I *1108:A I *D sky130_fd_sc_hd__buf_2 -*I *1107:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1108:A 0.000244315 -2 *1107:X 0.000244315 -3 *1108:A *253:8 0 -4 *1108:A *254:58 9.90431e-05 -5 *1108:A *264:14 0.000135408 -6 *1354:D *1108:A 0 -7 *1365:D *1108:A 6.5185e-05 -*RES -1 *1107:X *1108:A 32.7607 -*END - -*D_NET *87 0.00141487 -*CONN -*I *1110:A I *D sky130_fd_sc_hd__buf_2 -*I *1109:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1110:A 0.000359304 -2 *1109:X 0.000359304 -3 *1110:A *95:8 0.000190459 -4 *423:DIODE *1110:A 0.00013926 -5 *1315:A *1110:A 5.86384e-05 -6 *1315:B *1110:A 8.18233e-06 -7 *1366:RESET_B *1110:A 1.58163e-05 -8 *7:28 *1110:A 0.000143819 -9 *39:16 *1110:A 0.000140088 -*RES -1 *1109:X *1110:A 35.7607 -*END - -*D_NET *88 0.00141485 -*CONN -*I *1112:A I *D sky130_fd_sc_hd__buf_2 -*I *1111:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1112:A 0.000407194 -2 *1111:X 0.000407194 -3 *1112:A *1129:B 0 -4 *1112:A *95:8 5.71472e-05 -5 *1112:A *253:8 0.000131247 -6 *1112:A *253:24 0 -7 *1112:A *254:48 0.000102097 -8 *1112:A *254:58 0.000104833 -9 *1112:A *258:17 7.83659e-05 -10 *1365:D *1112:A 0 -11 *1367:D *1112:A 0.00012677 -*RES -1 *1111:X *1112:A 37.0643 -*END - -*D_NET *89 0.00070155 -*CONN -*I *1114:A I *D sky130_fd_sc_hd__buf_2 -*I *1113:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1114:A 0.000141969 -2 *1113:X 0.000141969 -3 *1114:A *90:13 0.000417611 -*RES -1 *1113:X *1114:A 22.7518 -*END - -*D_NET *90 0.0106161 -*CONN -*I *1230:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1225:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1220:C I *D sky130_fd_sc_hd__and3_2 -*I *1232:A I *D sky130_fd_sc_hd__nand3_2 -*I *1145:A I *D sky130_fd_sc_hd__nor2_2 -*I *1144:A I *D sky130_fd_sc_hd__nand2_2 -*I *1116:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1115:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1230:B 2.28706e-05 -2 *1225:A2 0.000198397 -3 *1220:C 0 -4 *1232:A 1.46627e-05 -5 *1145:A 0.000500594 -6 *1144:A 0.00104995 -7 *1116:A0 0.000114524 -8 *1115:X 0 -9 *90:71 0.000405054 -10 *90:68 0.00053445 -11 *90:52 0.000474654 -12 *90:22 0.00162643 -13 *90:13 0.00137822 -14 *90:4 0.00134287 -15 *1116:A0 *1116:A1 3.04311e-05 -16 *1144:A *1144:B 6.92563e-05 -17 *1144:A *1147:B 2.44318e-05 -18 *1144:A *1177:A1 0.000340721 -19 *1144:A *1177:A2 5.74499e-06 -20 *1144:A *260:5 5.67058e-06 -21 *1144:A *260:7 1.87132e-05 -22 *1145:A *1135:A2 0.000433458 -23 *1145:A *97:12 8.91534e-05 -24 *1225:A2 *1225:A1 8.76234e-05 -25 *1225:A2 *191:8 0 -26 *1225:A2 *254:84 6.87574e-05 -27 *1225:A2 *256:15 4.89174e-05 -28 *1225:A2 *257:32 0.000181796 -29 *1230:B *191:20 2.44318e-05 -30 *90:13 *1113:A0 5.23142e-05 -31 *90:13 *1115:A 4.57092e-05 -32 *90:13 *1340:A 0.000188586 -33 *90:52 *1115:A 1.32056e-05 -34 *90:68 *1232:C 5.52238e-05 -35 *90:68 *191:20 0.000152357 -36 *90:71 *1230:A 4.35048e-05 -37 *90:71 *191:8 0 -38 *90:71 *256:15 6.98762e-05 -39 *1114:A *90:13 0.000417611 -40 *1177:B1 *1144:A 0.000126629 -41 *1363:D *1145:A 2.89016e-05 -42 *1363:RESET_B *1144:A 1.25672e-06 -43 *1363:RESET_B *1145:A 0 -44 *1363:RESET_B *90:22 0 -45 *1364:D *90:13 1.32552e-05 -46 *39:16 *1145:A 0.000189441 -47 *39:16 *90:22 0.000126439 -*RES -1 *1115:X *90:4 9.3 -2 *90:4 *90:13 18.375 -3 *90:13 *1116:A0 11.0679 -4 *90:13 *90:22 6.14286 -5 *90:22 *1144:A 28.3536 -6 *90:22 *1145:A 23.0857 -7 *90:4 *90:52 2.60714 -8 *90:52 *1232:A 9.72857 -9 *90:52 *90:68 6.57143 -10 *90:68 *90:71 8.57143 -11 *90:71 *1220:C 13.8 -12 *90:71 *1225:A2 18.7286 -13 *90:68 *1230:B 9.83571 -*END - -*D_NET *91 0.00255647 -*CONN -*I *1117:A I *D sky130_fd_sc_hd__buf_2 -*I *1116:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1117:A 0.000763791 -2 *1116:X 0.000763791 -3 *1117:A *1145:B 2.30116e-06 -4 *1117:A *1147:B 0.000142856 -5 *1117:A *1147:C 4.87854e-05 -6 *1117:A *1177:A2 0 -7 *1117:A *1368:A 1.92789e-05 -8 *1117:A *254:16 0 -9 clockp[1] *1117:A 3.95183e-05 -10 *383:DIODE *1117:A 0.000125724 -11 *1363:D *1117:A 0.000484518 -12 *6:10 *1117:A 0.000165911 -*RES -1 *1116:X *1117:A 41.4929 -*END - -*D_NET *92 0.0101701 -*CONN -*I *1284:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1306:A I *D sky130_fd_sc_hd__nand2_2 -*I *1295:A I *D sky130_fd_sc_hd__nand3_2 -*I *1310:A I *D sky130_fd_sc_hd__nand3_2 -*I *1313:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1198:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1201:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1118:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1284:A1 0 -2 *1306:A 0.000201606 -3 *1295:A 0 -4 *1310:A 0 -5 *1313:B2 1.5859e-05 -6 *1198:A 0.00013326 -7 *1201:A1 0.0002733 -8 *1118:X 9.70537e-05 -9 *92:67 0.00165459 -10 *92:54 0.00157439 -11 *92:43 0.000386719 -12 *92:30 0.000489359 -13 *92:8 0.00052276 -14 *92:7 0.000421444 -15 *1198:A *1199:B 5.52238e-05 -16 *1198:A *284:33 0.000142053 -17 *1201:A1 *1199:A 4.46186e-06 -18 *1201:A1 *1201:A2 3.93247e-05 -19 *1201:A1 *1201:B1 5.62995e-05 -20 *1201:A1 *1201:B2 6.58195e-05 -21 *1201:A1 *157:13 0 -22 *1201:A1 *276:13 0 -23 *1306:A *1244:A_N 1.95625e-05 -24 *1306:A *1247:B 6.87834e-06 -25 *1306:A *1284:A2 6.73101e-05 -26 *1306:A *1285:A_N 5.52302e-05 -27 *1306:A *1285:C 0.000122091 -28 *1306:A *1306:B 5.33005e-05 -29 *1306:A *146:7 0.000129871 -30 *1313:B2 *1313:B1 5.49995e-05 -31 *1313:B2 *139:10 1.84776e-05 -32 *92:7 *275:30 0.000140933 -33 *92:8 *1199:A 0.000100969 -34 *92:8 *1200:A2 0.000196269 -35 *92:8 *1201:B2 4.98055e-06 -36 *92:30 *1189:A 4.27842e-06 -37 *92:30 *1211:A 0 -38 *92:30 *139:10 0 -39 *92:30 *139:83 8.02652e-05 -40 *92:43 *1189:A 4.34381e-05 -41 *92:43 *1253:C1 0 -42 *92:43 *1310:B 2.2355e-05 -43 *92:43 *139:83 0.000260357 -44 *92:54 *1253:C1 4.56209e-05 -45 *92:54 *1310:B 4.6025e-06 -46 *92:54 *1311:A3 8.6872e-05 -47 *92:67 *1244:A_N 9.59532e-06 -48 *92:67 *1247:B 0.000916588 -49 *92:67 *1253:A1 1.90936e-05 -50 *92:67 *1311:A3 2.28378e-05 -51 *92:67 *164:15 0.000385015 -52 *92:67 *221:24 0 -53 *92:67 *301:13 0 -54 *92:67 *317:24 0.000180142 -55 *92:67 *372:13 0.000366623 -56 *417:DIODE *92:43 7.67559e-05 -57 *1311:B1 *92:54 4.04691e-06 -58 *29:10 *1201:A1 6.98669e-05 -59 *29:10 *92:8 2.37761e-05 -60 *29:10 *92:30 9.04746e-05 -61 *37:20 *1306:A 0.000353086 -*RES -1 *1118:X *92:7 15.1571 -2 *92:7 *92:8 3.46429 -3 *92:8 *1201:A1 19.8 -4 *92:8 *1198:A 16.8 -5 *92:7 *92:30 4.375 -6 *92:30 *1313:B2 14.3357 -7 *92:30 *92:43 5.64286 -8 *92:43 *1310:A 13.8 -9 *92:43 *92:54 2.91071 -10 *92:54 *1295:A 13.8 -11 *92:54 *92:67 11.6878 -12 *92:67 *1306:A 19.8893 -13 *92:67 *1284:A1 13.8 -*END - -*D_NET *93 0.011562 -*CONN -*I *1252:A I *D sky130_fd_sc_hd__nor2_2 -*I *1287:A I *D sky130_fd_sc_hd__nand2_2 -*I *1245:B I *D sky130_fd_sc_hd__and3_2 -*I *1182:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1184:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1243:B I *D sky130_fd_sc_hd__nor2_2 -*I *1256:A I *D sky130_fd_sc_hd__and2_2 -*I *1119:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1252:A 0.000216869 -2 *1287:A 0.000801499 -3 *1245:B 0.00050789 -4 *1182:A1 0 -5 *1184:A 0.000207651 -6 *1243:B 0.000105326 -7 *1256:A 0 -8 *1119:Y 0 -9 *93:55 0.00156141 -10 *93:48 0.000505003 -11 *93:42 0.000153688 -12 *93:30 0.000688009 -13 *93:8 0.000508116 -14 *93:4 0.000765572 -15 *1184:A *1182:B1 0.000315925 -16 *1184:A *1211:B 9.97901e-05 -17 *1184:A *163:57 4.21517e-05 -18 *1184:A *274:20 0.000109423 -19 *1184:A *275:30 2.35827e-05 -20 *1243:B *1284:B1 5.33005e-05 -21 *1243:B *1286:B2 3.46786e-05 -22 *1243:B *162:63 9.98233e-05 -23 *1243:B *212:13 5.1588e-05 -24 *1243:B *275:60 8.6229e-06 -25 *1243:B *275:67 5.33005e-05 -26 *1245:B *275:42 0.000225609 -27 *1252:A *1308:A2 0.000178847 -28 *1252:A *1314:B1 5.30196e-05 -29 *1252:A *156:8 2.24718e-05 -30 *1252:A *198:41 0.000123687 -31 *1252:A *198:58 4.60265e-05 -32 *1252:A *294:23 6.77822e-05 -33 *1287:A *1260:A 7.6644e-05 -34 *1287:A *1287:B 0.000242347 -35 *1287:A *1292:B2 6.74867e-05 -36 *1287:A *1296:C 0.000238466 -37 *1287:A *1297:B 8.03349e-06 -38 *1287:A *1298:A1 6.87574e-05 -39 *1287:A *1298:C1 9.69778e-05 -40 *1287:A *1299:A3 8.46829e-05 -41 *1287:A *1450:TE 0 -42 *1287:A *233:11 6.30482e-05 -43 *1287:A *234:29 0.00010379 -44 *1287:A *240:5 0.000139907 -45 *1287:A *330:21 0.000517573 -46 *93:8 *1256:B 5.33005e-05 -47 *93:8 *1283:C1 0.000116318 -48 *93:8 *1285:B 2.56601e-05 -49 *93:8 *1286:B2 2.8266e-06 -50 *93:8 *137:47 2.28499e-05 -51 *93:8 *162:63 0.000148196 -52 *93:8 *274:34 3.57729e-06 -53 *93:30 *1182:A3 4.43256e-05 -54 *93:30 *1196:A 2.95642e-05 -55 *93:30 *1245:C 1.19582e-05 -56 *93:30 *145:5 0.000193388 -57 *93:30 *145:24 0.00021275 -58 *93:30 *274:20 0.000183023 -59 *93:30 *274:30 9.16074e-05 -60 *93:30 *274:34 3.01245e-06 -61 *93:42 *1182:A2 2.21991e-05 -62 *93:42 *1182:A3 3.97677e-05 -63 *93:42 *145:24 5.52302e-05 -64 *93:55 *1166:A 2.64856e-05 -65 *93:55 *1296:C 0.000190554 -66 *93:55 *140:8 3.24462e-05 -67 *93:55 *211:40 0 -68 *93:55 *234:11 7.9524e-05 -69 *93:55 *234:29 3.04495e-05 -70 *93:55 *236:8 0 -71 *93:55 *271:25 0.000190134 -72 *93:55 *271:31 1.08691e-05 -73 *93:55 *294:18 7.83587e-05 -74 *391:DIODE *1252:A 7.95201e-05 -75 *409:DIODE *1287:A 3.80667e-05 -76 *5:113 *1184:A 9.21418e-06 -77 *30:23 *1245:B 0.000198487 -*RES -1 *1119:Y *93:4 9.3 -2 *93:4 *93:8 10.6786 -3 *93:8 *1256:A 13.8 -4 *93:8 *1243:B 16.5857 -5 *93:4 *93:30 15.7321 -6 *93:30 *1184:A 23.5857 -7 *93:30 *93:42 2.19643 -8 *93:42 *1182:A1 9.3 -9 *93:42 *93:48 0.535714 -10 *93:48 *93:55 11.0536 -11 *93:55 *1245:B 20.4964 -12 *93:55 *1287:A 39.2286 -13 *93:48 *1252:A 23.8 -*END - -*D_NET *94 0.00124298 -*CONN -*I *1121:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1120:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1121:B 0.000316759 -2 *1120:Y 0.000316759 -3 *1121:B *1120:A 0.000338344 -4 *1121:B *252:13 5.71472e-05 -5 *1121:B *253:24 0 -6 *1121:B *262:8 0.000163847 -7 *1364:RESET_B *1121:B 5.01213e-05 -*RES -1 *1120:Y *1121:B 34.6357 -*END - -*D_NET *95 0.00423338 -*CONN -*I *1154:A2 I *D sky130_fd_sc_hd__a31o_2 -*I *1130:A I *D sky130_fd_sc_hd__and3_2 -*I *1131:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1121:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1154:A2 0.00010317 -2 *1130:A 6.37508e-05 -3 *1131:A1 2.17614e-05 -4 *1121:Y 0.00080283 -5 *95:23 0.000268701 -6 *95:8 0.000926372 -7 *1130:A *1139:A3 9.83388e-05 -8 *1130:A *103:7 7.49267e-05 -9 *1131:A1 *1127:B 9.71197e-05 -10 *1131:A1 *101:10 9.71197e-05 -11 *1154:A2 *1154:A3 5.52302e-05 -12 *1154:A2 *103:7 2.58706e-05 -13 *95:8 *1111:A0 0.000132565 -14 *95:8 *1111:A1 1.07719e-05 -15 *95:8 *1127:A 0.000100823 -16 *95:8 *1131:B1 2.38651e-06 -17 *95:8 *1138:B 7.32955e-05 -18 *95:8 *100:6 5.7988e-05 -19 *95:8 *100:17 2.16719e-05 -20 *95:8 *112:9 0 -21 *95:8 *258:17 0.00014285 -22 *95:23 *1131:B1 2.89791e-06 -23 *95:23 *1132:B 9.25014e-06 -24 *95:23 *100:17 0.000118195 -25 *95:23 *105:8 7.6644e-05 -26 *377:DIODE *95:8 3.82357e-05 -27 *1109:S *95:8 0.000140933 -28 *1110:A *95:8 0.000190459 -29 *1111:S *95:8 0.000135028 -30 *1112:A *95:8 5.71472e-05 -31 *39:16 *95:8 0.000287051 -*RES -1 *1121:Y *95:8 30.4786 -2 *95:8 *1131:A1 14.7464 -3 *95:8 *95:23 6.80357 -4 *95:23 *1130:A 11.0857 -5 *95:23 *1154:A2 10.6571 -*END - -*D_NET *96 0.00407243 -*CONN -*I *1135:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1126:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1141:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1177:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1122:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1135:A1 0 -2 *1126:A1 0.000104285 -3 *1141:A 6.87702e-05 -4 *1177:A1 0.00031719 -5 *1122:X 0.000112956 -6 *96:27 0.000392396 -7 *96:7 0.00053653 -8 *96:5 0.000112956 -9 *1126:A1 *1126:B1 1.97695e-05 -10 *1126:A1 *1141:B 0.000396227 -11 *1126:A1 *254:34 0.000427456 -12 *1141:A *1141:B 9.86146e-05 -13 *1141:A *254:34 0.000185367 -14 *1177:A1 *1177:A2 0.000102207 -15 *1177:A1 *1368:A 0 -16 *1177:A1 *254:16 0 -17 *1177:A1 *260:7 0.000164097 -18 *96:5 *1135:A2 0.000399176 -19 *96:5 *1135:B1 0.000270051 -20 *96:5 *1138:A 2.36643e-05 -21 *96:27 *1146:A 0 -22 *96:27 *254:16 0 -23 *1144:A *1177:A1 0.000340721 -24 *1363:D *1177:A1 0 -*RES -1 *1122:X *96:5 13.5321 -2 *96:5 *96:7 4.5 -3 *96:7 *1177:A1 22.2286 -4 *96:7 *96:27 8.375 -5 *96:27 *1141:A 11.4964 -6 *96:27 *1126:A1 13.9607 -7 *96:5 *1135:A1 9.3 -*END - -*D_NET *97 0.00439319 -*CONN -*I *1126:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *1141:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1135:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1123:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1126:A2 0 -2 *1141:B 0.000173736 -3 *1135:A2 0.000141368 -4 *1123:X 0.000541322 -5 *97:25 0.000347055 -6 *97:12 0.000856009 -7 *97:12 *1120:A 0.000144773 -8 *97:12 *1122:B 4.49953e-05 -9 *97:12 *1340:B 0.000320709 -10 *97:12 *252:13 0.000156885 -11 *97:25 *1122:B 9.69119e-06 -12 *97:25 *1126:C1 0 -13 *376:DIODE *1141:B 7.8195e-05 -14 *1126:A1 *1141:B 0.000396227 -15 *1141:A *1141:B 9.86146e-05 -16 *1145:A *1135:A2 0.000433458 -17 *1145:A *97:12 8.91534e-05 -18 *1363:RESET_B *97:12 7.67762e-05 -19 *39:16 *97:12 6.8646e-06 -20 *39:16 *97:25 7.81834e-05 -21 *84:26 *97:25 0 -22 *96:5 *1135:A2 0.000399176 -*RES -1 *1123:X *97:12 25.6571 -2 *97:12 *1135:A2 18.8714 -3 *97:12 *97:25 7.66071 -4 *97:25 *1141:B 13.9429 -5 *97:25 *1126:A2 9.3 -*END - -*D_NET *98 0.00210639 -*CONN -*I *1137:B I *D sky130_fd_sc_hd__nor2_2 -*I *1126:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1124:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1137:B 0.00012836 -2 *1126:B1 9.51327e-05 -3 *1124:X 0.000182376 -4 *98:5 0.000405869 -5 *1126:B1 *254:34 0.000182694 -6 *1126:B1 *262:32 0 -7 *1137:B *1137:A 1.36591e-05 -8 *1137:B *1138:B 0.000195562 -9 *1137:B *254:45 0.000389868 -10 *1137:B *258:28 0 -11 *98:5 *1136:A 0.000232399 -12 *98:5 *1136:B 4.38128e-05 -13 *98:5 *254:34 4.18895e-05 -14 *98:5 *262:32 7.19536e-05 -15 *1126:A1 *1126:B1 1.97695e-05 -16 *84:26 *1137:B 0.000103041 -*RES -1 *1124:X *98:5 13.5321 -2 *98:5 *1126:B1 11.4964 -3 *98:5 *1137:B 23.5857 -*END - -*D_NET *99 0.0032329 -*CONN -*I *1126:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1135:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1125:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1126:C1 0.000101846 -2 *1135:B1 0.000212615 -3 *1125:X 0.000968881 -4 *99:5 0.00128334 -5 *1135:B1 *1138:A 5.68722e-05 -6 *99:5 *1122:A 0.000100222 -7 *99:5 *1125:B 7.15962e-05 -8 *99:5 *261:7 1.92789e-05 -9 *84:26 *1126:C1 0.000148196 -10 *96:5 *1135:B1 0.000270051 -11 *97:25 *1126:C1 0 -*RES -1 *1125:X *99:5 20.925 -2 *99:5 *1135:B1 13.8536 -3 *99:5 *1126:C1 20.2464 -*END - -*D_NET *100 0.00305818 -*CONN -*I *1130:B I *D sky130_fd_sc_hd__and3_2 -*I *1154:A3 I *D sky130_fd_sc_hd__a31o_2 -*I *1131:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1126:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1130:B 0.000239601 -2 *1154:A3 1.40355e-05 -3 *1131:A2 4.62044e-05 -4 *1126:X 0.000283349 -5 *100:17 0.000373976 -6 *100:6 0.000449893 -7 *1130:B *1130:C 1.03037e-05 -8 *1130:B *1132:B 3.2293e-05 -9 *1130:B *1154:A1 3.66495e-05 -10 *1130:B *103:7 0.000120057 -11 *1130:B *128:6 3.34295e-05 -12 *1131:A2 *1127:B 6.37237e-05 -13 *1131:A2 *1153:B 2.28598e-05 -14 *1154:A3 *103:7 2.89114e-05 -15 *100:6 *1127:A 9.91086e-05 -16 *100:6 *258:28 2.78242e-05 -17 *100:6 *263:8 0.000124019 -18 *100:6 *263:17 0.000161926 -19 *100:17 *1132:B 1.08359e-05 -20 *100:17 *1154:A1 9.69119e-06 -21 *100:17 *1154:B1 0 -22 *100:17 *101:10 0 -23 *100:17 *263:17 5.41794e-05 -24 *378:DIODE *1131:A2 9.60939e-05 -25 *1109:S *100:6 0 -26 *1154:A2 *1154:A3 5.52302e-05 -27 *1366:RESET_B *100:6 1.03904e-05 -28 *39:16 *100:6 0.000455742 -29 *84:26 *100:6 0 -30 *95:8 *100:6 5.7988e-05 -31 *95:8 *100:17 2.16719e-05 -32 *95:23 *100:17 0.000118195 -*RES -1 *1126:X *100:6 22.425 -2 *100:6 *1131:A2 15.175 -3 *100:6 *100:17 2.55357 -4 *100:17 *1154:A3 14.3357 -5 *100:17 *1130:B 17.7286 -*END - -*D_NET *101 0.00276692 -*CONN -*I *1152:A I *D sky130_fd_sc_hd__inv_2 -*I *1129:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1127:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1152:A 0.000305842 -2 *1129:A_N 0.000188041 -3 *1127:Y 0.000364438 -4 *101:10 0.000858321 -5 *1129:A_N *103:7 4.87953e-05 -6 *1152:A *1129:B 3.34366e-05 -7 *1152:A *1154:A1 1.00073e-05 -8 *1152:A *1160:A2 0 -9 *1152:A *1160:B1 3.51442e-05 -10 *1152:A *1160:C1 8.47274e-05 -11 *1152:A *135:8 0 -12 *101:10 *1127:B 0.000119869 -13 *101:10 *1129:B 0.000126446 -14 *101:10 *1131:B1 5.49544e-05 -15 *101:10 *1153:B 0.000274294 -16 *101:10 *1154:B1 0.000110535 -17 *101:10 *105:8 5.49489e-05 -18 *1131:A1 *101:10 9.71197e-05 -19 *100:17 *101:10 0 -*RES -1 *1127:Y *101:10 22.1571 -2 *101:10 *1129:A_N 16.8179 -3 *101:10 *1152:A 19.4607 -*END - -*D_NET *102 0.000868459 -*CONN -*I *1129:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1128:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1129:B 0.000314884 -2 *1128:Y 0.000314884 -3 *1129:B *1153:A 0 -4 *1129:B *248:5 7.88091e-05 -5 *1129:B *254:58 0 -6 *1129:B *258:35 0 -7 *1112:A *1129:B 0 -8 *1152:A *1129:B 3.34366e-05 -9 *101:10 *1129:B 0.000126446 -*RES -1 *1128:Y *1129:B 33.6357 -*END - -*D_NET *103 0.00143163 -*CONN -*I *1131:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1130:C I *D sky130_fd_sc_hd__and3_2 -*I *1129:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1131:B1 0.000122626 -2 *1130:C 1.53757e-05 -3 *1129:Y 0.000310347 -4 *103:7 0.000448349 -5 *1130:C *1139:A3 5.49489e-05 -6 *1131:B1 *1127:A 5.37741e-06 -7 *1131:B1 *1127:B 5.65955e-05 -8 *1131:B1 *1132:B 5.79582e-06 -9 *1131:B1 *105:8 2.16315e-05 -10 *1131:B1 *112:9 0 -11 *103:7 *1139:A2 1.17091e-05 -12 *103:7 *1139:A3 9.77264e-06 -13 *1129:A_N *103:7 4.87953e-05 -14 *1130:A *103:7 7.49267e-05 -15 *1130:B *1130:C 1.03037e-05 -16 *1130:B *103:7 0.000120057 -17 *1154:A2 *103:7 2.58706e-05 -18 *1154:A3 *103:7 2.89114e-05 -19 *95:8 *1131:B1 2.38651e-06 -20 *95:23 *1131:B1 2.89791e-06 -21 *101:10 *1131:B1 5.49544e-05 -*RES -1 *1129:Y *103:7 15.9071 -2 *103:7 *1130:C 9.83571 -3 *103:7 *1131:B1 20.7821 -*END - -*D_NET *104 0.00150727 -*CONN -*I *1132:A I *D sky130_fd_sc_hd__nor2_2 -*I *1139:A2 I *D sky130_fd_sc_hd__o32a_2 -*I *1130:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1132:A 0.000150998 -2 *1139:A2 0.000222759 -3 *1130:X 0 -4 *104:4 0.000373758 -5 *1132:A *106:5 5.20751e-05 -6 *1139:A2 *1139:A1 5.52238e-05 -7 *1139:A2 *1139:A3 0.00030319 -8 *1139:A2 *112:9 0.000221598 -9 *1160:A1 *1132:A 0.000115958 -10 *1179:A1 *1132:A 0 -11 *103:7 *1139:A2 1.17091e-05 -*RES -1 *1130:X *104:4 9.3 -2 *104:4 *1139:A2 15.8 -3 *104:4 *1132:A 21.1929 -*END - -*D_NET *105 0.00304569 -*CONN -*I *1132:B I *D sky130_fd_sc_hd__nor2_2 -*I *1139:A3 I *D sky130_fd_sc_hd__o32a_2 -*I *1131:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1132:B 0.000272477 -2 *1139:A3 0.000663789 -3 *1131:Y 8.14957e-05 -4 *105:8 0.00101776 -5 *1132:B *1180:D 0 -6 *1132:B *112:9 0 -7 *1132:B *128:6 0.000193118 -8 *1139:A3 *429:DIODE 1.24368e-05 -9 *1139:A3 *1139:A1 2.44318e-05 -10 *1139:A3 *1140:A 1.3701e-05 -11 *1130:A *1139:A3 9.83388e-05 -12 *1130:B *1132:B 3.2293e-05 -13 *1130:C *1139:A3 5.49489e-05 -14 *1131:B1 *1132:B 5.79582e-06 -15 *1131:B1 *105:8 2.16315e-05 -16 *1139:A2 *1139:A3 0.00030319 -17 *1160:A1 *1132:B 8.88263e-05 -18 *1179:A1 *1132:B 0 -19 *95:23 *1132:B 9.25014e-06 -20 *95:23 *105:8 7.6644e-05 -21 *100:17 *1132:B 1.08359e-05 -22 *101:10 *105:8 5.49489e-05 -23 *103:7 *1139:A3 9.77264e-06 -*RES -1 *1131:Y *105:8 15.3714 -2 *105:8 *1139:A3 23.1214 -3 *105:8 *1132:B 18.9429 -*END - -*D_NET *106 0.00311964 -*CONN -*I *1179:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1150:A2 I *D sky130_fd_sc_hd__o2111a_2 -*I *1157:A2 I *D sky130_fd_sc_hd__o21ba_2 -*I *1132:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1179:A2 5.37514e-05 -2 *1150:A2 0 -3 *1157:A2 0.00013078 -4 *1132:Y 0.000291323 -5 *106:13 0.000627147 -6 *106:5 0.000841442 -7 *1157:A2 *1150:D1 5.71472e-05 -8 *1157:A2 *1157:B1_N 0 -9 *1157:A2 *1161:A3 0 -10 *1179:A2 *1161:B1 1.58163e-05 -11 *1179:A2 *1179:C1 1.5471e-05 -12 *1179:A2 *134:5 3.69047e-06 -13 *106:5 *134:5 2.64043e-05 -14 *106:13 *1150:D1 0.000172309 -15 *106:13 *1161:A1 7.6644e-05 -16 *106:13 *1161:A2 8.20693e-05 -17 *106:13 *1161:A3 0.000175519 -18 *106:13 *1161:B1 2.07382e-05 -19 *106:13 *1179:C1 0.000302336 -20 *374:DIODE *1157:A2 4.46186e-06 -21 *1132:A *106:5 5.20751e-05 -22 *1160:A1 *106:5 4.55099e-05 -23 *1179:A1 *106:5 6.26774e-06 -24 *9:31 *1157:A2 9.44879e-05 -25 *10:21 *106:13 2.42516e-05 -*RES -1 *1132:Y *106:5 13.1214 -2 *106:5 *106:13 17.6607 -3 *106:13 *1157:A2 21.0857 -4 *106:13 *1150:A2 9.3 -5 *106:5 *1179:A2 10.6929 -*END - -*D_NET *107 0.00114449 -*CONN -*I *1139:A1 I *D sky130_fd_sc_hd__o32a_2 -*I *1133:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1139:A1 0.000348256 -2 *1133:Y 0.000348256 -3 *1139:A1 *429:DIODE 3.30237e-05 -4 *1139:A1 *1139:B2 1.52978e-05 -5 *1139:A1 *1140:B 9.71197e-05 -6 *1139:A1 *1150:D1 0 -7 *1139:A1 *1161:B1 5.33005e-05 -8 *1139:A1 *1179:C1 8.25843e-06 -9 *1139:A1 *112:9 8.46829e-05 -10 *1139:A1 *113:10 7.6644e-05 -11 *1139:A2 *1139:A1 5.52238e-05 -12 *1139:A3 *1139:A1 2.44318e-05 -*RES -1 *1133:Y *1139:A1 33.6 -*END - -*D_NET *108 0.00104028 -*CONN -*I *1139:B1 I *D sky130_fd_sc_hd__o32a_2 -*I *1140:A I *D sky130_fd_sc_hd__nand2_2 -*I *1134:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1139:B1 0 -2 *1140:A 7.64291e-05 -3 *1134:Y 0.000222623 -4 *108:8 0.000299053 -5 *1140:A *429:DIODE 0.000230475 -6 *1140:A *1140:B 6.39116e-05 -7 *1140:A *114:8 1.32552e-05 -8 *108:8 *429:DIODE 3.02733e-05 -9 *108:8 *1139:B2 1.21955e-05 -10 *108:8 *1150:D1 0 -11 *108:8 *254:8 7.83587e-05 -12 *1139:A3 *1140:A 1.3701e-05 -13 *11:10 *108:8 0 -*RES -1 *1134:Y *108:8 22.3714 -2 *108:8 *1140:A 12.3357 -3 *108:8 *1139:B1 9.3 -*END - -*D_NET *109 0.000891688 -*CONN -*I *1138:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1135:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1138:A 0.00023675 -2 *1135:Y 0.00023675 -3 *1135:B1 *1138:A 5.68722e-05 -4 *39:16 *1138:A 0.000337651 -5 *96:5 *1138:A 2.36643e-05 -*RES -1 *1135:Y *1138:A 32.9214 -*END - -*D_NET *110 0.000773291 -*CONN -*I *1137:A I *D sky130_fd_sc_hd__nor2_2 -*I *1136:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1137:A 0.000114335 -2 *1136:Y 0.000114335 -3 *1137:A *1111:A1 7.83587e-05 -4 *1137:A *253:24 0.000137431 -5 *1137:A *254:45 0.000315172 -6 *1137:B *1137:A 1.36591e-05 -*RES -1 *1136:Y *1137:A 31.975 -*END - -*D_NET *111 0.00104506 -*CONN -*I *1138:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1137:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1138:B 0.000186097 -2 *1137:Y 0.000186097 -3 *377:DIODE *1138:B 0.000188586 -4 *1109:S *1138:B 0.00014285 -5 *1111:S *1138:B 4.08637e-05 -6 *1137:B *1138:B 0.000195562 -7 *84:26 *1138:B 3.17148e-05 -8 *95:8 *1138:B 7.32955e-05 -*RES -1 *1137:Y *1138:B 33.1536 -*END - -*D_NET *112 0.00324831 -*CONN -*I *1139:B2 I *D sky130_fd_sc_hd__o32a_2 -*I *1140:B I *D sky130_fd_sc_hd__nand2_2 -*I *1138:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1139:B2 8.79012e-05 -2 *1140:B 0.000100497 -3 *1138:Y 0.000686243 -4 *112:9 0.000874641 -5 *1140:B *429:DIODE 7.49387e-06 -6 *1140:B *113:10 0.000139907 -7 *1140:B *114:8 0.00010096 -8 *112:9 *1127:A 2.42082e-05 -9 *112:9 *1179:B1 0.000171283 -10 *112:9 *1180:B 0 -11 *112:9 *113:10 0.000178847 -12 *112:9 *284:45 0 -13 clockp[1] *112:9 0 -14 *376:DIODE *112:9 0.000204693 -15 *378:DIODE *112:9 5.91967e-05 -16 *1131:B1 *112:9 0 -17 *1132:B *112:9 0 -18 *1139:A1 *1139:B2 1.52978e-05 -19 *1139:A1 *1140:B 9.71197e-05 -20 *1139:A1 *112:9 8.46829e-05 -21 *1139:A2 *112:9 0.000221598 -22 *1140:A *1140:B 6.39116e-05 -23 *1179:A1 *112:9 8.28006e-05 -24 *1366:D *112:9 0 -25 *11:10 *1139:B2 3.48282e-05 -26 *95:8 *112:9 0 -27 *108:8 *1139:B2 1.21955e-05 -*RES -1 *1138:Y *112:9 33.4964 -2 *112:9 *1140:B 12.6214 -3 *112:9 *1139:B2 19.9964 -*END - -*D_NET *113 0.00298843 -*CONN -*I *1179:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1157:B1_N I *D sky130_fd_sc_hd__o21ba_2 -*I *1150:B1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1139:X O *D sky130_fd_sc_hd__o32a_2 -*CAP -1 *1179:B1 0.000198959 -2 *1157:B1_N 0.000125096 -3 *1150:B1 1.37855e-05 -4 *1139:X 0 -5 *113:10 0.000563685 -6 *113:4 0.000623762 -7 *1150:B1 *1150:D1 9.90367e-05 -8 *1157:B1_N *1161:A3 9.34787e-05 -9 *1179:B1 *1180:C 5.83304e-05 -10 *1179:B1 *284:45 4.94362e-05 -11 *113:10 *1150:C1 0.000103267 -12 *113:10 *1161:A2 0 -13 *113:10 *1161:A3 0.000174812 -14 *113:10 *114:8 0.000173797 -15 *1139:A1 *113:10 7.6644e-05 -16 *1140:B *113:10 0.000139907 -17 *1157:A2 *1157:B1_N 0 -18 *1179:A1 *1179:B1 4.33438e-05 -19 *9:20 *1157:B1_N 0 -20 *9:20 *113:10 0 -21 *9:31 *1157:B1_N 0 -22 *10:21 *1150:B1 0.00010096 -23 *11:10 *113:10 0 -24 *112:9 *1179:B1 0.000171283 -25 *112:9 *113:10 0.000178847 -*RES -1 *1139:X *113:4 9.3 -2 *113:4 *113:10 12.1071 -3 *113:10 *1150:B1 14.7464 -4 *113:10 *1157:B1_N 16.3536 -5 *113:4 *1179:B1 22.0143 -*END - -*D_NET *114 0.00173707 -*CONN -*I *1150:C1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1179:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1140:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1150:C1 5.24516e-05 -2 *1179:C1 0.000197713 -3 *1140:Y 0.000184195 -4 *114:8 0.000434359 -5 *1179:C1 *1161:A2 5.43956e-05 -6 *1179:C1 *1161:B1 9.66113e-05 -7 *114:8 *1150:D1 0 -8 *1139:A1 *1179:C1 8.25843e-06 -9 *1140:A *114:8 1.32552e-05 -10 *1140:B *114:8 0.00010096 -11 *1179:A2 *1179:C1 1.5471e-05 -12 *9:20 *1150:C1 0 -13 *9:20 *114:8 0 -14 *106:13 *1179:C1 0.000302336 -15 *113:10 *1150:C1 0.000103267 -16 *113:10 *114:8 0.000173797 -*RES -1 *1140:Y *114:8 17.4071 -2 *114:8 *1179:C1 18.4607 -3 *114:8 *1150:C1 15.1393 -*END - -*D_NET *115 0.00195768 -*CONN -*I *1143:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1148:B I *D sky130_fd_sc_hd__and2_2 -*I *1141:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1143:A_N 0 -2 *1148:B 0.000327736 -3 *1141:Y 0.00019152 -4 *115:5 0.000519255 -5 *1148:B *1143:B 0 -6 *1148:B *1149:A1 0.00019172 -7 *1148:B *1178:A_N 7.50773e-05 -8 *1148:B *117:10 0.000208278 -9 *1148:B *122:8 9.31245e-05 -10 *1148:B *254:8 4.81374e-05 -11 *1148:B *254:13 1.46717e-05 -12 *115:5 *117:10 1.33343e-05 -13 *115:5 *254:13 0.000132889 -14 *1142:A *1148:B 0 -15 *7:19 *1148:B 0 -16 *7:28 *1148:B 0.000141935 -*RES -1 *1141:Y *115:5 12.7107 -2 *115:5 *1148:B 27.3 -3 *115:5 *1143:A_N 9.3 -*END - -*D_NET *116 0.000186505 -*CONN -*I *1143:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1142:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1143:B 9.32525e-05 -2 *1142:Y 9.32525e-05 -3 *1148:B *1143:B 0 -*RES -1 *1142:Y *1143:B 29.2429 -*END - -*D_NET *117 0.00128347 -*CONN -*I *1149:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:B I *D sky130_fd_sc_hd__and4b_2 -*I *1143:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1149:A1 0.000115127 -2 *1178:B 6.71205e-05 -3 *1143:Y 0.00013178 -4 *117:10 0.000314027 -5 *1149:A1 *1149:A2 5.33005e-05 -6 *1149:A1 *254:13 0.000107189 -7 *117:10 *254:13 8.15939e-05 -8 *1148:B *1149:A1 0.00019172 -9 *1148:B *117:10 0.000208278 -10 *115:5 *117:10 1.33343e-05 -*RES -1 *1143:Y *117:10 17.1214 -2 *117:10 *1178:B 14.7464 -3 *117:10 *1149:A1 17.3 -*END - -*D_NET *118 0.000843077 -*CONN -*I *1147:B I *D sky130_fd_sc_hd__nand3b_2 -*I *1144:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1147:B 0.000309321 -2 *1144:Y 0.000309321 -3 *1147:B *1144:B 5.71472e-05 -4 *1147:B *1178:D 0 -5 *1117:A *1147:B 0.000142856 -6 *1144:A *1147:B 2.44318e-05 -*RES -1 *1144:Y *1147:B 32.6714 -*END - -*D_NET *119 0.00179879 -*CONN -*I *1146:A I *D sky130_fd_sc_hd__inv_2 -*I *1177:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1145:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1146:A 0.00018805 -2 *1177:A2 0.000155842 -3 *1145:Y 0 -4 *119:5 0.000343893 -5 *1146:A *254:16 0.000128154 -6 *1177:A2 *1144:B 0.000226507 -7 *1177:A2 *1145:B 0.00012401 -8 *1177:A2 *254:16 0.000195555 -9 *1177:A2 *260:7 4.18895e-05 -10 clockp[1] *1146:A 0.0001746 -11 clockp[1] *1177:A2 2.82057e-05 -12 *376:DIODE *1146:A 0 -13 *377:DIODE *1146:A 5.52302e-05 -14 *1117:A *1177:A2 0 -15 *1144:A *1177:A2 5.74499e-06 -16 *1177:A1 *1177:A2 0.000102207 -17 *1177:B1 *1177:A2 2.89016e-05 -18 *96:27 *1146:A 0 -*RES -1 *1145:Y *119:5 13.8 -2 *119:5 *1177:A2 19.3714 -3 *119:5 *1146:A 18.7107 -*END - -*D_NET *120 0.00136081 -*CONN -*I *1147:C I *D sky130_fd_sc_hd__nand3b_2 -*I *1146:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1147:C 0.000320952 -2 *1146:Y 0.000320952 -3 clockp[1] *1147:C 0.000164969 -4 *377:DIODE *1147:C 0.000344013 -5 *1117:A *1147:C 4.87854e-05 -6 *6:8 *1147:C 0.000161136 -*RES -1 *1146:Y *1147:C 34.8143 -*END - -*D_NET *121 0.00172253 -*CONN -*I *1149:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:C I *D sky130_fd_sc_hd__and4b_2 -*I *1147:Y O *D sky130_fd_sc_hd__nand3b_2 -*CAP -1 *1149:A2 0.000248373 -2 *1178:C 0 -3 *1147:Y 0.00010879 -4 *121:6 0.000357163 -5 *1149:A2 *1178:D 3.51442e-05 -6 *1149:A2 *254:8 5.66943e-05 -7 *1149:A2 *254:13 0.000266281 -8 *121:6 *1178:D 0.000266243 -9 *121:6 *254:13 0.000172681 -10 *375:DIODE *1149:A2 0.000157855 -11 *1149:A1 *1149:A2 5.33005e-05 -*RES -1 *1147:Y *121:6 17.2643 -2 *121:6 *1178:C 13.8 -3 *121:6 *1149:A2 20.0679 -*END - -*D_NET *122 0.0010358 -*CONN -*I *1149:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1178:A_N I *D sky130_fd_sc_hd__and4b_2 -*I *1148:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1149:B1 0 -2 *1178:A_N 0.000153992 -3 *1148:X 0.000115881 -4 *122:8 0.000269872 -5 *1142:A *1178:A_N 6.98971e-05 -6 *1148:B *1178:A_N 7.50773e-05 -7 *1148:B *122:8 9.31245e-05 -8 *7:19 *1178:A_N 0.000140107 -9 *7:19 *122:8 0.00011785 -*RES -1 *1148:X *122:8 16.3893 -2 *122:8 *1178:A_N 17.7107 -3 *122:8 *1149:B1 13.8 -*END - -*D_NET *123 0.00248392 -*CONN -*I *1150:D1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1149:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1150:D1 0.000665683 -2 *1149:X 0.000665683 -3 *1150:D1 *254:8 0 -4 *1139:A1 *1150:D1 0 -5 *1150:B1 *1150:D1 9.90367e-05 -6 *1157:A2 *1150:D1 5.71472e-05 -7 *7:19 *1150:D1 0.000172726 -8 *9:10 *1150:D1 0.000257573 -9 *9:20 *1150:D1 6.26653e-05 -10 *9:31 *1150:D1 7.79781e-06 -11 *10:10 *1150:D1 0.000162735 -12 *10:21 *1150:D1 0.000160563 -13 *106:13 *1150:D1 0.000172309 -14 *108:8 *1150:D1 0 -15 *114:8 *1150:D1 0 -*RES -1 *1149:X *1150:D1 43.3857 -*END - -*D_NET *124 0.000465337 -*CONN -*I *1161:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1150:X O *D sky130_fd_sc_hd__o2111a_2 -*CAP -1 *1161:A1 9.35781e-05 -2 *1150:X 9.35781e-05 -3 *1161:A1 *1161:A2 0.000123288 -4 *1161:A1 *1161:A3 2.49484e-05 -5 *10:21 *1161:A1 5.33005e-05 -6 *106:13 *1161:A1 7.6644e-05 -*RES -1 *1150:X *1161:A1 29.7786 -*END - -*D_NET *125 0.000955052 -*CONN -*I *1155:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1158:A I *D sky130_fd_sc_hd__nand2_2 -*I *1151:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1155:A 0 -2 *1158:A 0.000117155 -3 *1151:X 0.000109093 -4 *125:5 0.000226248 -5 *1158:A *1160:B1 7.27028e-05 -6 *1158:A *135:8 0.000227021 -7 *1158:A *284:35 5.33433e-05 -8 *125:5 *284:35 0.000149489 -9 *1337:A *1158:A 0 -*RES -1 *1151:X *125:5 11.8893 -2 *125:5 *1158:A 22.1036 -3 *125:5 *1155:A 9.3 -*END - -*D_NET *126 0.00106423 -*CONN -*I *1154:A1 I *D sky130_fd_sc_hd__a31o_2 -*I *1152:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1154:A1 0.000421278 -2 *1152:Y 0.000421278 -3 *1154:A1 *1154:B1 1.99099e-05 -4 *1154:A1 *1160:A2 1.81539e-05 -5 *1154:A1 *1160:C1 0.000105163 -6 *1154:A1 *135:8 9.25014e-06 -7 *1130:B *1154:A1 3.66495e-05 -8 *1152:A *1154:A1 1.00073e-05 -9 *1160:A1 *1154:A1 1.2847e-05 -10 *100:17 *1154:A1 9.69119e-06 -*RES -1 *1152:Y *1154:A1 33.975 -*END - -*D_NET *127 0.000313918 -*CONN -*I *1154:B1 I *D sky130_fd_sc_hd__a31o_2 -*I *1153:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1154:B1 9.17362e-05 -2 *1153:X 9.17362e-05 -3 *1154:A1 *1154:B1 1.99099e-05 -4 *100:17 *1154:B1 0 -5 *101:10 *1154:B1 0.000110535 -*RES -1 *1153:X *1154:B1 29.475 -*END - -*D_NET *128 0.00136109 -*CONN -*I *1158:B I *D sky130_fd_sc_hd__nand2_2 -*I *1155:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1154:X O *D sky130_fd_sc_hd__a31o_2 -*CAP -1 *1158:B 0.000125825 -2 *1155:B 1.40355e-05 -3 *1154:X 0.000184921 -4 *128:6 0.000324782 -5 *1155:B *1160:A2 5.52302e-05 -6 *1155:B *284:35 2.89114e-05 -7 *1158:B *428:DIODE 9.25014e-06 -8 *1158:B *1180:D 0 -9 *1158:B *135:8 8.05006e-05 -10 *1158:B *284:66 0.000176234 -11 *1158:B *288:8 2.89114e-05 -12 *128:6 *1160:A2 6.74911e-05 -13 *128:6 *1180:D 0 -14 *128:6 *135:8 3.84478e-05 -15 *1130:B *128:6 3.34295e-05 -16 *1132:B *128:6 0.000193118 -*RES -1 *1154:X *128:6 18.175 -2 *128:6 *1155:B 14.3357 -3 *128:6 *1158:B 17.1929 -*END - -*D_NET *129 0.00210896 -*CONN -*I *1160:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1156:B I *D sky130_fd_sc_hd__and2_2 -*I *1155:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1160:A2 0.00026926 -2 *1156:B 0.000173561 -3 *1155:Y 0 -4 *129:4 0.000442821 -5 *1156:B *284:45 0.000185764 -6 *1160:A2 *1160:C1 3.99614e-06 -7 *1160:A2 *135:8 0.000126446 -8 *1160:A2 *284:35 2.42547e-05 -9 *1160:A2 *284:45 5.5033e-05 -10 *382:DIODE *1156:B 9.58181e-05 -11 *1152:A *1160:A2 0 -12 *1154:A1 *1160:A2 1.81539e-05 -13 *1155:B *1160:A2 5.52302e-05 -14 *1156:A *1156:B 0.00018372 -15 *1160:A1 *1160:A2 0.000125638 -16 *10:21 *1156:B 0.000281769 -17 *128:6 *1160:A2 6.74911e-05 -*RES -1 *1155:Y *129:4 9.3 -2 *129:4 *1156:B 14.7821 -3 *129:4 *1160:A2 24.3179 -*END - -*D_NET *130 0.00147593 -*CONN -*I *1180:A_N I *D sky130_fd_sc_hd__nand4b_2 -*I *1161:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1156:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1180:A_N 5.61291e-05 -2 *1161:A2 0.000148751 -3 *1156:X 0.000159528 -4 *130:7 0.000364408 -5 *1161:A2 *1161:A3 1.10866e-05 -6 *1161:A2 *1161:B1 0.000102865 -7 *1180:A_N *1161:A3 6.07202e-05 -8 clockp[1] *1180:A_N 3.77051e-05 -9 *1161:A1 *1161:A2 0.000123288 -10 *1179:C1 *1161:A2 5.43956e-05 -11 *10:21 *130:7 3.88059e-05 -12 *11:10 *1161:A2 0.000228479 -13 *11:10 *1180:A_N 7.69776e-06 -14 *106:13 *1161:A2 8.20693e-05 -15 *113:10 *1161:A2 0 -*RES -1 *1156:X *130:7 15.5679 -2 *130:7 *1161:A2 18.8893 -3 *130:7 *1180:A_N 15.4429 -*END - -*D_NET *131 0.00115149 -*CONN -*I *1161:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1157:X O *D sky130_fd_sc_hd__o21ba_2 -*CAP -1 *1161:A3 0.000305465 -2 *1157:X 0.000305465 -3 clockp[1] *1161:A3 0 -4 *374:DIODE *1161:A3 0 -5 *1157:A2 *1161:A3 0 -6 *1157:B1_N *1161:A3 9.34787e-05 -7 *1161:A1 *1161:A3 2.49484e-05 -8 *1161:A2 *1161:A3 1.10866e-05 -9 *1180:A_N *1161:A3 6.07202e-05 -10 *106:13 *1161:A3 0.000175519 -11 *113:10 *1161:A3 0.000174812 -*RES -1 *1157:X *1161:A3 34.5464 -*END - -*D_NET *132 0.000747378 -*CONN -*I *1160:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1158:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1160:B1 0.000293099 -2 *1158:Y 0.000293099 -3 *1160:B1 *135:8 0 -4 *1160:B1 *135:13 5.33334e-05 -5 *1152:A *1160:B1 3.51442e-05 -6 *1158:A *1160:B1 7.27028e-05 -*RES -1 *1158:Y *1160:B1 33.225 -*END - -*D_NET *133 0.000600608 -*CONN -*I *1160:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1159:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1160:C1 0.00020336 -2 *1159:Y 0.00020336 -3 *1152:A *1160:C1 8.47274e-05 -4 *1154:A1 *1160:C1 0.000105163 -5 *1160:A2 *1160:C1 3.99614e-06 -*RES -1 *1159:Y *1160:C1 23.2607 -*END - -*D_NET *134 0.00323578 -*CONN -*I *1180:C I *D sky130_fd_sc_hd__nand4b_2 -*I *1161:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1160:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1180:C 0.000346601 -2 *1161:B1 0.00023378 -3 *1160:X 0.000127983 -4 *134:5 0.000708364 -5 *1161:B1 *135:8 0.000306317 -6 *1180:C *1180:B 0 -7 *1180:C *1180:D 0 -8 *1180:C *154:11 1.99099e-05 -9 *1180:C *284:45 0 -10 *134:5 *135:8 0.000597993 -11 *1139:A1 *1161:B1 5.33005e-05 -12 *1156:A *1180:C 1.37292e-05 -13 *1160:A1 *1180:C 3.52562e-05 -14 *1160:A1 *134:5 0.00040349 -15 *1161:A2 *1161:B1 0.000102865 -16 *1179:A1 *1180:C 5.83304e-05 -17 *1179:A1 *134:5 6.26774e-06 -18 *1179:A2 *1161:B1 1.58163e-05 -19 *1179:A2 *134:5 3.69047e-06 -20 *1179:B1 *1180:C 5.83304e-05 -21 *1179:C1 *1161:B1 9.66113e-05 -22 *106:5 *134:5 2.64043e-05 -23 *106:13 *1161:B1 2.07382e-05 -*RES -1 *1160:X *134:5 15.175 -2 *134:5 *1161:B1 15.0857 -3 *134:5 *1180:C 24.425 -*END - -*D_NET *135 0.0100294 -*CONN -*I *1192:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1162:A I *D sky130_fd_sc_hd__buf_2 -*I *1175:A I *D sky130_fd_sc_hd__and3_2 -*I *1186:B I *D sky130_fd_sc_hd__and2_2 -*I *1185:B I *D sky130_fd_sc_hd__nor2_2 -*I *1194:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1161:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1192:B 0.000464655 -2 *1162:A 0 -3 *1175:A 0.000117439 -4 *1186:B 1.13184e-05 -5 *1185:B 0 -6 *1194:A1 9.37154e-05 -7 *1161:X 0.000908473 -8 *135:41 0.000647895 -9 *135:19 0.000977652 -10 *135:13 0.000919917 -11 *135:8 0.00192825 -12 *1175:A *1181:C 6.56683e-05 -13 *1175:A *148:24 5.08844e-05 -14 *1175:A *273:30 9.98928e-05 -15 *1186:B *148:24 9.59532e-06 -16 *1186:B *273:8 9.54798e-06 -17 *1186:B *273:30 2.06112e-05 -18 *1192:B *428:DIODE 0 -19 *1192:B *1373:A 5.59013e-05 -20 *1192:B *1376:A 0.000181796 -21 *1192:B *154:11 0.000181192 -22 *1192:B *288:8 0.000171375 -23 *1194:A1 *1207:A0 5.80706e-06 -24 *1194:A1 *137:8 6.32633e-05 -25 *1194:A1 *138:25 0 -26 *135:8 *428:DIODE 0 -27 *135:13 *136:7 5.7661e-06 -28 *135:13 *288:8 5.52302e-05 -29 *135:19 *1195:A3 0.00010096 -30 *135:19 *1195:B1 2.28589e-05 -31 *135:19 *1206:B 0.000172654 -32 *135:19 *136:7 2.89016e-05 -33 *135:19 *136:17 0.000147232 -34 *135:19 *136:31 5.94231e-05 -35 *135:19 *182:16 0.000117621 -36 *135:41 *1163:A 7.69776e-06 -37 *135:41 *1187:A 0.000120509 -38 *135:41 *1206:B 1.21289e-05 -39 *135:41 *138:25 9.87983e-06 -40 *135:41 *162:42 0 -41 *135:41 *182:16 0.000269428 -42 *426:DIODE *135:13 5.52302e-05 -43 *1152:A *135:8 0 -44 *1154:A1 *135:8 9.25014e-06 -45 *1158:A *135:8 0.000227021 -46 *1158:B *135:8 8.05006e-05 -47 *1160:A1 *135:8 1.39726e-05 -48 *1160:A2 *135:8 0.000126446 -49 *1160:B1 *135:8 0 -50 *1160:B1 *135:13 5.33334e-05 -51 *1161:B1 *135:8 0.000306317 -52 *1337:A *135:8 0 -53 *1337:A *135:13 0.000405733 -54 *128:6 *135:8 3.84478e-05 -55 *134:5 *135:8 0.000597993 -*RES -1 *1161:X *135:8 29.1571 -2 *135:8 *135:13 14.9107 -3 *135:13 *135:19 9.60714 -4 *135:19 *1194:A1 19.9429 -5 *135:19 *1185:B 9.3 -6 *135:19 *135:41 14.8817 -7 *135:41 *1186:B 14.0768 -8 *135:41 *1175:A 16.2554 -9 *135:13 *1162:A 9.3 -10 *135:8 *1192:B 23.0679 -*END - -*D_NET *136 0.00943184 -*CONN -*I *1197:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1209:S I *D sky130_fd_sc_hd__mux2_2 -*I *1198:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1182:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1184:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1215:A I *D sky130_fd_sc_hd__xor2_2 -*I *1162:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1197:B2 0 -2 *1209:S 0 -3 *1198:B 0.000473627 -4 *1182:A2 0.000472406 -5 *1184:B 0 -6 *1195:B2 0 -7 *1215:A 0.000188065 -8 *1162:X 5.12845e-05 -9 *136:56 0.000503384 -10 *136:38 0.000593265 -11 *136:35 0.00022851 -12 *136:31 0.00120746 -13 *136:17 0.00128803 -14 *136:7 0.000397808 -15 *1182:A2 *1182:A3 0.000253904 -16 *1182:A2 *1196:A 5.12831e-05 -17 *1182:A2 *1197:B1 0 -18 *1182:A2 *170:19 0 -19 *1182:A2 *273:47 0 -20 *1182:A2 *274:20 0.000323716 -21 *1198:B *1209:A0 7.91257e-05 -22 *1198:B *157:32 0.000181796 -23 *1198:B *171:9 9.07969e-05 -24 *1198:B *182:16 5.52238e-05 -25 *1198:B *198:58 4.71964e-05 -26 *1198:B *271:8 5.80706e-06 -27 *1198:B *271:12 4.11876e-05 -28 *1198:B *284:33 3.30352e-05 -29 *1215:A *1195:A3 0 -30 *1215:A *253:8 0 -31 *136:17 *1195:A1 9.58181e-05 -32 *136:17 *1195:A3 9.90367e-05 -33 *136:17 *1195:B1 2.13202e-05 -34 *136:31 *1197:A1 5.52238e-05 -35 *136:31 *1197:A2 0.000318107 -36 *136:31 *1197:A3 4.43256e-05 -37 *136:31 *1197:B1 3.28686e-05 -38 *136:31 *158:9 0.000127194 -39 *136:31 *182:16 0.00105556 -40 *136:35 *1209:A1 2.36643e-05 -41 *136:35 *171:9 0.000185118 -42 *136:35 *182:16 0.000146376 -43 *136:38 *1197:B1 0 -44 *136:38 *1203:A 0 -45 *136:38 *274:20 0.000195562 -46 *136:56 *171:9 0.000139907 -47 *136:56 *182:16 6.13217e-05 -48 *93:42 *1182:A2 2.21991e-05 -49 *135:13 *136:7 5.7661e-06 -50 *135:19 *136:7 2.89016e-05 -51 *135:19 *136:17 0.000147232 -52 *135:19 *136:31 5.94231e-05 -*RES -1 *1162:X *136:7 10.2643 -2 *136:7 *1215:A 21.1571 -3 *136:7 *136:17 3.82143 -4 *136:17 *1195:B2 9.3 -5 *136:17 *136:31 18.7857 -6 *136:31 *136:35 3.01786 -7 *136:35 *136:38 7.05357 -8 *136:38 *1184:B 13.8 -9 *136:38 *1182:A2 21.4964 -10 *136:35 *136:56 1.35714 -11 *136:56 *1198:B 27.2286 -12 *136:56 *1209:S 9.3 -13 *136:31 *1197:B2 9.3 -*END - -*D_NET *137 0.00647293 -*CONN -*I *1168:B I *D sky130_fd_sc_hd__nand4_2 -*I *1283:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1256:B I *D sky130_fd_sc_hd__and2_2 -*I *1305:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1266:A I *D sky130_fd_sc_hd__nand2_2 -*I *1185:A I *D sky130_fd_sc_hd__nor2_2 -*I *1207:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1163:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1168:B 0.000234453 -2 *1283:B1 3.04995e-05 -3 *1256:B 0.000108193 -4 *1305:A1 0 -5 *1266:A 0 -6 *1185:A 0 -7 *1207:A0 0.000358927 -8 *1163:X 0.000339961 -9 *137:47 0.000343593 -10 *137:40 0.000278031 -11 *137:35 0.000470001 -12 *137:27 0.000301502 -13 *137:8 0.00052724 -14 *137:7 0.000647358 -15 *1168:B *1168:D 3.75024e-05 -16 *1168:B *1266:B 0.000123498 -17 *1168:B *140:33 4.77002e-05 -18 *1207:A0 *1207:A1 5.56943e-05 -19 *1207:A0 *1214:B 0 -20 *1207:A0 *1216:S 5.52302e-05 -21 *1207:A0 *138:25 0 -22 *1207:A0 *157:48 2.44318e-05 -23 *1256:B *162:63 0.000169597 -24 *1256:B *220:12 8.4233e-05 -25 *1283:B1 *1283:A1 5.02464e-06 -26 *1283:B1 *274:34 1.95343e-05 -27 *137:7 *1175:B 0.000534229 -28 *137:8 *138:25 0 -29 *137:8 *182:16 3.2534e-05 -30 *137:27 *1168:C 0 -31 *137:27 *1191:B 9.15842e-06 -32 *137:27 *162:42 5.50052e-05 -33 *137:27 *218:8 2.29031e-05 -34 *137:35 *1266:B 6.86784e-05 -35 *137:35 *162:42 3.96138e-05 -36 *137:40 *1266:B 1.16455e-05 -37 *137:40 *139:34 3.54498e-05 -38 *137:40 *163:39 1.02504e-05 -39 *137:40 *218:8 5.93172e-05 -40 *137:47 *1193:A 0.000457314 -41 *137:47 *1283:A2 2.14658e-05 -42 *137:47 *1305:B1 1.46199e-05 -43 *137:47 *141:21 8.70594e-05 -44 *137:47 *163:26 0.000475677 -45 *137:47 *163:39 5.52302e-05 -46 *137:47 *274:34 1.86538e-05 -47 *1194:A1 *1207:A0 5.80706e-06 -48 *1194:A1 *137:8 6.32633e-05 -49 *5:90 *1168:B 5.66971e-05 -50 *93:8 *1256:B 5.33005e-05 -51 *93:8 *137:47 2.28499e-05 -*RES -1 *1163:X *137:7 18.8536 -2 *137:7 *137:8 3.16071 -3 *137:8 *1207:A0 20.0321 -4 *137:8 *1185:A 13.8 -5 *137:7 *137:27 6.98214 -6 *137:27 *1266:A 9.3 -7 *137:27 *137:35 2.5 -8 *137:35 *137:40 10.6429 -9 *137:40 *1305:A1 9.3 -10 *137:40 *137:47 7.125 -11 *137:47 *1256:B 21.5143 -12 *137:47 *1283:B1 10.5188 -13 *137:35 *1168:B 13.1571 -*END - -*D_NET *138 0.00433478 -*CONN -*I *1168:C I *D sky130_fd_sc_hd__nand4_2 -*I *1194:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1214:B I *D sky130_fd_sc_hd__nor2_2 -*I *1164:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1168:C 0.000350714 -2 *1194:B1 4.05491e-05 -3 *1214:B 0.000363479 -4 *1164:X 0.00021712 -5 *138:25 0.000611974 -6 *138:13 0.000801311 -7 *1168:C *1163:A 0 -8 *1168:C *1168:D 3.20759e-05 -9 *1168:C *139:34 3.60162e-05 -10 *1168:C *273:30 0 -11 *1194:B1 *1181:C 5.33334e-05 -12 *1194:B1 *154:11 3.99614e-06 -13 *1194:B1 *168:5 9.90367e-05 -14 *1214:B *1164:A 0.000381318 -15 *1214:B *1174:A 5.66157e-05 -16 *1214:B *1207:A1 0 -17 *1214:B *1214:A 0.000491635 -18 *1214:B *1216:A0 7.64988e-05 -19 *1214:B *277:8 0 -20 *1214:B *277:21 0.000190917 -21 *138:13 *1164:B 7.6644e-05 -22 *138:13 *1187:A 5.33005e-05 -23 *138:13 *1206:B 3.69697e-05 -24 *138:13 *284:35 0.00018077 -25 *138:25 *1163:A 0 -26 *138:25 *1164:A 0.000123295 -27 *1107:S *1214:B 4.73286e-05 -28 *1194:A1 *138:25 0 -29 *1207:A0 *1214:B 0 -30 *1207:A0 *138:25 0 -31 *135:41 *138:25 9.87983e-06 -32 *137:8 *138:25 0 -33 *137:27 *1168:C 0 -*RES -1 *1164:X *138:13 26.1393 -2 *138:13 *1214:B 25.2821 -3 *138:13 *138:25 3.76786 -4 *138:25 *1194:B1 15.175 -5 *138:25 *1168:C 18.4429 -*END - -*D_NET *139 0.0160065 -*CONN -*I *1310:B I *D sky130_fd_sc_hd__nand3_2 -*I *1298:A1 I *D sky130_fd_sc_hd__o221a_2 -*I *1302:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1264:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1167:A I *D sky130_fd_sc_hd__nor2_2 -*I *1169:A I *D sky130_fd_sc_hd__nand2_2 -*I *1211:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1165:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1310:B 2.4245e-05 -2 *1298:A1 0.000516699 -3 *1302:A1 0.000232729 -4 *1264:A1 0.000300908 -5 *1167:A 0.000109215 -6 *1169:A 5.16783e-05 -7 *1211:A 3.6301e-05 -8 *1165:Y 0.000305602 -9 *139:83 0.000726219 -10 *139:60 0.000556115 -11 *139:38 0.000415637 -12 *139:34 0.00250225 -13 *139:10 0.0025562 -14 *139:5 0.000785749 -15 *1167:A *1167:B 0 -16 *1167:A *1173:A 0.000144765 -17 *1167:A *141:21 3.67142e-05 -18 *1167:A *162:11 0.000126649 -19 *1169:A *1173:A 2.98207e-05 -20 *1169:A *1188:A 0.000112146 -21 *1169:A *162:11 5.50052e-05 -22 *1211:A *198:58 0 -23 *1264:A1 *1264:A2 3.4846e-06 -24 *1264:A1 *165:23 0.000102892 -25 *1298:A1 *1287:B 0.00018372 -26 *1298:A1 *1295:C 0.000162473 -27 *1298:A1 *1298:B2 1.3701e-05 -28 *1298:A1 *1299:A1 2.14378e-05 -29 *1298:A1 *1299:A2 0.000101545 -30 *1298:A1 *1299:A3 2.42516e-05 -31 *1298:A1 *1312:B1 2.79421e-05 -32 *1298:A1 *211:40 4.38058e-05 -33 *1302:A1 *1173:B 0.000166094 -34 *1302:A1 *1191:B 0.000386241 -35 *1302:A1 *1248:B 5.49489e-05 -36 *1302:A1 *1302:A2 0.000242377 -37 *1302:A1 *146:19 5.41794e-05 -38 *1302:A1 *205:5 9.41642e-05 -39 *1302:A1 *372:13 1.98839e-05 -40 *139:5 *1189:A 8.20625e-06 -41 *139:5 *1313:B1 2.51343e-06 -42 *139:5 *302:5 0.000218409 -43 *139:10 *1189:A 3.73361e-05 -44 *139:10 *1313:B1 2.33028e-05 -45 *139:10 *209:5 2.51343e-06 -46 *139:34 *1168:D 3.35685e-06 -47 *139:34 *1193:A 0.000436727 -48 *139:34 *1197:B1 0 -49 *139:34 *1210:A2 0.000396116 -50 *139:34 *1244:A_N 5.23735e-05 -51 *139:34 *1266:B 9.72196e-05 -52 *139:34 *140:33 0.000269747 -53 *139:34 *162:42 4.31391e-05 -54 *139:34 *220:12 0.000182799 -55 *139:34 *220:81 0.000107754 -56 *139:34 *273:47 0.000178217 -57 *139:38 *1191:B 0.000146371 -58 *139:38 *1194:A2 0.000101233 -59 *139:38 *162:11 0.000166972 -60 *139:38 *162:32 0.000139208 -61 *139:38 *162:42 4.01264e-05 -62 *139:60 *1191:B 1.59504e-05 -63 *139:83 *1313:A1 9.8126e-05 -64 *391:DIODE *139:10 0 -65 *409:DIODE *139:10 0 -66 *410:DIODE *1298:A1 0.000190628 -67 *1168:C *139:34 3.60162e-05 -68 *1287:A *1298:A1 6.87574e-05 -69 *1311:B1 *1298:A1 0.000429376 -70 *1311:B1 *1310:B 5.42764e-05 -71 *1311:B1 *139:83 0.000179973 -72 *1313:A2 *139:5 2.14888e-05 -73 *1313:B2 *139:10 1.84776e-05 -74 *5:56 *1169:A 0.000143563 -75 *5:56 *139:38 5.33005e-05 -76 *5:65 *139:38 8.34321e-05 -77 *5:74 *1264:A1 2.47433e-05 -78 *5:90 *139:34 8.10737e-06 -79 *25:26 *1298:A1 9.60939e-05 -80 *25:26 *139:34 3.6994e-05 -81 *29:10 *139:83 6.26858e-05 -82 *92:30 *1211:A 0 -83 *92:30 *139:10 0 -84 *92:30 *139:83 8.02652e-05 -85 *92:43 *1310:B 2.2355e-05 -86 *92:43 *139:83 0.000260357 -87 *92:54 *1310:B 4.6025e-06 -88 *137:40 *139:34 3.54498e-05 -*RES -1 *1165:Y *139:5 13.1214 -2 *139:5 *139:10 8.58036 -3 *139:10 *1211:A 14.3804 -4 *139:10 *139:34 44.4882 -5 *139:34 *139:38 10.4821 -6 *139:38 *1169:A 11.6214 -7 *139:38 *1167:A 21.1929 -8 *139:34 *139:60 0.580357 -9 *139:60 *1264:A1 18.5768 -10 *139:60 *1302:A1 20.8268 -11 *139:5 *139:83 9.78571 -12 *139:83 *1298:A1 35.4071 -13 *139:83 *1310:B 14.5321 -*END - -*D_NET *140 0.00987815 -*CONN -*I *1308:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1210:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1167:B I *D sky130_fd_sc_hd__nor2_2 -*I *1169:B I *D sky130_fd_sc_hd__nand2_2 -*I *1192:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1166:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1308:A2 0.000167853 -2 *1210:A1 0 -3 *1167:B 0.000223768 -4 *1169:B 3.19502e-05 -5 *1192:A 0.000242433 -6 *1166:Y 0.000128348 -7 *140:35 0.000298748 -8 *140:33 0.00188742 -9 *140:17 0.00193982 -10 *140:8 0.000596737 -11 *1167:B *1188:A 0 -12 *1169:B *1188:A 3.50746e-05 -13 *1192:A *1188:A 5.35386e-05 -14 *1308:A2 *1308:A3 1.39726e-05 -15 *1308:A2 *1308:B1 9.60939e-05 -16 *1308:A2 *1314:B1 0.000190281 -17 *1308:A2 *1314:B2 7.43578e-06 -18 *1308:A2 *156:8 9.41642e-05 -19 *1308:A2 *294:23 1.32389e-05 -20 *140:8 *208:34 2.89114e-05 -21 *140:8 *271:25 3.35685e-06 -22 *140:8 *294:18 7.6644e-05 -23 *140:17 *1183:A 4.2144e-05 -24 *140:17 *1210:A2 6.63645e-05 -25 *140:17 *1210:B1 3.38789e-05 -26 *140:17 *156:8 9.86249e-07 -27 *140:17 *271:25 4.37765e-05 -28 *140:33 *1168:D 0.000150307 -29 *140:33 *1173:A 0.000130403 -30 *140:33 *1188:A 0.000141941 -31 *140:33 *1196:A 2.84026e-05 -32 *140:33 *1244:A_N 7.7069e-05 -33 *140:33 *1266:B 9.85599e-06 -34 *140:33 *162:11 6.50098e-05 -35 *140:33 *162:32 5.87052e-05 -36 *140:33 *162:42 0.000570313 -37 *140:33 *273:30 2.53112e-06 -38 *140:35 *1188:A 2.7224e-05 -39 *416:DIODE *140:33 0.000651461 -40 *417:DIODE *140:17 1.68806e-05 -41 *417:DIODE *140:33 0.000256791 -42 *1167:A *1167:B 0 -43 *1168:B *140:33 4.77002e-05 -44 *1252:A *1308:A2 0.000178847 -45 *5:16 *1192:A 0 -46 *5:18 *1192:A 0 -47 *5:56 *1192:A 2.65939e-05 -48 *5:90 *140:33 0.00030783 -49 *5:113 *140:33 0.000287555 -50 *25:26 *140:8 9.45429e-05 -51 *25:26 *140:17 0.000129062 -52 *93:55 *140:8 3.24462e-05 -53 *139:34 *140:33 0.000269747 -*RES -1 *1166:Y *140:8 16.5857 -2 *140:8 *140:17 9.97768 -3 *140:17 *140:33 39.1116 -4 *140:33 *140:35 0.535714 -5 *140:35 *1192:A 22.7286 -6 *140:35 *1169:B 10.0321 -7 *140:33 *1167:B 21.1571 -8 *140:17 *1210:A1 9.3 -9 *140:8 *1308:A2 18.05 -*END - -*D_NET *141 0.00472418 -*CONN -*I *1284:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1168:D I *D sky130_fd_sc_hd__nand4_2 -*I *1275:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1167:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1284:A2 0.000183974 -2 *1168:D 0.0002125 -3 *1275:A3 0.000153555 -4 *1167:Y 0.000122557 -5 *141:21 0.0013259 -6 *141:7 0.00120553 -7 *1168:D *1193:A 7.40526e-05 -8 *1168:D *1266:B 9.67516e-05 -9 *1275:A3 *1241:A1 0 -10 *1284:A2 *1244:A_N 0 -11 *1284:A2 *1244:B 1.62539e-05 -12 *1284:A2 *1247:B 2.06178e-05 -13 *1284:A2 *1266:B 6.50156e-05 -14 *1284:A2 *201:8 5.86416e-05 -15 *141:7 *162:11 8.72365e-06 -16 *141:21 *1173:B 2.12005e-05 -17 *141:21 *1193:A 0.000141871 -18 *141:21 *162:11 7.34285e-06 -19 *1167:A *141:21 3.67142e-05 -20 *1168:B *1168:D 3.75024e-05 -21 *1168:C *1168:D 3.20759e-05 -22 *1264:B1 *1275:A3 0 -23 *1306:A *1284:A2 6.73101e-05 -24 *5:74 *141:21 0.000306076 -25 *5:90 *1168:D 0.000212653 -26 *5:90 *1284:A2 7.6644e-05 -27 *137:47 *141:21 8.70594e-05 -28 *139:34 *1168:D 3.35685e-06 -29 *140:33 *1168:D 0.000150307 -*RES -1 *1167:Y *141:7 10.9786 -2 *141:7 *1275:A3 20.175 -3 *141:7 *141:21 16.125 -4 *141:21 *1168:D 19.05 -5 *141:21 *1284:A2 17.8714 -*END - -*D_NET *142 0.00364602 -*CONN -*I *1182:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1168:Y O *D sky130_fd_sc_hd__nand4_2 -*CAP -1 *1182:A3 0.000840546 -2 *1168:Y 0.000840546 -3 *1182:A3 *1168:A 0.000106997 -4 *1182:A3 *1210:A2 4.23233e-05 -5 *1182:A3 *1244:A_N 0.000108558 -6 *1182:A3 *162:42 5.73955e-05 -7 *1182:A3 *162:63 0.000108211 -8 *1182:A3 *163:26 0.000645594 -9 *1182:A3 *170:19 4.21517e-05 -10 *1182:A3 *198:41 6.14328e-05 -11 *1182:A3 *220:81 0.000118774 -12 *1182:A3 *274:20 0.000226412 -13 *1182:A3 *274:30 0.000109082 -14 *1182:A2 *1182:A3 0.000253904 -15 *93:30 *1182:A3 4.43256e-05 -16 *93:42 *1182:A3 3.97677e-05 -*RES -1 *1168:Y *1182:A3 44.0671 -*END - -*D_NET *143 0.00183612 -*CONN -*I *1173:A I *D sky130_fd_sc_hd__nor2_2 -*I *1188:A I *D sky130_fd_sc_hd__buf_2 -*I *1169:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1173:A 0.000102047 -2 *1188:A 0.0002061 -3 *1169:Y 0 -4 *143:4 0.000308147 -5 *1173:A *1175:B 0.000144139 -6 *1173:A *1241:A2 3.51693e-05 -7 *1173:A *162:11 0.000108858 -8 *1188:A *198:41 5.75842e-05 -9 *1167:A *1173:A 0.000144765 -10 *1167:B *1188:A 0 -11 *1169:A *1173:A 2.98207e-05 -12 *1169:A *1188:A 0.000112146 -13 *1169:B *1188:A 3.50746e-05 -14 *1192:A *1188:A 5.35386e-05 -15 *5:56 *1188:A 0.000193576 -16 *5:65 *1173:A 5.58875e-06 -17 *140:33 *1173:A 0.000130403 -18 *140:33 *1188:A 0.000141941 -19 *140:35 *1188:A 2.7224e-05 -*RES -1 *1169:Y *143:4 9.3 -2 *143:4 *1188:A 24.1036 -3 *143:4 *1173:A 22.2107 -*END - -*D_NET *144 0.00331017 -*CONN -*I *1251:A I *D sky130_fd_sc_hd__nor2_2 -*I *1245:A I *D sky130_fd_sc_hd__and3_2 -*I *1172:A I *D sky130_fd_sc_hd__nand2_2 -*I *1283:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1257:A I *D sky130_fd_sc_hd__nand2_2 -*I *1170:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1251:A 0.000136026 -2 *1245:A 0 -3 *1172:A 0 -4 *1283:C1 0.000245296 -5 *1257:A 8.87753e-05 -6 *1170:Y 0 -7 *144:22 0.000325777 -8 *144:11 0.000301957 -9 *144:9 0.00026389 -10 *144:4 0.000267215 -11 *1251:A *1172:B 0.000180777 -12 *1251:A *1245:C 0 -13 *1251:A *1288:B 8.6229e-06 -14 *1251:A *211:40 0.000180777 -15 *1251:A *232:10 0.000119899 -16 *1257:A *1257:B 3.89749e-05 -17 *1257:A *212:5 1.73088e-05 -18 *1257:A *212:55 9.60875e-05 -19 *1283:C1 *1247:B 1.7845e-05 -20 *1283:C1 *170:19 5.74707e-05 -21 *1283:C1 *220:12 0 -22 *1283:C1 *274:34 0.000167144 -23 *144:22 *1247:B 1.03403e-05 -24 *144:22 *212:55 1.36181e-05 -25 *144:22 *220:12 0 -26 *144:22 *372:13 2.86273e-05 -27 *30:17 *1257:A 5.74499e-06 -28 *30:23 *1257:A 9.71197e-05 -29 *30:23 *144:9 0.000134987 -30 *30:23 *144:11 0.000267697 -31 *37:24 *144:22 0.000121871 -32 *93:8 *1283:C1 0.000116318 -*RES -1 *1170:Y *144:4 9.3 -2 *144:4 *144:9 2.32143 -3 *144:9 *144:11 2.58929 -4 *144:11 *1257:A 11.4964 -5 *144:11 *144:22 6.44643 -6 *144:22 *1283:C1 19.6036 -7 *144:22 *1172:A 13.8 -8 *144:9 *1245:A 9.3 -9 *144:4 *1251:A 21.7107 -*END - -*D_NET *145 0.00372669 -*CONN -*I *1291:B I *D sky130_fd_sc_hd__nand2_2 -*I *1172:B I *D sky130_fd_sc_hd__nand2_2 -*I *1196:A I *D sky130_fd_sc_hd__inv_2 -*I *1171:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1291:B 0.000151813 -2 *1172:B 0.0001497 -3 *1196:A 0.00025145 -4 *1171:Y 5.35024e-05 -5 *145:24 0.000504303 -6 *145:5 0.000507742 -7 *1172:B *1288:A 0.000139208 -8 *1172:B *1288:B 0.000192932 -9 *1172:B *211:40 0.000148838 -10 *1172:B *232:10 5.33005e-05 -11 *1172:B *294:18 0.00014701 -12 *1196:A *273:47 2.89791e-06 -13 *1196:A *274:20 1.03447e-05 -14 *1291:B *163:57 6.5838e-05 -15 *145:5 *274:20 1.64621e-05 -16 *145:5 *274:30 9.83442e-05 -17 *145:24 *163:57 7.10717e-05 -18 *390:DIODE *1291:B 0.000113385 -19 *1182:A2 *1196:A 5.12831e-05 -20 *1251:A *1172:B 0.000180777 -21 *5:113 *1196:A 5.65955e-05 -22 *5:113 *1291:B 3.22325e-05 -23 *5:113 *145:24 0.000145403 -24 *25:26 *1196:A 5.77757e-06 -25 *30:23 *1291:B 5.71472e-05 -26 *93:30 *1196:A 2.95642e-05 -27 *93:30 *145:5 0.000193388 -28 *93:30 *145:24 0.00021275 -29 *93:42 *145:24 5.52302e-05 -30 *140:33 *1196:A 2.84026e-05 -*RES -1 *1171:Y *145:5 11.0679 -2 *145:5 *1196:A 22.3536 -3 *145:5 *145:24 9.03571 -4 *145:24 *1172:B 19.4071 -5 *145:24 *1291:B 17.55 -*END - -*D_NET *146 0.00613103 -*CONN -*I *1302:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1275:A2 I *D sky130_fd_sc_hd__o31a_2 -*I *1173:B I *D sky130_fd_sc_hd__nor2_2 -*I *1172:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1302:A2 0.000108546 -2 *1275:A2 0.000171862 -3 *1173:B 0.000252097 -4 *1172:Y 0.000320092 -5 *146:19 0.000306627 -6 *146:7 0.000598409 -7 *1173:B *1193:A 5.20669e-05 -8 *1275:A2 *1275:A1 4.22908e-05 -9 *1275:A2 *197:28 1.7859e-05 -10 *1275:A2 *217:22 0.000212646 -11 *1275:A2 *294:18 5.95413e-05 -12 *1302:A2 *1191:B 1.08359e-05 -13 *1302:A2 *1248:B 2.36643e-05 -14 *1302:A2 *1264:A2 0.000110627 -15 *1302:A2 *372:13 0.000141729 -16 *146:7 *1285:A_N 5.52302e-05 -17 *146:7 *1285:C 9.82585e-06 -18 *146:7 *1286:B2 5.52302e-05 -19 *146:7 *1306:B 5.33005e-05 -20 *146:7 *217:22 0.000136958 -21 *146:7 *294:18 0.00137513 -22 *146:19 *1264:A2 3.12359e-05 -23 *1302:A1 *1173:B 0.000166094 -24 *1302:A1 *1302:A2 0.000242377 -25 *1302:A1 *146:19 5.41794e-05 -26 *1306:A *146:7 0.000129871 -27 *5:74 *1173:B 0.000363647 -28 *37:20 *1275:A2 0.000658072 -29 *37:20 *146:7 0.000349783 -30 *141:21 *1173:B 2.12005e-05 -*RES -1 *1172:Y *146:7 27.0679 -2 *146:7 *1173:B 19.4786 -3 *146:7 *146:19 0.732143 -4 *146:19 *1275:A2 20.5143 -5 *146:19 *1302:A2 17.4071 -*END - -*D_NET *147 0.00531173 -*CONN -*I *1241:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1175:B I *D sky130_fd_sc_hd__and3_2 -*I *1173:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1241:A2 0.00057584 -2 *1175:B 0.000624515 -3 *1173:Y 0 -4 *147:5 0.00120035 -5 *1175:B *1195:A3 9.41642e-05 -6 *1175:B *1195:B1 8.75301e-05 -7 *1175:B *1210:A2 0.000531286 -8 *1175:B *1267:A1 1.65025e-05 -9 *1175:B *154:11 8.43535e-06 -10 *1175:B *166:7 1.33343e-05 -11 *1175:B *168:5 0.00065911 -12 *1241:A2 *198:16 2.11419e-05 -13 *1241:A2 *198:41 0.000620606 -14 *1173:A *1175:B 0.000144139 -15 *1173:A *1241:A2 3.51693e-05 -16 *5:14 *1241:A2 2.26973e-05 -17 *5:65 *1175:B 7.74135e-05 -18 *5:65 *1241:A2 4.5258e-05 -19 *137:7 *1175:B 0.000534229 -*RES -1 *1173:Y *147:5 13.8 -2 *147:5 *1175:B 30.4429 -3 *147:5 *1241:A2 22.9655 -*END - -*D_NET *148 0.00964994 -*CONN -*I *1193:A I *D sky130_fd_sc_hd__inv_2 -*I *1209:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1175:C I *D sky130_fd_sc_hd__and3_2 -*I *1214:A I *D sky130_fd_sc_hd__nor2_2 -*I *1174:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1193:A 0.000893681 -2 *1209:A1 0.000763507 -3 *1175:C 4.08545e-05 -4 *1214:A 0.000279466 -5 *1174:Y 0 -6 *148:26 0.000804362 -7 *148:24 0.00145915 -8 *148:4 0.000844933 -9 *1175:C *1181:C 3.52867e-05 -10 *1193:A *1168:A 1.42049e-05 -11 *1193:A *1210:A2 0 -12 *1193:A *1244:A_N 0 -13 *1193:A *1266:B 7.55769e-05 -14 *1193:A *1283:A2 5.60705e-05 -15 *1193:A *162:63 8.45414e-05 -16 *1193:A *163:26 0.000101847 -17 *1193:A *163:39 5.71472e-05 -18 *1193:A *220:12 0 -19 *1193:A *273:30 6.81526e-05 -20 *1209:A1 *1181:B 0.000134925 -21 *1209:A1 *1181:C 0.000453832 -22 *1209:A1 *1197:B1 1.37292e-05 -23 *1209:A1 *158:9 7.70035e-05 -24 *1209:A1 *171:9 0.000356401 -25 *1214:A *1174:A 5.52238e-05 -26 *1214:A *277:21 9.22103e-06 -27 *148:24 *1187:A 0.000337651 -28 *148:24 *1187:B 0.000195555 -29 *148:24 *1197:A2 0 -30 *148:24 *1197:A3 2.37817e-05 -31 *148:24 *1205:A 0 -32 *148:24 *160:6 0.000116481 -33 *148:24 *273:8 0.000113647 -34 *1107:S *1214:A 0.000445899 -35 *1168:D *1193:A 7.40526e-05 -36 *1173:B *1193:A 5.20669e-05 -37 *1175:A *148:24 5.08844e-05 -38 *1186:B *148:24 9.59532e-06 -39 *1214:B *1214:A 0.000491635 -40 *136:35 *1209:A1 2.36643e-05 -41 *137:47 *1193:A 0.000457314 -42 *139:34 *1193:A 0.000436727 -43 *141:21 *1193:A 0.000141871 -*RES -1 *1174:Y *148:4 9.3 -2 *148:4 *1214:A 17.6929 -3 *148:4 *148:24 16.6786 -4 *148:24 *148:26 4.5 -5 *148:26 *1175:C 9.83571 -6 *148:26 *1209:A1 31.425 -7 *148:24 *1193:A 40.5679 -*END - -*D_NET *149 0.000418718 -*CONN -*I *1181:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *1175:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1181:A_N 2.07731e-05 -2 *1175:X 2.07731e-05 -3 *1181:A_N *1181:C 0.000188586 -4 *1181:A_N *1210:A2 0.000188586 -*RES -1 *1175:X *1181:A_N 20.3679 -*END - -*D_NET *150 0.00815989 -*CONN -*I *1181:B I *D sky130_fd_sc_hd__and3b_2 -*I *1176:X O *D sky130_fd_sc_hd__and4b_2 -*CAP -1 *1181:B 0.00127017 -2 *1176:X 0.00112161 -3 *150:13 0.00239178 -4 *1181:B *1181:C 9.82585e-06 -5 *1181:B *1211:B 0.000340287 -6 *1181:B *1388:TE_B 0.000225988 -7 *1181:B *155:5 0.000450915 -8 *1181:B *155:18 2.60984e-05 -9 *1181:B *301:17 2.28499e-05 -10 *150:13 *1236:A 5.01117e-05 -11 *150:13 *1386:Z 6.63007e-05 -12 *150:13 *1387:Z 5.10822e-05 -13 *150:13 *1388:TE_B 8.80828e-05 -14 *150:13 *1459:A 4.98055e-06 -15 *150:13 *1465:A 0.000536787 -16 *150:13 *226:24 0.000133152 -17 *150:13 *226:37 6.13903e-05 -18 *150:13 *226:78 0 -19 *150:13 *270:5 5.52302e-05 -20 *150:13 *284:8 0.000677494 -21 *150:13 *284:15 0.000119618 -22 *150:13 *284:33 0.000259978 -23 *150:13 *371:59 2.53785e-05 -24 *150:13 *371:72 6.94441e-06 -25 *1209:A1 *1181:B 0.000134925 -26 *1312:A2 *150:13 0 -27 *1346:RESET_B *150:13 0 -28 *1347:D *150:13 2.89016e-05 -29 *85:11 *150:13 0 -*RES -1 *1176:X *150:13 44.2643 -2 *150:13 *1181:B 32.7821 -*END - -*D_NET *151 0.0012726 -*CONN -*I *1178:D I *D sky130_fd_sc_hd__and4b_2 -*I *1177:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1178:D 0.000256464 -2 *1177:Y 0.000256464 -3 *1178:D *1144:B 9.90367e-05 -4 *375:DIODE *1178:D 0.000104974 -5 *377:DIODE *1178:D 3.79812e-05 -6 *1147:B *1178:D 0 -7 *1149:A2 *1178:D 3.51442e-05 -8 *1177:B1 *1178:D 5.62995e-05 -9 *6:8 *1178:D 9.296e-05 -10 *6:10 *1178:D 6.7033e-05 -11 *121:6 *1178:D 0.000266243 -*RES -1 *1177:Y *1178:D 35.0464 -*END - -*D_NET *152 0.00334602 -*CONN -*I *1180:B I *D sky130_fd_sc_hd__nand4b_2 -*I *1178:X O *D sky130_fd_sc_hd__and4b_2 -*CAP -1 *1180:B 0.000681364 -2 *1178:X 0.000681364 -3 *1180:B *154:11 1.41706e-05 -4 *1180:B *284:45 0.000563877 -5 clockp[1] *1180:B 0.00127812 -6 *377:DIODE *1180:B 4.88212e-05 -7 *1180:C *1180:B 0 -8 *1366:D *1180:B 7.83027e-05 -9 *112:9 *1180:B 0 -*RES -1 *1178:X *1180:B 45.8857 -*END - -*D_NET *153 0.000785182 -*CONN -*I *1180:D I *D sky130_fd_sc_hd__nand4b_2 -*I *1179:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1180:D 0.000315089 -2 *1179:X 0.000315089 -3 *1180:D *284:66 0 -4 *1132:B *1180:D 0 -5 *1156:A *1180:D 5.41794e-05 -6 *1158:B *1180:D 0 -7 *1160:A1 *1180:D 0.000100823 -8 *1180:C *1180:D 0 -9 *128:6 *1180:D 0 -*RES -1 *1179:X *1180:D 33.225 -*END - -*D_NET *154 0.00678145 -*CONN -*I *1181:C I *D sky130_fd_sc_hd__and3b_2 -*I *1180:Y O *D sky130_fd_sc_hd__nand4b_2 -*CAP -1 *1181:C 0.000541172 -2 *1180:Y 0.00155944 -3 *154:11 0.00210061 -4 *1181:C *1210:A2 0.000416917 -5 *1181:C *168:5 1.21289e-05 -6 *154:11 *428:DIODE 0.000185118 -7 *154:11 *1194:A2 0.000229181 -8 *154:11 *1195:A3 4.58194e-05 -9 *154:11 *1373:A 0.000188311 -10 *154:11 *1373:TE 9.90431e-05 -11 *154:11 *1376:A 5.97299e-05 -12 *154:11 *166:7 0.000151061 -13 *154:11 *168:5 0.000158678 -14 clockp[1] *154:11 0 -15 *1175:A *1181:C 6.56683e-05 -16 *1175:B *154:11 8.43535e-06 -17 *1175:C *1181:C 3.52867e-05 -18 *1180:B *154:11 1.41706e-05 -19 *1180:C *154:11 1.99099e-05 -20 *1181:A_N *1181:C 0.000188586 -21 *1181:B *1181:C 9.82585e-06 -22 *1192:B *154:11 0.000181192 -23 *1194:B1 *1181:C 5.33334e-05 -24 *1194:B1 *154:11 3.99614e-06 -25 *1209:A1 *1181:C 0.000453832 -*RES -1 *1180:Y *154:11 46.9786 -2 *154:11 *1181:C 21.5545 -*END - -*D_NET *155 0.00371839 -*CONN -*I *1213:B2 I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1219:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1182:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1181:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *1213:B2 2.54882e-05 -2 *1219:A2 0.00013933 -3 *1182:B1 0.000158867 -4 *1181:X 0.000277752 -5 *155:18 0.000391694 -6 *155:5 0.000663495 -7 *1182:B1 *275:30 0.000143389 -8 *1213:B2 *157:32 5.67624e-05 -9 *1213:B2 *284:33 9.71197e-05 -10 *1219:A2 *182:16 5.89612e-05 -11 *1219:A2 *271:8 6.98669e-05 -12 *1219:A2 *271:12 2.94103e-05 -13 *1219:A2 *274:20 0 -14 *155:5 *1211:B 9.60875e-05 -15 *155:18 *1211:B 9.41642e-05 -16 *155:18 *182:16 4.43046e-05 -17 *155:18 *271:12 7.2754e-05 -18 *155:18 *271:25 0.000150789 -19 *155:18 *274:20 0 -20 *155:18 *275:30 0.000195856 -21 *1181:B *155:5 0.000450915 -22 *1181:B *155:18 2.60984e-05 -23 *1184:A *1182:B1 0.000315925 -24 *5:113 *1182:B1 0.000159357 -*RES -1 *1181:X *155:5 15.175 -2 *155:5 *1182:B1 22.675 -3 *155:5 *155:18 10.4286 -4 *155:18 *1219:A2 16.9607 -5 *155:18 *1213:B2 14.7464 -*END - -*D_NET *156 0.00158421 -*CONN -*I *1210:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1183:A I *D sky130_fd_sc_hd__buf_2 -*I *1182:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1210:C1 2.6246e-05 -2 *1183:A 0.000199161 -3 *1182:X 0.000164408 -4 *156:8 0.000389815 -5 *1183:A *1210:B1 9.56159e-05 -6 *1183:A *171:9 5.71472e-05 -7 *1183:A *198:58 0.000192693 -8 *1183:A *275:30 5.52302e-05 -9 *156:8 *198:41 9.71324e-05 -10 *156:8 *198:58 1.12669e-05 -11 *417:DIODE *1210:C1 2.85234e-05 -12 *1252:A *156:8 2.24718e-05 -13 *1308:A2 *156:8 9.41642e-05 -14 *25:26 *156:8 0.000107206 -15 *140:17 *1183:A 4.2144e-05 -16 *140:17 *156:8 9.86249e-07 -*RES -1 *1182:X *156:8 16.8 -2 *156:8 *1183:A 19.3179 -3 *156:8 *1210:C1 14.3357 -*END - -*D_NET *157 0.00663411 -*CONN -*I *1200:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1213:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1204:S I *D sky130_fd_sc_hd__mux2_2 -*I *1207:S I *D sky130_fd_sc_hd__mux2_2 -*I *1216:S I *D sky130_fd_sc_hd__mux2_2 -*I *1201:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1218:B I *D sky130_fd_sc_hd__nand2_2 -*I *1183:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1200:B1 7.5094e-05 -2 *1213:A1_N 0 -3 *1204:S 0 -4 *1207:S 0 -5 *1216:S 0.000118435 -6 *1201:A2 9.21565e-05 -7 *1218:B 0 -8 *1183:X 0 -9 *157:48 0.000880798 -10 *157:39 0.000992348 -11 *157:32 0.000455466 -12 *157:13 0.000296414 -13 *157:6 0.000501036 -14 *157:5 0.000146391 -15 *1200:B1 *1201:B2 0 -16 *1200:B1 *171:9 0.000274294 -17 *1200:B1 *198:58 7.22594e-05 -18 *1200:B1 *275:30 0.000274294 -19 *1201:A2 *276:13 0.000274288 -20 *1216:S *1216:A1 7.20217e-06 -21 *157:6 *1201:B2 0 -22 *157:6 *198:58 0.000116481 -23 *157:13 *1201:B2 0 -24 *157:13 *198:58 0.000221163 -25 *157:13 *276:13 2.74192e-05 -26 *157:13 *276:15 5.52302e-05 -27 *157:32 *284:33 0.000196874 -28 *157:39 *1203:A 0 -29 *157:39 *1204:A1 0.000152736 -30 *157:39 *284:33 0.000109454 -31 *157:39 *284:35 4.0243e-05 -32 *157:48 *1204:A0 5.65955e-05 -33 *157:48 *1208:A 0.000184745 -34 *157:48 *161:8 0.00010096 -35 *157:48 *276:21 0.00050539 -36 *1198:B *157:32 0.000181796 -37 *1201:A1 *1201:A2 3.93247e-05 -38 *1201:A1 *157:13 0 -39 *1207:A0 *1216:S 5.52302e-05 -40 *1207:A0 *157:48 2.44318e-05 -41 *1213:B2 *157:32 5.67624e-05 -42 *1355:D *157:39 4.87953e-05 -*RES -1 *1183:X *157:5 13.8 -2 *157:5 *157:6 1.64286 -3 *157:6 *157:13 8.73214 -4 *157:13 *1218:B 9.3 -5 *157:13 *1201:A2 12.3179 -6 *157:6 *157:32 9.97321 -7 *157:32 *157:39 14.4911 -8 *157:39 *157:48 15.9107 -9 *157:48 *1216:S 11.4786 -10 *157:48 *1207:S 9.3 -11 *157:39 *1204:S 9.3 -12 *157:32 *1213:A1_N 9.3 -13 *157:5 *1200:B1 17.425 -*END - -*D_NET *158 0.00112645 -*CONN -*I *1203:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1197:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1184:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1203:A 0.000210187 -2 *1197:A1 3.92359e-05 -3 *1184:Y 0.000137662 -4 *158:9 0.000387084 -5 *1203:A *1197:A2 0 -6 *1203:A *1197:B1 5.58941e-05 -7 *1203:A *274:20 0 -8 *158:9 *1197:B1 3.69697e-05 -9 *1209:A1 *158:9 7.70035e-05 -10 *136:31 *1197:A1 5.52238e-05 -11 *136:31 *158:9 0.000127194 -12 *136:38 *1203:A 0 -13 *157:39 *1203:A 0 -*RES -1 *1184:Y *158:9 20.6929 -2 *158:9 *1197:A1 9.83571 -3 *158:9 *1203:A 21.7643 -*END - -*D_NET *159 0.00206718 -*CONN -*I *1187:A I *D sky130_fd_sc_hd__nor2_2 -*I *1185:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1187:A 0.000336731 -2 *1185:Y 0.000336731 -3 *1187:A *1163:A 0.000271345 -4 *1187:A *1164:B 2.44318e-05 -5 *1187:A *1206:B 0.000224577 -6 *1187:A *182:16 2.42547e-05 -7 *1187:A *273:8 0.000337651 -8 *135:41 *1187:A 0.000120509 -9 *138:13 *1187:A 5.33005e-05 -10 *148:24 *1187:A 0.000337651 -*RES -1 *1185:Y *1187:A 37.85 -*END - -*D_NET *160 0.0008778 -*CONN -*I *1202:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1187:B I *D sky130_fd_sc_hd__nor2_2 -*I *1186:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1202:B1 1.69531e-05 -2 *1187:B 0.000122969 -3 *1186:X 4.98942e-05 -4 *160:6 0.000189816 -5 *1187:B *1197:A3 1.52978e-05 -6 *1187:B *161:8 0 -7 *1202:B1 *169:20 5.33005e-05 -8 *1202:B1 *284:35 5.33005e-05 -9 *160:6 *1197:A3 6.42338e-05 -10 *148:24 *1187:B 0.000195555 -11 *148:24 *160:6 0.000116481 -*RES -1 *1186:X *160:6 15.4429 -2 *160:6 *1187:B 16.3536 -3 *160:6 *1202:B1 14.3357 -*END - -*D_NET *161 0.00254283 -*CONN -*I *1197:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1202:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1206:A I *D sky130_fd_sc_hd__xor2_2 -*I *1187:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1197:A2 0.000180533 -2 *1202:A1 0 -3 *1206:A 0.000257181 -4 *1187:Y 0.000181014 -5 *161:11 0.000272616 -6 *161:8 0.000376981 -7 *1197:A2 *1197:A3 0.000370812 -8 *1197:A2 *182:16 1.74352e-05 -9 *1206:A *1197:A3 2.19064e-05 -10 *1206:A *1203:B 1.33343e-05 -11 *1206:A *169:20 0.000364996 -12 *1206:A *284:35 1.21289e-05 -13 *161:8 *1197:A3 4.46186e-06 -14 *161:11 *1203:B 2.14658e-05 -15 *161:11 *284:35 2.89016e-05 -16 *1187:B *161:8 0 -17 *1203:A *1197:A2 0 -18 *136:31 *1197:A2 0.000318107 -19 *148:24 *1197:A2 0 -20 *157:48 *161:8 0.00010096 -*RES -1 *1187:Y *161:8 16.6929 -2 *161:8 *161:11 5.03571 -3 *161:11 *1206:A 14.3893 -4 *161:11 *1202:A1 9.3 -5 *161:8 *1197:A2 19.6571 -*END - -*D_NET *162 0.0172124 -*CONN -*I *1191:A I *D sky130_fd_sc_hd__nand2_2 -*I *1272:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1292:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1296:C I *D sky130_fd_sc_hd__nand3b_2 -*I *1283:A2 I *D sky130_fd_sc_hd__a211o_2 -*I *1267:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1195:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *1188:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1191:A 0 -2 *1272:A1 3.16807e-05 -3 *1292:B2 2.38262e-05 -4 *1296:C 0.000544083 -5 *1283:A2 0.000118792 -6 *1267:A1 0.000640236 -7 *1195:B1 0.00016576 -8 *1188:X 0.0004973 -9 *162:68 0.00100932 -10 *162:63 0.00114082 -11 *162:42 0.00134002 -12 *162:32 0.000587201 -13 *162:13 0.000805996 -14 *162:11 0.000531005 -15 *1195:B1 *1195:A1 0.000311432 -16 *1195:B1 *1195:A3 0.00019384 -17 *1195:B1 *165:23 2.28343e-05 -18 *1267:A1 *404:DIODE 0.000160224 -19 *1267:A1 *1267:A2 4.25186e-05 -20 *1267:A1 *1281:B1 4.65158e-06 -21 *1267:A1 *1302:B2 1.65046e-05 -22 *1267:A1 *1303:C1 7.87495e-05 -23 *1267:A1 *163:39 0.000259108 -24 *1267:A1 *165:23 0.000664092 -25 *1267:A1 *197:28 0 -26 *1267:A1 *197:53 0 -27 *1267:A1 *206:17 0 -28 *1267:A1 *217:22 0 -29 *1267:A1 *218:38 3.43988e-06 -30 *1267:A1 *224:14 0.00012401 -31 *1267:A1 *287:8 0.000315806 -32 *1272:A1 *1246:B 2.57188e-05 -33 *1272:A1 *220:21 6.47471e-05 -34 *1283:A2 *1168:A 0.000129617 -35 *1283:A2 *1283:A1 3.51486e-05 -36 *1283:A2 *1305:B1 9.67754e-05 -37 *1283:A2 *163:26 5.52302e-05 -38 *1292:B2 *233:11 5.55935e-05 -39 *1296:C *1290:A_N 1.11775e-05 -40 *1296:C *208:34 1.21258e-05 -41 *1296:C *211:40 0.000268403 -42 *1296:C *233:11 8.53839e-05 -43 *1296:C *234:11 5.96516e-05 -44 *1296:C *271:31 0.000300647 -45 *162:11 *1241:A1 3.29246e-05 -46 *162:42 *1191:B 0.000184453 -47 *162:42 *1266:B 5.23735e-05 -48 *162:42 *198:41 7.61845e-05 -49 *162:42 *218:8 1.5077e-05 -50 *162:63 *1168:A 0 -51 *162:63 *1246:B 2.32644e-05 -52 *162:63 *211:10 9.80405e-05 -53 *162:63 *212:13 3.13634e-05 -54 *162:63 *220:12 0.000231272 -55 *162:63 *220:21 7.32947e-05 -56 *162:63 *275:60 0.000141445 -57 *162:68 *1246:B 0.000607657 -58 *162:68 *164:15 4.45973e-06 -59 *162:68 *218:56 0.001116 -60 *162:68 *301:13 4.49626e-05 -61 *162:68 *317:24 0.000310099 -62 *405:DIODE *1267:A1 0.000141935 -63 *1167:A *162:11 0.000126649 -64 *1169:A *162:11 5.50052e-05 -65 *1173:A *162:11 0.000108858 -66 *1175:B *1195:B1 8.75301e-05 -67 *1175:B *1267:A1 1.65025e-05 -68 *1182:A3 *162:42 5.73955e-05 -69 *1182:A3 *162:63 0.000108211 -70 *1193:A *1283:A2 5.60705e-05 -71 *1193:A *162:63 8.45414e-05 -72 *1243:B *162:63 9.98233e-05 -73 *1256:B *162:63 0.000169597 -74 *1264:B1 *1267:A1 0.000147125 -75 *1287:A *1292:B2 6.74867e-05 -76 *1287:A *1296:C 0.000238466 -77 *5:65 *1267:A1 0.00017231 -78 *93:8 *162:63 0.000148196 -79 *93:55 *1296:C 0.000190554 -80 *135:19 *1195:B1 2.28589e-05 -81 *135:41 *162:42 0 -82 *136:17 *1195:B1 2.13202e-05 -83 *137:27 *162:42 5.50052e-05 -84 *137:35 *162:42 3.96138e-05 -85 *137:47 *1283:A2 2.14658e-05 -86 *139:34 *162:42 4.31391e-05 -87 *139:38 *162:11 0.000166972 -88 *139:38 *162:32 0.000139208 -89 *139:38 *162:42 4.01264e-05 -90 *140:33 *162:11 6.50098e-05 -91 *140:33 *162:32 5.87052e-05 -92 *140:33 *162:42 0.000570313 -93 *141:7 *162:11 8.72365e-06 -94 *141:21 *162:11 7.34285e-06 -*RES -1 *1188:X *162:11 26.3536 -2 *162:11 *162:13 4.5 -3 *162:13 *1195:B1 18.9964 -4 *162:13 *1267:A1 31.4964 -5 *162:11 *162:32 1.35714 -6 *162:32 *162:42 15.2229 -7 *162:42 *1283:A2 20.3796 -8 *162:42 *162:63 15.4596 -9 *162:63 *162:68 8.8128 -10 *162:68 *1296:C 24.5054 -11 *162:68 *1292:B2 14.6839 -12 *162:63 *1272:A1 14.6839 -13 *162:32 *1191:A 9.3 -*END - -*D_NET *163 0.0145757 -*CONN -*I *1260:A I *D sky130_fd_sc_hd__nor2_2 -*I *1258:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1190:A I *D sky130_fd_sc_hd__nand2_2 -*I *1305:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1281:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1278:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1308:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1189:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1260:A 0.000640192 -2 *1258:A1 0.000216055 -3 *1190:A 0 -4 *1305:A2 0 -5 *1281:A1 2.27214e-05 -6 *1278:A1 5.33366e-05 -7 *1308:A1 0 -8 *1189:X 0.000221971 -9 *163:63 0.00029332 -10 *163:57 0.00108249 -11 *163:39 0.000947778 -12 *163:26 0.00148061 -13 *163:18 0.00134415 -14 *163:8 0.000592197 -15 *1258:A1 *1258:A2 4.57852e-05 -16 *1258:A1 *164:15 2.15339e-05 -17 *1258:A1 *232:10 0.000165058 -18 *1260:A *1260:B 0.000222475 -19 *1260:A *1293:C 8.76142e-05 -20 *1260:A *1297:B 4.46186e-06 -21 *1260:A *1298:A2 0.000309273 -22 *1260:A *213:37 5.33072e-05 -23 *1260:A *232:10 6.35519e-05 -24 *1260:A *271:31 4.23791e-05 -25 *1260:A *323:15 2.96122e-05 -26 *1278:A1 *309:21 6.62752e-05 -27 *1278:A1 *317:18 9.76491e-05 -28 *1281:A1 *309:21 1.21258e-05 -29 *1281:A1 *317:18 2.59355e-05 -30 *163:8 *1253:C1 3.53217e-05 -31 *163:8 *209:5 3.4689e-05 -32 *163:18 *198:41 0.000516334 -33 *163:18 *211:40 7.11377e-05 -34 *163:18 *220:81 0.000383635 -35 *163:18 *372:13 2.29253e-06 -36 *163:26 *1168:A 1.05524e-05 -37 *163:26 *1305:B1 0.000131224 -38 *163:26 *198:41 0.00114673 -39 *163:26 *220:81 0.000231311 -40 *163:39 *1247:A 5.52238e-05 -41 *163:39 *1247:B 3.14193e-05 -42 *163:39 *1248:B 0.000307064 -43 *163:39 *1266:B 1.93639e-05 -44 *163:39 *1303:C1 0.000189277 -45 *163:39 *1306:B 0.000167018 -46 *163:39 *197:28 0 -47 *163:39 *201:8 5.41797e-05 -48 *163:39 *205:5 0.000206248 -49 *163:39 *218:8 0.000103432 -50 *163:39 *372:13 1.57414e-05 -51 *163:57 *1170:A 5.1588e-05 -52 *163:57 *1288:B 4.29471e-05 -53 *163:57 *232:10 0.000108948 -54 *163:57 *271:31 0 -55 *163:57 *274:20 1.90936e-05 -56 *163:57 *275:30 0 -57 *163:57 *275:42 0 -58 *163:57 *275:60 9.8045e-05 -59 *163:63 *164:15 4.19535e-05 -60 *163:63 *221:39 0.000137983 -61 *163:63 *232:10 5.41195e-05 -62 *163:63 *275:60 9.58126e-05 -63 *406:DIODE *1258:A1 5.18775e-05 -64 *409:DIODE *163:8 0.000100823 -65 *1182:A3 *163:26 0.000645594 -66 *1184:A *163:57 4.21517e-05 -67 *1193:A *163:26 0.000101847 -68 *1193:A *163:39 5.71472e-05 -69 *1267:A1 *163:39 0.000259108 -70 *1283:A2 *163:26 5.52302e-05 -71 *1287:A *1260:A 7.6644e-05 -72 *1291:B *163:57 6.5838e-05 -73 *5:113 *163:57 2.70725e-06 -74 *137:40 *163:39 1.02504e-05 -75 *137:47 *163:26 0.000475677 -76 *137:47 *163:39 5.52302e-05 -77 *145:24 *163:57 7.10717e-05 -*RES -1 *1189:X *163:8 17.6036 -2 *163:8 *1308:A1 13.8 -3 *163:8 *163:18 4.7542 -4 *163:18 *163:26 14.6783 -5 *163:26 *163:39 33.4821 -6 *163:39 *1278:A1 11.0679 -7 *163:39 *1281:A1 9.83571 -8 *163:26 *1305:A2 9.3 -9 *163:18 *163:57 10.6689 -10 *163:57 *163:63 11.0893 -11 *163:63 *1190:A 13.8 -12 *163:63 *1258:A1 17.7911 -13 *163:57 *1260:A 34.3 -*END - -*D_NET *164 0.0118057 -*CONN -*I *1271:A I *D sky130_fd_sc_hd__buf_2 -*I *1292:A1 I *D sky130_fd_sc_hd__o22a_2 -*I *1191:B I *D sky130_fd_sc_hd__nand2_2 -*I *1302:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1190:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1271:A 0.00113834 -2 *1292:A1 8.46376e-05 -3 *1191:B 0.000418424 -4 *1302:B1 0.000349499 -5 *1190:Y 0 -6 *164:47 0.00139659 -7 *164:15 0.00190901 -8 *164:4 0.00131471 -9 *1191:B *1194:A2 5.79582e-06 -10 *1191:B *165:23 1.90936e-05 -11 *1191:B *217:22 0.000308592 -12 *1191:B *218:8 0 -13 *1271:A *1253:A1 0.00015742 -14 *1271:A *1295:B 0 -15 *1271:A *1297:A 0.000216755 -16 *1271:A *1297:C 0 -17 *1271:A *1298:C1 9.41642e-05 -18 *1271:A *197:69 0.000120325 -19 *1271:A *221:7 0.000203933 -20 *1271:A *221:12 4.35452e-05 -21 *1271:A *221:24 3.63775e-05 -22 *1271:A *221:26 2.42662e-05 -23 *1271:A *221:39 2.95641e-05 -24 *1271:A *233:11 5.33005e-05 -25 *1271:A *239:9 9.90367e-05 -26 *1292:A1 *1260:B 0.000143407 -27 *1292:A1 *1290:A_N 0.000129383 -28 *1292:A1 *1292:A2 1.1594e-05 -29 *1302:B1 *1248:B 6.64554e-05 -30 *1302:B1 *1302:B2 6.26207e-05 -31 *1302:B1 *309:21 0.000144135 -32 *1302:B1 *372:13 9.80545e-05 -33 *164:15 *1244:B 1.01417e-05 -34 *164:15 *1246:B 0.000122006 -35 *164:15 *1247:B 3.47795e-05 -36 *164:15 *203:10 0 -37 *164:15 *207:15 0.000345563 -38 *164:15 *216:25 0.000243677 -39 *164:15 *218:8 1.31235e-05 -40 *164:15 *218:56 1.45117e-05 -41 *164:15 *221:39 0.000709714 -42 *164:15 *309:21 4.13595e-05 -43 *164:15 *317:18 2.74981e-06 -44 *164:15 *317:24 0.000155537 -45 *164:47 *1190:B 0.0001399 -46 *164:47 *221:39 8.41032e-05 -47 *406:DIODE *164:15 3.47641e-06 -48 *409:DIODE *1271:A 0 -49 *1258:A1 *164:15 2.15339e-05 -50 *1302:A1 *1191:B 0.000386241 -51 *1302:A2 *1191:B 1.08359e-05 -52 *92:67 *164:15 0.000385015 -53 *137:27 *1191:B 9.15842e-06 -54 *139:38 *1191:B 0.000146371 -55 *139:60 *1191:B 1.59504e-05 -56 *162:42 *1191:B 0.000184453 -57 *162:68 *164:15 4.45973e-06 -58 *163:63 *164:15 4.19535e-05 -*RES -1 *1190:Y *164:4 9.3 -2 *164:4 *164:15 22.7095 -3 *164:15 *1302:B1 24.3625 -4 *164:15 *1191:B 28.9964 -5 *164:4 *164:47 3 -6 *164:47 *1292:A1 20.2464 -7 *164:47 *1271:A 35.425 -*END - -*D_NET *165 0.00519383 -*CONN -*I *1262:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1303:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1195:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1191:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1262:A1 7.35347e-05 -2 *1303:A1 5.23739e-05 -3 *1195:A1 0.000262962 -4 *1191:Y 0 -5 *165:23 0.00100023 -6 *165:5 0.00113729 -7 *1262:A1 *206:46 0.000249262 -8 *1262:A1 *212:17 0.000117808 -9 *1303:A1 *206:46 0.000151396 -10 *1303:A1 *212:17 8.2003e-05 -11 *165:23 *1263:B1 0.000323302 -12 *165:23 *1282:B1 4.22135e-06 -13 *165:23 *1302:B2 0.000129381 -14 *165:23 *198:41 0 -15 *165:23 *217:22 0.000206643 -16 *165:23 *218:38 0.000187267 -17 *1191:B *165:23 1.90936e-05 -18 *1195:B1 *1195:A1 0.000311432 -19 *1195:B1 *165:23 2.28343e-05 -20 *1264:A1 *165:23 0.000102892 -21 *1267:A1 *165:23 0.000664092 -22 *136:17 *1195:A1 9.58181e-05 -*RES -1 *1191:Y *165:5 13.8 -2 *165:5 *1195:A1 18.8179 -3 *165:5 *165:23 24.2643 -4 *165:23 *1303:A1 10.9161 -5 *165:23 *1262:A1 11.7375 -*END - -*D_NET *166 0.000693194 -*CONN -*I *1212:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1192:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1212:A 0 -2 *1195:A2 7.4301e-05 -3 *1192:Y 0.000126375 -4 *166:7 0.000200676 -5 *1195:A2 *1195:A3 0.000127446 -6 *1175:B *166:7 1.33343e-05 -7 *154:11 *166:7 0.000151061 -*RES -1 *1192:Y *166:7 12.3179 -2 *166:7 *1195:A2 19.9429 -3 *166:7 *1212:A 9.3 -*END - -*D_NET *167 0.00115735 -*CONN -*I *1194:A2 I *D sky130_fd_sc_hd__a21o_2 -*I *1193:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1194:A2 0.000296801 -2 *1193:Y 0.000296801 -3 *1194:A2 *168:5 0.000227539 -4 *1191:B *1194:A2 5.79582e-06 -5 *139:38 *1194:A2 0.000101233 -6 *154:11 *1194:A2 0.000229181 -*RES -1 *1193:Y *1194:A2 34.1536 -*END - -*D_NET *168 0.0067449 -*CONN -*I *1210:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1212:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1195:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1194:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1210:A2 0.000974924 -2 *1212:B 0 -3 *1195:A3 0.000143328 -4 *1194:X 0 -5 *168:5 0.000253991 -6 *168:4 0.00108559 -7 *1210:A2 *1210:B1 6.49512e-05 -8 *1210:A2 *170:19 0 -9 *1210:A2 *220:12 5.60463e-06 -10 *1210:A2 *220:81 0.000140057 -11 *1210:A2 *271:25 2.11419e-05 -12 *417:DIODE *1210:A2 7.0305e-06 -13 *1175:B *1195:A3 9.41642e-05 -14 *1175:B *1210:A2 0.000531286 -15 *1175:B *168:5 0.00065911 -16 *1181:A_N *1210:A2 0.000188586 -17 *1181:C *1210:A2 0.000416917 -18 *1181:C *168:5 1.21289e-05 -19 *1182:A3 *1210:A2 4.23233e-05 -20 *1193:A *1210:A2 0 -21 *1194:A2 *168:5 0.000227539 -22 *1194:B1 *168:5 9.90367e-05 -23 *1195:A2 *1195:A3 0.000127446 -24 *1195:B1 *1195:A3 0.00019384 -25 *1215:A *1195:A3 0 -26 *25:26 *1210:A2 0.000588938 -27 *135:19 *1195:A3 0.00010096 -28 *136:17 *1195:A3 9.90367e-05 -29 *139:34 *1210:A2 0.000396116 -30 *140:17 *1210:A2 6.63645e-05 -31 *154:11 *1195:A3 4.58194e-05 -32 *154:11 *168:5 0.000158678 -*RES -1 *1194:X *168:4 9.3 -2 *168:4 *168:5 6.28571 -3 *168:5 *1195:A3 22.7464 -4 *168:5 *1212:B 9.3 -5 *168:4 *1210:A2 38.6944 -*END - -*D_NET *169 0.00380847 -*CONN -*I *1197:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1202:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1206:B I *D sky130_fd_sc_hd__xor2_2 -*I *1195:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1197:A3 0.000162608 -2 *1202:A2 0 -3 *1206:B 0.00066237 -4 *1195:X 0.000475698 -5 *169:20 0.00034928 -6 *1197:A3 *182:16 0.000195396 -7 *1197:A3 *284:35 1.66856e-05 -8 *1206:B *1164:A 0.000148182 -9 *1206:B *1164:B 2.28335e-05 -10 *169:20 *284:35 0.000365968 -11 *1187:A *1206:B 0.000224577 -12 *1187:B *1197:A3 1.52978e-05 -13 *1197:A2 *1197:A3 0.000370812 -14 *1202:B1 *169:20 5.33005e-05 -15 *1206:A *1197:A3 2.19064e-05 -16 *1206:A *169:20 0.000364996 -17 *135:19 *1206:B 0.000172654 -18 *135:41 *1206:B 1.21289e-05 -19 *136:31 *1197:A3 4.43256e-05 -20 *138:13 *1206:B 3.69697e-05 -21 *148:24 *1197:A3 2.37817e-05 -22 *160:6 *1197:A3 6.42338e-05 -23 *161:8 *1197:A3 4.46186e-06 -*RES -1 *1195:X *1206:B 25.7107 -2 *1206:B *169:20 6.17857 -3 *169:20 *1202:A2 9.3 -4 *169:20 *1197:A3 24.5946 -*END - -*D_NET *170 0.0057809 -*CONN -*I *1247:B I *D sky130_fd_sc_hd__nor2_2 -*I *1288:A I *D sky130_fd_sc_hd__and2_2 -*I *1197:B1 I *D sky130_fd_sc_hd__a32o_2 -*I *1196:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1247:B 0.000819243 -2 *1288:A 4.54335e-05 -3 *1197:B1 0.000277884 -4 *1196:Y 0.00010084 -5 *170:19 0.00118089 -6 *170:7 0.000694936 -7 *1197:B1 *182:16 1.32276e-05 -8 *1247:B *1244:B 4.53834e-05 -9 *1247:B *1245:C 6.80326e-05 -10 *1247:B *1247:A 0.000106391 -11 *1247:B *198:41 0 -12 *1247:B *232:10 0 -13 *1247:B *372:13 0.000360836 -14 *1288:A *211:40 1.21258e-05 -15 *1288:A *294:18 0.000181995 -16 *170:7 *273:47 5.20426e-05 -17 *170:19 *1245:C 0.000150055 -18 *170:19 *220:12 0 -19 *170:19 *220:81 2.57223e-05 -20 *170:19 *273:47 7.95238e-05 -21 *1172:B *1288:A 0.000139208 -22 *1182:A2 *1197:B1 0 -23 *1182:A2 *170:19 0 -24 *1182:A3 *170:19 4.21517e-05 -25 *1203:A *1197:B1 5.58941e-05 -26 *1209:A1 *1197:B1 1.37292e-05 -27 *1210:A2 *170:19 0 -28 *1283:C1 *1247:B 1.7845e-05 -29 *1283:C1 *170:19 5.74707e-05 -30 *1284:A2 *1247:B 2.06178e-05 -31 *1306:A *1247:B 6.87834e-06 -32 *5:113 *170:7 1.72377e-05 -33 *37:24 *1247:B 0.000132341 -34 *92:67 *1247:B 0.000916588 -35 *136:31 *1197:B1 3.28686e-05 -36 *136:38 *1197:B1 0 -37 *139:34 *1197:B1 0 -38 *144:22 *1247:B 1.03403e-05 -39 *158:9 *1197:B1 3.69697e-05 -40 *163:39 *1247:B 3.14193e-05 -41 *164:15 *1247:B 3.47795e-05 -*RES -1 *1196:Y *170:7 15.1571 -2 *170:7 *1197:B1 18.2018 -3 *170:7 *170:19 6.125 -4 *170:19 *1288:A 15.5679 -5 *170:19 *1247:B 28.3245 -*END - -*D_NET *171 0.00340606 -*CONN -*I *1199:A I *D sky130_fd_sc_hd__and2_2 -*I *1200:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1197:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1199:A 0.000247087 -2 *1200:A1 0 -3 *1197:X 0.000366332 -4 *171:9 0.000613419 -5 *1199:A *1199:B 5.33005e-05 -6 *1199:A *1200:A2 9.90367e-05 -7 *1199:A *275:30 0.000113073 -8 *171:9 *1209:A0 0.000139907 -9 *171:9 *275:30 0.000368535 -10 *1183:A *171:9 5.71472e-05 -11 *1198:B *171:9 9.07969e-05 -12 *1200:B1 *171:9 0.000274294 -13 *1201:A1 *1199:A 4.46186e-06 -14 *1209:A1 *171:9 0.000356401 -15 *29:10 *1199:A 0.000196269 -16 *92:8 *1199:A 0.000100969 -17 *136:35 *171:9 0.000185118 -18 *136:56 *171:9 0.000139907 -*RES -1 *1197:X *171:9 21.0679 -2 *171:9 *1200:A1 9.3 -3 *171:9 *1199:A 24.3893 -*END - -*D_NET *172 0.00129056 -*CONN -*I *1199:B I *D sky130_fd_sc_hd__and2_2 -*I *1200:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1198:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1199:B 0.000126404 -2 *1200:A2 9.6835e-05 -3 *1198:Y 0 -4 *172:4 0.000223239 -5 *1199:B *1462:TE 0 -6 *1199:B *284:33 0.000260574 -7 *1200:A2 *1201:B2 8.06427e-05 -8 *1200:A2 *275:30 9.90367e-05 -9 *1198:A *1199:B 5.52238e-05 -10 *1199:A *1199:B 5.33005e-05 -11 *1199:A *1200:A2 9.90367e-05 -12 *92:8 *1200:A2 0.000196269 -*RES -1 *1198:Y *172:4 9.3 -2 *172:4 *1200:A2 21.8 -3 *172:4 *1199:B 12.3179 -*END - -*D_NET *173 0.000944184 -*CONN -*I *1201:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1199:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1201:B1 0.00027943 -2 *1199:X 0.00027943 -3 *1201:B1 *418:DIODE 1.98839e-05 -4 *1201:B1 *1459:B 5.33005e-05 -5 *1201:B1 *1461:TE 3.17148e-05 -6 *1201:B1 *272:10 0.000123295 -7 *1201:B1 *372:14 0.000100831 -8 *1201:A1 *1201:B1 5.62995e-05 -*RES -1 *1199:X *1201:B1 33.0643 -*END - -*D_NET *174 0.000569506 -*CONN -*I *1201:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1200:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1201:B2 0.000201383 -2 *1200:Y 0.000201383 -3 *1201:B2 *276:13 1.52978e-05 -4 *1200:A2 *1201:B2 8.06427e-05 -5 *1200:B1 *1201:B2 0 -6 *1201:A1 *1201:B2 6.58195e-05 -7 *92:8 *1201:B2 4.98055e-06 -8 *157:6 *1201:B2 0 -9 *157:13 *1201:B2 0 -*RES -1 *1200:Y *1201:B2 31.975 -*END - -*D_NET *175 0.000556986 -*CONN -*I *1203:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1202:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1203:B 0.000228819 -2 *1202:Y 0.000228819 -3 *1203:B *284:35 6.4549e-05 -4 *1206:A *1203:B 1.33343e-05 -5 *161:11 *1203:B 2.14658e-05 -*RES -1 *1202:Y *1203:B 21.9214 -*END - -*D_NET *176 0.000538864 -*CONN -*I *1204:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1203:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1204:A1 0.000188935 -2 *1203:Y 0.000188935 -3 *1204:A1 *284:35 8.25843e-06 -4 *157:39 *1204:A1 0.000152736 -*RES -1 *1203:Y *1204:A1 30.4036 -*END - -*D_NET *177 0.00045626 -*CONN -*I *1205:A I *D sky130_fd_sc_hd__buf_2 -*I *1204:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1205:A 0.000178609 -2 *1204:X 0.000178609 -3 *1205:A *276:21 9.90431e-05 -4 *148:24 *1205:A 0 -*RES -1 *1204:X *1205:A 30.4929 -*END - -*D_NET *178 0.00026108 -*CONN -*I *1207:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1206:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1207:A1 9.30532e-05 -2 *1206:X 9.30532e-05 -3 *1207:A1 *284:35 1.92789e-05 -4 *1207:A0 *1207:A1 5.56943e-05 -5 *1214:B *1207:A1 0 -*RES -1 *1206:X *1207:A1 29.475 -*END - -*D_NET *179 0.000421512 -*CONN -*I *1208:A I *D sky130_fd_sc_hd__buf_2 -*I *1207:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1208:A 9.18538e-05 -2 *1207:X 9.18538e-05 -3 *1208:A *276:21 6.05161e-06 -4 *1357:D *1208:A 4.70078e-05 -5 *157:48 *1208:A 0.000184745 -*RES -1 *1207:X *1208:A 21.1 -*END - -*D_NET *180 0.00099811 -*CONN -*I *1210:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1209:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1210:B1 0.000253898 -2 *1209:X 0.000253898 -3 *1210:B1 *198:58 0 -4 *1210:B1 *271:12 3.34295e-05 -5 *1210:B1 *271:25 0.000262438 -6 *1183:A *1210:B1 9.56159e-05 -7 *1210:A2 *1210:B1 6.49512e-05 -8 *140:17 *1210:B1 3.38789e-05 -*RES -1 *1209:X *1210:B1 33.3143 -*END - -*D_NET *181 0.0020642 -*CONN -*I *1211:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1210:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1211:B 0.000569216 -2 *1210:X 0.000569216 -3 *1211:B *274:20 0.000103091 -4 *409:DIODE *1211:B 0.000146533 -5 *1181:B *1211:B 0.000340287 -6 *1184:A *1211:B 9.97901e-05 -7 *5:113 *1211:B 4.58194e-05 -8 *155:5 *1211:B 9.60875e-05 -9 *155:18 *1211:B 9.41642e-05 -*RES -1 *1210:X *1211:B 39.0821 -*END - -*D_NET *182 0.00481625 -*CONN -*I *1213:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1212:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1213:A2_N 0 -2 *1212:Y 0.00122181 -3 *182:16 0.00122181 -4 *182:16 *1163:A 0.000117621 -5 *182:16 *1164:B 9.60875e-05 -6 *182:16 *274:20 6.72808e-05 -7 *1187:A *182:16 2.42547e-05 -8 *1197:A2 *182:16 1.74352e-05 -9 *1197:A3 *182:16 0.000195396 -10 *1197:B1 *182:16 1.32276e-05 -11 *1198:B *182:16 5.52238e-05 -12 *1219:A2 *182:16 5.89612e-05 -13 *135:19 *182:16 0.000117621 -14 *135:41 *182:16 0.000269428 -15 *136:31 *182:16 0.00105556 -16 *136:35 *182:16 0.000146376 -17 *136:56 *182:16 6.13217e-05 -18 *137:8 *182:16 3.2534e-05 -19 *155:18 *182:16 4.43046e-05 -*RES -1 *1212:Y *182:16 47.9964 -2 *182:16 *1213:A2_N 13.8 -*END - -*D_NET *183 0.000728874 -*CONN -*I *1215:B I *D sky130_fd_sc_hd__xor2_2 -*I *1214:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1215:B 0.000339629 -2 *1214:Y 0.000339629 -3 *1215:B *259:48 0 -4 *1215:B *284:35 4.96155e-05 -*RES -1 *1214:Y *1215:B 23.8625 -*END - -*D_NET *184 0.000491807 -*CONN -*I *1216:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1215:X O *D sky130_fd_sc_hd__xor2_2 -*CAP -1 *1216:A1 0.000217212 -2 *1215:X 0.000217212 -3 *1216:A1 *284:35 5.018e-05 -4 *1216:S *1216:A1 7.20217e-06 -*RES -1 *1215:X *1216:A1 30.8321 -*END - -*D_NET *185 0.000286881 -*CONN -*I *1217:A I *D sky130_fd_sc_hd__buf_2 -*I *1216:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1217:A 0.000143441 -2 *1216:X 0.000143441 -*RES -1 *1216:X *1217:A 21.1 -*END - -*D_NET *186 0.000526344 -*CONN -*I *1219:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1218:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1219:B1 8.01495e-05 -2 *1218:Y 8.01495e-05 -3 *1219:B1 *276:15 0.000268396 -4 *1353:D *1219:B1 9.76491e-05 -*RES -1 *1218:Y *1219:B1 21.1893 -*END - -*D_NET *187 0.00214208 -*CONN -*I *1227:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1221:B I *D sky130_fd_sc_hd__and2_2 -*I *1224:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1220:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1227:A1 4.88504e-05 -2 *1221:B 0.000152838 -3 *1224:A2 0.000160004 -4 *1220:X 5.02282e-05 -5 *187:10 0.000462265 -6 *187:7 0.000248502 -7 *1221:B *1221:A 6.42338e-05 -8 *1221:B *1226:B 4.22378e-05 -9 *1221:B *1351:CLK 0 -10 *1221:B *188:9 7.50697e-05 -11 *1221:B *251:42 9.24843e-06 -12 *1221:B *254:75 0 -13 *1224:A2 *1224:A1 5.7661e-06 -14 *1224:A2 *1224:B1 0.000175643 -15 *1224:A2 *258:7 5.19842e-06 -16 *1227:A1 *1220:A 4.43256e-05 -17 *187:7 *1220:A 2.89114e-05 -18 *187:7 *256:18 6.05161e-06 -19 *187:10 *1225:A1 0.000144038 -20 *187:10 *254:75 0 -21 *187:10 *256:15 5.66157e-05 -22 *85:19 *187:10 0.000205065 -23 *85:43 *1221:B 5.59013e-05 -24 *85:43 *187:10 0.000101091 -*RES -1 *1220:X *187:7 10.2643 -2 *187:7 *187:10 8.57143 -3 *187:10 *1224:A2 16.3 -4 *187:10 *1221:B 17.2643 -5 *187:7 *1227:A1 10.2464 -*END - -*D_NET *188 0.00195471 -*CONN -*I *1226:B I *D sky130_fd_sc_hd__nand2_2 -*I *1223:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1222:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1221:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1226:B 7.30039e-05 -2 *1223:B 0 -3 *1222:A2 0.000278372 -4 *1221:X 0 -5 *188:9 0.000392723 -6 *188:5 0.000187356 -7 *1222:A2 *1223:A_N 4.38128e-05 -8 *1222:A2 *1224:B1 5.71472e-05 -9 *1222:A2 *259:11 3.57366e-05 -10 *1222:A2 *259:23 0.000215121 -11 *1226:B *1226:A 0 -12 *1226:B *250:19 0.000185403 -13 *1226:B *252:47 0.000102197 -14 *188:9 *1224:B1 8.76257e-05 -15 *188:9 *1226:A 0 -16 *1221:B *1226:B 4.22378e-05 -17 *1221:B *188:9 7.50697e-05 -18 *1222:B1 *1222:A2 5.71472e-05 -19 *85:52 *1222:A2 6.84283e-05 -20 *85:52 *188:9 5.33334e-05 -*RES -1 *1221:X *188:5 13.8 -2 *188:5 *188:9 7.39286 -3 *188:9 *1222:A2 15.3357 -4 *188:9 *1223:B 9.3 -5 *188:5 *1226:B 16.6036 -*END - -*D_NET *189 0.000694526 -*CONN -*I *1224:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1223:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1224:B1 0.000150013 -2 *1223:Y 0.000150013 -3 *1224:B1 *258:7 1.32552e-05 -4 *1224:B1 *258:17 1.15281e-05 -5 *1222:A2 *1224:B1 5.71472e-05 -6 *1224:A2 *1224:B1 0.000175643 -7 *85:52 *1224:B1 4.92997e-05 -8 *188:9 *1224:B1 8.76257e-05 -*RES -1 *1223:Y *1224:B1 22.4393 -*END - -*D_NET *190 0.000464796 -*CONN -*I *1227:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1225:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1227:A2 0.000116065 -2 *1225:Y 0.000116065 -3 *1227:A2 *1220:A 0.000115478 -4 *1227:A2 *257:23 0.000117189 -5 *85:19 *1227:A2 0 -*RES -1 *1225:Y *1227:A2 30.3857 -*END - -*D_NET *191 0.00380143 -*CONN -*I *1227:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1231:A1 I *D sky130_fd_sc_hd__a21boi_2 -*I *1232:C I *D sky130_fd_sc_hd__nand3_2 -*I *1226:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1227:B1 0.000219832 -2 *1231:A1 0 -3 *1232:C 1.8757e-05 -4 *1226:Y 0.000624628 -5 *191:20 0.00074516 -6 *191:8 0.00116871 -7 *1227:B1 *1220:A 5.52302e-05 -8 *1227:B1 *1229:A 0 -9 *1227:B1 *254:93 0 -10 *1227:B1 *257:11 2.65105e-05 -11 *191:8 *1225:A1 0 -12 *191:8 *1321:B 0 -13 *191:8 *254:75 0.000356958 -14 *191:8 *371:8 0 -15 *191:20 *1229:A 0 -16 *191:20 *1230:A 0 -17 *191:20 *1231:A2 0.000174797 -18 *191:20 *256:15 0 -19 *1107:S *191:8 4.71964e-05 -20 *1222:B1 *191:8 1.65558e-05 -21 *1225:A2 *191:8 0 -22 *1230:B *191:20 2.44318e-05 -23 *1232:B *191:8 0 -24 *1348:D *191:8 6.26466e-05 -25 *1348:RESET_B *191:8 0 -26 *1352:D *191:8 5.24274e-05 -27 *85:19 *1227:B1 0 -28 *90:68 *1232:C 5.52238e-05 -29 *90:68 *191:20 0.000152357 -30 *90:71 *191:8 0 -*RES -1 *1226:Y *191:8 30.5679 -2 *191:8 *1232:C 9.83571 -3 *191:8 *191:20 14.375 -4 *191:20 *1231:A1 13.8 -5 *191:20 *1227:B1 17.2286 -*END - -*D_NET *192 0.000993843 -*CONN -*I *1228:B I *D sky130_fd_sc_hd__and2_2 -*I *1227:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1228:B 0.000290926 -2 *1227:Y 0.000290926 -3 *1228:B *1229:A 7.6644e-05 -4 *1228:B *254:89 5.74756e-05 -5 *1228:B *257:11 0.000273269 -6 *1228:A *1228:B 4.6025e-06 -7 *1349:RESET_B *1228:B 0 -*RES -1 *1227:Y *1228:B 32.1357 -*END - -*D_NET *193 0.00126112 -*CONN -*I *1229:A I *D sky130_fd_sc_hd__buf_2 -*I *1228:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1229:A 0.000390849 -2 *1228:X 0.000390849 -3 *1229:A *1231:A2 0 -4 *1229:A *249:40 2.89114e-05 -5 *1229:A *254:89 1.37292e-05 -6 *1229:A *254:93 6.04069e-05 -7 *1227:B1 *1229:A 0 -8 *1228:B *1229:A 7.6644e-05 -9 *1349:RESET_B *1229:A 0 -10 *1350:D *1229:A 0.000299729 -11 *191:20 *1229:A 0 -*RES -1 *1228:X *1229:A 35.475 -*END - -*D_NET *194 0.000362148 -*CONN -*I *1231:A2 I *D sky130_fd_sc_hd__a21boi_2 -*I *1230:Y O *D sky130_fd_sc_hd__xnor2_2 -*CAP -1 *1231:A2 9.3675e-05 -2 *1230:Y 9.3675e-05 -3 *1229:A *1231:A2 0 -4 *191:20 *1231:A2 0.000174797 -*RES -1 *1230:Y *1231:A2 29.85 -*END - -*D_NET *195 0.000880169 -*CONN -*I *1234:A I *D sky130_fd_sc_hd__buf_2 -*I *1233:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1234:A 0.000190459 -2 *1233:X 0.000190459 -3 *1234:A *269:8 5.66647e-05 -4 *1105:A *1234:A 0 -5 *1176:A_N *1234:A 0 -6 *1347:D *1234:A 0.000442586 -*RES -1 *1233:X *1234:A 33.1893 -*END - -*D_NET *196 0.000403113 -*CONN -*I *1236:A I *D sky130_fd_sc_hd__buf_2 -*I *1235:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1236:A 0.000144218 -2 *1235:X 0.000144218 -3 *1236:A *1235:A1 0 -4 *1236:A *268:7 8.6054e-06 -5 *85:11 *1236:A 5.59597e-05 -6 *150:13 *1236:A 5.01117e-05 -*RES -1 *1235:X *1236:A 30.1089 -*END - -*D_NET *197 0.0153627 -*CONN -*I *1275:A1 I *D sky130_fd_sc_hd__o31a_2 -*I *1307:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1299:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1253:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1277:B2 I *D sky130_fd_sc_hd__a32o_2 -*I *1241:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1238:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1275:A1 3.0865e-05 -2 *1307:B2 0 -3 *1299:B2 0 -4 *1311:B2 0.000314128 -5 *1253:A1 0.000182687 -6 *1277:B2 3.68998e-05 -7 *1241:A1 0.000332341 -8 *1238:X 9.72438e-05 -9 *197:69 0.000737106 -10 *197:66 0.00197897 -11 *197:53 0.00276645 -12 *197:28 0.00141808 -13 *197:21 0.000545397 -14 *197:5 0.00059071 -15 *1241:A1 *198:16 0 -16 *1253:A1 *1311:A3 3.22831e-05 -17 *1253:A1 *221:12 9.60771e-05 -18 *1311:B2 *1299:A2 3.6692e-06 -19 *1311:B2 *1311:A3 3.67142e-05 -20 *1311:B2 *239:9 1.00073e-05 -21 *197:5 *1275:B1 7.77652e-05 -22 *197:21 *1275:B1 5.33433e-05 -23 *197:28 *1275:B1 3.57366e-05 -24 *197:28 *1279:B1 7.50601e-05 -25 *197:28 *206:8 0.000188555 -26 *197:28 *206:17 0.000138165 -27 *197:28 *207:20 4.75152e-06 -28 *197:53 *1265:B1 0.000270646 -29 *197:53 *1307:A3 4.34049e-05 -30 *197:53 *1435:Z 2.00258e-05 -31 *197:53 *206:17 7.90803e-05 -32 *197:53 *226:132 1.54716e-05 -33 *197:53 *321:6 1.6806e-05 -34 *197:53 *344:13 0.000657971 -35 *197:53 *351:8 2.02794e-05 -36 *197:66 *1272:A2 1.81922e-05 -37 *197:66 *1293:A 0.000779082 -38 *197:66 *1304:A2 9.68015e-05 -39 *197:66 *1307:A1 0.000203565 -40 *197:66 *1307:A3 4.53841e-05 -41 *197:66 *213:37 8.23878e-05 -42 *197:66 *226:102 0.000308906 -43 *197:66 *236:5 6.05161e-06 -44 *197:66 *301:13 0 -45 *197:66 *315:8 6.32026e-05 -46 *197:66 *317:24 6.47254e-05 -47 *197:66 *330:21 7.47629e-06 -48 *197:66 *363:17 4.73384e-05 -49 *197:69 *1287:B 8.02057e-05 -50 *197:69 *1289:B1 5.83088e-05 -51 *197:69 *1299:A1 0 -52 *197:69 *1311:A2 8.18231e-05 -53 *197:69 *1311:A3 3.20153e-05 -54 *197:69 *226:102 7.80059e-05 -55 *409:DIODE *197:69 0 -56 *413:DIODE *197:66 0.000101926 -57 *414:DIODE *197:53 1.38167e-05 -58 *414:DIODE *197:66 2.07809e-05 -59 *1253:A2 *1253:A1 3.51368e-05 -60 *1267:A1 *197:28 0 -61 *1267:A1 *197:53 0 -62 *1271:A *1253:A1 0.00015742 -63 *1271:A *197:69 0.000120325 -64 *1275:A2 *1275:A1 4.22908e-05 -65 *1275:A2 *197:28 1.7859e-05 -66 *1275:A3 *1241:A1 0 -67 *1277:B1 *1277:B2 2.59355e-05 -68 *1279:A2 *197:28 0 -69 *1311:B1 *1311:B2 9.75337e-06 -70 *15:14 *197:28 0.000122946 -71 *15:14 *197:53 0.000337984 -72 *22:8 *197:66 0.000565157 -73 *24:22 *197:66 0.000581528 -74 *36:27 *197:28 2.14771e-05 -75 *36:27 *197:66 0.00015518 -76 *37:20 *197:21 3.61629e-06 -77 *37:20 *197:28 1.74352e-05 -78 *92:67 *1253:A1 1.90936e-05 -79 *162:11 *1241:A1 3.29246e-05 -80 *163:39 *197:28 0 -*RES -1 *1238:X *197:5 10.6571 -2 *197:5 *1241:A1 23.4786 -3 *197:5 *197:21 2.5 -4 *197:21 *197:28 12.2679 -5 *197:28 *1277:B2 14.3357 -6 *197:28 *197:53 35.5 -7 *197:53 *197:66 21.3115 -8 *197:66 *197:69 10.6964 -9 *197:69 *1253:A1 18.05 -10 *197:69 *1311:B2 17.9607 -11 *197:66 *1299:B2 9.3 -12 *197:53 *1307:B2 13.8 -13 *197:21 *1275:A1 10.2643 -*END - -*D_NET *198 0.015712 -*CONN -*I *1280:A I *D sky130_fd_sc_hd__buf_2 -*I *1319:A I *D sky130_fd_sc_hd__buf_2 -*I *1328:A I *D sky130_fd_sc_hd__buf_2 -*I *1252:B I *D sky130_fd_sc_hd__nor2_2 -*I *1242:A I *D sky130_fd_sc_hd__buf_2 -*I *1274:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1240:B I *D sky130_fd_sc_hd__nand2_2 -*I *1239:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1280:A 0.000154175 -2 *1319:A 3.27826e-05 -3 *1328:A 0.000439722 -4 *1252:B 8.70882e-05 -5 *1242:A 0.000189687 -6 *1274:B 0 -7 *1240:B 7.39141e-05 -8 *1239:X 0 -9 *198:60 0.000626967 -10 *198:58 0.000875963 -11 *198:41 0.00324943 -12 *198:16 0.000365169 -13 *198:5 0.000428398 -14 *198:4 0.00277402 -15 *1242:A *1279:A1 9.80173e-05 -16 *1280:A *1331:B 9.71197e-05 -17 *1280:A *226:7 0.000101157 -18 *1319:A *254:202 0.000140243 -19 *1328:A *1331:A 4.93636e-05 -20 *1328:A *249:20 0.000170762 -21 *1328:A *249:24 0.000385395 -22 *1328:A *251:8 0.0001164 -23 *1328:A *251:15 5.16396e-05 -24 *1328:A *251:62 2.5236e-05 -25 *198:41 *236:8 1.59371e-05 -26 *198:41 *372:13 0.000269623 -27 *198:58 *271:8 0 -28 *198:58 *271:12 0 -29 *198:58 *276:13 0 -30 *198:60 *1331:A 7.99147e-05 -31 *198:60 *1331:B 0.000149662 -32 *198:60 *249:20 6.97424e-05 -33 *198:60 *271:8 0 -34 *391:DIODE *198:58 8.43253e-05 -35 *417:DIODE *1252:B 0.000135028 -36 *1182:A3 *198:41 6.14328e-05 -37 *1183:A *198:58 0.000192693 -38 *1188:A *198:41 5.75842e-05 -39 *1198:B *198:58 4.71964e-05 -40 *1200:B1 *198:58 7.22594e-05 -41 *1210:B1 *198:58 0 -42 *1211:A *198:58 0 -43 *1240:A *1240:B 5.46383e-06 -44 *1241:A1 *198:16 0 -45 *1241:A2 *198:16 2.11419e-05 -46 *1241:A2 *198:41 0.000620606 -47 *1247:B *198:41 0 -48 *1248:A *1242:A 0.000127984 -49 *1252:A *198:41 0.000123687 -50 *1252:A *198:58 4.60265e-05 -51 *1279:A2 *1242:A 0 -52 *1350:RESET_B *1328:A 0 -53 *1353:D *198:58 0.000148903 -54 *1353:D *198:60 0.000150618 -55 *1353:RESET_B *1319:A 7.36469e-05 -56 *1360:RESET_B *1328:A 0 -57 *5:14 *1242:A 1.17968e-05 -58 *5:14 *198:16 0.000175299 -59 *5:44 *1240:B 2.11336e-05 -60 *5:44 *198:5 5.98787e-05 -61 *5:56 *198:5 0.000100165 -62 *25:26 *198:41 5.66752e-05 -63 *30:17 *1242:A 1.55885e-05 -64 *156:8 *198:41 9.71324e-05 -65 *156:8 *198:58 1.12669e-05 -66 *157:6 *198:58 0.000116481 -67 *157:13 *198:58 0.000221163 -68 *162:42 *198:41 7.61845e-05 -69 *163:18 *198:41 0.000516334 -70 *163:26 *198:41 0.00114673 -71 *165:23 *198:41 0 -*RES -1 *1239:X *198:4 9.3 -2 *198:4 *198:5 2.58929 -3 *198:5 *1240:B 10.5679 -4 *198:5 *198:16 8.875 -5 *198:16 *1274:B 13.8 -6 *198:16 *1242:A 17.5321 -7 *198:4 *198:41 21.5603 -8 *198:41 *1252:B 15.5857 -9 *198:41 *198:58 14.0714 -10 *198:58 *198:60 4.98214 -11 *198:60 *1328:A 24.9964 -12 *198:60 *1319:A 15.1571 -13 *198:58 *1280:A 17.2107 -*END - -*D_NET *199 0.000303579 -*CONN -*I *1241:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1240:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1241:B1 8.30754e-05 -2 *1240:Y 8.30754e-05 -3 *1241:B1 *1372:A 6.48209e-05 -4 *1240:A *1241:B1 3.11088e-05 -5 *5:14 *1241:B1 4.14986e-05 -*RES -1 *1240:Y *1241:B1 29.7786 -*END - -*D_NET *200 0.0112732 -*CONN -*I *1279:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1265:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1268:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1254:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1259:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1263:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1250:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1242:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1279:A1 0.000372381 -2 *1265:A1 0.000322394 -3 *1268:A1 0.000533019 -4 *1254:A1 0 -5 *1259:A1 0 -6 *1263:A1 0.00014028 -7 *1250:A1 5.37718e-05 -8 *1242:X 0 -9 *200:39 0.00122575 -10 *200:31 0.000742992 -11 *200:28 0.000734436 -12 *200:7 0.000581713 -13 *200:5 0.00154206 -14 *200:4 0.001165 -15 *1263:A1 *1250:B1 0.0001967 -16 *1263:A1 *1456:A 0.00024478 -17 *1265:A1 *1423:A 0 -18 *1265:A1 *338:13 0 -19 *1268:A1 *1254:B1 1.38323e-05 -20 *1268:A1 *1407:TE 0.000184438 -21 *1268:A1 *1418:A 0.000123498 -22 *1268:A1 *1427:A 0 -23 *1268:A1 *322:8 0.000222492 -24 *1268:A1 *331:12 0.000314475 -25 *1268:A1 *331:17 6.13903e-05 -26 *1279:A1 *1248:B 0.000135494 -27 *200:5 *1393:Z 4.4787e-05 -28 *200:5 *1396:A 0.0001839 -29 *200:7 *1393:TE 4.72917e-05 -30 *200:7 *308:5 5.03772e-05 -31 *200:28 *1423:A 0 -32 *200:31 *1419:A 4.84159e-05 -33 *200:39 *1259:B1 9.00671e-05 -34 *200:39 *1418:A 0.0001871 -35 *200:39 *1419:A 1.97617e-05 -36 *388:DIODE *200:28 0.000104474 -37 *393:DIODE *1265:A1 3.29297e-05 -38 *393:DIODE *200:28 0.000149708 -39 *412:DIODE *1268:A1 7.83659e-05 -40 *1242:A *1279:A1 9.80173e-05 -41 *1248:A *1279:A1 2.14658e-05 -42 *1254:A2 *200:39 1.65914e-05 -43 *1263:A2 *1263:A1 6.05161e-06 -44 *1265:A2 *1265:A1 0.000122554 -45 *30:17 *1279:A1 4.99241e-05 -46 *30:17 *200:5 0.00020377 -47 *31:11 *200:39 0.000531064 -48 *32:8 *1265:A1 3.46386e-05 -49 *33:11 *200:28 0 -50 *36:16 *1263:A1 1.78447e-05 -51 *36:16 *200:28 0.000223246 -52 *37:13 *1265:A1 0 -53 *37:13 *200:28 0 -*RES -1 *1242:X *200:4 9.3 -2 *200:4 *200:5 10.3929 -3 *200:5 *200:7 4.64286 -4 *200:7 *1250:A1 9.83571 -5 *200:7 *1263:A1 22.425 -6 *200:5 *200:28 12.8214 -7 *200:28 *200:31 5.44643 -8 *200:31 *1259:A1 9.3 -9 *200:31 *200:39 10.3036 -10 *200:39 *1254:A1 9.3 -11 *200:39 *1268:A1 28.55 -12 *200:28 *1265:A1 18.4429 -13 *200:4 *1279:A1 15.7464 -*END - -*D_NET *201 0.00319417 -*CONN -*I *1244:B I *D sky130_fd_sc_hd__and2b_2 -*I *1285:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *1266:B I *D sky130_fd_sc_hd__nand2_2 -*I *1243:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1244:B 0.000199705 -2 *1285:A_N 1.16062e-05 -3 *1266:B 0.000241026 -4 *1243:Y 0.000326598 -5 *201:8 0.000320797 -6 *201:7 0.000594468 -7 *1244:B *1276:B 1.55485e-05 -8 *1244:B *216:25 2.64924e-05 -9 *1244:B *218:8 0 -10 *1266:B *1306:B 0.000167018 -11 *201:7 *1247:A 0.000195095 -12 *201:7 *1284:B1 0.000180777 -13 *1168:B *1266:B 0.000123498 -14 *1168:D *1266:B 9.67516e-05 -15 *1193:A *1266:B 7.55769e-05 -16 *1247:B *1244:B 4.53834e-05 -17 *1284:A2 *1244:B 1.62539e-05 -18 *1284:A2 *1266:B 6.50156e-05 -19 *1284:A2 *201:8 5.86416e-05 -20 *1306:A *1285:A_N 5.52302e-05 -21 *137:35 *1266:B 6.86784e-05 -22 *137:40 *1266:B 1.16455e-05 -23 *139:34 *1266:B 9.72196e-05 -24 *140:33 *1266:B 9.85599e-06 -25 *146:7 *1285:A_N 5.52302e-05 -26 *162:42 *1266:B 5.23735e-05 -27 *163:39 *1266:B 1.93639e-05 -28 *163:39 *201:8 5.41797e-05 -29 *164:15 *1244:B 1.01417e-05 -*RES -1 *1243:Y *201:7 18.0321 -2 *201:7 *201:8 1.64286 -3 *201:8 *1266:B 20.675 -4 *201:8 *1285:A_N 14.3357 -5 *201:7 *1244:B 17.1929 -*END - -*D_NET *202 0.00505394 -*CONN -*I *1255:A I *D sky130_fd_sc_hd__inv_2 -*I *1246:A I *D sky130_fd_sc_hd__nor2_2 -*I *1276:B I *D sky130_fd_sc_hd__nand2_2 -*I *1244:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *1255:A 0.000498621 -2 *1246:A 0 -3 *1276:B 0.000126815 -4 *1244:X 7.23452e-05 -5 *202:20 0.000838051 -6 *202:5 0.00053859 -7 *1255:A *1261:A 5.14767e-05 -8 *1255:A *1272:A2 1.83606e-05 -9 *1255:A *1273:B1 1.05731e-05 -10 *1255:A *1289:A1 0.000140908 -11 *1255:A *1293:A 4.35597e-05 -12 *1255:A *1304:A1 4.13114e-05 -13 *1255:A *204:8 4.28249e-05 -14 *1255:A *210:33 0 -15 *1255:A *213:37 0.000287938 -16 *1255:A *218:56 0.000149133 -17 *1255:A *220:21 0 -18 *1255:A *301:13 0.00056551 -19 *1255:A *330:21 0.000312732 -20 *1255:A *363:17 0.000189267 -21 *1276:B *1244:A_N 0 -22 *1276:B *1276:A 0.000271345 -23 *1276:B *216:25 1.78275e-05 -24 *1276:B *309:21 0.000271345 -25 *202:5 *309:21 5.67624e-05 -26 *202:20 *1244:A_N 2.20608e-05 -27 *202:20 *1286:B2 7.40536e-05 -28 *202:20 *1304:A1 2.39664e-05 -29 *202:20 *212:13 3.96289e-05 -30 *202:20 *221:39 2.06178e-05 -31 *202:20 *221:50 4.33438e-05 -32 *202:20 *309:21 0.000269428 -33 *1244:B *1276:B 1.55485e-05 -*RES -1 *1244:X *202:5 10.2464 -2 *202:5 *1276:B 21.925 -3 *202:5 *202:20 10.25 -4 *202:20 *1246:A 13.8 -5 *202:20 *1255:A 27.2499 -*END - -*D_NET *203 0.0029001 -*CONN -*I *1246:B I *D sky130_fd_sc_hd__nor2_2 -*I *1261:B I *D sky130_fd_sc_hd__nand2_2 -*I *1245:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1246:B 0.000354642 -2 *1261:B 0 -3 *1245:X 0.000247222 -4 *203:10 0.000601864 -5 *1246:B *1261:A 1.44428e-05 -6 *1246:B *1272:B2 1.98839e-05 -7 *1246:B *212:13 0.000142032 -8 *1246:B *215:15 7.8613e-05 -9 *1246:B *218:56 4.97144e-05 -10 *1246:B *221:39 0.000475795 -11 *1246:B *317:18 4.18606e-05 -12 *203:10 *1261:A 9.25014e-06 -13 *203:10 *232:10 0 -14 *406:DIODE *1246:B 4.22135e-06 -15 *1272:A1 *1246:B 2.57188e-05 -16 *30:23 *203:10 1.92905e-05 -17 *37:24 *203:10 6.26205e-05 -18 *162:63 *1246:B 2.32644e-05 -19 *162:68 *1246:B 0.000607657 -20 *164:15 *1246:B 0.000122006 -21 *164:15 *203:10 0 -*RES -1 *1245:X *203:10 17.2286 -2 *203:10 *1261:B 13.8 -3 *203:10 *1246:B 25.0694 -*END - -*D_NET *204 0.00151682 -*CONN -*I *1304:A2 I *D sky130_fd_sc_hd__o2111a_2 -*I *1249:A I *D sky130_fd_sc_hd__and2_2 -*I *1246:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1304:A2 0.000236282 -2 *1249:A 0 -3 *1246:Y 0.00012093 -4 *204:8 0.000357212 -5 *1304:A2 *1304:B1 2.06178e-05 -6 *1304:A2 *1304:C1 2.12647e-05 -7 *1304:A2 *1307:A3 0 -8 *1304:A2 *206:60 4.84073e-05 -9 *1304:A2 *330:21 0.000337651 -10 *204:8 *1272:A2 3.27093e-05 -11 *204:8 *1304:A1 2.41104e-05 -12 *204:8 *215:15 5.71472e-05 -13 *204:8 *301:13 5.52302e-05 -14 *413:DIODE *1304:A2 1.06305e-05 -15 *1255:A *204:8 4.28249e-05 -16 *16:8 *1304:A2 5.50052e-05 -17 *197:66 *1304:A2 9.68015e-05 -*RES -1 *1246:Y *204:8 16.2821 -2 *204:8 *1249:A 13.8 -3 *204:8 *1304:A2 22.6983 -*END - -*D_NET *205 0.00275494 -*CONN -*I *1264:A2 I *D sky130_fd_sc_hd__a21oi_2 -*I *1248:B I *D sky130_fd_sc_hd__nor2_2 -*I *1247:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1264:A2 0.00014935 -2 *1248:B 0.000379621 -3 *1247:Y 7.27898e-05 -4 *205:5 0.000601761 -5 *1248:B *1303:C1 2.89016e-05 -6 *1248:B *206:8 0.000303264 -7 *1248:B *372:13 0.000128581 -8 *205:5 *372:13 3.98517e-05 -9 *1264:A1 *1264:A2 3.4846e-06 -10 *1279:A1 *1248:B 0.000135494 -11 *1302:A1 *1248:B 5.49489e-05 -12 *1302:A1 *205:5 9.41642e-05 -13 *1302:A2 *1248:B 2.36643e-05 -14 *1302:A2 *1264:A2 0.000110627 -15 *1302:B1 *1248:B 6.64554e-05 -16 *30:17 *1248:B 1.74352e-05 -17 *146:19 *1264:A2 3.12359e-05 -18 *163:39 *1248:B 0.000307064 -19 *163:39 *205:5 0.000206248 -*RES -1 *1247:Y *205:5 11.4786 -2 *205:5 *1248:B 19.8357 -3 *205:5 *1264:A2 20.7821 -*END - -*D_NET *206 0.00944659 -*CONN -*I *1258:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1270:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1249:B I *D sky130_fd_sc_hd__and2_2 -*I *1262:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1254:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1278:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1248:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1258:C1 0.000232785 -2 *1270:B1 9.27384e-05 -3 *1249:B 0 -4 *1262:B1 0 -5 *1277:A2 2.00911e-05 -6 *1254:B1 0.000419702 -7 *1278:B1 0 -8 *1248:Y 0.000212885 -9 *206:68 0.00045972 -10 *206:60 0.000498821 -11 *206:46 0.000597696 -12 *206:30 0.000279735 -13 *206:17 0.000554658 -14 *206:8 0.000321268 -15 *1254:B1 *1415:TE 0.000151071 -16 *1254:B1 *1418:A 5.33005e-05 -17 *1254:B1 *287:8 0.000135028 -18 *1254:B1 *301:13 9.41642e-05 -19 *1254:B1 *322:8 5.33005e-05 -20 *1254:B1 *330:21 2.44318e-05 -21 *1254:B1 *331:17 0.000172238 -22 *1258:C1 *1258:B1 6.84663e-05 -23 *1258:C1 *1272:B1 7.25275e-05 -24 *1258:C1 *1273:B1 0.000177013 -25 *1258:C1 *1273:B2 7.53968e-05 -26 *1258:C1 *220:26 0.000224582 -27 *1258:C1 *330:21 2.89967e-05 -28 *1270:B1 *1270:B2 0.000138019 -29 *1277:A2 *1277:A3 0 -30 *206:8 *1278:A2 4.6025e-06 -31 *206:8 *1303:C1 0 -32 *206:8 *372:13 5.33005e-05 -33 *206:17 *1278:A2 6.15485e-05 -34 *206:17 *1303:C1 0 -35 *206:30 *212:19 1.36824e-05 -36 *206:30 *301:13 0.000142856 -37 *206:46 *1262:A2 1.25581e-05 -38 *206:46 *1262:C1 1.68226e-05 -39 *206:46 *1277:A3 0.00022918 -40 *206:46 *1303:A2 8.88922e-06 -41 *206:46 *1303:B1 2.23592e-05 -42 *206:46 *212:13 4.15032e-05 -43 *206:46 *212:17 2.80804e-05 -44 *206:46 *212:19 1.63801e-05 -45 *206:46 *301:13 0.000693755 -46 *206:60 *1304:B1 0 -47 *206:60 *1304:C1 5.74499e-06 -48 *206:60 *1304:D1 9.84729e-05 -49 *206:60 *1307:A3 0 -50 *206:60 *207:5 8.10737e-06 -51 *206:60 *207:15 7.51573e-05 -52 *206:60 *212:13 4.76227e-07 -53 *206:60 *213:37 0.000566251 -54 *206:60 *301:13 3.82227e-06 -55 *206:68 *207:5 5.10039e-05 -56 *206:68 *213:37 2.60984e-05 -57 *206:68 *220:26 4.11572e-05 -58 *206:68 *330:21 4.35421e-05 -59 *1248:B *206:8 0.000303264 -60 *1262:A1 *206:46 0.000249262 -61 *1267:A1 *206:17 0 -62 *1268:A1 *1254:B1 1.38323e-05 -63 *1277:B1 *1254:B1 0.000257619 -64 *1277:B1 *1277:A2 8.62943e-06 -65 *1277:B1 *206:30 7.51769e-05 -66 *1303:A1 *206:46 0.000151396 -67 *1304:A2 *206:60 4.84073e-05 -68 *16:8 *206:60 2.74737e-05 -69 *17:7 *1258:C1 5.25192e-06 -70 *17:7 *1270:B1 5.96181e-05 -71 *30:17 *206:8 0.000184797 -72 *37:24 *1258:C1 0.000232075 -73 *197:28 *206:8 0.000188555 -74 *197:28 *206:17 0.000138165 -75 *197:53 *206:17 7.90803e-05 -*RES -1 *1248:Y *206:8 20.175 -2 *206:8 *1278:B1 13.8 -3 *206:8 *206:17 7.35714 -4 *206:17 *1254:B1 27.3714 -5 *206:17 *206:30 1.35714 -6 *206:30 *1277:A2 9.72857 -7 *206:30 *206:46 9.85268 -8 *206:46 *1262:B1 9.3 -9 *206:46 *206:60 17.5759 -10 *206:60 *1249:B 9.3 -11 *206:60 *206:68 3.01786 -12 *206:68 *1270:B1 20.2464 -13 *206:68 *1258:C1 25.2821 -*END - -*D_NET *207 0.0143463 -*CONN -*I *1293:A I *D sky130_fd_sc_hd__and3_2 -*I *1301:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1281:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1267:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *1250:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1249:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1293:A 0.000614103 -2 *1301:B1 9.56419e-05 -3 *1281:B1 2.48683e-05 -4 *1267:B1 0.000110454 -5 *1250:B1 0.00182047 -6 *1249:X 9.9885e-05 -7 *207:52 0.000709745 -8 *207:20 0.00234756 -9 *207:15 0.00130197 -10 *207:5 0.00096035 -11 *1250:B1 *1415:TE 0.000151071 -12 *1250:B1 *1416:A 0.000184745 -13 *1250:B1 *1456:A 7.64448e-05 -14 *1250:B1 *330:21 4.88637e-05 -15 *1250:B1 *331:17 0.000124521 -16 *1250:B1 *335:7 4.55625e-05 -17 *1267:B1 *404:DIODE 5.33005e-05 -18 *1267:B1 *287:8 5.33005e-05 -19 *1281:B1 *224:14 8.23597e-06 -20 *1281:B1 *309:21 9.50968e-06 -21 *1281:B1 *317:18 9.50968e-06 -22 *1293:A *1272:A2 0.000685121 -23 *1293:A *1289:A1 0.00010351 -24 *1293:A *330:21 3.09417e-05 -25 *1293:A *363:17 8.22514e-05 -26 *1301:B1 *1304:C1 0.000119316 -27 *1301:B1 *220:58 0 -28 *207:15 *1263:B1 0.000345407 -29 *207:15 *1267:A2 2.74554e-05 -30 *207:15 *1304:A1 0.000246947 -31 *207:15 *1304:B1 1.18064e-05 -32 *207:15 *1304:C1 0.000185408 -33 *207:15 *216:25 1.78465e-05 -34 *207:15 *218:8 0 -35 *207:15 *218:9 8.79752e-05 -36 *207:15 *218:56 0.000164598 -37 *207:15 *221:39 4.22135e-06 -38 *207:15 *221:50 9.97669e-05 -39 *207:20 *1263:B1 0.000654174 -40 *207:20 *330:21 0 -41 *413:DIODE *207:15 0.000187935 -42 *1255:A *1293:A 4.35597e-05 -43 *1263:A1 *1250:B1 0.0001967 -44 *1267:A1 *1281:B1 4.65158e-06 -45 *1273:A2 *1293:A 9.59532e-06 -46 *1277:B1 *1250:B1 2.60984e-05 -47 *15:14 *1267:B1 0.000261309 -48 *15:14 *207:20 9.8045e-05 -49 *36:16 *1250:B1 0.000173449 -50 *36:27 *1267:B1 0.000253645 -51 *36:27 *207:20 0.000110841 -52 *164:15 *207:15 0.000345563 -53 *197:28 *207:20 4.75152e-06 -54 *197:66 *1293:A 0.000779082 -55 *206:60 *207:5 8.10737e-06 -56 *206:60 *207:15 7.51573e-05 -57 *206:68 *207:5 5.10039e-05 -*RES -1 *1249:X *207:5 10.6571 -2 *207:5 *207:15 17.1394 -3 *207:15 *207:20 5.957 -4 *207:20 *1250:B1 47.8357 -5 *207:20 *1267:B1 17.8 -6 *207:15 *1281:B1 17.6832 -7 *207:5 *207:52 4.5 -8 *207:52 *1301:B1 15.4429 -9 *207:52 *1293:A 26.8296 -*END - -*D_NET *208 0.00506585 -*CONN -*I *1253:B1 I *D sky130_fd_sc_hd__a211o_2 -*I *1312:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1288:B I *D sky130_fd_sc_hd__and2_2 -*I *1251:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1253:B1 4.28075e-05 -2 *1312:B1 0.000669256 -3 *1288:B 0.000356123 -4 *1251:Y 0 -5 *208:34 0.00101722 -6 *208:4 0.00066128 -7 *1253:B1 *1253:C1 0.000125731 -8 *1253:B1 *1296:B 4.98872e-05 -9 *1253:B1 *221:24 1.99099e-05 -10 *1288:B *1245:C 0 -11 *1288:B *211:40 8.76359e-05 -12 *1288:B *232:10 3.98858e-05 -13 *1288:B *294:18 0.000260574 -14 *1312:B1 *1379:A 9.41114e-05 -15 *1312:B1 *1379:TE 0.000183726 -16 *1312:B1 *1383:A 0.000144075 -17 *1312:B1 *295:8 5.71472e-05 -18 *208:34 *1166:A 9.60939e-05 -19 *208:34 *1253:C1 0.000100147 -20 *208:34 *1296:B 2.90311e-05 -21 *208:34 *1297:C 1.14483e-05 -22 *208:34 *1314:B2 2.83284e-06 -23 *208:34 *211:40 3.68799e-05 -24 *208:34 *234:11 0.000265441 -25 *208:34 *294:18 3.97677e-05 -26 *1172:B *1288:B 0.000192932 -27 *1251:A *1288:B 8.6229e-06 -28 *1296:C *208:34 1.21258e-05 -29 *1298:A1 *1312:B1 2.79421e-05 -30 *25:26 *1312:B1 9.06222e-05 -31 *25:26 *208:34 0.000270729 -32 *140:8 *208:34 2.89114e-05 -33 *163:57 *1288:B 4.29471e-05 -*RES -1 *1251:Y *208:4 9.3 -2 *208:4 *1288:B 25.2286 -3 *208:4 *208:34 12.6786 -4 *208:34 *1312:B1 25.3 -5 *208:34 *1253:B1 15.4429 -*END - -*D_NET *209 0.00203375 -*CONN -*I *1313:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1253:C1 I *D sky130_fd_sc_hd__a211o_2 -*I *1252:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1313:B1 8.10527e-05 -2 *1253:C1 0.000353679 -3 *1252:Y 7.48106e-05 -4 *209:5 0.000509542 -5 *1253:C1 *1189:A 0 -6 *1253:C1 *1314:B2 0 -7 *1253:C1 *220:81 3.00686e-05 -8 *1313:B1 *1189:A 0.000192631 -9 *409:DIODE *1253:C1 0 -10 *417:DIODE *1253:C1 2.30116e-06 -11 *417:DIODE *1313:B1 0.000140243 -12 *417:DIODE *209:5 0.000224582 -13 *1253:B1 *1253:C1 0.000125731 -14 *1313:B2 *1313:B1 5.49995e-05 -15 *92:43 *1253:C1 0 -16 *92:54 *1253:C1 4.56209e-05 -17 *139:5 *1313:B1 2.51343e-06 -18 *139:10 *1313:B1 2.33028e-05 -19 *139:10 *209:5 2.51343e-06 -20 *163:8 *1253:C1 3.53217e-05 -21 *163:8 *209:5 3.4689e-05 -22 *208:34 *1253:C1 0.000100147 -*RES -1 *1252:Y *209:5 11.4786 -2 *209:5 *1253:C1 25.1036 -3 *209:5 *1313:B1 11.8893 -*END - -*D_NET *210 0.00568992 -*CONN -*I *1298:A2 I *D sky130_fd_sc_hd__o221a_2 -*I *1258:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1272:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1255:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1298:A2 0.00044148 -2 *1258:A2 0.000158485 -3 *1272:A2 0.000728251 -4 *1255:Y 0 -5 *210:33 0.00066376 -6 *210:5 0.000792046 -7 *1258:A2 *1258:B1 1.00733e-05 -8 *1258:A2 *213:5 5.65955e-05 -9 *1272:A2 *1272:B2 3.14048e-05 -10 *1272:A2 *1289:B2 7.26533e-05 -11 *1272:A2 *1304:A1 0 -12 *1272:A2 *213:37 0.000725049 -13 *1272:A2 *215:15 9.71197e-05 -14 *1272:A2 *220:21 2.81204e-05 -15 *1272:A2 *301:13 2.38243e-05 -16 *1272:A2 *330:21 2.70401e-05 -17 *1298:A2 *1292:B1 4.43256e-05 -18 *1298:A2 *1297:A 8.3037e-05 -19 *1298:A2 *1298:B1 0.000284864 -20 *1298:A2 *1298:B2 1.81043e-05 -21 *1298:A2 *213:5 0.000126426 -22 *1298:A2 *235:7 5.52238e-05 -23 *210:33 *232:10 0.000100474 -24 *406:DIODE *1258:A2 7.92229e-06 -25 *1255:A *1272:A2 1.83606e-05 -26 *1255:A *210:33 0 -27 *1258:A1 *1258:A2 4.57852e-05 -28 *1260:A *1298:A2 0.000309273 -29 *1273:A2 *1272:A2 4.19624e-06 -30 *1293:A *1272:A2 0.000685121 -31 *197:66 *1272:A2 1.81922e-05 -32 *204:8 *1272:A2 3.27093e-05 -*RES -1 *1255:Y *210:5 13.8 -2 *210:5 *1272:A2 29.3379 -3 *210:5 *210:33 5.83929 -4 *210:33 *1258:A2 12.2107 -5 *210:33 *1298:A2 18.4786 -*END - -*D_NET *211 0.00715428 -*CONN -*I *1295:C I *D sky130_fd_sc_hd__nand3_2 -*I *1310:C I *D sky130_fd_sc_hd__nand3_2 -*I *1257:B I *D sky130_fd_sc_hd__nand2_2 -*I *1284:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1256:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1295:C 5.64594e-05 -2 *1310:C 0 -3 *1257:B 8.2294e-05 -4 *1284:B1 0.000117165 -5 *1256:X 0 -6 *211:40 0.00130652 -7 *211:10 0.000291577 -8 *211:4 0.00134218 -9 *1257:B *212:5 3.45371e-05 -10 *1257:B *212:13 1.21258e-05 -11 *1257:B *212:55 3.14193e-05 -12 *1257:B *275:67 1.73088e-05 -13 *1257:B *372:13 0.00018372 -14 *1284:B1 *1247:A 9.71197e-05 -15 *1284:B1 *212:13 0.000178847 -16 *1284:B1 *275:67 8.10177e-05 -17 *1284:B1 *372:13 2.24079e-05 -18 *211:10 *220:12 9.80405e-05 -19 *211:40 *1166:A 9.60939e-05 -20 *211:40 *234:11 0.00014743 -21 *211:40 *236:8 6.13706e-05 -22 *211:40 *294:18 9.22103e-06 -23 *211:40 *372:13 0.000835516 -24 *410:DIODE *1295:C 0.000172021 -25 *410:DIODE *211:40 4.43299e-05 -26 *417:DIODE *211:40 3.56825e-05 -27 *1172:B *211:40 0.000148838 -28 *1243:B *1284:B1 5.33005e-05 -29 *1251:A *211:40 0.000180777 -30 *1257:A *1257:B 3.89749e-05 -31 *1288:A *211:40 1.21258e-05 -32 *1288:B *211:40 8.76359e-05 -33 *1296:C *211:40 0.000268403 -34 *1298:A1 *1295:C 0.000162473 -35 *1298:A1 *211:40 4.38058e-05 -36 *26:9 *211:40 2.90908e-05 -37 *30:17 *1257:B 3.45371e-05 -38 *37:20 *211:10 5.52238e-05 -39 *37:20 *211:40 0.000297855 -40 *93:55 *211:40 0 -41 *162:63 *211:10 9.80405e-05 -42 *163:18 *211:40 7.11377e-05 -43 *201:7 *1284:B1 0.000180777 -44 *208:34 *211:40 3.68799e-05 -*RES -1 *1256:X *211:4 9.3 -2 *211:4 *211:10 10.875 -3 *211:10 *1284:B1 13.1214 -4 *211:10 *1257:B 12.2286 -5 *211:4 *211:40 27.5392 -6 *211:40 *1310:C 13.8 -7 *211:40 *1295:C 16.05 -*END - -*D_NET *212 0.00694694 -*CONN -*I *1258:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1272:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1262:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1303:A2 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1278:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1257:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1258:B1 9.7352e-05 -2 *1272:B1 0.000121195 -3 *1262:A2 2.1345e-05 -4 *1303:A2 3.70474e-05 -5 *1277:A1 0 -6 *1278:A2 8.8368e-05 -7 *1257:Y 2.61307e-05 -8 *212:55 0.000729535 -9 *212:19 0.000387253 -10 *212:17 0.000757613 -11 *212:13 0.00110346 -12 *212:5 0.00119756 -13 *1272:B1 *1272:B2 1.51184e-05 -14 *1278:A2 *1303:C1 0 -15 *1303:A2 *1277:A3 1.15381e-06 -16 *212:13 *1262:C1 8.07313e-05 -17 *212:13 *1272:B2 0.000225616 -18 *212:13 *1286:B2 0.000115494 -19 *212:13 *215:15 0.000149963 -20 *212:13 *275:60 6.18576e-05 -21 *212:13 *301:13 2.24763e-05 -22 *212:19 *1277:A3 4.14591e-05 -23 *212:19 *1303:B1 2.78576e-05 -24 *212:19 *1303:C1 5.03772e-05 -25 *212:55 *1273:B1 0.000136388 -26 *212:55 *220:12 0 -27 *212:55 *372:13 9.55875e-05 -28 *1243:B *212:13 5.1588e-05 -29 *1246:B *212:13 0.000142032 -30 *1257:A *212:5 1.73088e-05 -31 *1257:A *212:55 9.60875e-05 -32 *1257:B *212:5 3.45371e-05 -33 *1257:B *212:13 1.21258e-05 -34 *1257:B *212:55 3.14193e-05 -35 *1258:A2 *1258:B1 1.00733e-05 -36 *1258:C1 *1258:B1 6.84663e-05 -37 *1258:C1 *1272:B1 7.25275e-05 -38 *1262:A1 *212:17 0.000117808 -39 *1277:B1 *212:19 8.64516e-07 -40 *1284:B1 *212:13 0.000178847 -41 *1303:A1 *212:17 8.2003e-05 -42 *17:7 *212:55 0.000114854 -43 *37:24 *212:55 5.31349e-05 -44 *144:22 *212:55 1.36181e-05 -45 *162:63 *212:13 3.13634e-05 -46 *202:20 *212:13 3.96289e-05 -47 *206:8 *1278:A2 4.6025e-06 -48 *206:17 *1278:A2 6.15485e-05 -49 *206:30 *212:19 1.36824e-05 -50 *206:46 *1262:A2 1.25581e-05 -51 *206:46 *1303:A2 8.88922e-06 -52 *206:46 *212:13 4.15032e-05 -53 *206:46 *212:17 2.80804e-05 -54 *206:46 *212:19 1.63801e-05 -55 *206:60 *212:13 4.76227e-07 -*RES -1 *1257:Y *212:5 10.0321 -2 *212:5 *212:13 22.3393 -3 *212:13 *212:17 5.48214 -4 *212:17 *212:19 3.82143 -5 *212:19 *1278:A2 19.9429 -6 *212:19 *1277:A1 9.3 -7 *212:17 *1303:A2 10.117 -8 *212:13 *1262:A2 9.72857 -9 *212:5 *212:55 17.5357 -10 *212:55 *1272:B1 12.8714 -11 *212:55 *1258:B1 11.3357 -*END - -*D_NET *213 0.0121479 -*CONN -*I *1259:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1297:A I *D sky130_fd_sc_hd__and3_2 -*I *1258:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1259:B1 9.63121e-05 -2 *1297:A 0.000427069 -3 *1258:X 5.33606e-05 -4 *213:37 0.00276403 -5 *213:5 0.00314814 -6 *1259:B1 *1414:TE 0.000182683 -7 *1259:B1 *1419:A 0.000153727 -8 *1297:A *1292:B1 9.71197e-05 -9 *1297:A *1297:B 0.00012051 -10 *1297:A *1298:B1 1.98839e-05 -11 *1297:A *1298:C1 9.41642e-05 -12 *1297:A *221:24 6.05161e-06 -13 *1297:A *221:26 0.000112995 -14 *1297:A *234:29 0.000122089 -15 *213:37 *404:DIODE 2.54304e-06 -16 *213:37 *1260:B 4.68462e-05 -17 *213:37 *1267:A2 0.000447821 -18 *213:37 *1282:B1 0.000140088 -19 *213:37 *1304:D1 0.000206272 -20 *213:37 *1414:A 0.000143633 -21 *213:37 *1419:A 1.32293e-05 -22 *213:37 *220:21 1.59371e-05 -23 *213:37 *226:132 8.43535e-06 -24 *213:37 *232:10 5.43512e-05 -25 *213:37 *233:27 4.11173e-05 -26 *213:37 *287:8 0.000464662 -27 *213:37 *301:13 7.84399e-05 -28 *213:37 *328:9 0.00022887 -29 *213:37 *330:21 8.194e-05 -30 *406:DIODE *213:5 4.60311e-05 -31 *1254:A2 *213:37 6.69792e-05 -32 *1255:A *213:37 0.000287938 -33 *1258:A2 *213:5 5.65955e-05 -34 *1260:A *213:37 5.33072e-05 -35 *1271:A *1297:A 0.000216755 -36 *1272:A2 *213:37 0.000725049 -37 *1298:A2 *1297:A 8.3037e-05 -38 *1298:A2 *213:5 0.000126426 -39 *31:11 *1259:B1 0.000315423 -40 *31:11 *213:37 3.31828e-05 -41 *197:66 *213:37 8.23878e-05 -42 *200:39 *1259:B1 9.00671e-05 -43 *206:60 *213:37 0.000566251 -44 *206:68 *213:37 2.60984e-05 -*RES -1 *1258:X *213:5 11.0679 -2 *213:5 *1297:A 27.0857 -3 *213:5 *213:37 49.0596 -4 *213:37 *1259:B1 13.9429 -*END - -*D_NET *214 0.00645933 -*CONN -*I *1287:B I *D sky130_fd_sc_hd__nand2_2 -*I *1261:A I *D sky130_fd_sc_hd__nand2_2 -*I *1260:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *1287:B 0.000678795 -2 *1261:A 0.00101069 -3 *1260:Y 0 -4 *214:4 0.00168949 -5 *1261:A *1260:B 6.90348e-06 -6 *1261:A *1289:B1 0.000398453 -7 *1261:A *1300:B1 9.58189e-06 -8 *1261:A *221:39 5.52238e-05 -9 *1261:A *233:27 0.000518235 -10 *1261:A *357:13 9.84729e-05 -11 *1287:B *1289:B1 0.000559303 -12 *1287:B *226:102 7.58841e-05 -13 *406:DIODE *1261:A 5.33005e-05 -14 *409:DIODE *1287:B 0 -15 *1246:B *1261:A 1.44428e-05 -16 *1255:A *1261:A 5.14767e-05 -17 *1273:A2 *1261:A 0.000114847 -18 *1287:A *1287:B 0.000242347 -19 *1289:A2 *1261:A 5.20232e-05 -20 *1298:A1 *1287:B 0.00018372 -21 *17:7 *1261:A 0 -22 *18:5 *1261:A 2.1871e-05 -23 *24:22 *1261:A 6.86181e-05 -24 *37:24 *1261:A 0.000466194 -25 *197:69 *1287:B 8.02057e-05 -26 *203:10 *1261:A 9.25014e-06 -*RES -1 *1260:Y *214:4 9.3 -2 *214:4 *1261:A 45.8 -3 *214:4 *1287:B 29.9071 -*END - -*D_NET *215 0.00462164 -*CONN -*I *1270:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1304:B1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1262:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1261:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1270:B2 0.000206735 -2 *1304:B1 0.000112736 -3 *1262:C1 4.3507e-05 -4 *1261:Y 0.000304191 -5 *215:15 0.00036191 -6 *215:8 0.000716593 -7 *1262:C1 *301:13 7.77652e-05 -8 *1270:B2 *1270:A1 5.33005e-05 -9 *1270:B2 *220:21 0.000200196 -10 *1270:B2 *220:58 9.33179e-05 -11 *1270:B2 *233:27 5.49995e-05 -12 *215:8 *1273:B1 0.000227539 -13 *215:8 *220:12 7.14469e-05 -14 *215:8 *220:21 0.000180517 -15 *215:8 *221:39 0.000226237 -16 *215:8 *275:60 0.000484156 -17 *215:15 *1272:B2 1.90737e-05 -18 *215:15 *301:13 0.000283958 -19 *406:DIODE *215:8 1.21289e-05 -20 *413:DIODE *1304:B1 0.000141639 -21 *1246:B *215:15 7.8613e-05 -22 *1270:B1 *1270:B2 0.000138019 -23 *1272:A2 *215:15 9.71197e-05 -24 *1304:A2 *1304:B1 2.06178e-05 -25 *17:7 *1270:B2 4.8803e-05 -26 *17:7 *215:8 5.0047e-05 -27 *204:8 *215:15 5.71472e-05 -28 *206:46 *1262:C1 1.68226e-05 -29 *206:60 *1304:B1 0 -30 *207:15 *1304:B1 1.18064e-05 -31 *212:13 *1262:C1 8.07313e-05 -32 *212:13 *215:15 0.000149963 -*RES -1 *1261:Y *215:8 21.9071 -2 *215:8 *215:15 10.5179 -3 *215:15 *1262:C1 11.0857 -4 *215:15 *1304:B1 20.55 -5 *215:8 *1270:B2 18.7107 -*END - -*D_NET *216 0.0119921 -*CONN -*I *1263:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1273:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1286:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1262:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1263:B1 0.00254529 -2 *1273:B1 0.000380376 -3 *1286:B1 1.78392e-05 -4 *1262:X 8.97581e-05 -5 *216:25 0.000846197 -6 *216:6 0.00308303 -7 *1263:B1 *1456:A 2.34423e-05 -8 *1263:B1 *330:21 0 -9 *1273:B1 *1273:B2 0.000150985 -10 *1273:B1 *1286:A1 3.82411e-05 -11 *1273:B1 *220:26 8.25843e-06 -12 *1273:B1 *221:39 4.21517e-05 -13 *1273:B1 *275:60 1.38323e-05 -14 *1273:B1 *309:21 0.000169359 -15 *1273:B1 *317:18 1.39841e-05 -16 *1273:B1 *330:21 9.41642e-05 -17 *216:6 *1307:A3 0.000177806 -18 *216:6 *217:22 7.75518e-05 -19 *216:25 *1244:A_N 5.52238e-05 -20 *216:25 *1276:A 0.000142856 -21 *216:25 *1307:A3 1.90936e-05 -22 *216:25 *217:22 2.06112e-05 -23 *216:25 *218:8 0 -24 *216:25 *309:21 0.000508582 -25 *216:25 *317:18 8.01028e-05 -26 *406:DIODE *1273:B1 4.13496e-05 -27 *1244:B *216:25 2.64924e-05 -28 *1255:A *1273:B1 1.05731e-05 -29 *1258:C1 *1273:B1 0.000177013 -30 *1276:B *216:25 1.78275e-05 -31 *1286:A2 *1273:B1 0.000242607 -32 *17:7 *1273:B1 2.34027e-05 -33 *30:17 *1263:B1 0.000488438 -34 *31:11 *1263:B1 0.000264287 -35 *36:27 *1263:B1 0.000101601 -36 *37:24 *1273:B1 5.14012e-05 -37 *164:15 *216:25 0.000243677 -38 *165:23 *1263:B1 0.000323302 -39 *207:15 *1263:B1 0.000345407 -40 *207:15 *216:25 1.78465e-05 -41 *207:20 *1263:B1 0.000654174 -42 *212:55 *1273:B1 0.000136388 -43 *215:8 *1273:B1 0.000227539 -*RES -1 *1262:X *216:6 16.2018 -2 *216:6 *216:25 24.1696 -3 *216:25 *1286:B1 9.72857 -4 *216:25 *1273:B1 28.8893 -5 *216:6 *1263:B1 26.7244 -*END - -*D_NET *217 0.0077946 -*CONN -*I *1307:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1265:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1264:Y O *D sky130_fd_sc_hd__a21oi_2 -*CAP -1 *1307:A1 0.00030899 -2 *1265:B1 0.00117608 -3 *1264:Y 0.000709277 -4 *217:22 0.00219435 -5 *1265:B1 *1409:A 0.000357271 -6 *1265:B1 *1429:A 0.000139146 -7 *1265:B1 *1429:TE 0.000251821 -8 *1265:B1 *1435:TE 9.40222e-05 -9 *1265:B1 *343:10 3.78085e-05 -10 *1265:B1 *344:13 0.00032991 -11 *1307:A1 *1307:A2 9.54798e-06 -12 *1307:A1 *226:132 4.15526e-05 -13 *217:22 *1282:B1 9.63738e-06 -14 *217:22 *1304:D1 6.93074e-05 -15 *217:22 *1307:A3 0.000252037 -16 *217:22 *1435:Z 1.90936e-05 -17 *217:22 *218:8 7.80714e-06 -18 *217:22 *294:18 0.000172303 -19 *399:DIODE *1307:A1 1.34355e-05 -20 *1191:B *217:22 0.000308592 -21 *1267:A1 *217:22 0 -22 *1275:A2 *217:22 0.000212646 -23 *36:27 *1265:B1 5.73264e-05 -24 *36:27 *1307:A1 4.70015e-05 -25 *37:20 *217:22 5.96516e-05 -26 *146:7 *217:22 0.000136958 -27 *165:23 *217:22 0.000206643 -28 *197:53 *1265:B1 0.000270646 -29 *197:66 *1307:A1 0.000203565 -30 *216:6 *217:22 7.75518e-05 -31 *216:25 *217:22 2.06112e-05 -*RES -1 *1264:Y *217:22 35.0225 -2 *217:22 *1265:B1 37.6792 -3 *217:22 *1307:A1 18.4139 -*END - -*D_NET *218 0.0114151 -*CONN -*I *1292:A2 I *D sky130_fd_sc_hd__o22a_2 -*I *1290:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1281:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1302:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1267:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *1266:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1292:A2 1.51778e-05 -2 *1290:A_N 8.15e-05 -3 *1281:A2 1.99536e-05 -4 *1302:B2 0.000105373 -5 *1267:A2 0.00051107 -6 *1266:Y 0.000698919 -7 *218:56 0.000957233 -8 *218:38 0.000439077 -9 *218:9 0.000992987 -10 *218:8 0.00172764 -11 *1267:A2 *1282:B1 3.09304e-05 -12 *1267:A2 *287:8 0.000401791 -13 *1267:A2 *330:21 6.73932e-05 -14 *1281:A2 *309:21 5.33005e-05 -15 *1281:A2 *317:18 1.28171e-05 -16 *1290:A_N *1260:B 8.44271e-06 -17 *1290:A_N *233:11 0.000130963 -18 *1290:A_N *271:31 3.47641e-06 -19 *1292:A2 *1260:B 1.11775e-05 -20 *1292:A2 *233:11 4.38058e-05 -21 *218:8 *1307:A3 0.000500748 -22 *218:9 *330:21 9.70862e-05 -23 *218:38 *1282:B1 0.000109434 -24 *218:38 *224:14 5.55644e-05 -25 *218:56 *221:39 7.47348e-05 -26 *218:56 *301:13 0.00113426 -27 *218:56 *330:21 0.00022156 -28 *405:DIODE *1267:A2 3.58869e-05 -29 *1191:B *218:8 0 -30 *1244:B *218:8 0 -31 *1246:B *218:56 4.97144e-05 -32 *1255:A *218:56 0.000149133 -33 *1267:A1 *1267:A2 4.25186e-05 -34 *1267:A1 *1302:B2 1.65046e-05 -35 *1267:A1 *218:38 3.43988e-06 -36 *1292:A1 *1290:A_N 0.000129383 -37 *1292:A1 *1292:A2 1.1594e-05 -38 *1296:C *1290:A_N 1.11775e-05 -39 *1302:B1 *1302:B2 6.26207e-05 -40 *137:27 *218:8 2.29031e-05 -41 *137:40 *218:8 5.93172e-05 -42 *162:42 *218:8 1.5077e-05 -43 *162:68 *218:56 0.001116 -44 *163:39 *218:8 0.000103432 -45 *164:15 *218:8 1.31235e-05 -46 *164:15 *218:56 1.45117e-05 -47 *165:23 *1302:B2 0.000129381 -48 *165:23 *218:38 0.000187267 -49 *207:15 *1267:A2 2.74554e-05 -50 *207:15 *218:8 0 -51 *207:15 *218:9 8.79752e-05 -52 *207:15 *218:56 0.000164598 -53 *213:37 *1267:A2 0.000447821 -54 *216:25 *218:8 0 -55 *217:22 *218:8 7.80714e-06 -*RES -1 *1266:Y *218:8 29.9332 -2 *218:8 *218:9 0.4794 -3 *218:9 *1267:A2 24.7369 -4 *218:9 *218:38 8.54393 -5 *218:38 *1302:B2 16.05 -6 *218:38 *1281:A2 14.3357 -7 *218:8 *218:56 6.62793 -8 *218:56 *1290:A_N 15.8982 -9 *218:56 *1292:A2 14.3804 -*END - -*D_NET *219 0.00156869 -*CONN -*I *1268:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1267:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1268:B1 0.000274709 -2 *1267:X 0.000274709 -3 *1268:B1 *404:DIODE 5.50052e-05 -4 *1268:B1 *1427:A 0.000139907 -5 *1268:B1 *331:12 4.43256e-05 -6 *1268:B1 *350:10 5.52238e-05 -7 *1277:B1 *1268:B1 9.63697e-05 -8 *14:9 *1268:B1 5.74562e-05 -9 *15:14 *1268:B1 0.000492814 -10 *21:26 *1268:B1 7.81709e-05 -*RES -1 *1267:X *1268:B1 35.9929 -*END - -*D_NET *220 0.0117462 -*CONN -*I *1313:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1314:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1270:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1301:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1289:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1273:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1286:A1 I *D sky130_fd_sc_hd__a22o_2 -*I *1269:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1313:A1 8.39365e-05 -2 *1314:A1 2.25507e-05 -3 *1270:A1 5.05993e-05 -4 *1301:A1 4.84466e-05 -5 *1289:A1 0.000367287 -6 *1273:A1 0 -7 *1286:A1 3.10988e-05 -8 *1269:X 0 -9 *220:81 0.00113423 -10 *220:58 0.000241135 -11 *220:26 0.000692493 -12 *220:21 0.000692129 -13 *220:12 0.000975806 -14 *220:4 0.00174761 -15 *1270:A1 *233:27 6.40584e-05 -16 *1286:A1 *317:18 0.0001399 -17 *1289:A1 *1289:B1 5.33005e-05 -18 *1289:A1 *1289:B2 0.000153049 -19 *1289:A1 *1300:B1 4.00349e-05 -20 *1289:A1 *233:27 0.000100152 -21 *1289:A1 *330:21 1.67699e-05 -22 *1301:A1 *1304:C1 7.2266e-05 -23 *1301:A1 *233:27 4.77002e-05 -24 *1313:A1 *246:18 1.07719e-05 -25 *220:12 *273:47 0.000268049 -26 *220:12 *372:13 5.79892e-05 -27 *220:21 *301:13 4.00349e-05 -28 *220:21 *330:21 1.90936e-05 -29 *220:26 *330:21 2.13481e-06 -30 *220:81 *1314:B1 0.000137639 -31 *220:81 *246:18 8.25843e-06 -32 *417:DIODE *220:81 5.72807e-05 -33 *1182:A3 *220:81 0.000118774 -34 *1193:A *220:12 0 -35 *1210:A2 *220:12 5.60463e-06 -36 *1210:A2 *220:81 0.000140057 -37 *1253:C1 *220:81 3.00686e-05 -38 *1255:A *1289:A1 0.000140908 -39 *1255:A *220:21 0 -40 *1256:B *220:12 8.4233e-05 -41 *1258:C1 *220:26 0.000224582 -42 *1270:A2 *1270:A1 0.000164649 -43 *1270:A2 *1301:A1 0.000226272 -44 *1270:B2 *1270:A1 5.33005e-05 -45 *1270:B2 *220:21 0.000200196 -46 *1270:B2 *220:58 9.33179e-05 -47 *1272:A1 *220:21 6.47471e-05 -48 *1272:A2 *220:21 2.81204e-05 -49 *1273:A2 *1289:A1 1.46576e-05 -50 *1273:B1 *1286:A1 3.82411e-05 -51 *1273:B1 *220:26 8.25843e-06 -52 *1283:C1 *220:12 0 -53 *1286:A2 *1286:A1 7.13237e-05 -54 *1293:A *1289:A1 0.00010351 -55 *1301:B1 *220:58 0 -56 *25:26 *220:81 0.000718872 -57 *29:10 *1313:A1 9.96487e-05 -58 *139:34 *220:12 0.000182799 -59 *139:34 *220:81 0.000107754 -60 *139:83 *1313:A1 9.8126e-05 -61 *144:22 *220:12 0 -62 *162:63 *220:12 0.000231272 -63 *162:63 *220:21 7.32947e-05 -64 *163:18 *220:81 0.000383635 -65 *163:26 *220:81 0.000231311 -66 *170:19 *220:12 0 -67 *170:19 *220:81 2.57223e-05 -68 *206:68 *220:26 4.11572e-05 -69 *211:10 *220:12 9.80405e-05 -70 *212:55 *220:12 0 -71 *213:37 *220:21 1.59371e-05 -72 *215:8 *220:12 7.14469e-05 -73 *215:8 *220:21 0.000180517 -*RES -1 *1269:X *220:4 9.3 -2 *220:4 *220:12 18.5 -3 *220:12 *1286:A1 15.1571 -4 *220:12 *220:21 5.28571 -5 *220:21 *220:26 8.75 -6 *220:26 *1273:A1 9.3 -7 *220:26 *1289:A1 25.8179 -8 *220:21 *220:58 6.375 -9 *220:58 *1301:A1 11.4786 -10 *220:58 *1270:A1 11.0545 -11 *220:4 *220:81 22.6043 -12 *220:81 *1314:A1 9.72857 -13 *220:81 *1313:A1 20.175 -*END - -*D_NET *221 0.010393 -*CONN -*I *1295:B I *D sky130_fd_sc_hd__nand3_2 -*I *1298:B2 I *D sky130_fd_sc_hd__o221a_2 -*I *1290:B I *D sky130_fd_sc_hd__nand2b_2 -*I *1304:A1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1272:B2 I *D sky130_fd_sc_hd__o22a_2 -*I *1276:A I *D sky130_fd_sc_hd__nand2_2 -*I *1296:B I *D sky130_fd_sc_hd__nand3b_2 -*I *1271:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1295:B 2.23854e-05 -2 *1298:B2 0.000138478 -3 *1290:B 0 -4 *1304:A1 0.000138291 -5 *1272:B2 0.000403832 -6 *1276:A 0.000129253 -7 *1296:B 5.02156e-05 -8 *1271:X 0.00029194 -9 *221:50 0.000590016 -10 *221:39 0.000787569 -11 *221:26 0.00075464 -12 *221:24 0.000473623 -13 *221:12 0.000448799 -14 *221:7 0.00052198 -15 *1276:A *309:21 5.16532e-05 -16 *1276:A *317:18 0.000523464 -17 *1296:B *1297:C 0.000151857 -18 *1298:B2 *1298:B1 5.25507e-05 -19 *1298:B2 *1298:C1 0 -20 *1298:B2 *315:8 0.000148196 -21 *221:24 *1297:B 4.13496e-05 -22 *221:24 *1297:C 0.000209334 -23 *221:26 *1297:B 9.31209e-05 -24 *221:26 *1298:C1 2.89114e-05 -25 *221:39 *1190:B 0.0001399 -26 *221:39 *1298:C1 1.98839e-05 -27 *221:39 *233:11 2.89016e-05 -28 *221:39 *275:60 0.000108025 -29 *406:DIODE *221:39 0.000135479 -30 *409:DIODE *1298:B2 0.000148196 -31 *1246:B *1272:B2 1.98839e-05 -32 *1246:B *221:39 0.000475795 -33 *1253:A1 *221:12 9.60771e-05 -34 *1253:B1 *1296:B 4.98872e-05 -35 *1253:B1 *221:24 1.99099e-05 -36 *1255:A *1304:A1 4.13114e-05 -37 *1261:A *221:39 5.52238e-05 -38 *1271:A *1295:B 0 -39 *1271:A *221:7 0.000203933 -40 *1271:A *221:12 4.35452e-05 -41 *1271:A *221:24 3.63775e-05 -42 *1271:A *221:26 2.42662e-05 -43 *1271:A *221:39 2.95641e-05 -44 *1272:A2 *1272:B2 3.14048e-05 -45 *1272:A2 *1304:A1 0 -46 *1272:B1 *1272:B2 1.51184e-05 -47 *1273:B1 *221:39 4.21517e-05 -48 *1276:B *1276:A 0.000271345 -49 *1297:A *221:24 6.05161e-06 -50 *1297:A *221:26 0.000112995 -51 *1298:A1 *1298:B2 1.3701e-05 -52 *1298:A2 *1298:B2 1.81043e-05 -53 *37:24 *221:39 4.21517e-05 -54 *92:67 *221:24 0 -55 *163:63 *221:39 0.000137983 -56 *164:15 *221:39 0.000709714 -57 *164:47 *221:39 8.41032e-05 -58 *202:20 *1304:A1 2.39664e-05 -59 *202:20 *221:39 2.06178e-05 -60 *202:20 *221:50 4.33438e-05 -61 *204:8 *1304:A1 2.41104e-05 -62 *207:15 *1304:A1 0.000246947 -63 *207:15 *221:39 4.22135e-06 -64 *207:15 *221:50 9.97669e-05 -65 *208:34 *1296:B 2.90311e-05 -66 *212:13 *1272:B2 0.000225616 -67 *215:8 *221:39 0.000226237 -68 *215:15 *1272:B2 1.90737e-05 -69 *216:25 *1276:A 0.000142856 -70 *218:56 *221:39 7.47348e-05 -*RES -1 *1271:X *221:7 13.9607 -2 *221:7 *221:12 8.03571 -3 *221:12 *1296:B 15.7464 -4 *221:12 *221:24 8.71429 -5 *221:24 *221:26 3.41071 -6 *221:26 *221:39 22.1801 -7 *221:39 *1276:A 19.2821 -8 *221:39 *221:50 1.33929 -9 *221:50 *1272:B2 19.425 -10 *221:50 *1304:A1 17.2643 -11 *221:26 *1290:B 9.3 -12 *221:24 *1298:B2 22.05 -13 *221:7 *1295:B 9.72857 -*END - -*D_NET *222 0.000628929 -*CONN -*I *1273:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1272:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1273:B2 9.95565e-05 -2 *1272:X 9.95565e-05 -3 *1273:B2 *330:21 1.21258e-05 -4 *1258:C1 *1273:B2 7.53968e-05 -5 *1273:B1 *1273:B2 0.000150985 -6 *17:7 *1273:B2 0.000191309 -*RES -1 *1272:X *1273:B2 30.6893 -*END - -*D_NET *223 0.00079235 -*CONN -*I *1275:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1274:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1275:B1 0.000189201 -2 *1274:Y 0.000189201 -3 *1238:A *1275:B1 0.000125057 -4 *37:20 *1275:B1 0.000122047 -5 *197:5 *1275:B1 7.77652e-05 -6 *197:21 *1275:B1 5.33433e-05 -7 *197:28 *1275:B1 3.57366e-05 -*RES -1 *1274:Y *1275:B1 24.0821 -*END - -*D_NET *224 0.00188915 -*CONN -*I *1303:B1 I *D sky130_fd_sc_hd__o211a_2 -*I *1277:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1276:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1303:B1 1.5955e-05 -2 *1277:A3 6.91821e-05 -3 *1276:Y 0.000350077 -4 *224:14 0.000435214 -5 *1277:A3 *1303:C1 9.71197e-05 -6 *224:14 *1282:B1 8.6229e-06 -7 *224:14 *309:21 0.00022286 -8 *224:14 *317:18 0.000180305 -9 *1267:A1 *224:14 0.00012401 -10 *1277:A2 *1277:A3 0 -11 *1277:B1 *1277:A3 0 -12 *1281:B1 *224:14 8.23597e-06 -13 *1303:A2 *1277:A3 1.15381e-06 -14 *206:46 *1277:A3 0.00022918 -15 *206:46 *1303:B1 2.23592e-05 -16 *212:19 *1277:A3 4.14591e-05 -17 *212:19 *1303:B1 2.78576e-05 -18 *218:38 *224:14 5.55644e-05 -*RES -1 *1276:Y *224:14 24.6214 -2 *224:14 *1277:A3 11.9071 -3 *224:14 *1303:B1 9.83571 -*END - -*D_NET *225 0.000395972 -*CONN -*I *1279:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1278:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1279:B1 0.00011919 -2 *1278:X 0.00011919 -3 *1279:B1 *309:21 2.89114e-05 -4 *1279:A2 *1279:B1 4.43698e-05 -5 *36:27 *1279:B1 9.25014e-06 -6 *197:28 *1279:B1 7.50601e-05 -*RES -1 *1278:X *1279:B1 30.0821 -*END - -*D_NET *226 0.025826 -*CONN -*I *411:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1309:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *408:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1294:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1300:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *1282:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *404:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1312:A1 I *D sky130_fd_sc_hd__a21o_2 -*I *418:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *415:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1317:A I *D sky130_fd_sc_hd__nor2_2 -*I *1318:A I *D sky130_fd_sc_hd__nor2_2 -*I *425:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *424:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1280:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *411:DIODE 0.000527763 -2 *1309:A1 0 -3 *408:DIODE 0 -4 *1294:A1 0 -5 *1300:A1 0 -6 *1282:A1 0 -7 *404:DIODE 0.000573271 -8 *1312:A1 0 -9 *418:DIODE 0.000305423 -10 *415:DIODE 0 -11 *1317:A 0 -12 *1318:A 0 -13 *425:DIODE 0.000105231 -14 *424:DIODE 8.31342e-05 -15 *1280:X 0.00115189 -16 *226:132 0.00194788 -17 *226:114 0.00221589 -18 *226:102 0.00119969 -19 *226:94 0.000617783 -20 *226:88 0.000430081 -21 *226:85 0.00116797 -22 *226:78 0.00141311 -23 *226:64 0.00139582 -24 *226:37 0.000520638 -25 *226:24 0.000659977 -26 *226:17 0.000320496 -27 *226:10 0.000319751 -28 *226:7 0.00145936 -29 *404:DIODE *1282:B1 5.02977e-05 -30 *404:DIODE *287:8 0.000168907 -31 *411:DIODE *1442:A 8.07313e-05 -32 *411:DIODE *1442:TE 0 -33 *411:DIODE *1442:Z 6.05161e-06 -34 *411:DIODE *1443:Z 0 -35 *411:DIODE *1445:TE_B 7.80183e-05 -36 *411:DIODE *1446:A 0 -37 *411:DIODE *246:18 1.70057e-05 -38 *418:DIODE *1459:A 0.000185118 -39 *418:DIODE *1459:B 9.41642e-05 -40 *425:DIODE *1237:A_N 7.80222e-05 -41 *226:7 *1331:B 5.45662e-05 -42 *226:7 *1356:CLK 3.14163e-05 -43 *226:7 *1461:TE 0.000184814 -44 *226:10 *1459:A 0 -45 *226:10 *275:15 0 -46 *226:24 *1459:A 0.000239076 -47 *226:37 *1318:B 0.000341116 -48 *226:37 *1459:A 1.00887e-05 -49 *226:37 *371:72 0.000125542 -50 *226:64 *1459:A 0 -51 *226:64 *275:15 0 -52 *226:78 *1381:A 0 -53 *226:78 *1387:Z 0.000165727 -54 *226:78 *1389:TE_B 0 -55 *226:78 *1459:A 0.000218045 -56 *226:78 *1465:A 5.26184e-05 -57 *226:78 *246:18 9.64695e-05 -58 *226:78 *275:15 0 -59 *226:85 *1378:A 0 -60 *226:85 *1380:A 6.40869e-05 -61 *226:85 *1380:Z 0.000109809 -62 *226:85 *1381:A 0 -63 *226:85 *1449:TE 0 -64 *226:85 *1450:A 0.000125724 -65 *226:85 *246:18 0.000247267 -66 *226:94 *1450:A 0.000187888 -67 *226:94 *1452:A 9.15842e-06 -68 *226:94 *246:18 7.87601e-05 -69 *226:94 *363:17 4.4545e-05 -70 *226:94 *365:11 2.37867e-05 -71 *226:94 *365:15 0.000272055 -72 *226:102 *363:17 0.00028535 -73 *226:114 *1300:B1 6.05161e-06 -74 *226:114 *1400:TE 7.48906e-05 -75 *226:114 *1401:TE 5.33433e-05 -76 *226:114 *1440:A 0.000151294 -77 *226:114 *312:8 0.000100547 -78 *226:114 *315:8 0.000145662 -79 *226:114 *323:15 7.27183e-05 -80 *226:132 *1282:B1 2.12005e-05 -81 *226:132 *1300:B1 5.20669e-05 -82 *226:132 *1304:D1 0.000397553 -83 *226:132 *1307:A2 2.94412e-05 -84 *226:132 *1307:A3 0 -85 *226:132 *1406:A 9.90431e-05 -86 *226:132 *1408:A 0.000170946 -87 *226:132 *1440:A 0.000186132 -88 *226:132 *344:13 0.000351482 -89 *226:132 *351:8 4.27842e-06 -90 *399:DIODE *226:132 2.03039e-05 -91 *405:DIODE *404:DIODE 0.00023044 -92 *407:DIODE *226:88 9.42714e-05 -93 *407:DIODE *226:114 0.000264533 -94 *414:DIODE *226:132 0.000117673 -95 *1106:A *425:DIODE 0.000126439 -96 *1106:A *226:37 7.06479e-05 -97 *1201:B1 *418:DIODE 1.98839e-05 -98 *1235:S *425:DIODE 1.92789e-05 -99 *1267:A1 *404:DIODE 0.000160224 -100 *1267:B1 *404:DIODE 5.33005e-05 -101 *1268:B1 *404:DIODE 5.50052e-05 -102 *1280:A *226:7 0.000101157 -103 *1287:B *226:102 7.58841e-05 -104 *1299:B1 *226:102 0.000113771 -105 *1307:A1 *226:132 4.15526e-05 -106 *1312:A2 *226:78 0 -107 *1356:D *226:7 0.000117543 -108 *1356:RESET_B *226:7 2.88677e-05 -109 *16:8 *226:132 0.000440966 -110 *17:7 *226:132 0.000279152 -111 *19:5 *226:114 0.000208941 -112 *24:31 *226:132 0.000502292 -113 *28:10 *425:DIODE 1.05311e-05 -114 *36:27 *226:132 7.37379e-06 -115 *150:13 *226:24 0.000133152 -116 *150:13 *226:37 6.13903e-05 -117 *150:13 *226:78 0 -118 *197:53 *226:132 1.54716e-05 -119 *197:66 *226:102 0.000308906 -120 *197:69 *226:102 7.80059e-05 -121 *213:37 *404:DIODE 2.54304e-06 -122 *213:37 *226:132 8.43535e-06 -*RES -1 *1280:X *226:7 28.3 -2 *226:7 *226:10 7.05357 -3 *226:10 *424:DIODE 10.2464 -4 *226:10 *226:17 0.946429 -5 *226:17 *226:24 10.2679 -6 *226:24 *226:37 11.8929 -7 *226:37 *425:DIODE 20.7821 -8 *226:37 *1318:A 9.3 -9 *226:24 *1317:A 13.8 -10 *226:17 *415:DIODE 9.3 -11 *226:7 *226:64 7.05357 -12 *226:64 *418:DIODE 13.1214 -13 *226:64 *226:78 22.1429 -14 *226:78 *1312:A1 13.8 -15 *226:78 *226:85 11.0536 -16 *226:85 *226:88 6.67857 -17 *226:88 *226:94 15.4821 -18 *226:94 *226:102 17.2679 -19 *226:102 *226:114 31.9643 -20 *226:114 *226:132 44.3393 -21 *226:132 *404:DIODE 19.4607 -22 *226:132 *1282:A1 9.3 -23 *226:114 *1300:A1 9.3 -24 *226:102 *1294:A1 9.3 -25 *226:94 *408:DIODE 9.3 -26 *226:88 *1309:A1 9.3 -27 *226:85 *411:DIODE 23.5143 -*END - -*D_NET *227 0.00119733 -*CONN -*I *1282:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1281:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *1282:B1 0.000324523 -2 *1281:X 0.000324523 -3 *1282:B1 *1304:D1 0.000118899 -4 *1282:B1 *317:18 5.49544e-05 -5 *404:DIODE *1282:B1 5.02977e-05 -6 *1267:A2 *1282:B1 3.09304e-05 -7 *165:23 *1282:B1 4.22135e-06 -8 *213:37 *1282:B1 0.000140088 -9 *217:22 *1282:B1 9.63738e-06 -10 *218:38 *1282:B1 0.000109434 -11 *224:14 *1282:B1 8.6229e-06 -12 *226:132 *1282:B1 2.12005e-05 -*RES -1 *1281:X *1282:B1 33.9929 -*END - -*D_NET *228 0.000624225 -*CONN -*I *1285:B I *D sky130_fd_sc_hd__and3b_2 -*I *1283:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1285:B 0.000169718 -2 *1283:X 0.000169718 -3 *1285:B *1168:A 7.83587e-05 -4 *1285:B *294:18 0.00018077 -5 *93:8 *1285:B 2.56601e-05 -*RES -1 *1283:X *1285:B 30.4036 -*END - -*D_NET *229 0.000381156 -*CONN -*I *1285:C I *D sky130_fd_sc_hd__and3b_2 -*I *1284:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1285:C 5.5357e-05 -2 *1284:Y 5.5357e-05 -3 *1285:C *1244:A_N 0.000122091 -4 *1306:A *1285:C 0.000122091 -5 *37:20 *1285:C 1.64343e-05 -6 *146:7 *1285:C 9.82585e-06 -*RES -1 *1284:Y *1285:C 29.408 -*END - -*D_NET *230 0.000988685 -*CONN -*I *1286:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1285:X O *D sky130_fd_sc_hd__and3b_2 -*CAP -1 *1286:B2 0.000231972 -2 *1285:X 0.000231972 -3 *1286:B2 *1168:A 0.000187289 -4 *1286:B2 *1244:A_N 2.92343e-05 -5 *1243:B *1286:B2 3.46786e-05 -6 *37:20 *1286:B2 2.59355e-05 -7 *93:8 *1286:B2 2.8266e-06 -8 *146:7 *1286:B2 5.52302e-05 -9 *202:20 *1286:B2 7.40536e-05 -10 *212:13 *1286:B2 0.000115494 -*RES -1 *1285:X *1286:B2 33.1179 -*END - -*D_NET *231 0.00306969 -*CONN -*I *1289:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1287:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1289:B1 0.00054418 -2 *1287:Y 0.00054418 -3 *1289:B1 *1260:B 0.000185408 -4 *1289:B1 *1299:A1 0.000195721 -5 *1289:B1 *233:27 1.98839e-05 -6 *407:DIODE *1289:B1 2.71789e-05 -7 *1261:A *1289:B1 0.000398453 -8 *1287:B *1289:B1 0.000559303 -9 *1289:A1 *1289:B1 5.33005e-05 -10 *1289:A2 *1289:B1 3.19083e-05 -11 *24:22 *1289:B1 0.00045186 -12 *197:69 *1289:B1 5.83088e-05 -*RES -1 *1287:Y *1289:B1 43.4036 -*END - -*D_NET *232 0.00393001 -*CONN -*I *1300:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1289:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1288:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *1300:B1 0.000370859 -2 *1289:B2 0.00014622 -3 *1288:X 0.000495051 -4 *232:10 0.00101213 -5 *1300:B1 *1440:A 9.83388e-05 -6 *1300:B1 *233:27 0.0002137 -7 *1300:B1 *337:8 0 -8 *232:10 *1170:A 5.31122e-05 -9 *232:10 *233:27 6.6399e-05 -10 *232:10 *275:60 9.00763e-05 -11 *232:10 *294:18 5.52302e-05 -12 *1172:B *232:10 5.33005e-05 -13 *1247:B *232:10 0 -14 *1251:A *232:10 0.000119899 -15 *1258:A1 *232:10 0.000165058 -16 *1260:A *232:10 6.35519e-05 -17 *1261:A *1300:B1 9.58189e-06 -18 *1272:A2 *1289:B2 7.26533e-05 -19 *1288:B *232:10 3.98858e-05 -20 *1289:A1 *1289:B2 0.000153049 -21 *1289:A1 *1300:B1 4.00349e-05 -22 *18:5 *1300:B1 2.34216e-05 -23 *21:11 *1300:B1 2.27129e-05 -24 *21:26 *1300:B1 0.000189735 -25 *37:24 *232:10 0 -26 *163:57 *232:10 0.000108948 -27 *163:63 *232:10 5.41195e-05 -28 *203:10 *232:10 0 -29 *210:33 *232:10 0.000100474 -30 *213:37 *232:10 5.43512e-05 -31 *226:114 *1300:B1 6.05161e-06 -32 *226:132 *1300:B1 5.20669e-05 -*RES -1 *1288:X *232:10 25.0143 -2 *232:10 *1289:B2 17.3 -3 *232:10 *1300:B1 22.1571 -*END - -*D_NET *233 0.00690365 -*CONN -*I *1298:C1 I *D sky130_fd_sc_hd__o221a_2 -*I *1293:B I *D sky130_fd_sc_hd__and3_2 -*I *1301:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1304:C1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1290:Y O *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 *1298:C1 0.000301598 -2 *1293:B 0 -3 *1301:B2 2.25507e-05 -4 *1304:C1 0.000379317 -5 *1290:Y 0 -6 *233:27 0.00108483 -7 *233:11 0.00088476 -8 *233:4 0.000503393 -9 *1298:C1 *1298:B1 0.000149229 -10 *1298:C1 *234:29 9.8045e-05 -11 *1304:C1 *1409:A 1.12669e-05 -12 *233:11 *1260:B 3.20609e-05 -13 *233:11 *330:21 5.52238e-05 -14 *233:27 *1260:B 9.96698e-06 -15 *233:27 *330:21 0.00022459 -16 *233:27 *357:13 1.38323e-05 -17 *413:DIODE *1304:C1 0 -18 *1261:A *233:27 0.000518235 -19 *1270:A1 *233:27 6.40584e-05 -20 *1270:A2 *233:27 2.18263e-05 -21 *1270:B2 *233:27 5.49995e-05 -22 *1271:A *1298:C1 9.41642e-05 -23 *1271:A *233:11 5.33005e-05 -24 *1287:A *1298:C1 9.69778e-05 -25 *1287:A *233:11 6.30482e-05 -26 *1289:A1 *233:27 0.000100152 -27 *1289:B1 *233:27 1.98839e-05 -28 *1290:A_N *233:11 0.000130963 -29 *1292:A2 *233:11 4.38058e-05 -30 *1292:B2 *233:11 5.55935e-05 -31 *1296:C *233:11 8.53839e-05 -32 *1297:A *1298:C1 9.41642e-05 -33 *1298:B2 *1298:C1 0 -34 *1300:B1 *233:27 0.0002137 -35 *1301:A1 *1304:C1 7.2266e-05 -36 *1301:A1 *233:27 4.77002e-05 -37 *1301:A2 *1304:C1 3.20759e-05 -38 *1301:B1 *1304:C1 0.000119316 -39 *1304:A2 *1304:C1 2.12647e-05 -40 *16:8 *1304:C1 9.67754e-05 -41 *17:7 *1304:C1 0 -42 *24:31 *1301:B2 0 -43 *24:31 *1304:C1 0.000141941 -44 *24:31 *233:27 0.00048708 -45 *37:24 *233:27 2.79421e-05 -46 *206:60 *1304:C1 5.74499e-06 -47 *207:15 *1304:C1 0.000185408 -48 *213:37 *233:27 4.11173e-05 -49 *221:26 *1298:C1 2.89114e-05 -50 *221:39 *1298:C1 1.98839e-05 -51 *221:39 *233:11 2.89016e-05 -52 *232:10 *233:27 6.6399e-05 -*RES -1 *1290:Y *233:4 9.3 -2 *233:4 *233:11 13.8393 -3 *233:11 *233:27 25.7321 -4 *233:27 *1304:C1 25.0143 -5 *233:27 *1301:B2 9.72857 -6 *233:11 *1293:B 9.3 -7 *233:4 *1298:C1 24.0321 -*END - -*D_NET *234 0.00360634 -*CONN -*I *1298:B1 I *D sky130_fd_sc_hd__o221a_2 -*I *1292:B1 I *D sky130_fd_sc_hd__o22a_2 -*I *1296:A_N I *D sky130_fd_sc_hd__nand3b_2 -*I *1308:A3 I *D sky130_fd_sc_hd__o31a_2 -*I *1291:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1298:B1 0.000124689 -2 *1292:B1 2.44993e-05 -3 *1296:A_N 0 -4 *1308:A3 9.25443e-05 -5 *1291:Y 4.1975e-05 -6 *234:29 0.00035886 -7 *234:11 0.000288134 -8 *234:7 0.000447236 -9 *1308:A3 *1308:B1 7.43578e-06 -10 *1308:A3 *294:23 5.33005e-05 -11 *234:11 *236:8 0 -12 *234:29 *1297:B 6.02043e-05 -13 *234:29 *236:8 0 -14 *390:DIODE *234:7 7.02611e-05 -15 *391:DIODE *1308:A3 0.000166281 -16 *409:DIODE *1308:A3 0.000164566 -17 *1287:A *234:29 0.00010379 -18 *1296:C *234:11 5.96516e-05 -19 *1297:A *1292:B1 9.71197e-05 -20 *1297:A *1298:B1 1.98839e-05 -21 *1297:A *234:29 0.000122089 -22 *1298:A2 *1292:B1 4.43256e-05 -23 *1298:A2 *1298:B1 0.000284864 -24 *1298:B2 *1298:B1 5.25507e-05 -25 *1298:C1 *1298:B1 0.000149229 -26 *1298:C1 *234:29 9.8045e-05 -27 *1308:A2 *1308:A3 1.39726e-05 -28 *30:23 *234:7 0.000137983 -29 *93:55 *234:11 7.9524e-05 -30 *93:55 *234:29 3.04495e-05 -31 *208:34 *234:11 0.000265441 -32 *211:40 *234:11 0.00014743 -*RES -1 *1291:Y *234:7 15.1571 -2 *234:7 *234:11 9.33929 -3 *234:11 *1308:A3 21.0857 -4 *234:11 *1296:A_N 9.3 -5 *234:7 *234:29 9.48214 -6 *234:29 *1292:B1 10.2464 -7 *234:29 *1298:B1 13.1973 -*END - -*D_NET *235 0.00247996 -*CONN -*I *1293:C I *D sky130_fd_sc_hd__and3_2 -*I *1297:B I *D sky130_fd_sc_hd__and3_2 -*I *1292:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1293:C 0.000291682 -2 *1297:B 0.000437272 -3 *1292:X 3.92359e-05 -4 *235:7 0.00076819 -5 *1293:C *236:5 7.22686e-05 -6 *1293:C *317:24 7.52701e-05 -7 *1293:C *323:15 3.2923e-05 -8 *1293:C *363:17 4.86074e-05 -9 *1297:B *236:8 4.14832e-05 -10 *1297:B *317:24 0.000202513 -11 *1260:A *1293:C 8.76142e-05 -12 *1260:A *1297:B 4.46186e-06 -13 *1287:A *1297:B 8.03349e-06 -14 *1297:A *1297:B 0.00012051 -15 *1298:A2 *235:7 5.52238e-05 -16 *221:24 *1297:B 4.13496e-05 -17 *221:26 *1297:B 9.31209e-05 -18 *234:29 *1297:B 6.02043e-05 -*RES -1 *1292:X *235:7 14.3357 -2 *235:7 *1297:B 21.1214 -3 *235:7 *1293:C 18.9429 -*END - -*D_NET *236 0.00442455 -*CONN -*I *1294:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1308:B1 I *D sky130_fd_sc_hd__o31a_2 -*I *1314:B1 I *D sky130_fd_sc_hd__a22o_2 -*I *1293:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1294:B1 0 -2 *1308:B1 3.25833e-05 -3 *1314:B1 0.000359851 -4 *1293:X 9.55395e-05 -5 *236:8 0.00085618 -6 *236:5 0.000559286 -7 *1308:B1 *294:23 2.61099e-05 -8 *1314:B1 *1314:B2 0.000156389 -9 *1314:B1 *246:18 2.60984e-05 -10 *236:5 *363:17 0.00026454 -11 *236:8 *315:8 0.000513731 -12 *236:8 *317:24 0.000359692 -13 *391:DIODE *1314:B1 5.14314e-05 -14 *391:DIODE *236:8 0.000399445 -15 *409:DIODE *236:8 1.11813e-05 -16 *1252:A *1314:B1 5.30196e-05 -17 *1293:C *236:5 7.22686e-05 -18 *1297:B *236:8 4.14832e-05 -19 *1308:A2 *1308:B1 9.60939e-05 -20 *1308:A2 *1314:B1 0.000190281 -21 *1308:A3 *1308:B1 7.43578e-06 -22 *25:26 *236:8 3.0912e-05 -23 *93:55 *236:8 0 -24 *197:66 *236:5 6.05161e-06 -25 *198:41 *236:8 1.59371e-05 -26 *211:40 *236:8 6.13706e-05 -27 *220:81 *1314:B1 0.000137639 -28 *234:11 *236:8 0 -29 *234:29 *236:8 0 -*RES -1 *1293:X *236:5 11.8893 -2 *236:5 *236:8 17.0714 -3 *236:8 *1314:B1 20.55 -4 *236:8 *1308:B1 14.7464 -5 *236:5 *1294:B1 9.3 -*END - -*D_NET *237 0.0015509 -*CONN -*I *1299:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1295:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1299:A1 0.000272545 -2 *1295:Y 0.000272545 -3 *1299:A1 *1299:A2 0.000309143 -4 *1299:A1 *1311:A2 8.18231e-05 -5 *1299:A1 *1311:A3 0.000197984 -6 *1289:B1 *1299:A1 0.000195721 -7 *1298:A1 *1299:A1 2.14378e-05 -8 *1311:B1 *1299:A1 0.000199698 -9 *197:69 *1299:A1 0 -*RES -1 *1295:Y *1299:A1 35.6179 -*END - -*D_NET *238 0.00212864 -*CONN -*I *1297:C I *D sky130_fd_sc_hd__and3_2 -*I *1314:B2 I *D sky130_fd_sc_hd__a22o_2 -*I *1296:Y O *D sky130_fd_sc_hd__nand3b_2 -*CAP -1 *1297:C 0.000190054 -2 *1314:B2 0.000192957 -3 *1296:Y 0 -4 *238:5 0.000383011 -5 *1314:B2 *246:18 0.000185643 -6 *1314:B2 *294:23 7.32955e-05 -7 *409:DIODE *1297:C 0.000442294 -8 *409:DIODE *1314:B2 0.000122091 -9 *1253:C1 *1314:B2 0 -10 *1271:A *1297:C 0 -11 *1296:B *1297:C 0.000151857 -12 *1308:A2 *1314:B2 7.43578e-06 -13 *1314:B1 *1314:B2 0.000156389 -14 *208:34 *1297:C 1.14483e-05 -15 *208:34 *1314:B2 2.83284e-06 -16 *221:24 *1297:C 0.000209334 -*RES -1 *1296:Y *238:5 13.8 -2 *238:5 *1314:B2 19.3 -3 *238:5 *1297:C 19.6929 -*END - -*D_NET *239 0.00154176 -*CONN -*I *1299:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:A1 I *D sky130_fd_sc_hd__a32o_2 -*I *1297:X O *D sky130_fd_sc_hd__and3_2 -*CAP -1 *1299:A2 0.000197212 -2 *1311:A1 0 -3 *1297:X 0.000169819 -4 *239:9 0.000367031 -5 *1299:A2 *1299:A3 0.000148989 -6 *239:9 *1311:A3 9.90367e-05 -7 *410:DIODE *1299:A2 2.0279e-05 -8 *1271:A *239:9 9.90367e-05 -9 *1298:A1 *1299:A2 0.000101545 -10 *1299:A1 *1299:A2 0.000309143 -11 *1311:B1 *1299:A2 1.59935e-05 -12 *1311:B2 *1299:A2 3.6692e-06 -13 *1311:B2 *239:9 1.00073e-05 -*RES -1 *1297:X *239:9 12.7464 -2 *239:9 *1311:A1 9.3 -3 *239:9 *1299:A2 23.3179 -*END - -*D_NET *240 0.00129988 -*CONN -*I *1299:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1311:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1298:X O *D sky130_fd_sc_hd__o221a_2 -*CAP -1 *1299:A3 0.000167018 -2 *1311:A2 9.21139e-05 -3 *1298:X 7.22029e-05 -4 *240:5 0.000331335 -5 *410:DIODE *1299:A3 7.57345e-05 -6 *1287:A *1299:A3 8.46829e-05 -7 *1287:A *240:5 0.000139907 -8 *1298:A1 *1299:A3 2.42516e-05 -9 *1299:A1 *1311:A2 8.18231e-05 -10 *1299:A2 *1299:A3 0.000148989 -11 *197:69 *1311:A2 8.18231e-05 -*RES -1 *1298:X *240:5 10.6571 -2 *240:5 *1311:A2 20.55 -3 *240:5 *1299:A3 22.0143 -*END - -*D_NET *241 0.00105281 -*CONN -*I *1303:C1 I *D sky130_fd_sc_hd__o211a_2 -*I *1302:X O *D sky130_fd_sc_hd__o22a_2 -*CAP -1 *1303:C1 0.00027754 -2 *1302:X 0.00027754 -3 *1303:C1 *372:13 5.33005e-05 -4 *1248:B *1303:C1 2.89016e-05 -5 *1267:A1 *1303:C1 7.87495e-05 -6 *1277:A3 *1303:C1 9.71197e-05 -7 *1278:A2 *1303:C1 0 -8 *163:39 *1303:C1 0.000189277 -9 *206:8 *1303:C1 0 -10 *206:17 *1303:C1 0 -11 *212:19 *1303:C1 5.03772e-05 -*RES -1 *1302:X *1303:C1 33.7607 -*END - -*D_NET *242 0.00129458 -*CONN -*I *1304:D1 I *D sky130_fd_sc_hd__o2111a_2 -*I *1303:X O *D sky130_fd_sc_hd__o211a_2 -*CAP -1 *1304:D1 0.000178188 -2 *1303:X 0.000178188 -3 *1282:B1 *1304:D1 0.000118899 -4 *16:8 *1304:D1 4.77002e-05 -5 *206:60 *1304:D1 9.84729e-05 -6 *213:37 *1304:D1 0.000206272 -7 *217:22 *1304:D1 6.93074e-05 -8 *226:132 *1304:D1 0.000397553 -*RES -1 *1303:X *1304:D1 34.1893 -*END - -*D_NET *243 0.000431574 -*CONN -*I *1307:A2 I *D sky130_fd_sc_hd__a32o_2 -*I *1304:X O *D sky130_fd_sc_hd__o2111a_2 -*CAP -1 *1307:A2 0.000109457 -2 *1304:X 0.000109457 -3 *1307:A1 *1307:A2 9.54798e-06 -4 *16:8 *1307:A2 9.84729e-05 -5 *36:27 *1307:A2 7.51975e-05 -6 *226:132 *1307:A2 2.94412e-05 -*RES -1 *1304:X *1307:A2 29.5821 -*END - -*D_NET *244 0.000616331 -*CONN -*I *1306:B I *D sky130_fd_sc_hd__nand2_2 -*I *1305:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1306:B 8.78467e-05 -2 *1305:Y 8.78467e-05 -3 *1266:B *1306:B 0.000167018 -4 *1306:A *1306:B 5.33005e-05 -5 *146:7 *1306:B 5.33005e-05 -6 *163:39 *1306:B 0.000167018 -*RES -1 *1305:Y *1306:B 30.3857 -*END - -*D_NET *245 0.00289204 -*CONN -*I *1307:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1306:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1307:A3 0.000596161 -2 *1306:Y 0.000596161 -3 *1307:A3 *1409:A 0.000111082 -4 *1307:A3 *1435:Z 2.39301e-05 -5 *1307:A3 *344:13 0.000308913 -6 *414:DIODE *1307:A3 4.68159e-05 -7 *1304:A2 *1307:A3 0 -8 *1307:B1 *1307:A3 3.28686e-05 -9 *24:31 *1307:A3 0.000137639 -10 *197:53 *1307:A3 4.34049e-05 -11 *197:66 *1307:A3 4.53841e-05 -12 *206:60 *1307:A3 0 -13 *216:6 *1307:A3 0.000177806 -14 *216:25 *1307:A3 1.90936e-05 -15 *217:22 *1307:A3 0.000252037 -16 *218:8 *1307:A3 0.000500748 -17 *226:132 *1307:A3 0 -*RES -1 *1306:Y *1307:A3 42.5643 -*END - -*D_NET *246 0.00439118 -*CONN -*I *1309:B1 I *D sky130_fd_sc_hd__a21o_2 -*I *1308:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1309:B1 0 -2 *1308:X 0.00101426 -3 *246:18 0.00101426 -4 *246:18 *1379:TE 6.88635e-05 -5 *246:18 *1380:TE 0.0001098 -6 *246:18 *1450:A 6.42242e-05 -7 *246:18 *1452:A 0.000194208 -8 *246:18 *1453:A 3.06451e-05 -9 *246:18 *294:23 0.000681946 -10 *246:18 *295:8 7.83462e-05 -11 *246:18 *373:11 0.000221634 -12 *411:DIODE *246:18 1.70057e-05 -13 *421:DIODE *246:18 0.000221641 -14 *1313:A1 *246:18 1.07719e-05 -15 *1314:A2 *246:18 2.10819e-05 -16 *1314:B1 *246:18 2.60984e-05 -17 *1314:B2 *246:18 0.000185643 -18 *25:26 *246:18 0 -19 *220:81 *246:18 8.25843e-06 -20 *226:78 *246:18 9.64695e-05 -21 *226:85 *246:18 0.000247267 -22 *226:94 *246:18 7.87601e-05 -*RES -1 *1308:X *246:18 44.6929 -2 *246:18 *1309:B1 9.3 -*END - -*D_NET *247 0.000976933 -*CONN -*I *1311:A3 I *D sky130_fd_sc_hd__a32o_2 -*I *1310:Y O *D sky130_fd_sc_hd__nand3_2 -*CAP -1 *1311:A3 0.000192787 -2 *1310:Y 0.000192787 -3 *1253:A1 *1311:A3 3.22831e-05 -4 *1299:A1 *1311:A3 0.000197984 -5 *1311:B1 *1311:A3 8.36152e-05 -6 *1311:B2 *1311:A3 3.67142e-05 -7 *92:54 *1311:A3 8.6872e-05 -8 *92:67 *1311:A3 2.28378e-05 -9 *197:69 *1311:A3 3.20153e-05 -10 *239:9 *1311:A3 9.90367e-05 -*RES -1 *1310:Y *1311:A3 33.225 -*END - -*D_NET *248 0.00553393 -*CONN -*I *1324:A I *D sky130_fd_sc_hd__buf_2 -*I *1316:A I *D sky130_fd_sc_hd__buf_2 -*I *1342:B I *D sky130_fd_sc_hd__nor2_2 -*I *1333:A I *D sky130_fd_sc_hd__buf_2 -*I *1344:B I *D sky130_fd_sc_hd__nor2_2 -*I *1343:B I *D sky130_fd_sc_hd__nor2_2 -*I *1315:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *1324:A 0.000100289 -2 *1316:A 0 -3 *1342:B 0 -4 *1333:A 9.38528e-05 -5 *1344:B 3.04014e-05 -6 *1343:B 2.13113e-05 -7 *1315:Y 0.000180781 -8 *248:49 0.000100289 -9 *248:35 0.000395654 -10 *248:28 0.000470237 -11 *248:17 0.0010663 -12 *248:5 0.00106956 -13 *1324:A *252:47 0.000274294 -14 *1333:A *252:13 2.19684e-05 -15 *1333:A *252:47 0.000128154 -16 *1333:A *257:53 0 -17 *1344:B *253:69 1.94416e-05 -18 *248:5 *1127:A 4.11756e-05 -19 *248:17 *1343:A 5.49995e-05 -20 *248:17 *253:11 6.19926e-05 -21 *248:17 *253:48 8.56585e-05 -22 *248:17 *253:60 1.47972e-05 -23 *248:28 *253:60 3.23614e-05 -24 *248:35 *1111:A0 0 -25 *248:35 *1326:B 0.000146071 -26 *248:35 *258:17 0.000227547 -27 *248:35 *259:11 0.000146732 -28 *248:35 *259:42 0 -29 *1129:B *248:5 7.88091e-05 -30 *1366:RESET_B *248:5 0.000313208 -31 *1367:RESET_B *248:17 0.000304741 -32 *39:16 *248:5 5.33005e-05 -*RES -1 *1315:Y *248:5 13.9429 -2 *248:5 *1343:B 9.72857 -3 *248:5 *248:17 13 -4 *248:17 *1344:B 10.0321 -5 *248:17 *248:28 7.08929 -6 *248:28 *248:35 11.7143 -7 *248:35 *1333:A 20.55 -8 *248:35 *1342:B 9.3 -9 *248:28 *248:49 4.5 -10 *248:49 *1316:A 9.3 -11 *248:49 *1324:A 11.8893 -*END - -*D_NET *249 0.00860782 -*CONN -*I *1325:A I *D sky130_fd_sc_hd__nor2_2 -*I *1320:A I *D sky130_fd_sc_hd__nor2_2 -*I *1323:A I *D sky130_fd_sc_hd__nor2_2 -*I *1322:A I *D sky130_fd_sc_hd__nor2_2 -*I *1326:A I *D sky130_fd_sc_hd__nor2_2 -*I *1321:A I *D sky130_fd_sc_hd__nor2_2 -*I *1327:A I *D sky130_fd_sc_hd__nor2_2 -*I *1319:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1325:A 4.16892e-05 -2 *1320:A 2.28556e-05 -3 *1323:A 0 -4 *1322:A 2.17274e-05 -5 *1326:A 0.00016422 -6 *1321:A 0.00020415 -7 *1327:A 0.000135942 -8 *1319:X 0.000184685 -9 *249:40 0.00163756 -10 *249:29 0.00148138 -11 *249:25 0.000221673 -12 *249:24 0.000267536 -13 *249:20 0.000342814 -14 *249:5 0.000408285 -15 *1320:A *371:40 5.33005e-05 -16 *1321:A *1321:B 0 -17 *1321:A *256:22 8.90314e-05 -18 *1322:A *1322:B 3.08382e-06 -19 *1325:A *250:38 0.0001871 -20 *1325:A *252:57 0.000230475 -21 *1326:A *1321:B 0 -22 *1326:A *1326:B 5.37741e-06 -23 *1326:A *259:6 0 -24 *1326:A *259:42 0 -25 *1326:A *371:8 0 -26 *1327:A *254:202 7.31131e-05 -27 *1327:A *271:8 7.25873e-06 -28 *249:20 *1331:B 7.98311e-05 -29 *249:20 *250:38 0.000146261 -30 *249:25 *371:40 9.90367e-05 -31 *249:29 *1323:B 3.08382e-06 -32 *249:29 *371:32 0.000178459 -33 *249:29 *371:40 6.58597e-05 -34 *249:40 *254:84 4.8035e-05 -35 *249:40 *257:32 0.00083091 -36 *249:40 *371:24 0.000137183 -37 *249:40 *371:32 7.14951e-05 -38 *1229:A *249:40 2.89114e-05 -39 *1328:A *249:20 0.000170762 -40 *1328:A *249:24 0.000385395 -41 *1349:RESET_B *249:40 9.83388e-05 -42 *1351:RESET_B *249:24 0 -43 *1352:RESET_B *1326:A 0.000103253 -44 *1353:RESET_B *1327:A 5.33005e-05 -45 *1353:RESET_B *249:5 0.000254706 -46 *198:60 *249:20 6.97424e-05 -*RES -1 *1319:X *249:5 11.8893 -2 *249:5 *1327:A 11.9429 -3 *249:5 *249:20 7.66071 -4 *249:20 *249:24 9.48214 -5 *249:24 *249:25 0.946429 -6 *249:25 *249:29 4.66071 -7 *249:29 *249:40 23.9554 -8 *249:40 *1321:A 17.7732 -9 *249:40 *1326:A 17.1125 -10 *249:29 *1322:A 9.72857 -11 *249:25 *1323:A 9.3 -12 *249:24 *1320:A 9.83571 -13 *249:20 *1325:A 15.9786 -*END - -*D_NET *250 0.00753417 -*CONN -*I *1332:B I *D sky130_fd_sc_hd__nor2_2 -*I *1329:B I *D sky130_fd_sc_hd__nor2_2 -*I *1331:B I *D sky130_fd_sc_hd__nor2_2 -*I *1327:B I *D sky130_fd_sc_hd__nor2_2 -*I *1325:B I *D sky130_fd_sc_hd__nor2_2 -*I *1330:B I *D sky130_fd_sc_hd__nor2_2 -*I *1326:B I *D sky130_fd_sc_hd__nor2_2 -*I *1324:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1332:B 0.000199212 -2 *1329:B 0 -3 *1331:B 0.000396238 -4 *1327:B 0 -5 *1325:B 1.9105e-05 -6 *1330:B 1.98239e-05 -7 *1326:B 0.000222763 -8 *1324:X 0 -9 *250:58 0.000280349 -10 *250:38 0.000607107 -11 *250:27 0.000519963 -12 *250:19 0.000898314 -13 *250:16 0.000722777 -14 *250:4 0.000275901 -15 *1325:B *252:57 1.02301e-05 -16 *1326:B *252:47 0.00040086 -17 *1326:B *259:42 0 -18 *1326:B *371:8 0.000230475 -19 *1330:B *251:42 3.49456e-06 -20 *1331:B *271:8 0.000159687 -21 *1332:B *1329:A 0.000184503 -22 *1332:B *251:42 3.40802e-05 -23 *1332:B *253:69 4.18836e-05 -24 *250:19 *251:42 0.000248798 -25 *250:19 *252:47 0.000194363 -26 *250:27 *251:30 0.000184817 -27 *250:27 *251:42 6.58597e-05 -28 *250:27 *252:47 3.88213e-05 -29 *250:27 *252:57 0.00025495 -30 *250:38 *252:57 4.14279e-05 -31 *1107:S *250:16 7.84584e-05 -32 *1107:S *250:58 0.00014852 -33 *1226:B *250:19 0.000185403 -34 *1280:A *1331:B 9.71197e-05 -35 *1325:A *250:38 0.0001871 -36 *1326:A *1326:B 5.37741e-06 -37 *198:60 *1331:B 0.000149662 -38 *226:7 *1331:B 5.45662e-05 -39 *248:35 *1326:B 0.000146071 -40 *249:20 *1331:B 7.98311e-05 -41 *249:20 *250:38 0.000146261 -*RES -1 *1324:X *250:4 9.3 -2 *250:4 *1326:B 24.3714 -3 *250:4 *250:16 5.53571 -4 *250:16 *250:19 15.7143 -5 *250:19 *1330:B 9.72857 -6 *250:19 *250:27 6.28571 -7 *250:27 *1325:B 9.72857 -8 *250:27 *250:38 9.03571 -9 *250:38 *1327:B 13.8 -10 *250:38 *1331:B 21.9071 -11 *250:16 *250:58 6.44643 -12 *250:58 *1329:B 9.3 -13 *250:58 *1332:B 13.5679 -*END - -*D_NET *251 0.00705319 -*CONN -*I *1331:A I *D sky130_fd_sc_hd__nor2_2 -*I *1335:A I *D sky130_fd_sc_hd__nor2_2 -*I *1334:A I *D sky130_fd_sc_hd__nor2_2 -*I *1330:A I *D sky130_fd_sc_hd__nor2_2 -*I *1332:A I *D sky130_fd_sc_hd__nor2_2 -*I *1329:A I *D sky130_fd_sc_hd__nor2_2 -*I *1336:A I *D sky130_fd_sc_hd__nor2_2 -*I *1328:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1331:A 0.000326609 -2 *1335:A 6.65618e-05 -3 *1334:A 0 -4 *1330:A 0 -5 *1332:A 0 -6 *1329:A 3.53453e-05 -7 *1336:A 0 -8 *1328:X 0.000243886 -9 *251:62 0.000439038 -10 *251:42 0.000416528 -11 *251:30 0.000415916 -12 *251:23 0.000709651 -13 *251:15 0.000777941 -14 *251:8 0.000301042 -15 *1329:A *253:69 9.14454e-05 -16 *1331:A *1356:CLK 0 -17 *1335:A *252:57 5.71472e-05 -18 *251:8 *252:70 5.80706e-06 -19 *251:8 *254:138 0 -20 *251:15 *252:70 8.15843e-05 -21 *251:23 *252:47 5.18205e-05 -22 *251:23 *252:57 0.00036462 -23 *251:30 *252:47 0.000125625 -24 *251:42 *1221:A 1.02504e-05 -25 *251:42 *252:47 0.000586897 -26 *251:42 *253:69 0.000446209 -27 *251:62 *252:70 2.94103e-05 -28 *1221:B *251:42 9.24843e-06 -29 *1328:A *1331:A 4.93636e-05 -30 *1328:A *251:8 0.0001164 -31 *1328:A *251:15 5.16396e-05 -32 *1328:A *251:62 2.5236e-05 -33 *1330:B *251:42 3.49456e-06 -34 *1332:B *1329:A 0.000184503 -35 *1332:B *251:42 3.40802e-05 -36 *1351:RESET_B *251:23 0 -37 *1353:D *1331:A 8.06427e-05 -38 *1353:RESET_B *1331:A 6.90255e-05 -39 *1360:RESET_B *251:8 0.000266829 -40 *198:60 *1331:A 7.99147e-05 -41 *250:19 *251:42 0.000248798 -42 *250:27 *251:30 0.000184817 -43 *250:27 *251:42 6.58597e-05 -*RES -1 *1328:X *251:8 18.8179 -2 *251:8 *1336:A 13.8 -3 *251:8 *251:15 1.94643 -4 *251:15 *251:23 22.4643 -5 *251:23 *251:30 2.16071 -6 *251:30 *251:42 21.8036 -7 *251:42 *1329:A 11.0679 -8 *251:42 *1332:A 9.3 -9 *251:30 *1330:A 9.3 -10 *251:23 *1334:A 9.3 -11 *251:15 *251:62 1.03571 -12 *251:62 *1335:A 14.8714 -13 *251:62 *1331:A 20.9071 -*END - -*D_NET *252 0.0133118 -*CONN -*I *1336:B I *D sky130_fd_sc_hd__nor2_2 -*I *1339:B I *D sky130_fd_sc_hd__nor2_2 -*I *1338:B I *D sky130_fd_sc_hd__nor2_2 -*I *1335:B I *D sky130_fd_sc_hd__nor2_2 -*I *1334:B I *D sky130_fd_sc_hd__nor2_2 -*I *1341:B I *D sky130_fd_sc_hd__nor2_2 -*I *1340:B I *D sky130_fd_sc_hd__nor2_2 -*I *1333:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1336:B 0 -2 *1339:B 0.00047347 -3 *1338:B 0 -4 *1335:B 2.654e-05 -5 *1334:B 0 -6 *1341:B 0 -7 *1340:B 0.000193978 -8 *1333:X 0 -9 *252:79 0.00118556 -10 *252:70 0.000837223 -11 *252:57 0.000331365 -12 *252:47 0.00147295 -13 *252:13 0.000783765 -14 *252:4 0.00188305 -15 *1339:B *1104:A 4.13595e-05 -16 *1339:B *1104:B 0.000112418 -17 *1339:B *1360:CLK 0 -18 *1340:B *1341:A 5.52238e-05 -19 *252:13 *1120:A 0.00014285 -20 *252:13 *257:32 1.92905e-05 -21 *252:13 *257:53 3.20153e-05 -22 *252:13 *261:10 7.83366e-05 -23 *252:47 *1342:A 0.000195555 -24 *252:47 *257:32 5.23057e-05 -25 *252:47 *259:6 3.5707e-05 -26 *252:47 *259:42 5.90443e-06 -27 *252:47 *262:8 0.000116285 -28 *252:70 *1362:CLK 0.000103993 -29 *252:70 *254:138 5.80706e-06 -30 *252:79 *1345:CLK 0.000274294 -31 *252:79 *253:69 0 -32 *252:79 *253:71 0 -33 *1121:B *252:13 5.71472e-05 -34 *1226:B *252:47 0.000102197 -35 *1324:A *252:47 0.000274294 -36 *1325:A *252:57 0.000230475 -37 *1325:B *252:57 1.02301e-05 -38 *1326:B *252:47 0.00040086 -39 *1333:A *252:13 2.19684e-05 -40 *1333:A *252:47 0.000128154 -41 *1335:A *252:57 5.71472e-05 -42 *1345:D *252:79 7.32173e-05 -43 *1347:D *1339:B 0 -44 *1359:RESET_B *252:57 3.69047e-06 -45 *1359:RESET_B *252:70 3.32631e-05 -46 *1362:RESET_B *1339:B 7.7017e-05 -47 *1363:RESET_B *1340:B 0.000108848 -48 *1364:RESET_B *252:13 0.000230482 -49 *1365:RESET_B *252:47 6.17134e-05 -50 *29:10 *252:79 0.000528537 -51 *84:26 *1340:B 0.000200406 -52 *97:12 *1340:B 0.000320709 -53 *97:12 *252:13 0.000156885 -54 *250:19 *252:47 0.000194363 -55 *250:27 *252:47 3.88213e-05 -56 *250:27 *252:57 0.00025495 -57 *250:38 *252:57 4.14279e-05 -58 *251:8 *252:70 5.80706e-06 -59 *251:15 *252:70 8.15843e-05 -60 *251:23 *252:47 5.18205e-05 -61 *251:23 *252:57 0.00036462 -62 *251:30 *252:47 0.000125625 -63 *251:42 *252:47 0.000586897 -64 *251:62 *252:70 2.94103e-05 -*RES -1 *1333:X *252:4 9.3 -2 *252:4 *252:13 21.5357 -3 *252:13 *1340:B 24.2821 -4 *252:13 *1341:B 9.3 -5 *252:4 *252:47 37.8571 -6 *252:47 *1334:B 9.3 -7 *252:47 *252:57 7.66071 -8 *252:57 *1335:B 9.72857 -9 *252:57 *252:70 12.5 -10 *252:70 *252:79 23.4643 -11 *252:79 *1338:B 9.3 -12 *252:79 *1339:B 26.5321 -13 *252:70 *1336:B 9.3 -*END - -*D_NET *253 0.0161882 -*CONN -*I *1344:A I *D sky130_fd_sc_hd__nor2_2 -*I *1338:A I *D sky130_fd_sc_hd__nor2_2 -*I *1339:A I *D sky130_fd_sc_hd__nor2_2 -*I *1342:A I *D sky130_fd_sc_hd__nor2_2 -*I *1341:A I *D sky130_fd_sc_hd__nor2_2 -*I *1340:A I *D sky130_fd_sc_hd__nor2_2 -*I *1343:A I *D sky130_fd_sc_hd__nor2_2 -*I *1337:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1344:A 0 -2 *1338:A 0 -3 *1339:A 0.000307778 -4 *1342:A 0.0002077 -5 *1341:A 2.52229e-05 -6 *1340:A 0.000197688 -7 *1343:A 2.03056e-05 -8 *1337:X 0.000519686 -9 *253:71 0.000525884 -10 *253:69 0.00317367 -11 *253:60 0.00315884 -12 *253:48 0.00086951 -13 *253:24 0.00081709 -14 *253:11 0.00087795 -15 *253:8 0.00124168 -16 *1339:A *1362:D 0 -17 *1339:A *266:8 5.34362e-05 -18 *1342:A *259:42 0.000205888 -19 *253:8 *1151:A 0 -20 *253:8 *1151:B 0 -21 *253:8 *264:14 0 -22 *253:24 *1111:A1 0.000126439 -23 *253:24 *1364:CLK 5.63157e-05 -24 *253:24 *254:45 1.46717e-05 -25 *253:24 *254:48 9.9951e-05 -26 *253:24 *262:8 0 -27 *253:69 *1362:D 0 -28 *253:69 *254:190 0.000175126 -29 *253:69 *254:202 0.000687457 -30 *253:69 *271:8 3.42063e-05 -31 *253:69 *371:56 0.000295137 -32 *253:71 *1362:D 0 -33 *1108:A *253:8 0 -34 *1112:A *253:8 0.000131247 -35 *1112:A *253:24 0 -36 *1121:B *253:24 0 -37 *1137:A *253:24 0.000137431 -38 *1215:A *253:8 0 -39 *1329:A *253:69 9.14454e-05 -40 *1332:B *253:69 4.18836e-05 -41 *1340:B *1341:A 5.52238e-05 -42 *1344:B *253:69 1.94416e-05 -43 *1354:RESET_B *253:69 2.11336e-05 -44 *1359:D *253:69 0.000143633 -45 *1361:RESET_B *1339:A 8.39e-05 -46 *1362:RESET_B *1339:A 2.89114e-05 -47 *1362:RESET_B *253:69 0 -48 *1364:D *1340:A 0.000150165 -49 *1364:D *253:24 0.000150625 -50 *1365:D *253:8 0.000136744 -51 *1365:RESET_B *1342:A 0 -52 *1367:D *253:8 7.6644e-05 -53 *1367:RESET_B *253:48 2.8524e-05 -54 *1367:RESET_B *253:60 0.000119485 -55 *29:10 *1339:A 0 -56 *29:10 *253:69 0 -57 *84:26 *1340:A 0 -58 *84:26 *253:24 0 -59 *90:13 *1340:A 0.000188586 -60 *248:17 *1343:A 5.49995e-05 -61 *248:17 *253:11 6.19926e-05 -62 *248:17 *253:48 8.56585e-05 -63 *248:17 *253:60 1.47972e-05 -64 *248:28 *253:60 3.23614e-05 -65 *251:42 *253:69 0.000446209 -66 *252:47 *1342:A 0.000195555 -67 *252:79 *253:69 0 -68 *252:79 *253:71 0 -*RES -1 *1337:X *253:8 29.05 -2 *253:8 *253:11 3.625 -3 *253:11 *1343:A 9.83571 -4 *253:11 *253:24 17.125 -5 *253:24 *1340:A 18.425 -6 *253:24 *1341:A 14.3357 -7 *253:8 *253:48 6.85714 -8 *253:48 *1342:A 23.5857 -9 *253:48 *253:60 4.25 -10 *253:60 *253:69 46.4375 -11 *253:69 *253:71 3.79464 -12 *253:71 *1339:A 19.9071 -13 *253:71 *1338:A 13.8 -14 *253:60 *1344:A 9.3 -*END - -*D_NET *254 0.0272576 -*CONN -*I *1366:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1365:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1359:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1356:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1353:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1355:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1358:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1351:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1350:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1360:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1362:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1345:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1347:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1346:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1361:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1349:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1348:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1352:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1357:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1354:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1367:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1364:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1363:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1368:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1455:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *1366:CLK 0 -2 *1365:CLK 5.65864e-05 -3 *1359:CLK 0 -4 *1356:CLK 0.00014519 -5 *1353:CLK 0.000334299 -6 *1355:CLK 0 -7 *1358:CLK 0 -8 *1351:CLK 0.00015978 -9 *1350:CLK 7.6341e-05 -10 *1360:CLK 0.000418603 -11 *1362:CLK 0.000102112 -12 *1345:CLK 9.32117e-05 -13 *1347:CLK 0 -14 *1346:CLK 0.000155777 -15 *1361:CLK 0.000152662 -16 *1349:CLK 3.82418e-05 -17 *1348:CLK 0.000383858 -18 *1352:CLK 0 -19 *1357:CLK 0 -20 *1354:CLK 0 -21 *1367:CLK 0 -22 *1364:CLK 7.13206e-05 -23 *1363:CLK 0 -24 *1368:A 0.000199953 -25 *1455:Y 0.000229353 -26 *254:205 0.000591228 -27 *254:202 0.000367219 -28 *254:190 0.000595535 -29 *254:178 0.000779772 -30 *254:138 0.000342647 -31 *254:131 0.000245554 -32 *254:122 0.000340921 -33 *254:114 0.000239575 -34 *254:112 0.000834738 -35 *254:104 0.00110692 -36 *254:93 0.00117191 -37 *254:89 0.000426046 -38 *254:84 0.000558702 -39 *254:75 0.000671189 -40 *254:70 0.00109092 -41 *254:64 0.000697039 -42 *254:58 0.00089591 -43 *254:48 0.000442412 -44 *254:45 0.000359129 -45 *254:34 0.000896848 -46 *254:16 0.00037001 -47 *254:13 0.00121539 -48 *254:8 0.000672894 -49 *1348:CLK *256:7 9.22103e-06 -50 *1350:CLK *257:11 0.000163792 -51 *1351:CLK *258:5 2.89114e-05 -52 *1356:CLK *276:13 0.000125724 -53 *1360:CLK *1361:D 1.34703e-05 -54 *1361:CLK *1361:D 4.57352e-05 -55 *1365:CLK *258:17 0.000128496 -56 *254:8 *1455:A 3.69047e-06 -57 *254:45 *1111:A1 2.82057e-05 -58 *254:45 *258:17 0.00022459 -59 *254:75 *1225:A1 0 -60 *254:75 *1226:A 0.000220178 -61 *254:84 *1225:A1 0.000136958 -62 *254:84 *257:32 0.000180091 -63 *254:84 *371:24 0.000836046 -64 *254:89 *1220:A 0 -65 *254:89 *257:23 0 -66 *254:93 *1220:A 0 -67 *254:112 *1361:D 4.17806e-05 -68 *254:178 *273:8 9.24825e-05 -69 *254:190 *271:8 0.000258184 -70 *254:202 *271:8 0.000134617 -71 clockp[1] *254:8 0 -72 *375:DIODE *254:8 2.92594e-05 -73 *383:DIODE *1368:A 0 -74 *427:DIODE *1346:CLK 1.92789e-05 -75 *427:DIODE *1361:CLK 0 -76 *427:DIODE *254:122 0 -77 *1107:S *254:75 0 -78 *1108:A *254:58 9.90431e-05 -79 *1112:A *254:48 0.000102097 -80 *1112:A *254:58 0.000104833 -81 *1117:A *1368:A 1.92789e-05 -82 *1117:A *254:16 0 -83 *1126:A1 *254:34 0.000427456 -84 *1126:B1 *254:34 0.000182694 -85 *1129:B *254:58 0 -86 *1134:A *254:8 1.01912e-05 -87 *1137:A *254:45 0.000315172 -88 *1137:B *254:45 0.000389868 -89 *1141:A *254:34 0.000185367 -90 *1146:A *254:16 0.000128154 -91 *1148:B *254:8 4.81374e-05 -92 *1148:B *254:13 1.46717e-05 -93 *1149:A1 *254:13 0.000107189 -94 *1149:A2 *254:8 5.66943e-05 -95 *1149:A2 *254:13 0.000266281 -96 *1150:D1 *254:8 0 -97 *1177:A1 *1368:A 0 -98 *1177:A1 *254:16 0 -99 *1177:A2 *254:16 0.000195555 -100 *1221:B *1351:CLK 0 -101 *1221:B *254:75 0 -102 *1225:A2 *254:84 6.87574e-05 -103 *1227:B1 *254:93 0 -104 *1228:A *254:89 2.06112e-05 -105 *1228:B *254:89 5.74756e-05 -106 *1229:A *254:89 1.37292e-05 -107 *1229:A *254:93 6.04069e-05 -108 *1232:B *1348:CLK 5.03682e-05 -109 *1319:A *254:202 0.000140243 -110 *1327:A *254:202 7.31131e-05 -111 *1331:A *1356:CLK 0 -112 *1339:B *1360:CLK 0 -113 *1349:D *1348:CLK 0.000151616 -114 *1349:D *254:104 0.000261781 -115 *1350:D *1350:CLK 6.05161e-06 -116 *1351:D *1351:CLK 2.89114e-05 -117 *1353:RESET_B *1356:CLK 1.91512e-05 -118 *1353:RESET_B *254:202 7.14526e-05 -119 *1353:RESET_B *254:205 5.30472e-05 -120 *1354:RESET_B *254:64 0.000170625 -121 *1354:RESET_B *254:70 6.05161e-06 -122 *1356:RESET_B *1356:CLK 4.87953e-05 -123 *1357:D *254:70 7.77751e-05 -124 *1357:RESET_B *254:75 0 -125 *1358:D *254:178 8.07313e-05 -126 *1358:D *254:190 8.07313e-05 -127 *1358:RESET_B *254:190 0 -128 *1359:D *1356:CLK 0 -129 *1359:D *254:205 0 -130 *1360:D *1360:CLK 7.15962e-05 -131 *1360:RESET_B *1361:CLK 1.19309e-05 -132 *1360:RESET_B *254:122 5.29554e-05 -133 *1360:RESET_B *254:131 6.07532e-05 -134 *1360:RESET_B *254:138 4.21185e-05 -135 *1363:D *254:16 3.25247e-05 -136 *1367:D *254:58 2.59355e-05 -137 *7:19 *254:8 0 -138 *38:5 *1361:CLK 0 -139 *84:26 *1364:CLK 5.26091e-05 -140 *84:26 *254:45 4.038e-06 -141 *85:19 *1348:CLK 9.71197e-05 -142 *85:19 *254:89 0 -143 *85:19 *254:93 0 -144 *85:43 *1351:CLK 0 -145 *96:27 *254:16 0 -146 *98:5 *254:34 4.18895e-05 -147 *108:8 *254:8 7.83587e-05 -148 *115:5 *254:13 0.000132889 -149 *117:10 *254:13 8.15939e-05 -150 *121:6 *254:13 0.000172681 -151 *187:10 *254:75 0 -152 *191:8 *254:75 0.000356958 -153 *226:7 *1356:CLK 3.14163e-05 -154 *249:40 *254:84 4.8035e-05 -155 *251:8 *254:138 0 -156 *252:70 *1362:CLK 0.000103993 -157 *252:70 *254:138 5.80706e-06 -158 *252:79 *1345:CLK 0.000274294 -159 *253:24 *1364:CLK 5.63157e-05 -160 *253:24 *254:45 1.46717e-05 -161 *253:24 *254:48 9.9951e-05 -162 *253:69 *254:190 0.000175126 -163 *253:69 *254:202 0.000687457 -*RES -1 *1455:Y *254:8 18.4071 -2 *254:8 *254:13 14.3214 -3 *254:13 *254:16 8.875 -4 *254:16 *1368:A 17.1929 -5 *254:16 *1363:CLK 13.8 -6 *254:13 *254:34 15.3036 -7 *254:34 *1364:CLK 15.7464 -8 *254:34 *254:45 11.9643 -9 *254:45 *254:48 7.05357 -10 *254:48 *1367:CLK 13.8 -11 *254:48 *254:58 10.875 -12 *254:58 *1354:CLK 9.3 -13 *254:58 *254:64 9.57143 -14 *254:64 *1357:CLK 9.3 -15 *254:64 *254:70 3 -16 *254:70 *254:75 18.5357 -17 *254:75 *1352:CLK 9.3 -18 *254:75 *254:84 12.8571 -19 *254:84 *254:89 3.51786 -20 *254:89 *254:93 6.75 -21 *254:93 *1348:CLK 16.425 -22 *254:93 *254:104 8.76786 -23 *254:104 *1349:CLK 10.0321 -24 *254:104 *254:112 5.05357 -25 *254:112 *254:114 4.5 -26 *254:114 *1361:CLK 16.9607 -27 *254:114 *254:122 1.94643 -28 *254:122 *1346:CLK 16.3893 -29 *254:122 *254:131 2.25 -30 *254:131 *1347:CLK 13.8 -31 *254:131 *254:138 2.55357 -32 *254:138 *1345:CLK 16.3893 -33 *254:138 *1362:CLK 16.3536 -34 *254:112 *1360:CLK 14.675 -35 *254:89 *1350:CLK 15.5679 -36 *254:84 *1351:CLK 16.5857 -37 *254:70 *254:178 8.33929 -38 *254:178 *1358:CLK 9.3 -39 *254:178 *254:190 17.6607 -40 *254:190 *1355:CLK 9.3 -41 *254:190 *254:202 9.51786 -42 *254:202 *254:205 6.75 -43 *254:205 *1353:CLK 18.8714 -44 *254:205 *1356:CLK 17.3 -45 *254:202 *1359:CLK 9.3 -46 *254:45 *1365:CLK 10.6571 -47 *254:8 *1366:CLK 13.8 -*END - -*D_NET *255 0.00366406 -*CONN -*I *1122:A I *D sky130_fd_sc_hd__and2_2 -*I *1115:A I *D sky130_fd_sc_hd__buf_2 -*I *1348:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1122:A 0.00127364 -2 *1115:A 0.000236851 -3 *1348:Q 8.63156e-05 -4 *255:7 0.0015968 -5 *1115:A *1113:A0 0 -6 *1115:A *256:29 0 -7 *1122:A *1125:B 3.8274e-05 -8 *1122:A *256:22 1.4722e-05 -9 *1122:A *256:29 0.000229418 -10 *1122:A *261:7 2.89016e-05 -11 *90:13 *1115:A 4.57092e-05 -12 *90:52 *1115:A 1.32056e-05 -13 *99:5 *1122:A 0.000100222 -*RES -1 *1348:Q *255:7 14.7464 -2 *255:7 *1115:A 18.2107 -3 *255:7 *1122:A 29.6571 -*END - -*D_NET *256 0.00612381 -*CONN -*I *1225:A1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1220:B I *D sky130_fd_sc_hd__and3_2 -*I *1125:A I *D sky130_fd_sc_hd__and2_2 -*I *1123:A I *D sky130_fd_sc_hd__xor2_2 -*I *1113:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1230:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1349:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1225:A1 0.000116622 -2 *1220:B 0 -3 *1125:A 0 -4 *1123:A 0 -5 *1113:A0 0.000166434 -6 *1230:A 0.000100758 -7 *1349:Q 0.000379571 -8 *256:29 0.000323967 -9 *256:22 0.000964576 -10 *256:18 0.000911516 -11 *256:15 0.000419309 -12 *256:7 0.000678544 -13 *1113:A0 *1113:A1 6.58289e-05 -14 *1225:A1 *257:32 6.90625e-05 -15 *256:18 *1220:A 3.22663e-05 -16 *256:22 *1220:A 2.72859e-05 -17 *256:22 *1321:B 1.92905e-05 -18 *256:29 *1113:A1 5.90443e-06 -19 *256:29 *1125:B 0.000186393 -20 *256:29 *261:10 8.06331e-05 -21 *1115:A *1113:A0 0 -22 *1115:A *256:29 0 -23 *1122:A *256:22 1.4722e-05 -24 *1122:A *256:29 0.000229418 -25 *1225:A2 *1225:A1 8.76234e-05 -26 *1225:A2 *256:15 4.89174e-05 -27 *1232:B *256:7 1.24368e-05 -28 *1321:A *256:22 8.90314e-05 -29 *1348:CLK *256:7 9.22103e-06 -30 *1349:D *256:7 0.000445571 -31 *85:19 *256:7 3.30352e-05 -32 *85:19 *256:15 9.65171e-05 -33 *90:13 *1113:A0 5.23142e-05 -34 *90:71 *1230:A 4.35048e-05 -35 *90:71 *256:15 6.98762e-05 -36 *187:7 *256:18 6.05161e-06 -37 *187:10 *1225:A1 0.000144038 -38 *187:10 *256:15 5.66157e-05 -39 *191:8 *1225:A1 0 -40 *191:20 *1230:A 0 -41 *191:20 *256:15 0 -42 *254:75 *1225:A1 0 -43 *254:84 *1225:A1 0.000136958 -*RES -1 *1349:Q *256:7 19.2643 -2 *256:7 *1230:A 15.7464 -3 *256:7 *256:15 4.07143 -4 *256:15 *256:18 5.85714 -5 *256:18 *256:22 10.1964 -6 *256:22 *256:29 9.35714 -7 *256:29 *1113:A0 17.4071 -8 *256:29 *1123:A 13.8 -9 *256:22 *1125:A 9.3 -10 *256:18 *1220:B 9.3 -11 *256:15 *1225:A1 17.1036 -*END - -*D_NET *257 0.00844597 -*CONN -*I *1225:B1 I *D sky130_fd_sc_hd__a21oi_2 -*I *1121:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1111:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1124:A I *D sky130_fd_sc_hd__and2_2 -*I *1136:A I *D sky130_fd_sc_hd__nor2_2 -*I *1220:A I *D sky130_fd_sc_hd__and3_2 -*I *1350:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1225:B1 0 -2 *1121:A_N 0 -3 *1111:A0 0.000303202 -4 *1124:A 0 -5 *1136:A 0.000145033 -6 *1220:A 0.000326177 -7 *1350:Q 0.000832489 -8 *257:53 0.000462652 -9 *257:34 0.000200296 -10 *257:32 0.000977659 -11 *257:23 0.000853702 -12 *257:11 0.00124942 -13 *1111:A0 *258:17 4.8035e-05 -14 *1136:A *262:32 0.000304595 -15 *257:32 *371:24 9.30626e-05 -16 *257:53 *1120:A 0 -17 *257:53 *262:8 0 -18 *1225:A1 *257:32 6.90625e-05 -19 *1225:A2 *257:32 0.000181796 -20 *1227:A1 *1220:A 4.43256e-05 -21 *1227:A2 *1220:A 0.000115478 -22 *1227:A2 *257:23 0.000117189 -23 *1227:B1 *1220:A 5.52302e-05 -24 *1227:B1 *257:11 2.65105e-05 -25 *1228:B *257:11 0.000273269 -26 *1333:A *257:53 0 -27 *1350:CLK *257:11 0.000163792 -28 *1350:D *257:11 3.4954e-05 -29 *85:19 *1220:A 0 -30 *95:8 *1111:A0 0.000132565 -31 *98:5 *1136:A 0.000232399 -32 *187:7 *1220:A 2.89114e-05 -33 *248:35 *1111:A0 0 -34 *249:40 *257:32 0.00083091 -35 *252:13 *257:32 1.92905e-05 -36 *252:13 *257:53 3.20153e-05 -37 *252:47 *257:32 5.23057e-05 -38 *254:84 *257:32 0.000180091 -39 *254:89 *1220:A 0 -40 *254:89 *257:23 0 -41 *254:93 *1220:A 0 -42 *256:18 *1220:A 3.22663e-05 -43 *256:22 *1220:A 2.72859e-05 -*RES -1 *1350:Q *257:11 25.9786 -2 *257:11 *1220:A 19.8893 -3 *257:11 *257:23 6.44643 -4 *257:23 *257:32 19.3036 -5 *257:32 *257:34 0.946429 -6 *257:34 *1136:A 13.675 -7 *257:34 *1124:A 9.3 -8 *257:32 *257:53 11.5536 -9 *257:53 *1111:A0 14.7821 -10 *257:53 *1121:A_N 9.3 -11 *257:23 *1225:B1 9.3 -*END - -*D_NET *258 0.0107636 -*CONN -*I *1224:A1 I *D sky130_fd_sc_hd__o211a_2 -*I *1221:A I *D sky130_fd_sc_hd__and2_2 -*I *1153:A I *D sky130_fd_sc_hd__and2_2 -*I *1127:A I *D sky130_fd_sc_hd__nor2_2 -*I *1128:A I *D sky130_fd_sc_hd__nand2_2 -*I *1109:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1351:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1224:A1 7.12824e-05 -2 *1221:A 7.83098e-05 -3 *1153:A 0.000177432 -4 *1127:A 0.000275587 -5 *1128:A 0 -6 *1109:A0 5.35103e-05 -7 *1351:Q 0.000820408 -8 *258:35 0.000491107 -9 *258:28 0.000175656 -10 *258:17 0.00212034 -11 *258:7 0.00213659 -12 *258:5 0.00102071 -13 *1109:A0 *1109:A1 9.99644e-06 -14 *1127:A *1127:B 0.000267731 -15 *1153:A *1153:B 0.000228558 -16 *1153:A *263:17 5.41797e-05 -17 *258:17 *1109:A1 2.51343e-06 -18 *258:17 *259:11 5.66107e-05 -19 *258:17 *259:23 9.16074e-05 -20 *258:17 *262:8 2.56694e-05 -21 *258:28 *263:8 2.37944e-05 -22 *258:28 *263:17 5.54817e-06 -23 *258:35 *263:17 3.8562e-05 -24 *378:DIODE *1127:A 0.000267877 -25 *378:DIODE *1153:A 0.000170964 -26 *1109:S *258:28 3.34366e-05 -27 *1111:A0 *258:17 4.8035e-05 -28 *1112:A *258:17 7.83659e-05 -29 *1129:B *1153:A 0 -30 *1129:B *258:35 0 -31 *1131:B1 *1127:A 5.37741e-06 -32 *1137:B *258:28 0 -33 *1221:B *1221:A 6.42338e-05 -34 *1224:A2 *1224:A1 5.7661e-06 -35 *1224:A2 *258:7 5.19842e-06 -36 *1224:B1 *258:7 1.32552e-05 -37 *1224:B1 *258:17 1.15281e-05 -38 *1351:CLK *258:5 2.89114e-05 -39 *1351:D *258:5 6.45381e-05 -40 *1365:CLK *258:17 0.000128496 -41 *1366:RESET_B *1109:A0 5.30637e-06 -42 *1366:RESET_B *258:28 9.8126e-05 -43 *85:52 *1224:A1 0 -44 *85:52 *258:17 0.000610071 -45 *95:8 *1127:A 0.000100823 -46 *95:8 *258:17 0.00014285 -47 *100:6 *1127:A 9.91086e-05 -48 *100:6 *258:28 2.78242e-05 -49 *112:9 *1127:A 2.42082e-05 -50 *248:5 *1127:A 4.11756e-05 -51 *248:35 *258:17 0.000227547 -52 *251:42 *1221:A 1.02504e-05 -53 *254:45 *258:17 0.00022459 -*RES -1 *1351:Q *258:5 19.2821 -2 *258:5 *258:7 1.76786 -3 *258:7 *258:17 36.1786 -4 *258:17 *1109:A0 10.2643 -5 *258:17 *258:28 7.35714 -6 *258:28 *1128:A 13.8 -7 *258:28 *258:35 1.03571 -8 *258:35 *1127:A 38.2286 -9 *258:35 *1153:A 18.4429 -10 *258:7 *1221:A 19.9429 -11 *258:5 *1224:A1 10.7955 -*END - -*D_NET *259 0.00567208 -*CONN -*I *1107:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1151:A I *D sky130_fd_sc_hd__xor2_2 -*I *1159:A I *D sky130_fd_sc_hd__nand2_2 -*I *1223:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1226:A I *D sky130_fd_sc_hd__nand2_2 -*I *1222:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1352:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1107:A0 0 -2 *1151:A 0.000103718 -3 *1159:A 0.000101451 -4 *1223:A_N 1.06454e-05 -5 *1226:A 0.000124419 -6 *1222:A1 0 -7 *1352:Q 6.68651e-05 -8 *259:48 0.0005259 -9 *259:42 0.000891517 -10 *259:23 0.00027475 -11 *259:11 0.000373929 -12 *259:6 0.000871893 -13 *1151:A *1151:B 0.00018167 -14 *1151:A *284:35 2.89016e-05 -15 *1159:A *1159:B 5.71472e-05 -16 *259:42 *264:9 0.000294668 -17 *259:42 *264:14 5.62995e-05 -18 *259:42 *277:8 0 -19 *259:48 *1159:B 4.18895e-05 -20 *259:48 *264:14 0 -21 *1107:S *259:42 0.00014285 -22 *1215:B *259:48 0 -23 *1222:A2 *1223:A_N 4.38128e-05 -24 *1222:A2 *259:11 3.57366e-05 -25 *1222:A2 *259:23 0.000215121 -26 *1222:B1 *259:23 5.52238e-05 -27 *1226:B *1226:A 0 -28 *1326:A *259:6 0 -29 *1326:A *259:42 0 -30 *1326:B *259:42 0 -31 *1342:A *259:42 0.000205888 -32 *1352:RESET_B *259:11 9.58126e-05 -33 *1354:D *259:42 0 -34 *1354:D *259:48 0.000105622 -35 *85:52 *1223:A_N 2.89114e-05 -36 *85:52 *259:23 0.0001807 -37 *188:9 *1226:A 0 -38 *248:35 *259:11 0.000146732 -39 *248:35 *259:42 0 -40 *252:47 *259:6 3.5707e-05 -41 *252:47 *259:42 5.90443e-06 -42 *253:8 *1151:A 0 -43 *254:75 *1226:A 0.000220178 -44 *258:17 *259:11 5.66107e-05 -45 *258:17 *259:23 9.16074e-05 -*RES -1 *1352:Q *259:6 15.1393 -2 *259:6 *259:11 9.57143 -3 *259:11 *1222:A1 9.3 -4 *259:11 *259:23 4.80357 -5 *259:23 *1226:A 21.1571 -6 *259:23 *1223:A_N 9.83571 -7 *259:6 *259:42 17.2321 -8 *259:42 *259:48 14.75 -9 *259:48 *1159:A 11.0857 -10 *259:48 *1151:A 20.7821 -11 *259:42 *1107:A0 9.3 -*END - -*D_NET *260 0.00472329 -*CONN -*I *1122:B I *D sky130_fd_sc_hd__and2_2 -*I *1116:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1145:B I *D sky130_fd_sc_hd__nor2_2 -*I *1144:B I *D sky130_fd_sc_hd__nand2_2 -*I *1363:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1122:B 0.000133693 -2 *1116:A1 0.000233407 -3 *1145:B 8.22698e-05 -4 *1144:B 0.000378382 -5 *1363:Q 0 -6 *260:7 0.00098172 -7 *260:5 0.000932847 -8 *260:4 0.000312063 -9 clockp[1] *1145:B 0.000112667 -10 *1116:A0 *1116:A1 3.04311e-05 -11 *1116:S *1116:A1 3.27526e-05 -12 *1117:A *1145:B 2.30116e-06 -13 *1144:A *1144:B 6.92563e-05 -14 *1144:A *260:5 5.67058e-06 -15 *1144:A *260:7 1.87132e-05 -16 *1147:B *1144:B 5.71472e-05 -17 *1177:A1 *260:7 0.000164097 -18 *1177:A2 *1144:B 0.000226507 -19 *1177:A2 *1145:B 0.00012401 -20 *1177:A2 *260:7 4.18895e-05 -21 *1177:B1 *1144:B 3.99873e-05 -22 *1178:D *1144:B 9.90367e-05 -23 *1363:D *1145:B 2.59355e-05 -24 *1363:RESET_B *1122:B 4.18895e-05 -25 *1363:RESET_B *260:5 0.000133695 -26 *39:16 *1116:A1 0.000320745 -27 *84:26 *1122:B 6.74911e-05 -28 *97:12 *1122:B 4.49953e-05 -29 *97:25 *1122:B 9.69119e-06 -*RES -1 *1363:Q *260:4 9.3 -2 *260:4 *260:5 2.17857 -3 *260:5 *260:7 6.69643 -4 *260:7 *1144:B 15.6214 -5 *260:7 *1145:B 20.4786 -6 *260:5 *1116:A1 23.3179 -7 *260:4 *1122:B 20.8893 -*END - -*D_NET *261 0.00129429 -*CONN -*I *1125:B I *D sky130_fd_sc_hd__and2_2 -*I *1123:B I *D sky130_fd_sc_hd__xor2_2 -*I *1113:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1364:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1125:B 6.85967e-05 -2 *1123:B 0 -3 *1113:A1 0.000168114 -4 *1364:Q 4.04016e-05 -5 *261:10 0.000245449 -6 *261:7 0.000186334 -7 *1113:A0 *1113:A1 6.58289e-05 -8 *1113:S *1113:A1 1.02504e-05 -9 *1122:A *1125:B 3.8274e-05 -10 *1122:A *261:7 2.89016e-05 -11 *99:5 *1125:B 7.15962e-05 -12 *99:5 *261:7 1.92789e-05 -13 *252:13 *261:10 7.83366e-05 -14 *256:29 *1113:A1 5.90443e-06 -15 *256:29 *1125:B 0.000186393 -16 *256:29 *261:10 8.06331e-05 -*RES -1 *1364:Q *261:7 10.2643 -2 *261:7 *261:10 6.75 -3 *261:10 *1113:A1 17.4964 -4 *261:10 *1123:B 4.5 -5 *261:7 *1125:B 11.8893 -*END - -*D_NET *262 0.00432791 -*CONN -*I *1111:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1136:B I *D sky130_fd_sc_hd__nor2_2 -*I *1124:B I *D sky130_fd_sc_hd__and2_2 -*I *1120:A I *D sky130_fd_sc_hd__inv_2 -*I *1365:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1111:A1 9.30252e-05 -2 *1136:B 2.18239e-05 -3 *1124:B 0 -4 *1120:A 0.000242259 -5 *1365:Q 0.000776158 -6 *262:32 0.000347584 -7 *262:19 0.000232735 -8 *262:8 0.00101842 -9 *1121:B *1120:A 0.000338344 -10 *1121:B *262:8 0.000163847 -11 *1126:B1 *262:32 0 -12 *1136:A *262:32 0.000304595 -13 *1137:A *1111:A1 7.83587e-05 -14 *1364:D *1120:A 0 -15 *1365:RESET_B *262:8 0 -16 *95:8 *1111:A1 1.07719e-05 -17 *97:12 *1120:A 0.000144773 -18 *98:5 *1136:B 4.38128e-05 -19 *98:5 *262:32 7.19536e-05 -20 *252:13 *1120:A 0.00014285 -21 *252:47 *262:8 0.000116285 -22 *253:24 *1111:A1 0.000126439 -23 *253:24 *262:8 0 -24 *254:45 *1111:A1 2.82057e-05 -25 *257:53 *1120:A 0 -26 *257:53 *262:8 0 -27 *258:17 *262:8 2.56694e-05 -*RES -1 *1365:Q *262:8 24.5679 -2 *262:8 *1120:A 20.1393 -3 *262:8 *262:19 4.5 -4 *262:19 *1124:B 9.3 -5 *262:19 *262:32 4.94643 -6 *262:32 *1136:B 9.83571 -7 *262:32 *1111:A1 20.4786 -*END - -*D_NET *263 0.00356117 -*CONN -*I *1109:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1153:B I *D sky130_fd_sc_hd__and2_2 -*I *1127:B I *D sky130_fd_sc_hd__nor2_2 -*I *1128:B I *D sky130_fd_sc_hd__nand2_2 -*I *1366:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1109:A1 8.01412e-05 -2 *1153:B 6.30999e-05 -3 *1127:B 0.000164704 -4 *1128:B 0 -5 *1366:Q 0.000252901 -6 *263:17 0.000341355 -7 *263:8 0.000159612 -8 *263:5 0.000379103 -9 *378:DIODE *1127:B 3.50409e-05 -10 *378:DIODE *1153:B 1.02821e-05 -11 *1109:A0 *1109:A1 9.99644e-06 -12 *1127:A *1127:B 0.000267731 -13 *1131:A1 *1127:B 9.71197e-05 -14 *1131:A2 *1127:B 6.37237e-05 -15 *1131:A2 *1153:B 2.28598e-05 -16 *1131:B1 *1127:B 5.65955e-05 -17 *1153:A *1153:B 0.000228558 -18 *1153:A *263:17 5.41797e-05 -19 *1366:RESET_B *1109:A1 4.17702e-05 -20 *1366:RESET_B *263:5 0.000350278 -21 *1366:RESET_B *263:8 7.74135e-05 -22 *100:6 *263:8 0.000124019 -23 *100:6 *263:17 0.000161926 -24 *100:17 *263:17 5.41794e-05 -25 *101:10 *1127:B 0.000119869 -26 *101:10 *1153:B 0.000274294 -27 *258:17 *1109:A1 2.51343e-06 -28 *258:28 *263:8 2.37944e-05 -29 *258:28 *263:17 5.54817e-06 -30 *258:35 *263:17 3.8562e-05 -*RES -1 *1366:Q *263:5 12.7107 -2 *263:5 *263:8 6.14286 -3 *263:8 *1128:B 13.8 -4 *263:8 *263:17 7.35714 -5 *263:17 *1127:B 14.3893 -6 *263:17 *1153:B 12.3 -7 *263:5 *1109:A1 10.2464 -*END - -*D_NET *264 0.00261238 -*CONN -*I *1107:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1151:B I *D sky130_fd_sc_hd__xor2_2 -*I *1159:B I *D sky130_fd_sc_hd__nand2_2 -*I *1367:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1107:A1 0 -2 *1151:B 0.000183889 -3 *1159:B 3.75977e-05 -4 *1367:Q 0.00022773 -5 *264:14 0.00059427 -6 *264:9 0.000600514 -7 *1151:B *284:35 2.9561e-05 -8 *1107:S *264:9 9.90367e-05 -9 *1108:A *264:14 0.000135408 -10 *1151:A *1151:B 0.00018167 -11 *1159:A *1159:B 5.71472e-05 -12 *1354:D *264:9 0 -13 *1354:D *264:14 7.27028e-05 -14 *253:8 *1151:B 0 -15 *253:8 *264:14 0 -16 *259:42 *264:9 0.000294668 -17 *259:42 *264:14 5.62995e-05 -18 *259:48 *1159:B 4.18895e-05 -19 *259:48 *264:14 0 -*RES -1 *1367:Q *264:9 23.1214 -2 *264:9 *264:14 10.9643 -3 *264:14 *1159:B 14.7464 -4 *264:14 *1151:B 8.16964 -5 *264:9 *1107:A1 9.3 -*END - -*D_NET *265 0.00108817 -*CONN -*I *1361:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1360:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1361:D 0.000429519 -2 *1360:Q 0.000429519 -3 *1360:CLK *1361:D 1.34703e-05 -4 *1361:CLK *1361:D 4.57352e-05 -5 *38:5 *1361:D 0.000128146 -6 *254:112 *1361:D 4.17806e-05 -*RES -1 *1360:Q *1361:D 33.8857 -*END - -*D_NET *266 0.00418845 -*CONN -*I *1362:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *1104:A I *D sky130_fd_sc_hd__xnor2_2 -*I *1361:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1362:D 0.000752668 -2 *1104:A 0.000193946 -3 *1361:Q 0.000256073 -4 *266:8 0.00120269 -5 *1104:A *1104:B 0.000185643 -6 *1362:D *371:56 0.000226867 -7 *1339:A *1362:D 0 -8 *1339:A *266:8 5.34362e-05 -9 *1339:B *1104:A 4.13595e-05 -10 *1359:RESET_B *1362:D 0.000127353 -11 *1361:RESET_B *266:8 0 -12 *1362:RESET_B *1362:D 0.000903217 -13 *1362:RESET_B *266:8 0.0002452 -14 *253:69 *1362:D 0 -15 *253:71 *1362:D 0 -*RES -1 *1361:Q *266:8 18.3179 -2 *266:8 *1104:A 17.6393 -3 *266:8 *1362:D 31.5679 -*END - -*D_NET *267 0.00247025 -*CONN -*I *1104:B I *D sky130_fd_sc_hd__xnor2_2 -*I *1362:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1104:B 0.000509978 -2 *1362:Q 0.000509978 -3 *1104:B *371:56 0.000223456 -4 *1104:A *1104:B 0.000185643 -5 *1339:B *1104:B 0.000112418 -6 *1345:D *1104:B 0.000126439 -7 *1345:RESET_B *1104:B 2.49484e-05 -8 *1346:D *1104:B 4.57538e-05 -9 *1347:D *1104:B 0 -10 *1362:RESET_B *1104:B 0.000690669 -11 *85:11 *1104:B 4.09651e-05 -*RES -1 *1362:Q *1104:B 40.475 -*END - -*D_NET *268 0.00225902 -*CONN -*I *1237:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *1176:B I *D sky130_fd_sc_hd__and4b_2 -*I *1235:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1345:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1237:A_N 0.000210179 -2 *1176:B 0.000116537 -3 *1235:A0 0 -4 *1345:Q 0.000452511 -5 *268:15 0.000379359 -6 *268:7 0.000505153 -7 *268:7 *1235:A1 3.81416e-06 -8 *268:15 *1235:A1 5.30637e-06 -9 *425:DIODE *1237:A_N 7.80222e-05 -10 *1106:A *1176:B 6.8646e-06 -11 *1106:A *1237:A_N 1.78447e-05 -12 *1235:S *268:15 5.33005e-05 -13 *1236:A *268:7 8.6054e-06 -14 *1345:D *268:7 6.05161e-06 -15 *1345:RESET_B *268:7 9.99644e-06 -16 *1346:RESET_B *1176:B 0.000148903 -17 *1346:RESET_B *1237:A_N 0.000213069 -18 *84:60 *1176:B 4.35048e-05 -*RES -1 *1345:Q *268:7 14.675 -2 *268:7 *1235:A0 9.3 -3 *268:7 *268:15 5.44643 -4 *268:15 *1176:B 16.2821 -5 *268:15 *1237:A_N 18.4786 -*END - -*D_NET *269 0.00190583 -*CONN -*I *1233:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1235:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1176:D I *D sky130_fd_sc_hd__and4b_2 -*I *1346:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1233:A0 5.57857e-05 -2 *1235:A1 0.00013361 -3 *1176:D 0 -4 *1346:Q 0.00030667 -5 *269:8 0.00022876 -6 *269:5 0.000457605 -7 *1235:A1 *1233:A1 8.32171e-05 -8 *269:8 *1233:A1 0.000221626 -9 *1176:A_N *269:8 0 -10 *1234:A *269:8 5.66647e-05 -11 *1236:A *1235:A1 0 -12 *1346:RESET_B *1233:A0 2.89114e-05 -13 *1346:RESET_B *1235:A1 3.25078e-05 -14 *1346:RESET_B *269:5 0.000239702 -15 *1347:D *269:8 5.16489e-05 -16 *268:7 *1235:A1 3.81416e-06 -17 *268:15 *1235:A1 5.30637e-06 -*RES -1 *1346:Q *269:5 13.5321 -2 *269:5 *269:8 7.66071 -3 *269:8 *1176:D 13.8 -4 *269:8 *1235:A1 16.2821 -5 *269:5 *1233:A0 10.2643 -*END - -*D_NET *270 0.00229483 -*CONN -*I *1176:C I *D sky130_fd_sc_hd__and4b_2 -*I *1233:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1347:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1176:C 0 -2 *1233:A1 0.000164179 -3 *1347:Q 0.00057287 -4 *270:5 0.000737049 -5 *1176:A_N *1233:A1 6.2589e-06 -6 *1235:A1 *1233:A1 8.32171e-05 -7 *1346:RESET_B *1233:A1 0.000265059 -8 *1347:D *270:5 0.000189337 -9 *150:13 *270:5 5.52302e-05 -10 *269:8 *1233:A1 0.000221626 -*RES -1 *1347:Q *270:5 16.4071 -2 *270:5 *1233:A1 23.2643 -3 *270:5 *1176:C 9.3 -*END - -*D_NET *271 0.00921507 -*CONN -*I *1213:B1 I *D sky130_fd_sc_hd__o2bb2a_2 -*I *1166:A I *D sky130_fd_sc_hd__inv_2 -*I *1260:B I *D sky130_fd_sc_hd__nor2_2 -*I *1190:B I *D sky130_fd_sc_hd__nand2_2 -*I *1209:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1355:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1213:B1 0 -2 *1166:A 6.06252e-05 -3 *1260:B 0.000391736 -4 *1190:B 2.94474e-05 -5 *1209:A0 3.52682e-05 -6 *1355:Q 0.00120101 -7 *271:31 0.00067623 -8 *271:25 0.00072425 -9 *271:12 0.000598123 -10 *271:8 0.00135528 -11 *271:8 *274:8 0 -12 *271:25 *275:30 0.000472767 -13 *271:31 *275:30 0.000151402 -14 *271:31 *275:42 5.32956e-05 -15 *1198:B *1209:A0 7.91257e-05 -16 *1198:B *271:8 5.80706e-06 -17 *1198:B *271:12 4.11876e-05 -18 *1210:A2 *271:25 2.11419e-05 -19 *1210:B1 *271:12 3.34295e-05 -20 *1210:B1 *271:25 0.000262438 -21 *1219:A2 *271:8 6.98669e-05 -22 *1219:A2 *271:12 2.94103e-05 -23 *1260:A *1260:B 0.000222475 -24 *1260:A *271:31 4.23791e-05 -25 *1261:A *1260:B 6.90348e-06 -26 *1289:B1 *1260:B 0.000185408 -27 *1290:A_N *1260:B 8.44271e-06 -28 *1290:A_N *271:31 3.47641e-06 -29 *1292:A1 *1260:B 0.000143407 -30 *1292:A2 *1260:B 1.11775e-05 -31 *1296:C *271:31 0.000300647 -32 *1327:A *271:8 7.25873e-06 -33 *1331:B *271:8 0.000159687 -34 *1355:D *271:8 0 -35 *24:22 *1260:B 0.000206026 -36 *93:55 *1166:A 2.64856e-05 -37 *93:55 *271:25 0.000190134 -38 *93:55 *271:31 1.08691e-05 -39 *140:8 *271:25 3.35685e-06 -40 *140:17 *271:25 4.37765e-05 -41 *155:18 *271:12 7.2754e-05 -42 *155:18 *271:25 0.000150789 -43 *163:57 *271:31 0 -44 *164:47 *1190:B 0.0001399 -45 *171:9 *1209:A0 0.000139907 -46 *198:58 *271:8 0 -47 *198:58 *271:12 0 -48 *198:60 *271:8 0 -49 *208:34 *1166:A 9.60939e-05 -50 *211:40 *1166:A 9.60939e-05 -51 *213:37 *1260:B 4.68462e-05 -52 *221:39 *1190:B 0.0001399 -53 *233:11 *1260:B 3.20609e-05 -54 *233:27 *1260:B 9.96698e-06 -55 *253:69 *271:8 3.42063e-05 -56 *254:190 *271:8 0.000258184 -57 *254:202 *271:8 0.000134617 -*RES -1 *1355:Q *271:8 33.1214 -2 *271:8 *271:12 3.08929 -3 *271:12 *1209:A0 15.1571 -4 *271:12 *271:25 10.9554 -5 *271:25 *271:31 6.3125 -6 *271:31 *1190:B 15.1571 -7 *271:31 *1260:B 22.675 -8 *271:25 *1166:A 15.5589 -9 *271:8 *1213:B1 13.8 -*END - -*D_NET *272 0.00455037 -*CONN -*I *1165:A I *D sky130_fd_sc_hd__inv_2 -*I *1189:A I *D sky130_fd_sc_hd__buf_2 -*I *1356:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1165:A 6.09477e-05 -2 *1189:A 0.000442363 -3 *1356:Q 0.000601348 -4 *272:10 0.00110466 -5 *272:10 *1461:TE 0.000202625 -6 *272:10 *275:15 0.00040983 -7 *272:10 *301:17 0.000192792 -8 *272:10 *372:14 0.000239327 -9 *272:10 *373:11 0.000595867 -10 *410:DIODE *272:10 3.01126e-05 -11 *417:DIODE *1189:A 2.51174e-05 -12 *1201:B1 *272:10 0.000123295 -13 *1253:C1 *1189:A 0 -14 *1313:A2 *1165:A 0.000139208 -15 *1313:A2 *1189:A 9.69912e-05 -16 *1313:B1 *1189:A 0.000192631 -17 *92:30 *1189:A 4.27842e-06 -18 *92:43 *1189:A 4.34381e-05 -19 *139:5 *1189:A 8.20625e-06 -20 *139:10 *1189:A 3.73361e-05 -*RES -1 *1356:Q *272:10 34.4786 -2 *272:10 *1189:A 25.1929 -3 *272:10 *1165:A 10.6571 -*END - -*D_NET *273 0.00810315 -*CONN -*I *1245:C I *D sky130_fd_sc_hd__and3_2 -*I *1171:B I *D sky130_fd_sc_hd__nor2_2 -*I *1244:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *1186:A I *D sky130_fd_sc_hd__and2_2 -*I *1163:A I *D sky130_fd_sc_hd__buf_2 -*I *1357:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1245:C 0.000253733 -2 *1171:B 0 -3 *1244:A_N 0.000499951 -4 *1186:A 0 -5 *1163:A 0.000210782 -6 *1357:Q 0.000619155 -7 *273:47 0.000604033 -8 *273:30 0.00109826 -9 *273:10 0.000210782 -10 *273:8 0.000867163 -11 *1163:A *1164:A 4.1331e-06 -12 *1163:A *1164:B 8.39471e-05 -13 *1244:A_N *1168:A 0.000214714 -14 *1244:A_N *309:21 5.52238e-05 -15 *273:8 *1164:B 0 -16 *273:8 *1174:B 0 -17 *1168:C *1163:A 0 -18 *1168:C *273:30 0 -19 *1175:A *273:30 9.98928e-05 -20 *1182:A2 *273:47 0 -21 *1182:A3 *1244:A_N 0.000108558 -22 *1186:B *273:8 9.54798e-06 -23 *1186:B *273:30 2.06112e-05 -24 *1187:A *1163:A 0.000271345 -25 *1187:A *273:8 0.000337651 -26 *1193:A *1244:A_N 0 -27 *1193:A *273:30 6.81526e-05 -28 *1196:A *273:47 2.89791e-06 -29 *1247:B *1245:C 6.80326e-05 -30 *1251:A *1245:C 0 -31 *1276:B *1244:A_N 0 -32 *1284:A2 *1244:A_N 0 -33 *1285:C *1244:A_N 0.000122091 -34 *1286:B2 *1244:A_N 2.92343e-05 -35 *1288:B *1245:C 0 -36 *1306:A *1244:A_N 1.95625e-05 -37 *1357:D *273:8 0 -38 *1358:D *273:8 0 -39 *5:90 *1244:A_N 0.000128176 -40 *5:90 *273:47 0.000317442 -41 *5:96 *273:47 0.000341649 -42 *5:113 *273:47 0.000126109 -43 *25:26 *273:47 2.01732e-05 -44 *92:67 *1244:A_N 9.59532e-06 -45 *93:30 *1245:C 1.19582e-05 -46 *135:41 *1163:A 7.69776e-06 -47 *138:25 *1163:A 0 -48 *139:34 *1244:A_N 5.23735e-05 -49 *139:34 *273:47 0.000178217 -50 *140:33 *1244:A_N 7.7069e-05 -51 *140:33 *273:30 2.53112e-06 -52 *148:24 *273:8 0.000113647 -53 *170:7 *273:47 5.20426e-05 -54 *170:19 *1245:C 0.000150055 -55 *170:19 *273:47 7.95238e-05 -56 *182:16 *1163:A 0.000117621 -57 *202:20 *1244:A_N 2.20608e-05 -58 *216:25 *1244:A_N 5.52238e-05 -59 *220:12 *273:47 0.000268049 -60 *254:178 *273:8 9.24825e-05 -*RES -1 *1357:Q *273:8 25.2107 -2 *273:8 *273:10 4.5 -3 *273:10 *1163:A 23.8714 -4 *273:10 *1186:A 9.3 -5 *273:8 *273:30 9.30357 -6 *273:30 *1244:A_N 29.6214 -7 *273:30 *273:47 14.9821 -8 *273:47 *1171:B 13.8 -9 *273:47 *1245:C 18.8357 -*END - -*D_NET *274 0.00668522 -*CONN -*I *1119:A I *D sky130_fd_sc_hd__inv_2 -*I *1283:A1 I *D sky130_fd_sc_hd__a211o_2 -*I *1305:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1171:A I *D sky130_fd_sc_hd__nor2_2 -*I *1204:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1358:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1119:A 0 -2 *1283:A1 3.10217e-05 -3 *1305:B1 0.000318476 -4 *1171:A 0 -5 *1204:A0 0.00010683 -6 *1358:Q 0.000384789 -7 *274:34 0.000721971 -8 *274:30 0.000482488 -9 *274:20 0.000689212 -10 *274:8 0.00107082 -11 *1204:A0 *276:21 0.000312762 -12 *274:20 *275:30 0 -13 *1182:A2 *274:20 0.000323716 -14 *1182:A3 *274:20 0.000226412 -15 *1182:A3 *274:30 0.000109082 -16 *1184:A *274:20 0.000109423 -17 *1196:A *274:20 1.03447e-05 -18 *1203:A *274:20 0 -19 *1211:B *274:20 0.000103091 -20 *1219:A2 *274:20 0 -21 *1283:A2 *1283:A1 3.51486e-05 -22 *1283:A2 *1305:B1 9.67754e-05 -23 *1283:B1 *1283:A1 5.02464e-06 -24 *1283:B1 *274:34 1.95343e-05 -25 *1283:C1 *274:34 0.000167144 -26 *1355:D *274:8 0.000320024 -27 *1355:D *274:20 0.000142082 -28 *93:8 *274:34 3.57729e-06 -29 *93:30 *274:20 0.000183023 -30 *93:30 *274:30 9.16074e-05 -31 *93:30 *274:34 3.01245e-06 -32 *136:38 *274:20 0.000195562 -33 *137:47 *1305:B1 1.46199e-05 -34 *137:47 *274:34 1.86538e-05 -35 *145:5 *274:20 1.64621e-05 -36 *145:5 *274:30 9.83442e-05 -37 *155:18 *274:20 0 -38 *157:48 *1204:A0 5.65955e-05 -39 *163:26 *1305:B1 0.000131224 -40 *163:57 *274:20 1.90936e-05 -41 *182:16 *274:20 6.72808e-05 -42 *271:8 *274:8 0 -*RES -1 *1358:Q *274:8 20.4607 -2 *274:8 *1204:A0 16.8 -3 *274:8 *274:20 18.9464 -4 *274:20 *1171:A 9.3 -5 *274:20 *274:30 3.44643 -6 *274:30 *274:34 5.37946 -7 *274:34 *1305:B1 13.5455 -8 *274:34 *1283:A1 10.0321 -9 *274:30 *1119:A 9.3 -*END - -*D_NET *275 0.0137414 -*CONN -*I *1168:A I *D sky130_fd_sc_hd__nand4_2 -*I *1247:A I *D sky130_fd_sc_hd__nor2_2 -*I *1243:A I *D sky130_fd_sc_hd__nor2_2 -*I *1170:A I *D sky130_fd_sc_hd__inv_2 -*I *1291:A I *D sky130_fd_sc_hd__nand2_2 -*I *1118:A I *D sky130_fd_sc_hd__buf_2 -*I *1359:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1168:A 0.00031622 -2 *1247:A 0.000196464 -3 *1243:A 0 -4 *1170:A 5.57662e-05 -5 *1291:A 0 -6 *1118:A 0 -7 *1359:Q 0.000895294 -8 *275:67 0.000584755 -9 *275:60 0.00085182 -10 *275:42 0.00091627 -11 *275:30 0.00119269 -12 *275:15 0.00200723 -13 *1247:A *372:13 0.000135767 -14 *275:15 *373:11 0.000223599 -15 *275:60 *309:21 9.41642e-05 -16 *275:67 *372:13 0.000222666 -17 *390:DIODE *275:30 0.000122933 -18 *410:DIODE *275:15 0 -19 *1182:A3 *1168:A 0.000106997 -20 *1182:B1 *275:30 0.000143389 -21 *1183:A *275:30 5.52302e-05 -22 *1184:A *275:30 2.35827e-05 -23 *1193:A *1168:A 1.42049e-05 -24 *1199:A *275:30 0.000113073 -25 *1200:A2 *275:30 9.90367e-05 -26 *1200:B1 *275:30 0.000274294 -27 *1243:B *275:60 8.6229e-06 -28 *1243:B *275:67 5.33005e-05 -29 *1244:A_N *1168:A 0.000214714 -30 *1245:B *275:42 0.000225609 -31 *1247:B *1247:A 0.000106391 -32 *1257:B *275:67 1.73088e-05 -33 *1273:B1 *275:60 1.38323e-05 -34 *1283:A2 *1168:A 0.000129617 -35 *1284:B1 *1247:A 9.71197e-05 -36 *1284:B1 *275:67 8.10177e-05 -37 *1285:B *1168:A 7.83587e-05 -38 *1286:B2 *1168:A 0.000187289 -39 *5:113 *275:30 0.000366203 -40 *29:10 *275:15 0 -41 *30:23 *1170:A 5.33005e-05 -42 *30:23 *275:42 0.000225609 -43 *92:7 *275:30 0.000140933 -44 *155:18 *275:30 0.000195856 -45 *162:63 *1168:A 0 -46 *162:63 *275:60 0.000141445 -47 *163:26 *1168:A 1.05524e-05 -48 *163:39 *1247:A 5.52238e-05 -49 *163:57 *1170:A 5.1588e-05 -50 *163:57 *275:30 0 -51 *163:57 *275:42 0 -52 *163:57 *275:60 9.8045e-05 -53 *163:63 *275:60 9.58126e-05 -54 *171:9 *275:30 0.000368535 -55 *201:7 *1247:A 0.000195095 -56 *212:13 *275:60 6.18576e-05 -57 *215:8 *275:60 0.000484156 -58 *221:39 *275:60 0.000108025 -59 *226:10 *275:15 0 -60 *226:64 *275:15 0 -61 *226:78 *275:15 0 -62 *232:10 *1170:A 5.31122e-05 -63 *232:10 *275:60 9.00763e-05 -64 *271:25 *275:30 0.000472767 -65 *271:31 *275:30 0.000151402 -66 *271:31 *275:42 5.32956e-05 -67 *272:10 *275:15 0.00040983 -68 *274:20 *275:30 0 -*RES -1 *1359:Q *275:15 34.5679 -2 *275:15 *1118:A 9.3 -3 *275:15 *275:30 28.875 -4 *275:30 *1291:A 13.8 -5 *275:30 *275:42 11.9107 -6 *275:42 *1170:A 15.0679 -7 *275:42 *275:60 25.5357 -8 *275:60 *1243:A 9.3 -9 *275:60 *275:67 2.60714 -10 *275:67 *1247:A 15.3536 -11 *275:67 *1168:A 25.7107 -*END - -*D_NET *276 0.00646245 -*CONN -*I *1218:A I *D sky130_fd_sc_hd__nand2_2 -*I *1219:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *1164:B I *D sky130_fd_sc_hd__and2_2 -*I *1174:B I *D sky130_fd_sc_hd__nor2_2 -*I *1353:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1218:A 0 -2 *1219:A1 0 -3 *1164:B 0.000358543 -4 *1174:B 0.000121908 -5 *1353:Q 0.000701426 -6 *276:21 0.00122578 -7 *276:15 0.00097628 -8 *276:13 0.000932378 -9 *1164:B *1164:A 0 -10 *1174:B *1164:A 0 -11 *1163:A *1164:B 8.39471e-05 -12 *1187:A *1164:B 2.44318e-05 -13 *1201:A1 *276:13 0 -14 *1201:A2 *276:13 0.000274288 -15 *1201:B2 *276:13 1.52978e-05 -16 *1204:A0 *276:21 0.000312762 -17 *1205:A *276:21 9.90431e-05 -18 *1206:B *1164:B 2.28335e-05 -19 *1208:A *276:21 6.05161e-06 -20 *1219:B1 *276:15 0.000268396 -21 *1353:D *276:13 0 -22 *1356:CLK *276:13 0.000125724 -23 *1357:D *1174:B 5.35423e-05 -24 *1357:D *276:21 9.90431e-05 -25 *1359:D *276:13 0 -26 *29:10 *276:13 0 -27 *138:13 *1164:B 7.6644e-05 -28 *157:13 *276:13 2.74192e-05 -29 *157:13 *276:15 5.52302e-05 -30 *157:48 *276:21 0.00050539 -31 *182:16 *1164:B 9.60875e-05 -32 *198:58 *276:13 0 -33 *273:8 *1164:B 0 -34 *273:8 *1174:B 0 -*RES -1 *1353:Q *276:13 29.4071 -2 *276:13 *276:15 4.64286 -3 *276:15 *276:21 19.7321 -4 *276:21 *1174:B 16.05 -5 *276:21 *1164:B 20.3357 -6 *276:15 *1219:A1 9.3 -7 *276:13 *1218:A 9.3 -*END - -*D_NET *277 0.00303505 -*CONN -*I *1164:A I *D sky130_fd_sc_hd__and2_2 -*I *1174:A I *D sky130_fd_sc_hd__nor2_2 -*I *1216:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1354:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1164:A 0.000245786 -2 *1174:A 5.2349e-05 -3 *1216:A0 0.000195173 -4 *1354:Q 0.000150831 -5 *277:21 0.000568884 -6 *277:8 0.000616753 -7 *1107:S *277:8 0 -8 *1163:A *1164:A 4.1331e-06 -9 *1164:B *1164:A 0 -10 *1174:B *1164:A 0 -11 *1206:B *1164:A 0.000148182 -12 *1214:A *1174:A 5.52238e-05 -13 *1214:A *277:21 9.22103e-06 -14 *1214:B *1164:A 0.000381318 -15 *1214:B *1174:A 5.66157e-05 -16 *1214:B *1216:A0 7.64988e-05 -17 *1214:B *277:8 0 -18 *1214:B *277:21 0.000190917 -19 *1357:D *1164:A 7.83659e-05 -20 *1357:D *1174:A 8.15095e-05 -21 *138:25 *1164:A 0.000123295 -22 *259:42 *277:8 0 -*RES -1 *1354:Q *277:8 20.8536 -2 *277:8 *1216:A0 21.3 -3 *277:8 *277:21 8.32143 -4 *277:21 *1174:A 15.3714 -5 *277:21 *1164:A 20.3 -*END - -*D_NET *278 0.000251402 -*CONN -*I *1455:A I *D sky130_fd_sc_hd__clkinv_8 -*I *1454:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1455:A 9.52824e-05 -2 *1454:Y 9.52824e-05 -3 *1455:A *429:DIODE 5.71472e-05 -4 *254:8 *1455:A 3.69047e-06 -*RES -1 *1454:Y *1455:A 20.3679 -*END - -*D_NET *279 0.000216212 -*CONN -*I *1457:A I *D sky130_fd_sc_hd__clkinv_8 -*I *1456:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1457:A 0.000108106 -2 *1456:Y 0.000108106 -3 *1457:A *1374:TE_B 0 -*RES -1 *1456:Y *1457:A 29.5464 -*END - -*D_NET *280 0.0005241 -*CONN -*I *1369:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *1457:Y O *D sky130_fd_sc_hd__clkinv_8 -*CAP -1 *1369:A 0.00026205 -2 *1457:Y 0.00026205 -3 *374:DIODE *1369:A 0 -*RES -1 *1457:Y *1369:A 32.2786 -*END - -*D_NET *281 0.00133355 -*CONN -*I *1373:A I *D sky130_fd_sc_hd__einvp_2 -*I *1371:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1373:A 0.000324428 -2 *1371:X 0.000324428 -3 *1373:A *1371:A 0.000139779 -4 *1373:A *1376:A 0.00014285 -5 *1373:A *282:8 6.03639e-05 -6 *1373:A *288:8 9.74936e-05 -7 *1192:B *1373:A 5.59013e-05 -8 *154:11 *1373:A 0.000188311 -*RES -1 *1371:X *1373:A 36.1714 -*END - -*D_NET *282 0.00109022 -*CONN -*I *1373:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1376:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1375:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1373:Z 0 -2 *1376:A 0.000163375 -3 *1375:Z 0.000109846 -4 *282:8 0.000273221 -5 *1376:A *1373:TE 9.90431e-05 -6 *1192:B *1376:A 0.000181796 -7 *1373:A *1376:A 0.00014285 -8 *1373:A *282:8 6.03639e-05 -9 *154:11 *1376:A 5.97299e-05 -*RES -1 *1375:Z *282:8 20.55 -2 *282:8 *1376:A 13.5679 -3 *282:8 *1373:Z 9.3 -*END - -*D_NET *283 0.00146013 -*CONN -*I *1372:A I *D sky130_fd_sc_hd__einvp_2 -*I *1376:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1372:A 0.00032374 -2 *1376:Y 0.00032374 -3 *1372:A *1373:TE 0.000150622 -4 *1372:A *1391:A 0.000186387 -5 *1372:A *286:5 0.000132967 -6 *1372:A *287:8 0.000151003 -7 *387:DIODE *1372:A 0.000126851 -8 *1241:B1 *1372:A 6.48209e-05 -*RES -1 *1376:Y *1372:A 35.6 -*END - -*D_NET *284 0.017937 -*CONN -*I *428:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1370:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *429:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1454:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1463:Z O *D sky130_fd_sc_hd__einvn_8 -*I *1461:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1466:Z O *D sky130_fd_sc_hd__einvp_1 -*CAP -1 *428:DIODE 0.000223822 -2 *1370:A 4.2758e-05 -3 *429:DIODE 0.00063555 -4 *1454:A 0 -5 *1463:Z 0.000221373 -6 *1461:Z 0 -7 *1466:Z 0.000325999 -8 *284:66 0.000359704 -9 *284:45 0.00133639 -10 *284:35 0.00286588 -11 *284:33 0.00373369 -12 *284:15 0.00192677 -13 *284:8 0.000369625 -14 *1370:A *288:8 5.20669e-05 -15 *284:8 *1460:A 0 -16 *284:8 *1461:A 0.000128161 -17 *284:8 *1466:A 0.000183875 -18 *284:33 *1462:TE 0.000126862 -19 *284:33 *1462:Z 9.41642e-05 -20 *284:33 *368:7 9.90367e-05 -21 *284:33 *373:11 7.1959e-05 -22 *419:DIODE *284:8 4.1331e-06 -23 *419:DIODE *284:15 4.57445e-05 -24 *419:DIODE *284:33 6.92148e-06 -25 *1106:A *284:8 5.33433e-05 -26 *1139:A1 *429:DIODE 3.30237e-05 -27 *1139:A3 *429:DIODE 1.24368e-05 -28 *1140:A *429:DIODE 0.000230475 -29 *1140:B *429:DIODE 7.49387e-06 -30 *1151:A *284:35 2.89016e-05 -31 *1151:B *284:35 2.9561e-05 -32 *1155:B *284:35 2.89114e-05 -33 *1156:A *284:45 0.00018372 -34 *1156:B *284:45 0.000185764 -35 *1158:A *284:35 5.33433e-05 -36 *1158:B *428:DIODE 9.25014e-06 -37 *1158:B *284:66 0.000176234 -38 *1160:A2 *284:35 2.42547e-05 -39 *1160:A2 *284:45 5.5033e-05 -40 *1179:A1 *284:45 0 -41 *1179:B1 *284:45 4.94362e-05 -42 *1180:B *284:45 0.000563877 -43 *1180:C *284:45 0 -44 *1180:D *284:66 0 -45 *1192:B *428:DIODE 0 -46 *1197:A3 *284:35 1.66856e-05 -47 *1198:A *284:33 0.000142053 -48 *1198:B *284:33 3.30352e-05 -49 *1199:B *284:33 0.000260574 -50 *1202:B1 *284:35 5.33005e-05 -51 *1203:B *284:35 6.4549e-05 -52 *1204:A1 *284:35 8.25843e-06 -53 *1206:A *284:35 1.21289e-05 -54 *1207:A1 *284:35 1.92789e-05 -55 *1213:B2 *284:33 9.71197e-05 -56 *1215:B *284:35 4.96155e-05 -57 *1216:A1 *284:35 5.018e-05 -58 *1312:A2 *284:33 8.7299e-05 -59 *1346:RESET_B *284:8 0 -60 *1455:A *429:DIODE 5.71472e-05 -61 *10:21 *284:45 1.63453e-05 -62 *11:10 *429:DIODE 4.57473e-05 -63 *28:10 *284:8 0 -64 *108:8 *429:DIODE 3.02733e-05 -65 *112:9 *284:45 0 -66 *125:5 *284:35 0.000149489 -67 *135:8 *428:DIODE 0 -68 *138:13 *284:35 0.00018077 -69 *150:13 *284:8 0.000677494 -70 *150:13 *284:15 0.000119618 -71 *150:13 *284:33 0.000259978 -72 *154:11 *428:DIODE 0.000185118 -73 *157:32 *284:33 0.000196874 -74 *157:39 *284:33 0.000109454 -75 *157:39 *284:35 4.0243e-05 -76 *161:11 *284:35 2.89016e-05 -77 *169:20 *284:35 0.000365968 -*RES -1 *1466:Z *284:8 23.3714 -2 *284:8 *1461:Z 13.8 -3 *284:8 *284:15 1.64286 -4 *284:15 *1463:Z 16.8 -5 *284:15 *284:33 31.8661 -6 *284:33 *284:35 34.8304 -7 *284:35 *284:45 23.6607 -8 *284:45 *1454:A 9.3 -9 *284:45 *429:DIODE 27.3 -10 *284:35 *284:66 6.75 -11 *284:66 *1370:A 14.7464 -12 *284:66 *428:DIODE 17.2107 -*END - -*D_NET *285 0.000963618 -*CONN -*I *1391:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1372:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1374:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1391:A 6.12567e-05 -2 *1372:Z 0 -3 *1374:Z 0.000167004 -4 *285:9 0.00022826 -5 *1391:A *286:5 0.000229777 -6 *285:9 *286:5 6.85372e-05 -7 *1372:A *1391:A 0.000186387 -8 *12:11 *285:9 2.23958e-05 -*RES -1 *1374:Z *285:9 21.3 -2 *285:9 *1372:Z 9.3 -3 *285:9 *1391:A 11.9071 -*END - -*D_NET *286 0.00244622 -*CONN -*I *1372:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1374:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1241:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *1372:TE 0 -2 *1374:TE_B 0.000478573 -3 *1241:Y 0.00021454 -4 *286:5 0.000693113 -5 *286:5 *310:8 9.90431e-05 -6 *401:DIODE *1374:TE_B 0.000129595 -7 *401:DIODE *286:5 0.00040008 -8 *1372:A *286:5 0.000132967 -9 *1391:A *286:5 0.000229777 -10 *1457:A *1374:TE_B 0 -11 *5:8 *1374:TE_B 0 -12 *285:9 *286:5 6.85372e-05 -*RES -1 *1241:Y *286:5 17.2286 -2 *286:5 *1374:TE_B 27.1393 -3 *286:5 *1372:TE 9.3 -*END - -*D_NET *287 0.00531392 -*CONN -*I *1375:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1373:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1282:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1375:TE_B 0 -2 *1373:TE 0.000161727 -3 *1282:X 0.00106936 -4 *287:8 0.00123109 -5 *1373:TE *288:8 7.18627e-05 -6 *287:8 *1371:A 6.02083e-05 -7 *287:8 *1392:A 0.000195555 -8 *287:8 *1396:TE_B 0 -9 *287:8 *1418:A 5.89471e-05 -10 *287:8 *288:8 6.93626e-06 -11 *287:8 *310:8 0.000148903 -12 *287:8 *322:8 4.63305e-05 -13 *287:8 *328:9 6.71766e-05 -14 *387:DIODE *1373:TE 3.57844e-05 -15 *401:DIODE *287:8 0 -16 *404:DIODE *287:8 0.000168907 -17 *405:DIODE *287:8 2.23592e-05 -18 *1254:A2 *287:8 9.84729e-05 -19 *1254:B1 *287:8 0.000135028 -20 *1267:A1 *287:8 0.000315806 -21 *1267:A2 *287:8 0.000401791 -22 *1267:B1 *287:8 5.33005e-05 -23 *1372:A *1373:TE 0.000150622 -24 *1372:A *287:8 0.000151003 -25 *1376:A *1373:TE 9.90431e-05 -26 *5:14 *287:8 0 -27 *13:13 *287:8 0 -28 *154:11 *1373:TE 9.90431e-05 -29 *213:37 *287:8 0.000464662 -*RES -1 *1282:X *287:8 40.6393 -2 *287:8 *1373:TE 18.1393 -3 *287:8 *1375:TE_B 13.8 -*END - -*D_NET *288 0.00287793 -*CONN -*I *1371:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1375:A I *D sky130_fd_sc_hd__einvn_4 -*I *1374:A I *D sky130_fd_sc_hd__einvn_8 -*I *1370:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1371:A 0.000175753 -2 *1375:A 0 -3 *1374:A 8.69257e-05 -4 *1370:X 0.000456878 -5 *288:11 0.000248333 -6 *288:8 0.000794037 -7 *1371:A *1392:A 1.31516e-05 -8 *1371:A *310:8 7.6021e-05 -9 *387:DIODE *288:8 0 -10 *1158:B *288:8 2.89114e-05 -11 *1192:B *288:8 0.000171375 -12 *1370:A *288:8 5.20669e-05 -13 *1373:A *1371:A 0.000139779 -14 *1373:A *288:8 9.74936e-05 -15 *1373:TE *288:8 7.18627e-05 -16 *12:11 *1374:A 0.000186765 -17 *12:11 *288:11 0.000156205 -18 *135:13 *288:8 5.52302e-05 -19 *287:8 *1371:A 6.02083e-05 -20 *287:8 *288:8 6.93626e-06 -*RES -1 *1370:X *288:8 21.8893 -2 *288:8 *288:11 7.5 -3 *288:11 *1374:A 11.4964 -4 *288:11 *1375:A 9.3 -5 *288:8 *1371:A 18.4786 -*END - -*D_NET *289 0.0007187 -*CONN -*I *1380:A I *D sky130_fd_sc_hd__einvp_2 -*I *1378:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1380:A 0.000228401 -2 *1378:X 0.000228401 -3 *1380:A *1449:TE 0.000100691 -4 *1380:A *1450:TE 9.71197e-05 -5 *226:85 *1380:A 6.40869e-05 -*RES -1 *1378:X *1380:A 32.3321 -*END - -*D_NET *290 0.00136586 -*CONN -*I *1380:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1383:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1382:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1380:Z 0.000100574 -2 *1383:A 0.000386222 -3 *1382:Z 0 -4 *290:4 0.000486796 -5 *1380:Z *1377:A 0 -6 *1380:Z *1378:A 0 -7 *1380:Z *1381:A 1.19402e-05 -8 *1383:A *295:8 0.000126446 -9 *1312:B1 *1383:A 0.000144075 -10 *25:26 *1383:A 0 -11 *226:85 *1380:Z 0.000109809 -*RES -1 *1382:Z *290:4 9.3 -2 *290:4 *1383:A 24.7107 -3 *290:4 *1380:Z 20.8536 -*END - -*D_NET *291 0.00153618 -*CONN -*I *1379:A I *D sky130_fd_sc_hd__einvp_2 -*I *1383:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1379:A 0.000464847 -2 *1383:Y 0.000464847 -3 *1379:A *1379:TE 0.00044366 -4 *1312:A2 *1379:A 6.87146e-05 -5 *1312:B1 *1379:A 9.41114e-05 -*RES -1 *1383:Y *1379:A 27.4929 -*END - -*D_NET *292 0.00115525 -*CONN -*I *1449:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1377:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1451:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1449:Z 2.84912e-05 -2 *1377:A 0.000153451 -3 *1451:Z 0.00020948 -4 *292:6 0.000391422 -5 *1377:A *1378:A 0.000177234 -6 *1377:A *1381:A 0 -7 *1449:Z *1449:A 5.52302e-05 -8 *292:6 *1378:A 0.000139948 -9 *292:6 *1449:TE 0 -10 *1380:Z *1377:A 0 -*RES -1 *1451:Z *292:6 17.8714 -2 *292:6 *1377:A 16.9607 -3 *292:6 *1449:Z 14.3357 -*END - -*D_NET *293 0.000683976 -*CONN -*I *1379:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1384:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1381:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1379:Z 7.28785e-05 -2 *1384:A 0.000144131 -3 *1381:Z 3.96904e-05 -4 *293:7 0.0002567 -5 *1312:A2 *1379:Z 4.38511e-05 -6 *1312:A2 *1384:A 7.00271e-05 -7 *1313:A2 *1384:A 5.66971e-05 -*RES -1 *1381:Z *293:7 14.3357 -2 *293:7 *1384:A 16.8893 -3 *293:7 *1379:Z 15.4429 -*END - -*D_NET *294 0.0108305 -*CONN -*I *1381:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1379:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1275:X O *D sky130_fd_sc_hd__o31a_2 -*CAP -1 *1381:TE_B 0 -2 *1379:TE 0.000226418 -3 *1275:X 0.00147985 -4 *294:23 0.00128539 -5 *294:18 0.00253882 -6 *1379:TE *373:11 0 -7 *294:23 *373:11 0.000221634 -8 *1172:B *294:18 0.00014701 -9 *1252:A *294:23 6.77822e-05 -10 *1275:A2 *294:18 5.95413e-05 -11 *1285:B *294:18 0.00018077 -12 *1288:A *294:18 0.000181995 -13 *1288:B *294:18 0.000260574 -14 *1308:A2 *294:23 1.32389e-05 -15 *1308:A3 *294:23 5.33005e-05 -16 *1308:B1 *294:23 2.61099e-05 -17 *1312:A2 *1379:TE 0.000144773 -18 *1312:B1 *1379:TE 0.000183726 -19 *1314:B2 *294:23 7.32955e-05 -20 *1379:A *1379:TE 0.00044366 -21 *5:74 *294:18 9.41642e-05 -22 *5:90 *294:18 0.000561379 -23 *25:26 *1379:TE 0 -24 *37:20 *294:18 2.95726e-05 -25 *93:55 *294:18 7.83587e-05 -26 *140:8 *294:18 7.6644e-05 -27 *146:7 *294:18 0.00137513 -28 *208:34 *294:18 3.97677e-05 -29 *211:40 *294:18 9.22103e-06 -30 *217:22 *294:18 0.000172303 -31 *232:10 *294:18 5.52302e-05 -32 *246:18 *1379:TE 6.88635e-05 -33 *246:18 *294:23 0.000681946 -*RES -1 *1275:X *294:18 47.2643 -2 *294:18 *294:23 15.25 -3 *294:23 *1379:TE 25.0857 -4 *294:23 *1381:TE_B 9.3 -*END - -*D_NET *295 0.000933423 -*CONN -*I *1380:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1382:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1312:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1380:TE 0.000144511 -2 *1382:TE_B 0 -3 *1312:X 8.7771e-05 -4 *295:8 0.000232282 -5 *1380:TE *1449:A 9.71197e-05 -6 *1312:B1 *295:8 5.71472e-05 -7 *1383:A *295:8 0.000126446 -8 *25:26 *1380:TE 0 -9 *246:18 *1380:TE 0.0001098 -10 *246:18 *295:8 7.83462e-05 -*RES -1 *1312:X *295:8 16.2821 -2 *295:8 *1382:TE_B 13.8 -3 *295:8 *1380:TE 17.3 -*END - -*D_NET *296 0.00180251 -*CONN -*I *1378:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1382:A I *D sky130_fd_sc_hd__einvn_4 -*I *1381:A I *D sky130_fd_sc_hd__einvn_8 -*I *1377:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1378:A 0.000214776 -2 *1382:A 0 -3 *1381:A 0.000245046 -4 *1377:X 0 -5 *296:9 0.000410482 -6 *296:4 0.000380212 -7 *1378:A *1449:TE 0 -8 *1312:A2 *1381:A 0.00022287 -9 *1377:A *1378:A 0.000177234 -10 *1377:A *1381:A 0 -11 *1380:Z *1378:A 0 -12 *1380:Z *1381:A 1.19402e-05 -13 *226:78 *1381:A 0 -14 *226:85 *1378:A 0 -15 *226:85 *1381:A 0 -16 *292:6 *1378:A 0.000139948 -*RES -1 *1377:X *296:4 9.3 -2 *296:4 *296:9 2.92857 -3 *296:9 *1381:A 23.2821 -4 *296:9 *1382:A 9.3 -5 *296:4 *1378:A 22.9071 -*END - -*D_NET *297 0.0012986 -*CONN -*I *1387:A I *D sky130_fd_sc_hd__einvp_2 -*I *1385:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1387:A 0.000250536 -2 *1385:X 0.000250536 -3 *1387:A *300:14 0.000177941 -4 *1387:A *303:6 0.000151775 -5 *1387:A *303:10 0.000129868 -6 *1312:A2 *1387:A 2.47319e-05 -7 *1313:A2 *1387:A 0.000313209 -8 *28:10 *1387:A 0 -*RES -1 *1385:X *1387:A 34.6714 -*END - -*D_NET *298 0.00127554 -*CONN -*I *1387:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1390:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1389:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1387:Z 0.000163063 -2 *1390:A 0.000222993 -3 *1389:Z 6.55616e-05 -4 *298:5 0.000451618 -5 *1390:A *1386:A 0.000100262 -6 *1390:A *1389:TE_B 5.52302e-05 -7 *1312:A2 *1387:Z 0 -8 *150:13 *1387:Z 5.10822e-05 -9 *226:78 *1387:Z 0.000165727 -*RES -1 *1389:Z *298:5 10.2464 -2 *298:5 *1390:A 13.1214 -3 *298:5 *1387:Z 22.3714 -*END - -*D_NET *299 0.000553504 -*CONN -*I *1386:A I *D sky130_fd_sc_hd__einvp_2 -*I *1390:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1386:A 0.000176206 -2 *1390:Y 0.000176206 -3 *1386:A *1389:TE_B 0.000100831 -4 *1386:A *373:11 0 -5 *1390:A *1386:A 0.000100262 -*RES -1 *1390:Y *1386:A 30.2964 -*END - -*D_NET *300 0.00344757 -*CONN -*I *1464:A I *D sky130_fd_sc_hd__einvn_4 -*I *1463:A I *D sky130_fd_sc_hd__einvn_8 -*I *1460:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1386:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1388:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1464:A 0.000190558 -2 *1463:A 0 -3 *1460:A 0.000160376 -4 *1386:Z 0.000458378 -5 *1388:Z 3.52567e-05 -6 *300:16 0.000210503 -7 *300:14 0.000481325 -8 *300:5 0.000734274 -9 *1386:Z *1388:TE_B 0.000100126 -10 *1460:A *1462:A 0.000147332 -11 *1464:A *1462:TE 0.000136958 -12 *1464:A *1462:Z 0.000114655 -13 *300:14 *1462:A 9.25014e-06 -14 *300:14 *303:10 1.18064e-05 -15 *300:16 *1462:A 0.000153047 -16 *419:DIODE *1460:A 9.80071e-05 -17 *1312:A2 *1460:A 1.49084e-05 -18 *1312:A2 *300:14 9.49225e-05 -19 *1312:A2 *300:16 5.16489e-05 -20 *1387:A *300:14 0.000177941 -21 *28:10 *1460:A 0 -22 *28:10 *300:14 0 -23 *150:13 *1386:Z 6.63007e-05 -24 *284:8 *1460:A 0 -*RES -1 *1388:Z *300:5 9.83571 -2 *300:5 *1386:Z 16.4071 -3 *300:5 *300:14 10.3929 -4 *300:14 *300:16 1.94643 -5 *300:16 *1460:A 17.6036 -6 *300:16 *1463:A 13.8 -7 *300:14 *1464:A 17.2107 -*END - -*D_NET *301 0.0125274 -*CONN -*I *1386:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1388:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1277:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1386:TE 0 -2 *1388:TE_B 0.000151212 -3 *1277:X 0.00279844 -4 *301:17 0.000633977 -5 *301:13 0.0032812 -6 *301:13 *317:24 6.6313e-05 -7 *301:17 *364:9 0.000153047 -8 *301:17 *372:14 0.000572998 -9 *301:17 *373:11 9.91655e-05 -10 *410:DIODE *301:17 0.000217209 -11 *1181:B *1388:TE_B 0.000225988 -12 *1181:B *301:17 2.28499e-05 -13 *1254:B1 *301:13 9.41642e-05 -14 *1255:A *301:13 0.00056551 -15 *1262:C1 *301:13 7.77652e-05 -16 *1272:A2 *301:13 2.38243e-05 -17 *1309:A2 *301:17 4.52779e-05 -18 *1386:Z *1388:TE_B 0.000100126 -19 *22:8 *301:13 0 -20 *25:26 *301:17 0.000617715 -21 *92:67 *301:13 0 -22 *150:13 *1388:TE_B 8.80828e-05 -23 *162:68 *301:13 4.49626e-05 -24 *197:66 *301:13 0 -25 *204:8 *301:13 5.52302e-05 -26 *206:30 *301:13 0.000142856 -27 *206:46 *301:13 0.000693755 -28 *206:60 *301:13 3.82227e-06 -29 *212:13 *301:13 2.24763e-05 -30 *213:37 *301:13 7.84399e-05 -31 *215:15 *301:13 0.000283958 -32 *218:56 *301:13 0.00113426 -33 *220:21 *301:13 4.00349e-05 -34 *272:10 *301:17 0.000192792 -*RES -1 *1277:X *301:13 42.514 -2 *301:13 *301:17 19.8839 -3 *301:17 *1388:TE_B 13.55 -4 *301:17 *1386:TE 9.3 -*END - -*D_NET *302 0.00172315 -*CONN -*I *1387:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1389:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1313:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1387:TE 3.77071e-05 -2 *1389:TE_B 0.000274873 -3 *1313:X 0.000169941 -4 *302:5 0.000482521 -5 *1389:TE_B *373:11 0 -6 *1313:A2 *1387:TE 5.77498e-05 -7 *1313:A2 *302:5 0.000325894 -8 *1386:A *1389:TE_B 0.000100831 -9 *1390:A *1389:TE_B 5.52302e-05 -10 *139:5 *302:5 0.000218409 -11 *226:78 *1389:TE_B 0 -*RES -1 *1313:X *302:5 13.5321 -2 *302:5 *1389:TE_B 23.2107 -3 *302:5 *1387:TE 10.242 -*END - -*D_NET *303 0.00111733 -*CONN -*I *1388:A I *D sky130_fd_sc_hd__einvn_8 -*I *1385:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1389:A I *D sky130_fd_sc_hd__einvn_4 -*I *1384:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1388:A 0 -2 *1385:A 0.000108216 -3 *1389:A 6.8404e-05 -4 *1384:X 4.13186e-05 -5 *303:10 0.000223332 -6 *303:6 8.80306e-05 -7 *1312:A2 *303:6 0.000141524 -8 *1312:A2 *303:10 0.000153054 -9 *1387:A *303:6 0.000151775 -10 *1387:A *303:10 0.000129868 -11 *300:14 *303:10 1.18064e-05 -*RES -1 *1384:X *303:6 15.7464 -2 *303:6 *303:10 6.44643 -3 *303:10 *1389:A 10.2464 -4 *303:10 *1385:A 11.0857 -5 *303:6 *1388:A 13.8 -*END - -*D_NET *304 0.00136323 -*CONN -*I *1394:A I *D sky130_fd_sc_hd__einvp_2 -*I *1392:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1394:A 0.000415364 -2 *1392:X 0.000415364 -3 *1394:A *1398:A 0.000121866 -4 *1394:A *305:8 0.000122935 -5 *30:17 *1394:A 0.000287706 -*RES -1 *1392:X *1394:A 34.2964 -*END - -*D_NET *305 0.000801469 -*CONN -*I *1394:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1397:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1396:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1394:Z 0 -2 *1397:A 7.77579e-05 -3 *1396:Z 0.000143507 -4 *305:8 0.000221265 -5 *1397:A *1398:A 9.71197e-05 -6 *305:8 *1396:TE_B 0 -7 *305:8 *1398:A 2.1891e-05 -8 *305:8 *307:8 2.84398e-05 -9 *1394:A *305:8 0.000122935 -10 *36:16 *1397:A 8.85532e-05 -*RES -1 *1396:Z *305:8 21.1571 -2 *305:8 *1397:A 11.0679 -3 *305:8 *1394:Z 9.3 -*END - -*D_NET *306 0.000429416 -*CONN -*I *1393:A I *D sky130_fd_sc_hd__einvp_2 -*I *1397:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1393:A 9.65606e-05 -2 *1397:Y 9.65606e-05 -3 *36:16 *1393:A 0.000179391 -4 *37:13 *1393:A 5.69036e-05 -*RES -1 *1397:Y *1393:A 29.7786 -*END - -*D_NET *307 0.00223485 -*CONN -*I *1398:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1393:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1395:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1398:A 0.00032973 -2 *1393:Z 0.000137308 -3 *1395:Z 0.000227301 -4 *307:8 0.000694339 -5 *1398:A *1394:TE 7.72663e-05 -6 *1398:A *309:21 2.89114e-05 -7 *307:8 *1396:A 0 -8 *1394:A *1398:A 0.000121866 -9 *1397:A *1398:A 9.71197e-05 -10 *5:8 *307:8 0 -11 *5:11 *307:8 3.57488e-05 -12 *30:17 *1393:Z 5.33005e-05 -13 *30:17 *1398:A 3.40456e-05 -14 *30:17 *307:8 3.17148e-05 -15 *36:16 *1398:A 6.24351e-05 -16 *36:27 *1398:A 7.15905e-06 -17 *37:13 *1398:A 0.000128969 -18 *37:13 *307:8 7.252e-05 -19 *200:5 *1393:Z 4.4787e-05 -20 *305:8 *1398:A 2.1891e-05 -21 *305:8 *307:8 2.84398e-05 -*RES -1 *1395:Z *307:8 18.2107 -2 *307:8 *1393:Z 15.9786 -3 *307:8 *1398:A 20.9964 -*END - -*D_NET *308 0.000564314 -*CONN -*I *1393:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1395:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1250:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1393:TE 3.82715e-05 -2 *1395:TE_B 0.000116424 -3 *1250:X 4.76687e-05 -4 *308:5 0.000202364 -5 *1395:TE_B *1456:A 0 -6 *388:DIODE *1395:TE_B 0 -7 *5:8 *1395:TE_B 0 -8 *36:16 *1395:TE_B 6.19181e-05 -9 *200:7 *1393:TE 4.72917e-05 -10 *200:7 *308:5 5.03772e-05 -*RES -1 *1250:X *308:5 10.2464 -2 *308:5 *1395:TE_B 20.55 -3 *308:5 *1393:TE 10.2464 -*END - -*D_NET *309 0.00617217 -*CONN -*I *1396:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1394:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1286:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1396:TE_B 0.000167382 -2 *1394:TE 3.1319e-05 -3 *1286:X 0.00120903 -4 *309:21 0.00140773 -5 *1396:TE_B *1392:A 0 -6 *309:21 *1415:A 2.44318e-05 -7 *309:21 *1415:Z 0.00014183 -8 *309:21 *317:18 0.000310744 -9 *1244:A_N *309:21 5.52238e-05 -10 *1273:B1 *309:21 0.000169359 -11 *1276:A *309:21 5.16532e-05 -12 *1276:B *309:21 0.000271345 -13 *1278:A1 *309:21 6.62752e-05 -14 *1279:B1 *309:21 2.89114e-05 -15 *1281:A1 *309:21 1.21258e-05 -16 *1281:A2 *309:21 5.33005e-05 -17 *1281:B1 *309:21 9.50968e-06 -18 *1302:B1 *309:21 0.000144135 -19 *1398:A *1394:TE 7.72663e-05 -20 *1398:A *309:21 2.89114e-05 -21 *15:14 *309:21 9.76689e-05 -22 *36:27 *1394:TE 7.70854e-05 -23 *36:27 *309:21 0.00054378 -24 *164:15 *309:21 4.13595e-05 -25 *202:5 *309:21 5.67624e-05 -26 *202:20 *309:21 0.000269428 -27 *216:25 *309:21 0.000508582 -28 *224:14 *309:21 0.00022286 -29 *275:60 *309:21 9.41642e-05 -30 *287:8 *1396:TE_B 0 -31 *305:8 *1396:TE_B 0 -*RES -1 *1286:X *309:21 39.8714 -2 *309:21 *1394:TE 10.6571 -3 *309:21 *1396:TE_B 20.8536 -*END - -*D_NET *310 0.00238867 -*CONN -*I *1392:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1395:A I *D sky130_fd_sc_hd__einvn_8 -*I *1396:A I *D sky130_fd_sc_hd__einvn_4 -*I *1391:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1392:A 0.000104554 -2 *1395:A 0 -3 *1396:A 0.000179122 -4 *1391:X 7.81573e-05 -5 *310:13 0.000422985 -6 *310:8 0.000426575 -7 *401:DIODE *310:8 9.71197e-05 -8 *1371:A *1392:A 1.31516e-05 -9 *1371:A *310:8 7.6021e-05 -10 *1396:TE_B *1392:A 0 -11 *5:11 *310:13 0.00026588 -12 *30:17 *1396:A 9.77074e-05 -13 *200:5 *1396:A 0.0001839 -14 *286:5 *310:8 9.90431e-05 -15 *287:8 *1392:A 0.000195555 -16 *287:8 *310:8 0.000148903 -17 *307:8 *1396:A 0 -*RES -1 *1391:X *310:8 16.6929 -2 *310:8 *310:13 9.57143 -3 *310:13 *1396:A 22.0143 -4 *310:13 *1395:A 9.3 -5 *310:8 *1392:A 16.3536 -*END - -*D_NET *311 0.00112731 -*CONN -*I *1401:A I *D sky130_fd_sc_hd__einvp_2 -*I *1399:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1401:A 0.000427535 -2 *1399:X 0.000427535 -3 *1401:A *1440:A 0.000272242 -*RES -1 *1399:X *1401:A 24.4929 -*END - -*D_NET *312 0.00286865 -*CONN -*I *1404:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1401:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1403:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1404:A 0.000576211 -2 *1401:Z 2.85076e-05 -3 *1403:Z 0.000154948 -4 *312:8 0.000759667 -5 *1401:Z *1440:A 5.52238e-05 -6 *1404:A *1400:A 0.00076505 -7 *1404:A *1440:A 0.00032823 -8 *1404:A *1442:Z 0.000100261 -9 *312:8 *1400:TE 0 -10 *312:8 *1401:TE 0 -11 *18:5 *312:8 0 -12 *19:5 *312:8 0 -13 *226:114 *312:8 0.000100547 -*RES -1 *1403:Z *312:8 20.8536 -2 *312:8 *1401:Z 9.83571 -3 *312:8 *1404:A 22.1929 -*END - -*D_NET *313 0.00144952 -*CONN -*I *1400:A I *D sky130_fd_sc_hd__einvp_2 -*I *1404:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1400:A 0.000151055 -2 *1404:Y 0.000151055 -3 *1400:A *1399:A 9.54221e-05 -4 *1400:A *1440:A 0.000265461 -5 *1400:A *1442:Z 2.14757e-05 -6 *1404:A *1400:A 0.00076505 -*RES -1 *1404:Y *1400:A 25.2964 -*END - -*D_NET *314 0.00151989 -*CONN -*I *1400:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1405:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1402:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1400:Z 8.65484e-05 -2 *1405:A 4.66437e-05 -3 *1402:Z 0.000291 -4 *314:9 0.000424192 -5 *1405:A *357:13 5.4959e-05 -6 *314:9 *1399:A 0.000102507 -7 *314:9 *1402:A 0.000100986 -8 *314:9 *1442:TE 0.000310605 -9 *314:9 *357:13 4.04415e-05 -10 *314:9 *359:8 8.67814e-06 -11 *19:5 *1400:Z 4.08525e-05 -12 *20:9 *314:9 1.24735e-05 -13 *24:22 *1400:Z 0 -*RES -1 *1402:Z *314:9 24.675 -2 *314:9 *1405:A 10.2464 -3 *314:9 *1400:Z 19.9429 -*END - -*D_NET *315 0.00254893 -*CONN -*I *1400:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1402:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1253:X O *D sky130_fd_sc_hd__a211o_2 -*CAP -1 *1400:TE 0.00021214 -2 *1402:TE_B 0 -3 *1253:X 0.000328176 -4 *315:8 0.000540316 -5 *1400:TE *1403:A 4.06094e-05 -6 *1400:TE *317:24 5.93726e-05 -7 *315:8 *317:24 4.70547e-05 -8 *409:DIODE *315:8 0.000180336 -9 *1253:A2 *315:8 2.89114e-05 -10 *1298:B2 *315:8 0.000148196 -11 *19:5 *1400:TE 0.000152352 -12 *19:5 *315:8 7.92596e-06 -13 *30:23 *315:8 6.05161e-06 -14 *197:66 *315:8 6.32026e-05 -15 *226:114 *1400:TE 7.48906e-05 -16 *226:114 *315:8 0.000145662 -17 *236:8 *315:8 0.000513731 -18 *312:8 *1400:TE 0 -*RES -1 *1253:X *315:8 23.2643 -2 *315:8 *1402:TE_B 13.8 -3 *315:8 *1400:TE 18.7821 -*END - -*D_NET *316 0.000879696 -*CONN -*I *1401:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1403:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1289:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1401:TE 0.000131034 -2 *1403:TE_B 0 -3 *1289:X 0.000124904 -4 *316:8 0.000255938 -5 *1401:TE *1440:A 9.90431e-05 -6 *407:DIODE *316:8 5.66971e-05 -7 *18:5 *1401:TE 8.17359e-05 -8 *18:5 *316:8 7.70015e-05 -9 *226:114 *1401:TE 5.33433e-05 -10 *312:8 *1401:TE 0 -*RES -1 *1289:X *316:8 16.2821 -2 *316:8 *1403:TE_B 13.8 -3 *316:8 *1401:TE 16.9964 -*END - -*D_NET *317 0.0131342 -*CONN -*I *1403:A I *D sky130_fd_sc_hd__einvn_4 -*I *1399:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1402:A I *D sky130_fd_sc_hd__einvn_8 -*I *1398:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1403:A 2.72783e-05 -2 *1399:A 0.00015819 -3 *1402:A 8.38499e-05 -4 *1398:X 0.00251239 -5 *317:29 0.000710887 -6 *317:24 0.0012703 -7 *317:18 0.00328657 -8 *1399:A *1440:A 6.33085e-05 -9 *1399:A *359:8 0 -10 *1403:A *323:15 6.95774e-05 -11 *317:18 *1415:A 0.0001399 -12 *317:18 *1415:Z 2.14658e-05 -13 *317:24 *323:15 0.000278396 -14 *317:29 *1442:TE 9.04963e-05 -15 *317:29 *357:13 0.000211423 -16 *406:DIODE *317:18 0.000419482 -17 *1246:B *317:18 4.18606e-05 -18 *1273:B1 *317:18 1.39841e-05 -19 *1276:A *317:18 0.000523464 -20 *1278:A1 *317:18 9.76491e-05 -21 *1281:A1 *317:18 2.59355e-05 -22 *1281:A2 *317:18 1.28171e-05 -23 *1281:B1 *317:18 9.50968e-06 -24 *1282:B1 *317:18 5.49544e-05 -25 *1286:A1 *317:18 0.0001399 -26 *1286:A2 *317:18 8.25897e-05 -27 *1293:C *317:24 7.52701e-05 -28 *1297:B *317:24 0.000202513 -29 *1400:A *1399:A 9.54221e-05 -30 *1400:TE *1403:A 4.06094e-05 -31 *1400:TE *317:24 5.93726e-05 -32 *15:14 *317:18 0.000178847 -33 *20:9 *1399:A 0 -34 *20:9 *1402:A 0 -35 *24:22 *1399:A 0.000119098 -36 *24:22 *1402:A 5.59687e-05 -37 *92:67 *317:24 0.000180142 -38 *162:68 *317:24 0.000310099 -39 *164:15 *317:18 2.74981e-06 -40 *164:15 *317:24 0.000155537 -41 *197:66 *317:24 6.47254e-05 -42 *216:25 *317:18 8.01028e-05 -43 *224:14 *317:18 0.000180305 -44 *236:8 *317:24 0.000359692 -45 *301:13 *317:24 6.6313e-05 -46 *309:21 *317:18 0.000310744 -47 *314:9 *1399:A 0.000102507 -48 *314:9 *1402:A 0.000100986 -49 *315:8 *317:24 4.70547e-05 -*RES -1 *1398:X *317:18 48.0768 -2 *317:18 *317:24 17.0131 -3 *317:24 *317:29 15.2857 -4 *317:29 *1402:A 15.7464 -5 *317:29 *1399:A 18.3179 -6 *317:24 *1403:A 14.8357 -*END - -*D_NET *318 0.00110375 -*CONN -*I *1408:A I *D sky130_fd_sc_hd__einvp_2 -*I *1406:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1408:A 0.00034352 -2 *1406:X 0.00034352 -3 *1408:A *1411:A 3.29628e-05 -4 *1408:A *1440:A 4.70078e-05 -5 *1408:A *319:9 0.000141941 -6 *21:26 *1408:A 2.38501e-05 -7 *226:132 *1408:A 0.000170946 -*RES -1 *1406:X *1408:A 33.2964 -*END - -*D_NET *319 0.00131624 -*CONN -*I *1408:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1411:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1410:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1408:Z 0 -2 *1411:A 0.000115752 -3 *1410:Z 0.000189997 -4 *319:9 0.000305749 -5 *1411:A *1407:A 3.92854e-05 -6 *1411:A *1434:A 0 -7 *319:9 *1408:TE 0.000138483 -8 *319:9 *324:7 9.84729e-05 -9 *399:DIODE *1411:A 5.52238e-05 -10 *1408:A *1411:A 3.29628e-05 -11 *1408:A *319:9 0.000141941 -12 *21:26 *319:9 0.000198372 -*RES -1 *1410:Z *319:9 14.4964 -2 *319:9 *1411:A 20.4786 -3 *319:9 *1408:Z 9.3 -*END - -*D_NET *320 0.0037721 -*CONN -*I *1407:A I *D sky130_fd_sc_hd__einvp_2 -*I *1411:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1407:A 0.00116064 -2 *1411:Y 0.00116064 -3 *1407:A *1434:A 0 -4 *1407:A *1438:A 2.85321e-05 -5 *1407:A *347:10 0.000181114 -6 *1407:A *352:21 0.000573504 -7 *1407:A *352:33 0.000567642 -8 *1411:A *1407:A 3.92854e-05 -9 *21:26 *1407:A 6.07474e-05 -*RES -1 *1411:Y *1407:A 44.3857 -*END - -*D_NET *321 0.00121419 -*CONN -*I *1412:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1407:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1409:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1412:A 0.000172299 -2 *1407:Z 4.50498e-05 -3 *1409:Z 8.26e-05 -4 *321:6 0.000299949 -5 *1407:Z *337:9 0.000224582 -6 *1412:A *1427:A 2.89016e-05 -7 *1412:A *1435:TE 2.07809e-05 -8 *1412:A *350:10 4.02704e-05 -9 *321:6 *1435:TE 5.83677e-05 -10 *21:26 *1407:Z 0.000224582 -11 *197:53 *321:6 1.6806e-05 -*RES -1 *1409:Z *321:6 15.4429 -2 *321:6 *1407:Z 15.9786 -3 *321:6 *1412:A 16.8893 -*END - -*D_NET *322 0.00141406 -*CONN -*I *1407:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1409:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1254:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1407:TE 0.000140965 -2 *1409:TE_B 0 -3 *1254:X 0.000131917 -4 *322:8 0.000272883 -5 *1407:TE *1427:A 0.000148921 -6 *1407:TE *337:9 2.38501e-05 -7 *322:8 *331:17 6.14702e-06 -8 *402:DIODE *1407:TE 0.000182811 -9 *1254:B1 *322:8 5.33005e-05 -10 *1268:A1 *1407:TE 0.000184438 -11 *1268:A1 *322:8 0.000222492 -12 *287:8 *322:8 4.63305e-05 -*RES -1 *1254:X *322:8 17.1036 -2 *322:8 *1409:TE_B 13.8 -3 *322:8 *1407:TE 18.1214 -*END - -*D_NET *323 0.00340167 -*CONN -*I *1410:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1408:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1294:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1410:TE_B 0 -2 *1408:TE 0.000151554 -3 *1294:X 0.000361041 -4 *323:15 0.000512594 -5 *1408:TE *324:7 0.000165999 -6 *1408:TE *357:13 0.000225995 -7 *323:15 *324:7 0.000491319 -8 *323:15 *357:13 0.000500473 -9 *1260:A *323:15 2.96122e-05 -10 *1293:C *323:15 3.2923e-05 -11 *1403:A *323:15 6.95774e-05 -12 *21:26 *1408:TE 0.000370983 -13 *226:114 *323:15 7.27183e-05 -14 *317:24 *323:15 0.000278396 -15 *319:9 *1408:TE 0.000138483 -*RES -1 *1294:X *323:15 30.2464 -2 *323:15 *1408:TE 15.7286 -3 *323:15 *1410:TE_B 9.3 -*END - -*D_NET *324 0.00743907 -*CONN -*I *1406:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1410:A I *D sky130_fd_sc_hd__einvn_4 -*I *1409:A I *D sky130_fd_sc_hd__einvn_8 -*I *1405:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1406:A 0.000102737 -2 *1410:A 0 -3 *1409:A 0.0010798 -4 *1405:X 0.000921261 -5 *324:8 0.00111222 -6 *324:7 0.00105643 -7 *1406:A *1440:A 9.90431e-05 -8 *1409:A *1435:A 5.66472e-05 -9 *1409:A *1435:TE 0.000185358 -10 *1409:A *1435:Z 0.000182749 -11 *1409:A *337:8 0 -12 *1409:A *344:13 0.000210043 -13 *324:7 *357:13 6.88108e-05 -14 *324:8 *337:8 0 -15 *413:DIODE *1409:A 3.7652e-05 -16 *414:DIODE *1409:A 6.7116e-05 -17 *1265:B1 *1409:A 0.000357271 -18 *1270:A2 *1409:A 0.000225367 -19 *1301:A2 *1409:A 4.25489e-05 -20 *1304:C1 *1409:A 1.12669e-05 -21 *1307:A3 *1409:A 0.000111082 -22 *1408:TE *324:7 0.000165999 -23 *17:7 *1406:A 5.74562e-05 -24 *17:7 *1409:A 1.97087e-05 -25 *17:7 *324:8 2.42797e-05 -26 *22:8 *1409:A 0.000197691 -27 *24:31 *1409:A 0.000357705 -28 *226:132 *1406:A 9.90431e-05 -29 *319:9 *324:7 9.84729e-05 -30 *323:15 *324:7 0.000491319 -*RES -1 *1405:X *324:7 26.2464 -2 *324:7 *324:8 0.732143 -3 *324:8 *1409:A 37.1402 -4 *324:8 *1410:A 13.8 -5 *324:7 *1406:A 16.3893 -*END - -*D_NET *325 0.00155253 -*CONN -*I *1415:A I *D sky130_fd_sc_hd__einvp_2 -*I *1413:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1415:A 0.000197152 -2 *1413:X 0.000197152 -3 *1415:A *1415:Z 0.000243777 -4 *1415:A *1418:A 0.000234017 -5 *1415:A *331:17 4.37079e-05 -6 *13:13 *1415:A 0.000472396 -7 *309:21 *1415:A 2.44318e-05 -8 *317:18 *1415:A 0.0001399 -*RES -1 *1413:X *1415:A 35.1536 -*END - -*D_NET *326 0.00204531 -*CONN -*I *1418:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1415:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1417:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1418:A 0.00013593 -2 *1415:Z 0.000104523 -3 *1417:Z 0 -4 *326:5 0.000240453 -5 *1415:Z *1415:TE 6.82922e-05 -6 *1415:Z *331:17 3.34366e-05 -7 *1418:A *331:17 0.000244268 -8 *1254:A2 *1418:A 0.000143633 -9 *1254:B1 *1418:A 5.33005e-05 -10 *1268:A1 *1418:A 0.000123498 -11 *1415:A *1415:Z 0.000243777 -12 *1415:A *1418:A 0.000234017 -13 *13:13 *1415:Z 1.08359e-05 -14 *200:39 *1418:A 0.0001871 -15 *287:8 *1418:A 5.89471e-05 -16 *309:21 *1415:Z 0.00014183 -17 *317:18 *1415:Z 2.14658e-05 -*RES -1 *1417:Z *326:5 13.8 -2 *326:5 *1415:Z 17.4071 -3 *326:5 *1418:A 19.9607 -*END - -*D_NET *327 0.000320608 -*CONN -*I *1414:A I *D sky130_fd_sc_hd__einvp_2 -*I *1418:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1414:A 1.66714e-05 -2 *1418:Y 1.66714e-05 -3 *31:11 *1414:A 0.000143633 -4 *213:37 *1414:A 0.000143633 -*RES -1 *1418:Y *1414:A 19.9571 -*END - -*D_NET *328 0.00199277 -*CONN -*I *1414:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1419:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1416:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1414:Z 0 -2 *1419:A 0.000469388 -3 *1416:Z 0.000170063 -4 *328:9 0.000639451 -5 *1419:A *1414:TE 0.000182683 -6 *1259:B1 *1419:A 0.000153727 -7 *200:31 *1419:A 4.84159e-05 -8 *200:39 *1419:A 1.97617e-05 -9 *213:37 *1419:A 1.32293e-05 -10 *213:37 *328:9 0.00022887 -11 *287:8 *328:9 6.71766e-05 -*RES -1 *1416:Z *328:9 22.1214 -2 *328:9 *1419:A 17.55 -3 *328:9 *1414:Z 9.3 -*END - -*D_NET *329 0.000870128 -*CONN -*I *1414:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1416:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1259:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1414:TE 3.5871e-05 -2 *1416:TE_B 6.44741e-05 -3 *1259:X 0 -4 *329:4 0.000100345 -5 *1259:B1 *1414:TE 0.000182683 -6 *1419:A *1414:TE 0.000182683 -7 *31:11 *1416:TE_B 0.000103716 -8 *37:13 *1416:TE_B 0.000200356 -*RES -1 *1259:X *329:4 9.3 -2 *329:4 *1416:TE_B 20.8536 -3 *329:4 *1414:TE 11.0679 -*END - -*D_NET *330 0.00985149 -*CONN -*I *1417:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1415:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1299:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1417:TE_B 0 -2 *1415:TE 0.000159101 -3 *1299:X 0.00231713 -4 *330:21 0.00247623 -5 *1415:TE *331:17 3.34366e-05 -6 *330:21 *331:17 0 -7 *330:21 *363:17 0.0011953 -8 *1250:B1 *1415:TE 0.000151071 -9 *1250:B1 *330:21 4.88637e-05 -10 *1254:B1 *1415:TE 0.000151071 -11 *1254:B1 *330:21 2.44318e-05 -12 *1255:A *330:21 0.000312732 -13 *1258:C1 *330:21 2.89967e-05 -14 *1263:B1 *330:21 0 -15 *1267:A2 *330:21 6.73932e-05 -16 *1272:A2 *330:21 2.70401e-05 -17 *1273:A2 *330:21 6.25394e-06 -18 *1273:B1 *330:21 9.41642e-05 -19 *1273:B2 *330:21 1.21258e-05 -20 *1277:B1 *330:21 0.000168001 -21 *1279:A2 *1415:TE 0 -22 *1287:A *330:21 0.000517573 -23 *1289:A1 *330:21 1.67699e-05 -24 *1293:A *330:21 3.09417e-05 -25 *1304:A2 *330:21 0.000337651 -26 *1415:Z *1415:TE 6.82922e-05 -27 *36:27 *1415:TE 0 -28 *36:27 *330:21 0.000854268 -29 *197:66 *330:21 7.47629e-06 -30 *206:68 *330:21 4.35421e-05 -31 *207:20 *330:21 0 -32 *213:37 *330:21 8.194e-05 -33 *218:9 *330:21 9.70862e-05 -34 *218:56 *330:21 0.00022156 -35 *220:21 *330:21 1.90936e-05 -36 *220:26 *330:21 2.13481e-06 -37 *233:11 *330:21 5.52238e-05 -38 *233:27 *330:21 0.00022459 -*RES -1 *1299:X *330:21 49.3564 -2 *330:21 *1415:TE 23.1393 -3 *330:21 *1417:TE_B 9.3 -*END - -*D_NET *331 0.00370091 -*CONN -*I *1413:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1417:A I *D sky130_fd_sc_hd__einvn_4 -*I *1416:A I *D sky130_fd_sc_hd__einvn_8 -*I *1412:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1413:A 0 -2 *1417:A 0 -3 *1416:A 9.13102e-05 -4 *1412:X 0.000521346 -5 *331:17 0.000328363 -6 *331:12 0.000758399 -7 *331:12 *1427:A 5.66403e-05 -8 *331:12 *1429:A 1.02821e-05 -9 *331:12 *1431:TE_B 0.000193596 -10 *331:12 *350:10 0.00018077 -11 *412:DIODE *331:12 8.00806e-05 -12 *1250:B1 *1416:A 0.000184745 -13 *1250:B1 *331:17 0.000124521 -14 *1254:B1 *331:17 0.000172238 -15 *1268:A1 *331:12 0.000314475 -16 *1268:A1 *331:17 6.13903e-05 -17 *1268:A2 *331:12 0.000126639 -18 *1268:B1 *331:12 4.43256e-05 -19 *1277:B1 *331:17 0 -20 *1415:A *331:17 4.37079e-05 -21 *1415:TE *331:17 3.34366e-05 -22 *1415:Z *331:17 3.34366e-05 -23 *1418:A *331:17 0.000244268 -24 *13:13 *331:12 7.77128e-05 -25 *13:13 *331:17 1.30833e-05 -26 *322:8 *331:17 6.14702e-06 -27 *330:21 *331:17 0 -*RES -1 *1412:X *331:12 25.3179 -2 *331:12 *331:17 11.1429 -3 *331:17 *1416:A 11.4964 -4 *331:17 *1417:A 9.3 -5 *331:12 *1413:A 13.8 -*END - -*D_NET *332 0.00102231 -*CONN -*I *1422:A I *D sky130_fd_sc_hd__einvp_2 -*I *1420:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1422:A 0.000201886 -2 *1420:X 0.000201886 -3 *1422:A *1420:A 4.15742e-05 -4 *1422:A *1426:A 9.61273e-05 -5 *1422:A *338:13 9.81169e-06 -6 *33:11 *1422:A 0.00015926 -7 *36:16 *1422:A 0.00031176 -*RES -1 *1420:X *1422:A 33.4393 -*END - -*D_NET *333 0.00072255 -*CONN -*I *1425:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1422:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1424:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1425:A 5.77446e-05 -2 *1422:Z 0.000108796 -3 *1424:Z 4.03093e-05 -4 *333:7 0.00020685 -5 *1422:Z *1421:A 0.000194968 -6 *1425:A *1421:A 0.00010311 -7 *333:7 *337:9 1.07719e-05 -*RES -1 *1424:Z *333:7 14.3357 -2 *333:7 *1422:Z 16.3536 -3 *333:7 *1425:A 15.1393 -*END - -*D_NET *334 0.00099973 -*CONN -*I *1421:A I *D sky130_fd_sc_hd__einvp_2 -*I *1425:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1421:A 0.00021404 -2 *1425:Y 0.00021404 -3 *1421:A *1426:A 0.000123675 -4 *1422:Z *1421:A 0.000194968 -5 *1425:A *1421:A 0.00010311 -6 *36:16 *1421:A 0.000149898 -*RES -1 *1425:Y *1421:A 33.7964 -*END - -*D_NET *335 0.00257815 -*CONN -*I *1426:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1421:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1456:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1423:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1426:A 0.000342188 -2 *1421:Z 0 -3 *1456:A 0.000379487 -4 *1423:Z 0.000170232 -5 *335:15 0.000434343 -6 *335:7 0.000641874 -7 *1456:A *1421:TE 0 -8 *1456:A *336:8 0 -9 *335:15 *1421:TE 0 -10 *1250:B1 *1456:A 7.64448e-05 -11 *1250:B1 *335:7 4.55625e-05 -12 *1263:A1 *1456:A 0.00024478 -13 *1263:B1 *1456:A 2.34423e-05 -14 *1395:TE_B *1456:A 0 -15 *1421:A *1426:A 0.000123675 -16 *1422:A *1426:A 9.61273e-05 -17 *23:10 *1456:A 0 -18 *36:16 *1456:A 0 -19 *36:16 *335:15 0 -*RES -1 *1423:Z *335:7 16.3893 -2 *335:7 *1456:A 21.5143 -3 *335:7 *335:15 1.64286 -4 *335:15 *1421:Z 13.8 -5 *335:15 *1426:A 19.7643 -*END - -*D_NET *336 0.000932168 -*CONN -*I *1421:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1423:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1263:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1421:TE 0.000229729 -2 *1423:TE_B 0 -3 *1263:X 0.000236355 -4 *336:8 0.000466084 -5 *1456:A *1421:TE 0 -6 *1456:A *336:8 0 -7 *335:15 *1421:TE 0 -*RES -1 *1263:X *336:8 17.5143 -2 *336:8 *1423:TE_B 13.8 -3 *336:8 *1421:TE 17.7107 -*END - -*D_NET *337 0.0110515 -*CONN -*I *1422:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1424:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1300:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1422:TE 9.59959e-05 -2 *1424:TE_B 0.000105228 -3 *1300:X 0.000193126 -4 *337:9 0.00367493 -5 *337:8 0.00366683 -6 *337:8 *357:13 2.50593e-05 -7 *337:9 *1424:A 5.62846e-05 -8 *337:9 *1427:A 0.00081832 -9 *337:9 *342:10 0.0005286 -10 *337:9 *345:9 2.12733e-05 -11 *337:9 *345:17 0.000105982 -12 *337:9 *352:21 8.10737e-06 -13 *402:DIODE *337:9 2.83425e-05 -14 *1300:B1 *337:8 0 -15 *1407:TE *337:9 2.38501e-05 -16 *1407:Z *337:9 0.000224582 -17 *1409:A *337:8 0 -18 *21:26 *337:9 0.00146426 -19 *324:8 *337:8 0 -20 *333:7 *337:9 1.07719e-05 -*RES -1 *1300:X *337:8 21.1571 -2 *337:8 *337:9 46.9464 -3 *337:9 *1424:TE_B 10.8714 -4 *337:9 *1422:TE 19.9429 -*END - -*D_NET *338 0.00162909 -*CONN -*I *1420:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1424:A I *D sky130_fd_sc_hd__einvn_4 -*I *1423:A I *D sky130_fd_sc_hd__einvn_8 -*I *1419:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1420:A 0.000135544 -2 *1424:A 0.0001041 -3 *1423:A 0.000149835 -4 *1419:X 0 -5 *338:13 0.000418244 -6 *338:5 0.000328435 -7 *338:13 *345:9 7.70717e-05 -8 *1265:A1 *1423:A 0 -9 *1265:A1 *338:13 0 -10 *1422:A *1420:A 4.15742e-05 -11 *1422:A *338:13 9.81169e-06 -12 *33:11 *1423:A 0.000144523 -13 *33:11 *338:13 0.000144386 -14 *34:8 *1420:A 0 -15 *35:10 *1420:A 1.92789e-05 -16 *36:16 *1420:A 0 -17 *200:28 *1423:A 0 -18 *337:9 *1424:A 5.62846e-05 -*RES -1 *1419:X *338:5 13.8 -2 *338:5 *1423:A 17.2643 -3 *338:5 *338:13 4.375 -4 *338:13 *1424:A 15.5679 -5 *338:13 *1420:A 16.5857 -*END - -*D_NET *339 0.00343725 -*CONN -*I *1429:A I *D sky130_fd_sc_hd__einvp_2 -*I *1427:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1429:A 0.000772679 -2 *1427:X 0.000772679 -3 *1429:A *1427:A 0.000618912 -4 *1429:A *1429:TE 0.000100165 -5 *1429:A *352:21 0.000104698 -6 *392:DIODE *1429:A 0 -7 *1265:B1 *1429:A 0.000139146 -8 *1268:A2 *1429:A 0.000446603 -9 *13:13 *1429:A 0.000110163 -10 *15:14 *1429:A 0.00036192 -11 *331:12 *1429:A 1.02821e-05 -*RES -1 *1427:X *1429:A 46.6893 -*END - -*D_NET *340 0.00209642 -*CONN -*I *1429:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1432:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1431:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1429:Z 0.000124019 -2 *1432:A 0.000267625 -3 *1431:Z 2.93113e-05 -4 *340:5 0.000420955 -5 *1429:Z *343:10 4.40806e-05 -6 *1432:A *1427:A 1.35465e-05 -7 *1432:A *1428:A 0.000359773 -8 *1432:A *1428:TE 0.000146071 -9 *1432:A *1433:A 5.33005e-05 -10 *1432:A *342:10 0.000125593 -11 *1432:A *345:17 9.83975e-05 -12 *340:5 *1427:A 1.4796e-05 -13 *392:DIODE *1429:Z 6.19117e-05 -14 *1268:A2 *1432:A 0.000272597 -15 *35:10 *1432:A 6.4443e-05 -*RES -1 *1431:Z *340:5 9.83571 -2 *340:5 *1432:A 26.6571 -3 *340:5 *1429:Z 20.8536 -*END - -*D_NET *341 0.000743389 -*CONN -*I *1428:A I *D sky130_fd_sc_hd__einvp_2 -*I *1432:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1428:A 0.000186804 -2 *1432:Y 0.000186804 -3 *1432:A *1428:A 0.000359773 -4 *35:10 *1428:A 1.00073e-05 -*RES -1 *1432:Y *1428:A 22.4214 -*END - -*D_NET *342 0.00256788 -*CONN -*I *1433:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1428:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1430:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1433:A 0.000280376 -2 *1428:Z 0 -3 *1430:Z 0.00019866 -4 *342:10 0.000479036 -5 *1433:A *1428:TE 0.000142856 -6 *342:10 *1428:TE 1.30594e-05 -7 *342:10 *343:10 1.23589e-05 -8 *342:10 *345:9 0.000184503 -9 *342:10 *345:17 0.000343215 -10 *1268:A2 *1433:A 0.000206321 -11 *1432:A *1433:A 5.33005e-05 -12 *1432:A *342:10 0.000125593 -13 *37:13 *342:10 0 -14 *337:9 *342:10 0.0005286 -*RES -1 *1430:Z *342:10 25.9071 -2 *342:10 *1428:Z 9.3 -3 *342:10 *1433:A 14.7643 -*END - -*D_NET *343 0.00125498 -*CONN -*I *1428:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1430:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1265:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1428:TE 0.000121598 -2 *1430:TE_B 0 -3 *1265:X 0.000236347 -4 *343:10 0.000357945 -5 *1265:B1 *343:10 3.78085e-05 -6 *1268:A2 *1428:TE 0.000142856 -7 *1429:Z *343:10 4.40806e-05 -8 *1432:A *1428:TE 0.000146071 -9 *1433:A *1428:TE 0.000142856 -10 *342:10 *1428:TE 1.30594e-05 -11 *342:10 *343:10 1.23589e-05 -*RES -1 *1265:X *343:10 17.6393 -2 *343:10 *1430:TE_B 13.8 -3 *343:10 *1428:TE 17.4071 -*END - -*D_NET *344 0.00520638 -*CONN -*I *1431:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1429:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1301:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1431:TE_B 9.95293e-05 -2 *1429:TE 0.000102867 -3 *1301:X 0.000779498 -4 *344:13 0.000981895 -5 *1431:TE_B *1427:A 4.84073e-05 -6 *1431:TE_B *352:21 9.58632e-05 -7 *344:13 *1435:A 5.50052e-05 -8 *344:13 *1435:TE 0.000296895 -9 *344:13 *351:8 5.50052e-05 -10 *1265:B1 *1429:TE 0.000251821 -11 *1265:B1 *344:13 0.00032991 -12 *1307:A3 *344:13 0.000308913 -13 *1409:A *344:13 0.000210043 -14 *1429:A *1429:TE 0.000100165 -15 *13:13 *1431:TE_B 0.000171581 -16 *24:31 *344:13 0.00011593 -17 *197:53 *344:13 0.000657971 -18 *226:132 *344:13 0.000351482 -19 *331:12 *1431:TE_B 0.000193596 -*RES -1 *1301:X *344:13 30.1393 -2 *344:13 *1429:TE 12.4429 -3 *344:13 *1431:TE_B 21.8 -*END - -*D_NET *345 0.00512415 -*CONN -*I *1431:A I *D sky130_fd_sc_hd__einvn_4 -*I *1427:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1430:A I *D sky130_fd_sc_hd__einvn_8 -*I *1426:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1431:A 2.13113e-05 -2 *1427:A 0.00065579 -3 *1430:A 2.13113e-05 -4 *1426:X 0.000156833 -5 *345:17 0.000863179 -6 *345:9 0.000364221 -7 *1427:A *350:10 6.42095e-05 -8 *1427:A *352:21 3.81931e-05 -9 *402:DIODE *1427:A 4.23557e-05 -10 *1265:A2 *345:9 1.25924e-05 -11 *1268:A1 *1427:A 0 -12 *1268:B1 *1427:A 0.000139907 -13 *1277:B1 *1427:A 2.50593e-05 -14 *1407:TE *1427:A 0.000148921 -15 *1412:A *1427:A 2.89016e-05 -16 *1429:A *1427:A 0.000618912 -17 *1431:TE_B *1427:A 4.84073e-05 -18 *1432:A *1427:A 1.35465e-05 -19 *1432:A *345:17 9.83975e-05 -20 *13:13 *1427:A 0 -21 *14:9 *1427:A 5.71818e-05 -22 *15:14 *1427:A 4.88637e-05 -23 *34:8 *345:9 3.42526e-05 -24 *331:12 *1427:A 5.66403e-05 -25 *337:9 *1427:A 0.00081832 -26 *337:9 *345:9 2.12733e-05 -27 *337:9 *345:17 0.000105982 -28 *338:13 *345:9 7.70717e-05 -29 *340:5 *1427:A 1.4796e-05 -30 *342:10 *345:9 0.000184503 -31 *342:10 *345:17 0.000343215 -*RES -1 *1426:X *345:9 22.425 -2 *345:9 *1430:A 9.72857 -3 *345:9 *345:17 5.46429 -4 *345:17 *1427:A 36.9429 -5 *345:17 *1431:A 9.72857 -*END - -*D_NET *346 0.000361573 -*CONN -*I *1436:A I *D sky130_fd_sc_hd__einvp_2 -*I *1434:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1436:A 0.000124432 -2 *1434:X 0.000124432 -3 *1436:A *1440:A 1.55885e-05 -4 *399:DIODE *1436:A 9.71197e-05 -*RES -1 *1434:X *1436:A 20.3857 -*END - -*D_NET *347 0.00136961 -*CONN -*I *1436:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1439:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1438:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1436:Z 0 -2 *1439:A 0.000240102 -3 *1438:Z 0.000105166 -4 *347:10 0.000345268 -5 *1439:A *1436:TE 9.90367e-05 -6 *1439:A *1440:A 0.000114665 -7 *347:10 *1436:TE 3.98368e-05 -8 *347:10 *352:33 0.000182806 -9 *399:DIODE *347:10 6.16145e-05 -10 *1407:A *347:10 0.000181114 -*RES -1 *1438:Z *347:10 21.7107 -2 *347:10 *1439:A 13.5321 -3 *347:10 *1436:Z 9.3 -*END - -*D_NET *348 0.000699131 -*CONN -*I *1435:A I *D sky130_fd_sc_hd__einvp_2 -*I *1439:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1435:A 0.000293739 -2 *1439:Y 0.000293739 -3 *1409:A *1435:A 5.66472e-05 -4 *344:13 *1435:A 5.50052e-05 -*RES -1 *1439:Y *1435:A 32.2071 -*END - -*D_NET *349 0.00609327 -*CONN -*I *1440:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1435:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1437:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1440:A 0.00157642 -2 *1435:Z 0.000243503 -3 *1437:Z 0 -4 *349:5 0.00181992 -5 *1440:A *1436:TE 9.90367e-05 -6 *399:DIODE *1440:A 0.000313017 -7 *1300:B1 *1440:A 9.83388e-05 -8 *1307:A3 *1435:Z 2.39301e-05 -9 *1399:A *1440:A 6.33085e-05 -10 *1400:A *1440:A 0.000265461 -11 *1401:A *1440:A 0.000272242 -12 *1401:TE *1440:A 9.90431e-05 -13 *1401:Z *1440:A 5.52238e-05 -14 *1404:A *1440:A 0.00032823 -15 *1406:A *1440:A 9.90431e-05 -16 *1408:A *1440:A 4.70078e-05 -17 *1409:A *1435:Z 0.000182749 -18 *1436:A *1440:A 1.55885e-05 -19 *1439:A *1440:A 0.000114665 -20 *197:53 *1435:Z 2.00258e-05 -21 *217:22 *1435:Z 1.90936e-05 -22 *226:114 *1440:A 0.000151294 -23 *226:132 *1440:A 0.000186132 -*RES -1 *1437:Z *349:5 13.8 -2 *349:5 *1435:Z 18.1214 -3 *349:5 *1440:A 49.4607 -*END - -*D_NET *350 0.00202215 -*CONN -*I *1437:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1435:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1268:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1437:TE_B 1.17492e-05 -2 *1435:TE 0.000173762 -3 *1268:X 0.000209272 -4 *350:10 0.000394783 -5 *1437:TE_B *352:21 5.50052e-05 -6 *1265:B1 *1435:TE 9.40222e-05 -7 *1268:B1 *350:10 5.52238e-05 -8 *1409:A *1435:TE 0.000185358 -9 *1412:A *1435:TE 2.07809e-05 -10 *1412:A *350:10 4.02704e-05 -11 *1427:A *350:10 6.42095e-05 -12 *15:14 *1435:TE 5.4677e-05 -13 *15:14 *350:10 7.19954e-05 -14 *21:26 *1437:TE_B 5.50052e-05 -15 *321:6 *1435:TE 5.83677e-05 -16 *331:12 *350:10 0.00018077 -17 *344:13 *1435:TE 0.000296895 -*RES -1 *1268:X *350:10 18.05 -2 *350:10 *1435:TE 19.7643 -3 *350:10 *1437:TE_B 14.3357 -*END - -*D_NET *351 0.000918066 -*CONN -*I *1436:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1438:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1307:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1436:TE 0.000139382 -2 *1438:TE_B 0 -3 *1307:X 0.000160914 -4 *351:8 0.000300296 -5 *1439:A *1436:TE 9.90367e-05 -6 *1440:A *1436:TE 9.90367e-05 -7 *197:53 *351:8 2.02794e-05 -8 *226:132 *351:8 4.27842e-06 -9 *344:13 *351:8 5.50052e-05 -10 *347:10 *1436:TE 3.98368e-05 -*RES -1 *1307:X *351:8 16.2821 -2 *351:8 *1438:TE_B 13.8 -3 *351:8 *1436:TE 16.9964 -*END - -*D_NET *352 0.00511952 -*CONN -*I *1438:A I *D sky130_fd_sc_hd__einvn_4 -*I *1434:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1437:A I *D sky130_fd_sc_hd__einvn_8 -*I *1433:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1438:A 1.08791e-05 -2 *1434:A 0.000115848 -3 *1437:A 2.22847e-05 -4 *1433:X 0.000440559 -5 *352:33 0.000311276 -6 *352:21 0.000647393 -7 *402:DIODE *352:21 0.000351476 -8 *1407:A *1434:A 0 -9 *1407:A *1438:A 2.85321e-05 -10 *1407:A *352:21 0.000573504 -11 *1407:A *352:33 0.000567642 -12 *1411:A *1434:A 0 -13 *1427:A *352:21 3.81931e-05 -14 *1429:A *352:21 0.000104698 -15 *1431:TE_B *352:21 9.58632e-05 -16 *1437:TE_B *352:21 5.50052e-05 -17 *13:13 *352:21 5.30847e-05 -18 *16:8 *1434:A 8.18801e-05 -19 *21:26 *1438:A 4.34677e-05 -20 *21:26 *352:21 0.000788262 -21 *21:26 *352:33 0.000598755 -22 *337:9 *352:21 8.10737e-06 -23 *347:10 *352:33 0.000182806 -*RES -1 *1433:X *352:21 33.5321 -2 *352:21 *1437:A 9.72857 -3 *352:21 *352:33 8.48214 -4 *352:33 *1434:A 20.55 -5 *352:33 *1438:A 9.83571 -*END - -*D_NET *353 0.000386004 -*CONN -*I *1443:A I *D sky130_fd_sc_hd__einvp_2 -*I *1441:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1443:A 0.00014292 -2 *1441:X 0.00014292 -3 *1443:A *1443:Z 0 -4 *407:DIODE *1443:A 0.000100165 -5 *22:8 *1443:A 0 -*RES -1 *1441:X *1443:A 30.1893 -*END - -*D_NET *354 0.000591267 -*CONN -*I *1446:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1443:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1445:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1446:A 9.6135e-05 -2 *1443:Z 0.000172019 -3 *1445:Z 0 -4 *354:5 0.000268154 -5 *407:DIODE *1443:Z 5.4959e-05 -6 *411:DIODE *1443:Z 0 -7 *411:DIODE *1446:A 0 -8 *1443:A *1443:Z 0 -*RES -1 *1445:Z *354:5 13.8 -2 *354:5 *1443:Z 16.9964 -3 *354:5 *1446:A 15.4429 -*END - -*D_NET *355 0.000207302 -*CONN -*I *1442:A I *D sky130_fd_sc_hd__einvp_2 -*I *1446:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1442:A 6.32853e-05 -2 *1446:Y 6.32853e-05 -3 *411:DIODE *1442:A 8.07313e-05 -*RES -1 *1446:Y *1442:A 19.9571 -*END - -*D_NET *356 0.00179182 -*CONN -*I *1442:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1447:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1444:Z O *D sky130_fd_sc_hd__einvn_8 -*CAP -1 *1442:Z 0.00047494 -2 *1447:A 0.000127189 -3 *1444:Z 3.0979e-05 -4 *356:7 0.000633109 -5 *1442:Z *1442:TE 0 -6 *356:7 *359:17 2.85321e-05 -7 *407:DIODE *1447:A 9.84229e-05 -8 *411:DIODE *1442:Z 6.05161e-06 -9 *1309:A2 *1447:A 0.000100115 -10 *1400:A *1442:Z 2.14757e-05 -11 *1404:A *1442:Z 0.000100261 -12 *20:9 *1442:Z 9.78086e-05 -13 *20:9 *1447:A 7.29381e-05 -*RES -1 *1444:Z *356:7 14.3357 -2 *356:7 *1447:A 16.9964 -3 *356:7 *1442:Z 21.8179 -*END - -*D_NET *357 0.00510197 -*CONN -*I *1442:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1444:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1270:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1442:TE 0.000653765 -2 *1444:TE_B 0 -3 *1270:X 0.0007167 -4 *357:13 0.00137047 -5 *1442:TE *359:17 0.000313402 -6 *411:DIODE *1442:TE 0 -7 *1261:A *357:13 9.84729e-05 -8 *1405:A *357:13 5.4959e-05 -9 *1408:TE *357:13 0.000225995 -10 *1442:Z *1442:TE 0 -11 *17:7 *357:13 0 -12 *20:9 *1442:TE 0 -13 *21:26 *357:13 0.000308602 -14 *24:31 *357:13 9.84673e-05 -15 *233:27 *357:13 1.38323e-05 -16 *314:9 *1442:TE 0.000310605 -17 *314:9 *357:13 4.04415e-05 -18 *317:29 *1442:TE 9.04963e-05 -19 *317:29 *357:13 0.000211423 -20 *323:15 *357:13 0.000500473 -21 *324:7 *357:13 6.88108e-05 -22 *337:8 *357:13 2.50593e-05 -*RES -1 *1270:X *357:13 34.9964 -2 *357:13 *1444:TE_B 9.3 -3 *357:13 *1442:TE 29.55 -*END - -*D_NET *358 0.00074967 -*CONN -*I *1443:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1445:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1309:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1443:TE 0.00010723 -2 *1445:TE_B 0.000122043 -3 *1309:X 0 -4 *358:4 0.000229273 -5 *407:DIODE *1443:TE 0.000194577 -6 *407:DIODE *1445:TE_B 1.853e-05 -7 *411:DIODE *1445:TE_B 7.80183e-05 -*RES -1 *1309:X *358:4 9.3 -2 *358:4 *1445:TE_B 20.7821 -3 *358:4 *1443:TE 11.8 -*END - -*D_NET *359 0.00206985 -*CONN -*I *1441:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1445:A I *D sky130_fd_sc_hd__einvn_4 -*I *1444:A I *D sky130_fd_sc_hd__einvn_8 -*I *1440:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1441:A 0.000161279 -2 *1445:A 0 -3 *1444:A 0 -4 *1440:X 7.1726e-05 -5 *359:17 0.000725336 -6 *359:8 0.000635783 -7 *1399:A *359:8 0 -8 *1442:TE *359:17 0.000313402 -9 *20:9 *359:8 0.00012511 -10 *314:9 *359:8 8.67814e-06 -11 *356:7 *359:17 2.85321e-05 -*RES -1 *1440:X *359:8 19.9429 -2 *359:8 *1444:A 9.3 -3 *359:8 *359:17 10.5357 -4 *359:17 *1445:A 9.3 -5 *359:17 *1441:A 11.9071 -*END - -*D_NET *360 0.00106159 -*CONN -*I *1450:A I *D sky130_fd_sc_hd__einvp_2 -*I *1448:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1450:A 0.000299039 -2 *1448:X 0.000299039 -3 *1450:A *1451:A 6.42095e-05 -4 *1450:A *365:15 2.14658e-05 -5 *226:85 *1450:A 0.000125724 -6 *226:94 *1450:A 0.000187888 -7 *246:18 *1450:A 6.42242e-05 -*RES -1 *1448:X *1450:A 32.6536 -*END - -*D_NET *361 0.000899444 -*CONN -*I *1450:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1453:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1452:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1450:Z 0.000130398 -2 *1453:A 0.000184659 -3 *1452:Z 0 -4 *361:4 0.000315057 -5 *1450:Z *1450:TE 0.000145404 -6 *1453:A *1449:A 7.94648e-05 -7 *1453:A *1452:A 1.38167e-05 -8 *25:26 *1453:A 0 -9 *246:18 *1453:A 3.06451e-05 -*RES -1 *1452:Z *361:4 9.3 -2 *361:4 *1453:A 21.3 -3 *361:4 *1450:Z 11.8893 -*END - -*D_NET *362 0.00132016 -*CONN -*I *1449:A I *D sky130_fd_sc_hd__einvp_2 -*I *1453:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1449:A 0.000516558 -2 *1453:Y 0.000516558 -3 *1449:A *1449:TE 5.52302e-05 -4 *1380:TE *1449:A 9.71197e-05 -5 *1449:Z *1449:A 5.52302e-05 -6 *1453:A *1449:A 7.94648e-05 -*RES -1 *1453:Y *1449:A 27.7607 -*END - -*D_NET *363 0.00583301 -*CONN -*I *1449:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1451:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1273:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1449:TE 0.000470168 -2 *1451:TE_B 0 -3 *1273:X 0.000862623 -4 *363:17 0.00133279 -5 *1449:TE *1451:A 0.000397548 -6 *1449:TE *365:15 1.32293e-05 -7 *363:17 *365:11 5.49544e-05 -8 *363:17 *365:15 0.000155116 -9 *1255:A *363:17 0.000189267 -10 *1293:A *363:17 8.22514e-05 -11 *1293:C *363:17 4.86074e-05 -12 *1299:B1 *363:17 0.000233458 -13 *1378:A *1449:TE 0 -14 *1380:A *1449:TE 0.000100691 -15 *1449:A *1449:TE 5.52302e-05 -16 *197:66 *363:17 4.73384e-05 -17 *226:85 *1449:TE 0 -18 *226:94 *363:17 4.4545e-05 -19 *226:102 *363:17 0.00028535 -20 *236:5 *363:17 0.00026454 -21 *292:6 *1449:TE 0 -22 *330:21 *363:17 0.0011953 -*RES -1 *1273:X *363:17 32.3357 -2 *363:17 *1451:TE_B 9.3 -3 *363:17 *1449:TE 27.9429 -*END - -*D_NET *364 0.00182094 -*CONN -*I *1450:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1452:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1311:X O *D sky130_fd_sc_hd__a32o_2 -*CAP -1 *1450:TE 0.000558284 -2 *1452:TE_B 0 -3 *1311:X 7.70217e-05 -4 *364:9 0.000635305 -5 *410:DIODE *364:9 0.000154762 -6 *1287:A *1450:TE 0 -7 *1380:A *1450:TE 9.71197e-05 -8 *1450:Z *1450:TE 0.000145404 -9 *301:17 *364:9 0.000153047 -*RES -1 *1311:X *364:9 20.7821 -2 *364:9 *1452:TE_B 9.3 -3 *364:9 *1450:TE 18.5143 -*END - -*D_NET *365 0.00255767 -*CONN -*I *1448:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1451:A I *D sky130_fd_sc_hd__einvn_8 -*I *1452:A I *D sky130_fd_sc_hd__einvn_4 -*I *1447:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1448:A 0 -2 *1451:A 0.000189581 -3 *1452:A 0.000131647 -4 *1447:X 0.000156075 -5 *365:15 0.000401341 -6 *365:11 0.000236189 -7 *1309:A2 *1452:A 0 -8 *1309:A2 *365:11 0.000223288 -9 *1449:TE *1451:A 0.000397548 -10 *1449:TE *365:15 1.32293e-05 -11 *1450:A *1451:A 6.42095e-05 -12 *1450:A *365:15 2.14658e-05 -13 *1453:A *1452:A 1.38167e-05 -14 *226:94 *1452:A 9.15842e-06 -15 *226:94 *365:11 2.37867e-05 -16 *226:94 *365:15 0.000272055 -17 *246:18 *1452:A 0.000194208 -18 *363:17 *365:11 5.49544e-05 -19 *363:17 *365:15 0.000155116 -*RES -1 *1447:X *365:11 21.425 -2 *365:11 *365:15 3.42857 -3 *365:15 *1452:A 21.2821 -4 *365:15 *1451:A 13.9607 -5 *365:11 *1448:A 9.3 -*END - -*D_NET *366 0.000394737 -*CONN -*I *1463:TE_B I *D sky130_fd_sc_hd__einvn_8 -*I *1459:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *1463:TE_B 8.29895e-05 -2 *1459:X 8.29895e-05 -3 *1463:TE_B *1459:A 0.000228758 -*RES -1 *1459:X *1463:TE_B 20.7786 -*END - -*D_NET *367 0.000783488 -*CONN -*I *1462:A I *D sky130_fd_sc_hd__einvp_2 -*I *1460:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1462:A 0.000158777 -2 *1460:X 0.000158777 -3 *419:DIODE *1462:A 5.52302e-05 -4 *1460:A *1462:A 0.000147332 -5 *28:10 *1462:A 0.000101073 -6 *300:14 *1462:A 9.25014e-06 -7 *300:16 *1462:A 0.000153047 -*RES -1 *1460:X *1462:A 31.9036 -*END - -*D_NET *368 0.00203697 -*CONN -*I *1462:Z O *D sky130_fd_sc_hd__einvp_2 -*I *1465:A I *D sky130_fd_sc_hd__clkinv_1 -*I *1464:Z O *D sky130_fd_sc_hd__einvn_4 -*CAP -1 *1462:Z 0.000143953 -2 *1465:A 0.000180113 -3 *1464:Z 3.74908e-05 -4 *368:7 0.000361557 -5 *1462:Z *1462:TE 0.000124521 -6 *1465:A *1459:A 0.000201995 -7 *368:7 *1462:TE 9.00789e-05 -8 *1464:A *1462:Z 0.000114655 -9 *150:13 *1465:A 0.000536787 -10 *226:78 *1465:A 5.26184e-05 -11 *284:33 *1462:Z 9.41642e-05 -12 *284:33 *368:7 9.90367e-05 -*RES -1 *1464:Z *368:7 10.675 -2 *368:7 *1465:A 25.1036 -3 *368:7 *1462:Z 12.7107 -*END - -*D_NET *369 0.000346757 -*CONN -*I *1461:A I *D sky130_fd_sc_hd__einvp_2 -*I *1465:Y O *D sky130_fd_sc_hd__clkinv_1 -*CAP -1 *1461:A 0.000109298 -2 *1465:Y 0.000109298 -3 *28:10 *1461:A 0 -4 *284:8 *1461:A 0.000128161 -*RES -1 *1465:Y *1461:A 29.7786 -*END - -*D_NET *370 0.000840775 -*CONN -*I *1466:A I *D sky130_fd_sc_hd__einvp_1 -*I *1458:HI O *D sky130_fd_sc_hd__conb_1 -*CAP -1 *1466:A 0.000323145 -2 *1458:HI 0.000323145 -3 *1346:RESET_B *1466:A 1.0609e-05 -4 *28:10 *1466:A 0 -5 *284:8 *1466:A 0.000183875 -*RES -1 *1458:HI *1466:A 33.6357 -*END - -*D_NET *371 0.0134696 -*CONN -*I *1466:TE I *D sky130_fd_sc_hd__einvp_1 -*I *1459:A I *D sky130_fd_sc_hd__or2_2 -*I *1317:B I *D sky130_fd_sc_hd__nor2_2 -*I *1318:B I *D sky130_fd_sc_hd__nor2_2 -*I *1320:B I *D sky130_fd_sc_hd__nor2_2 -*I *1323:B I *D sky130_fd_sc_hd__nor2_2 -*I *1322:B I *D sky130_fd_sc_hd__nor2_2 -*I *1321:B I *D sky130_fd_sc_hd__nor2_2 -*I *1316:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *1466:TE 6.34126e-05 -2 *1459:A 0.000449649 -3 *1317:B 0 -4 *1318:B 0.000130127 -5 *1320:B 2.37855e-05 -6 *1323:B 2.24275e-05 -7 *1322:B 1.94084e-05 -8 *1321:B 0.000231364 -9 *1316:X 0.000356773 -10 *371:72 0.00050217 -11 *371:59 0.000215814 -12 *371:56 0.00116982 -13 *371:40 0.00127964 -14 *371:32 0.000404423 -15 *371:24 0.0012726 -16 *371:8 0.00164194 -17 *418:DIODE *1459:A 0.000185118 -18 *1104:B *371:56 0.000223456 -19 *1106:A *1318:B 0.000388789 -20 *1106:A *1466:TE 5.91094e-05 -21 *1106:A *371:56 2.59355e-05 -22 *1107:S *371:8 4.43046e-05 -23 *1222:B1 *371:8 3.07555e-05 -24 *1237:B *371:72 0.000100248 -25 *1320:A *371:40 5.33005e-05 -26 *1321:A *1321:B 0 -27 *1322:A *1322:B 3.08382e-06 -28 *1326:A *1321:B 0 -29 *1326:A *371:8 0 -30 *1326:B *371:8 0.000230475 -31 *1345:D *1459:A 6.93626e-06 -32 *1345:D *371:72 8.91963e-06 -33 *1347:D *371:56 0.000143665 -34 *1347:RESET_B *371:56 0.000483749 -35 *1352:D *1321:B 1.19309e-05 -36 *1352:D *371:8 2.56694e-05 -37 *1352:RESET_B *1321:B 0 -38 *1352:RESET_B *371:8 0 -39 *1362:D *371:56 0.000226867 -40 *1362:RESET_B *371:56 1.21258e-05 -41 *1463:TE_B *1459:A 0.000228758 -42 *1465:A *1459:A 0.000201995 -43 *85:7 *371:56 1.65169e-05 -44 *85:11 *371:56 0.000101601 -45 *85:11 *371:59 8.02298e-05 -46 *85:11 *371:72 2.28343e-05 -47 *150:13 *1459:A 4.98055e-06 -48 *150:13 *371:59 2.53785e-05 -49 *150:13 *371:72 6.94441e-06 -50 *191:8 *1321:B 0 -51 *191:8 *371:8 0 -52 *226:10 *1459:A 0 -53 *226:24 *1459:A 0.000239076 -54 *226:37 *1318:B 0.000341116 -55 *226:37 *1459:A 1.00887e-05 -56 *226:37 *371:72 0.000125542 -57 *226:64 *1459:A 0 -58 *226:78 *1459:A 0.000218045 -59 *249:25 *371:40 9.90367e-05 -60 *249:29 *1323:B 3.08382e-06 -61 *249:29 *371:32 0.000178459 -62 *249:29 *371:40 6.58597e-05 -63 *249:40 *371:24 0.000137183 -64 *249:40 *371:32 7.14951e-05 -65 *253:69 *371:56 0.000295137 -66 *254:84 *371:24 0.000836046 -67 *256:22 *1321:B 1.92905e-05 -68 *257:32 *371:24 9.30626e-05 -*RES -1 *1316:X *371:8 19.4429 -2 *371:8 *1321:B 17.8 -3 *371:8 *371:24 19.5536 -4 *371:24 *1322:B 9.72857 -5 *371:24 *371:32 4.23214 -6 *371:32 *1323:B 9.72857 -7 *371:32 *371:40 3.41071 -8 *371:40 *1320:B 9.72857 -9 *371:40 *371:56 27 -10 *371:56 *371:59 5.53571 -11 *371:59 *1318:B 18.5857 -12 *371:59 *371:72 1.94643 -13 *371:72 *1317:B 13.8 -14 *371:72 *1459:A 26.05 -15 *371:56 *1466:TE 10.675 -*END - -*D_NET *372 0.0134791 -*CONN -*I *1459:B I *D sky130_fd_sc_hd__or2_2 -*I *1461:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1279:X O *D sky130_fd_sc_hd__a21o_2 -*CAP -1 *1459:B 3.74757e-05 -2 *1461:TE 0.000312667 -3 *1279:X 0.00205327 -4 *372:14 0.000725736 -5 *372:13 0.00242886 -6 *410:DIODE *1461:TE 0.000224592 -7 *410:DIODE *372:14 0.0011058 -8 *418:DIODE *1459:B 9.41642e-05 -9 *1201:B1 *1459:B 5.33005e-05 -10 *1201:B1 *1461:TE 3.17148e-05 -11 *1201:B1 *372:14 0.000100831 -12 *1247:A *372:13 0.000135767 -13 *1247:B *372:13 0.000360836 -14 *1248:B *372:13 0.000128581 -15 *1257:B *372:13 0.00018372 -16 *1284:B1 *372:13 2.24079e-05 -17 *1302:A1 *372:13 1.98839e-05 -18 *1302:A2 *372:13 0.000141729 -19 *1302:B1 *372:13 9.80545e-05 -20 *1303:C1 *372:13 5.33005e-05 -21 *26:9 *372:13 1.37252e-05 -22 *30:17 *372:13 0.00196512 -23 *92:67 *372:13 0.000366623 -24 *144:22 *372:13 2.86273e-05 -25 *163:18 *372:13 2.29253e-06 -26 *163:39 *372:13 1.57414e-05 -27 *198:41 *372:13 0.000269623 -28 *205:5 *372:13 3.98517e-05 -29 *206:8 *372:13 5.33005e-05 -30 *211:40 *372:13 0.000835516 -31 *212:55 *372:13 9.55875e-05 -32 *220:12 *372:13 5.79892e-05 -33 *226:7 *1461:TE 0.000184814 -34 *272:10 *1461:TE 0.000202625 -35 *272:10 *372:14 0.000239327 -36 *275:67 *372:13 0.000222666 -37 *301:17 *372:14 0.000572998 -*RES -1 *1279:X *372:13 45.8494 -2 *372:13 *372:14 14.5446 -3 *372:14 *1461:TE 22.1214 -4 *372:14 *1459:B 14.7464 -*END - -*D_NET *373 0.00368142 -*CONN -*I *1464:TE_B I *D sky130_fd_sc_hd__einvn_4 -*I *1462:TE I *D sky130_fd_sc_hd__einvp_2 -*I *1314:X O *D sky130_fd_sc_hd__a22o_2 -*CAP -1 *1464:TE_B 0 -2 *1462:TE 0.000293845 -3 *1314:X 0.000590726 -4 *373:11 0.000884572 -5 *1199:B *1462:TE 0 -6 *1379:TE *373:11 0 -7 *1386:A *373:11 0 -8 *1389:TE_B *373:11 0 -9 *1462:Z *1462:TE 0.000124521 -10 *1464:A *1462:TE 0.000136958 -11 *246:18 *373:11 0.000221634 -12 *272:10 *373:11 0.000595867 -13 *275:15 *373:11 0.000223599 -14 *284:33 *1462:TE 0.000126862 -15 *284:33 *373:11 7.1959e-05 -16 *294:23 *373:11 0.000221634 -17 *301:17 *373:11 9.91655e-05 -18 *368:7 *1462:TE 9.00789e-05 -*RES -1 *1314:X *373:11 32.2821 -2 *373:11 *1462:TE 15.7464 -3 *373:11 *1464:TE_B 9.3 -*END diff --git a/signoff/digital_pll/openlane-signoff/spice.feedback.txt b/signoff/digital_pll/openlane-signoff/spice.feedback.txt deleted file mode 100644 index 46c4d645..00000000 --- a/signoff/digital_pll/openlane-signoff/spice.feedback.txt +++ /dev/null @@ -1,68 +0,0 @@ -box 10304 5967 10333 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10367 5967 10425 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10459 5967 10488 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10948 5967 10977 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 11011 5967 11069 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 11103 5967 11161 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 11195 5967 11201 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10488 5967 10517 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10551 5967 10609 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10643 5967 10701 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10735 5967 10793 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10827 5967 10885 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10919 5967 10948 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10120 5967 10149 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10149 5967 10183 6001 -feedback add "Illegal overlap between obsli1c and locali (types do not connect)" medium -box 10183 5967 10241 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 10275 5967 10304 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 11199 5967 11224 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12420 5967 12449 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12483 5967 12541 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12575 5967 12601 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 11592 5967 11621 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 11621 5967 11655 6001 -feedback add "Illegal overlap between obsli1c and locali (types do not connect)" medium -box 11655 5967 11713 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 11747 5967 11805 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 11839 5967 11897 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 11931 5967 11989 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12023 5967 12081 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12115 5967 12173 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12207 5967 12265 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12299 5967 12357 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12391 5967 12420 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12599 5967 12633 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium -box 12667 5967 12696 6001 -feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium diff --git a/signoff/digital_pll/openlane-signoff/wire-length-sorted.txt b/signoff/digital_pll/openlane-signoff/wire-length-sorted.txt deleted file mode 100644 index e284361c..00000000 --- a/signoff/digital_pll/openlane-signoff/wire-length-sorted.txt +++ /dev/null @@ -1,371 +0,0 @@ -ringosc.dstage\[4\].id.d2 1.38 -ringosc.dstage\[8\].id.d2 1.38 -_003_ 1.575 -_010_ 1.575 -ringosc.dstage\[7\].id.d0 1.72 -_030_ 1.79 -_109_ 1.84 -ringosc.c\[0\] 1.84 -_087_ 2.035 -_138_ 2.035 -_076_ 2.13 -_189_ 2.13 -_203_ 2.155 -_007_ 2.28 -ringosc.iss.ctrl0 2.3 -_042_ 2.375 -_084_ 2.375 -_159_ 2.375 -ringosc.dstage\[1\].id.d2 2.375 -ringosc.iss.d2 2.375 -ringosc.c\[1\] 2.47 -_139_ 2.52 -_145_ 2.52 -_005_ 2.595 -_016_ 2.595 -_185_ 2.715 -_156_ 2.745 -_029_ 2.76 -_146_ 2.76 -_154_ 2.81 -ringosc.dstage\[8\].id.d0 2.835 -_006_ 2.935 -_012_ 2.935 -ringosc.dstage\[11\].id.d2 2.955 -_150_ 3.055 -_204_ 3.055 -_136_ 3.075 -_188_ 3.075 -_022_ 3.15 -_137_ 3.175 -_144_ 3.2 -_020_ 3.275 -_182_ 3.395 -_135_ 3.44 -_019_ 3.66 -_149_ 4.02 -ringosc.dstage\[6\].id.d2 4.14 -_036_ 4.335 -ringosc.dstage\[1\].id.trim\[0\] 4.435 -_049_ 4.51 -_039_ 4.755 -ringosc.iss.d0 4.755 -_026_ 4.895 -_093_ 4.94 -clockp[0] 4.945 -ringosc.dstage\[5\].id.d1 4.97 -_002_ 4.99 -_152_ 5.015 -ringosc.dstage\[7\].id.d2 5.095 -_070_ 5.19 -_134_ 5.19 -_032_ 5.215 -ringosc.dstage\[4\].id.trim\[0\] 5.23 -ringosc.dstage\[10\].id.d0 5.235 -ringosc.dstage\[8\].id.trim\[1\] 5.235 -_078_ 5.26 -_120_ 5.31 -_013_ 5.315 -_004_ 5.34 -_046_ 5.36 -_018_ 5.385 -ringosc.dstage\[8\].id.d1 5.43 -_126_ 5.47 -_041_ 5.475 -ringosc.clockp\[1\] 5.53 -ringosc.dstage\[10\].id.out 5.555 -ringosc.dstage\[1\].id.d1 5.57 -ringosc.dstage\[9\].id.d0 5.595 -_183_ 5.86 -_069_ 5.895 -ringosc.dstage\[0\].id.out 5.935 -ringosc.dstage\[3\].id.d0 5.96 -ringosc.dstage\[2\].id.trim\[1\] 6.015 -ringosc.dstage\[7\].id.trim\[1\] 6.015 -_133_ 6.055 -_190_ 6.115 -_031_ 6.135 -_071_ 6.155 -_143_ 6.165 -_092_ 6.235 -_113_ 6.235 -_207_ 6.235 -_011_ 6.25 -ringosc.dstage\[9\].id.d1 6.295 -_067_ 6.3 -ringosc.dstage\[2\].id.d0 6.32 -_140_ 6.335 -ringosc.dstage\[10\].id.trim\[1\] 6.355 -_028_ 6.38 -_008_ 6.4 -ringosc.dstage\[5\].id.d0 6.475 -_201_ 6.48 -_155_ 6.55 -_187_ 6.6 -_009_ 6.67 -_062_ 6.695 -ringosc.iss.one 6.695 -_082_ 6.935 -_085_ 6.955 -_132_ 6.955 -pll_control.oscbuf\[0\] 6.975 -_015_ 7.015 -_086_ 7.075 -ringosc.dstage\[11\].id.ts 7.15 -ringosc.dstage\[0\].id.d1 7.17 -ringosc.dstage\[5\].id.d2 7.23 -_127_ 7.275 -_202_ 7.315 -ringosc.dstage\[2\].id.d2 7.36 -ringosc.dstage\[3\].id.out 7.395 -_000_ 7.425 -ringosc.dstage\[1\].id.d0 7.435 -_118_ 7.485 -_080_ 7.52 -_164_ 7.71 -_091_ 7.715 -_035_ 7.76 -ringosc.dstage\[3\].id.d1 7.775 -_014_ 7.8 -_054_ 7.815 -_068_ 7.83 -ringosc.dstage\[11\].id.d0 7.855 -ringosc.dstage\[6\].id.trim\[0\] 7.855 -_077_ 7.86 -ringosc.dstage\[3\].id.trim\[0\] 8.195 -ringosc.dstage\[5\].id.trim\[0\] 8.195 -_111_ 8.275 -ringosc.dstage\[4\].id.d0 8.395 -_153_ 8.4 -_200_ 8.525 -_047_ 8.58 -ringosc.dstage\[7\].id.d1 8.595 -_040_ 8.615 -ringosc.dstage\[10\].id.in 8.71 -_090_ 8.895 -ringosc.dstage\[0\].id.d2 8.895 -_088_ 8.955 -_179_ 8.98 -_199_ 8.995 -_197_ 9.27 -_043_ 9.295 -_037_ 9.415 -_116_ 9.44 -ringosc.dstage\[0\].id.d0 9.535 -ringosc.dstage\[10\].id.d2 9.54 -_184_ 9.62 -ringosc.dstage\[11\].id.d1 9.695 -osc 9.88 -_021_ 10.03 -ringosc.dstage\[9\].id.d2 10.12 -ringosc.dstage\[10\].id.d1 10.15 -_064_ 10.175 -_024_ 10.195 -ext_trim[1] 10.205 -ringosc.dstage\[2\].id.out 10.365 -_103_ 10.47 -pll_control.count1\[1\] 10.47 -_048_ 10.535 -_063_ 10.535 -ringosc.dstage\[11\].id.trim\[1\] 10.595 -ringosc.dstage\[4\].id.d1 10.595 -_074_ 10.62 -_081_ 10.77 -ringosc.dstage\[7\].id.trim\[0\] 11.2 -_038_ 11.235 -_079_ 11.255 -_119_ 11.415 -_033_ 11.675 -pll_control.prep\[1\] 11.97 -_089_ 12.04 -_141_ 12.16 -_148_ 12.235 -_027_ 12.3 -_034_ 12.375 -ringosc.dstage\[8\].id.out 12.46 -ringosc.dstage\[9\].id.trim\[1\] 12.475 -_198_ 12.49 -_147_ 12.615 -ringosc.dstage\[6\].id.d1 12.79 -ringosc.iss.d1 13.09 -ringosc.dstage\[4\].id.out 13.175 -_169_ 13.21 -_058_ 13.23 -pll_control.prep\[2\] 13.275 -_075_ 13.34 -_195_ 13.58 -ringosc.dstage\[5\].id.ts 13.61 -_163_ 13.835 -pll_control.oscbuf\[2\] 14.0 -ringosc.dstage\[10\].id.ts 14.065 -ringosc.dstage\[6\].id.out 14.435 -pll_control.prep\[0\] 14.475 -ringosc.dstage\[9\].id.ts 14.855 -_121_ 14.98 -_051_ 15.0 -ringosc.dstage\[1\].id.out 15.085 -ringosc.dstage\[1\].id.ts 15.975 -_073_ 16.05 -ringosc.dstage\[8\].id.ts 16.15 -ringosc.dstage\[2\].id.trim\[0\] 16.19 -_001_ 16.345 -_205_ 16.695 -_165_ 16.85 -_102_ 16.855 -pll_control.count1\[4\] 16.905 -_023_ 16.94 -_065_ 17.045 -ext_trim[4] 17.2 -_066_ 17.615 -_083_ 17.615 -_191_ 17.635 -div[2] 17.77 -ringosc.dstage\[2\].id.d1 17.87 -_061_ 17.96 -pll_control.count1\[3\] 18.075 -_161_ 18.12 -_060_ 18.295 -ringosc.dstage\[0\].id.trim\[0\] 18.575 -ringosc.dstage\[3\].id.d2 18.595 -_017_ 19.03 -_131_ 19.16 -_094_ 19.22 -_104_ 19.35 -ringosc.dstage\[0\].id.ts 19.495 -ringosc.dstage\[3\].id.trim\[1\] 19.675 -_194_ 19.74 -_059_ 19.955 -pll_control.tval\[1\] 20.005 -ringosc.dstage\[5\].id.out 20.135 -_112_ 20.415 -_105_ 20.575 -ringosc.dstage\[6\].id.d0 20.96 -_129_ 21.235 -ringosc.dstage\[4\].id.ts 21.895 -ringosc.iss.trim\[1\] 21.9 -_072_ 22.545 -_115_ 22.765 -pll_control.count0\[0\] 22.92 -ext_trim[5] 22.99 -ext_trim[6] 23.025 -_025_ 23.56 -ext_trim[13] 24.175 -_055_ 24.58 -_192_ 25.075 -_196_ 25.075 -ringosc.dstage\[11\].id.out 25.11 -ext_trim[11] 25.14 -_162_ 25.17 -_056_ 25.19 -_175_ 25.455 -_098_ 26.095 -pll_control.tint\[1\] 26.1 -resetb 26.23 -_125_ 26.635 -pll_control.count1\[0\] 26.655 -pll_control.count1\[2\] 26.73 -_101_ 26.955 -_057_ 27.38 -_142_ 27.95 -_107_ 28.53 -_151_ 28.55 -pll_control.oscbuf\[1\] 28.765 -_206_ 28.935 -ringosc.dstage\[7\].id.ts 29.085 -_170_ 29.215 -_168_ 29.335 -ext_trim[14] 29.56 -ringosc.dstage\[6\].id.trim\[1\] 29.835 -ringosc.dstage\[8\].id.trim\[0\] 30.395 -_130_ 31.19 -enable 31.225 -ext_trim[12] 31.27 -div[4] 31.375 -ringosc.dstage\[6\].id.ts 31.395 -ext_trim[7] 31.88 -ext_trim[23] 32.005 -_174_ 32.32 -ext_trim[0] 32.46 -_106_ 32.685 -_128_ 34.255 -ext_trim[16] 34.355 -ringosc.dstage\[0\].id.trim\[1\] 34.435 -ringosc.dstage\[9\].id.trim\[0\] 35.2 -ext_trim[3] 35.385 -_193_ 35.92 -_171_ 36.215 -_208_ 36.525 -ringosc.dstage\[1\].id.trim\[1\] 37.75 -pll_control.count0\[1\] 37.935 -ext_trim[15] 38.66 -_097_ 39.165 -ext_trim[10] 39.28 -pll_control.tint\[3\] 40.64 -clockp[1] 40.645 -ringosc.dstage\[3\].id.ts 40.72 -pll_control.count0\[4\] 40.905 -div[3] 40.945 -_172_ 42.145 -ext_trim[24] 43.205 -pll_control.tval\[0\] 43.355 -ringosc.dstage\[7\].id.out 43.455 -_117_ 44.33 -ext_trim[22] 44.53 -_114_ 44.94 -div[1] 45.165 -_211_ 45.23 -_177_ 47.705 -ext_trim[17] 48.3 -ringosc.dstage\[4\].id.trim\[1\] 48.675 -_210_ 48.845 -_209_ 52.46 -pll_control.tint\[2\] 53.22 -_166_ 53.24 -_096_ 54.24 -_110_ 54.26 -_108_ 55.58 -_100_ 55.75 -ringosc.dstage\[10\].id.trim\[0\] 56.035 -pll_control.tint\[0\] 57.225 -_181_ 57.475 -pll_control.count0\[2\] 57.585 -div[0] 58.155 -_178_ 59.01 -ringosc.dstage\[5\].id.trim\[1\] 59.565 -ext_trim[25] 59.69 -ext_trim[19] 60.54 -_173_ 60.86 -_176_ 61.095 -_095_ 61.1 -_124_ 61.3 -_052_ 61.485 -ext_trim[20] 61.665 -pll_control.count0\[3\] 63.74 -_180_ 63.96 -_053_ 64.045 -ext_trim[21] 64.325 -_160_ 65.675 -ringosc.dstage\[11\].id.trim\[0\] 66.1 -ext_trim[18] 67.19 -_050_ 70.23 -ringosc.dstage\[2\].id.ts 70.935 -ringosc.iss.trim\[0\] 72.24 -_167_ 75.37 -_123_ 75.84 -pll_control.tint\[4\] 78.825 -ringosc.iss.reset 79.64 -ext_trim[9] 81.41 -ext_trim[2] 83.52 -_157_ 85.145 -_212_ 88.185 -_045_ 88.87 -_099_ 90.52 -_122_ 91.085 -ext_trim[8] 92.66 -_158_ 94.085 -_213_ 110.955 -ringosc.dstage\[0\].id.in 116.96 -_044_ 118.205 -dco 122.445 -_186_ 159.71 -pll_control.clock 191.96 diff --git a/signoff/digital_pll/openlane-signoff/wire-length.txt b/signoff/digital_pll/openlane-signoff/wire-length.txt deleted file mode 100644 index 9be62726..00000000 --- a/signoff/digital_pll/openlane-signoff/wire-length.txt +++ /dev/null @@ -1,371 +0,0 @@ -clockp[0] 4.945 -clockp[1] 40.645 -dco 122.445 -div[0] 58.155 -div[1] 45.165 -div[2] 17.77 -div[3] 40.945 -div[4] 31.375 -enable 31.225 -ext_trim[0] 32.46 -ext_trim[10] 39.28 -ext_trim[11] 25.14 -ext_trim[12] 31.27 -ext_trim[13] 24.175 -ext_trim[14] 29.56 -ext_trim[15] 38.66 -ext_trim[16] 34.355 -ext_trim[17] 48.3 -ext_trim[18] 67.19 -ext_trim[19] 60.54 -ext_trim[1] 10.205 -ext_trim[20] 61.665 -ext_trim[21] 64.325 -ext_trim[22] 44.53 -ext_trim[23] 32.005 -ext_trim[24] 43.205 -ext_trim[25] 59.69 -ext_trim[2] 83.52 -ext_trim[3] 35.385 -ext_trim[4] 17.2 -ext_trim[5] 22.99 -ext_trim[6] 23.025 -ext_trim[7] 31.88 -ext_trim[8] 92.66 -ext_trim[9] 81.41 -osc 9.88 -resetb 26.23 -_000_ 7.425 -_001_ 16.345 -_002_ 4.99 -_003_ 1.575 -_004_ 5.34 -_005_ 2.595 -_006_ 2.935 -_007_ 2.28 -_008_ 6.4 -_009_ 6.67 -_010_ 1.575 -_011_ 6.25 -_012_ 2.935 -_013_ 5.315 -_014_ 7.8 -_015_ 7.015 -_016_ 2.595 -_017_ 19.03 -_018_ 5.385 -_019_ 3.66 -_020_ 3.275 -_021_ 10.03 -_022_ 3.15 -_023_ 16.94 -_024_ 10.195 -_025_ 23.56 -_026_ 4.895 -_027_ 12.3 -_028_ 6.38 -_029_ 2.76 -_030_ 1.79 -_031_ 6.135 -_032_ 5.215 -_033_ 11.675 -_034_ 12.375 -_035_ 7.76 -_036_ 4.335 -_037_ 9.415 -_038_ 11.235 -_039_ 4.755 -_040_ 8.615 -_041_ 5.475 -_042_ 2.375 -_043_ 9.295 -_044_ 118.205 -_045_ 88.87 -_046_ 5.36 -_047_ 8.58 -_048_ 10.535 -_049_ 4.51 -_050_ 70.23 -_051_ 15.0 -_052_ 61.485 -_053_ 64.045 -_054_ 7.815 -_055_ 24.58 -_056_ 25.19 -_057_ 27.38 -_058_ 13.23 -_059_ 19.955 -_060_ 18.295 -_061_ 17.96 -_062_ 6.695 -_063_ 10.535 -_064_ 10.175 -_065_ 17.045 -_066_ 17.615 -_067_ 6.3 -_068_ 7.83 -_069_ 5.895 -_070_ 5.19 -_071_ 6.155 -_072_ 22.545 -_073_ 16.05 -_074_ 10.62 -_075_ 13.34 -_076_ 2.13 -_077_ 7.86 -_078_ 5.26 -_079_ 11.255 -_080_ 7.52 -_081_ 10.77 -_082_ 6.935 -_083_ 17.615 -_084_ 2.375 -_085_ 6.955 -_086_ 7.075 -_087_ 2.035 -_088_ 8.955 -_089_ 12.04 -_090_ 8.895 -_091_ 7.715 -_092_ 6.235 -_093_ 4.94 -_094_ 19.22 -_095_ 61.1 -_096_ 54.24 -_097_ 39.165 -_098_ 26.095 -_099_ 90.52 -_100_ 55.75 -_101_ 26.955 -_102_ 16.855 -_103_ 10.47 -_104_ 19.35 -_105_ 20.575 -_106_ 32.685 -_107_ 28.53 -_108_ 55.58 -_109_ 1.84 -_110_ 54.26 -_111_ 8.275 -_112_ 20.415 -_113_ 6.235 -_114_ 44.94 -_115_ 22.765 -_116_ 9.44 -_117_ 44.33 -_118_ 7.485 -_119_ 11.415 -_120_ 5.31 -_121_ 14.98 -_122_ 91.085 -_123_ 75.84 -_124_ 61.3 -_125_ 26.635 -_126_ 5.47 -_127_ 7.275 -_128_ 34.255 -_129_ 21.235 -_130_ 31.19 -_131_ 19.16 -_132_ 6.955 -_133_ 6.055 -_134_ 5.19 -_135_ 3.44 -_136_ 3.075 -_137_ 3.175 -_138_ 2.035 -_139_ 2.52 -_140_ 6.335 -_141_ 12.16 -_142_ 27.95 -_143_ 6.165 -_144_ 3.2 -_145_ 2.52 -_146_ 2.76 -_147_ 12.615 -_148_ 12.235 -_149_ 4.02 -_150_ 3.055 -_151_ 28.55 -_152_ 5.015 -_153_ 8.4 -_154_ 2.81 -_155_ 6.55 -_156_ 2.745 -_157_ 85.145 -_158_ 94.085 -_159_ 2.375 -_160_ 65.675 -_161_ 18.12 -_162_ 25.17 -_163_ 13.835 -_164_ 7.71 -_165_ 16.85 -_166_ 53.24 -_167_ 75.37 -_168_ 29.335 -_169_ 13.21 -_170_ 29.215 -_171_ 36.215 -_172_ 42.145 -_173_ 60.86 -_174_ 32.32 -_175_ 25.455 -_176_ 61.095 -_177_ 47.705 -_178_ 59.01 -_179_ 8.98 -_180_ 63.96 -_181_ 57.475 -_182_ 3.395 -_183_ 5.86 -_184_ 9.62 -_185_ 2.715 -_186_ 159.71 -_187_ 6.6 -_188_ 3.075 -_189_ 2.13 -_190_ 6.115 -_191_ 17.635 -_192_ 25.075 -_193_ 35.92 -_194_ 19.74 -_195_ 13.58 -_196_ 25.075 -_197_ 9.27 -_198_ 12.49 -_199_ 8.995 -_200_ 8.525 -_201_ 6.48 -_202_ 7.315 -_203_ 2.155 -_204_ 3.055 -_205_ 16.695 -_206_ 28.935 -_207_ 6.235 -_208_ 36.525 -_209_ 52.46 -_210_ 48.845 -_211_ 45.23 -_212_ 88.185 -_213_ 110.955 -pll_control.clock 191.96 -pll_control.count0\[0\] 22.92 -pll_control.count0\[1\] 37.935 -pll_control.count0\[2\] 57.585 -pll_control.count0\[3\] 63.74 -pll_control.count0\[4\] 40.905 -pll_control.count1\[0\] 26.655 -pll_control.count1\[1\] 10.47 -pll_control.count1\[2\] 26.73 -pll_control.count1\[3\] 18.075 -pll_control.count1\[4\] 16.905 -pll_control.oscbuf\[0\] 6.975 -pll_control.oscbuf\[1\] 28.765 -pll_control.oscbuf\[2\] 14.0 -pll_control.prep\[0\] 14.475 -pll_control.prep\[1\] 11.97 -pll_control.prep\[2\] 13.275 -pll_control.tint\[0\] 57.225 -pll_control.tint\[1\] 26.1 -pll_control.tint\[2\] 53.22 -pll_control.tint\[3\] 40.64 -pll_control.tint\[4\] 78.825 -pll_control.tval\[0\] 43.355 -pll_control.tval\[1\] 20.005 -ringosc.c\[0\] 1.84 -ringosc.c\[1\] 2.47 -ringosc.clockp\[1\] 5.53 -ringosc.dstage\[0\].id.d0 9.535 -ringosc.dstage\[0\].id.d1 7.17 -ringosc.dstage\[0\].id.d2 8.895 -ringosc.dstage\[0\].id.in 116.96 -ringosc.dstage\[0\].id.out 5.935 -ringosc.dstage\[0\].id.trim\[0\] 18.575 -ringosc.dstage\[0\].id.trim\[1\] 34.435 -ringosc.dstage\[0\].id.ts 19.495 -ringosc.dstage\[10\].id.d0 5.235 -ringosc.dstage\[10\].id.d1 10.15 -ringosc.dstage\[10\].id.d2 9.54 -ringosc.dstage\[10\].id.in 8.71 -ringosc.dstage\[10\].id.out 5.555 -ringosc.dstage\[10\].id.trim\[0\] 56.035 -ringosc.dstage\[10\].id.trim\[1\] 6.355 -ringosc.dstage\[10\].id.ts 14.065 -ringosc.dstage\[11\].id.d0 7.855 -ringosc.dstage\[11\].id.d1 9.695 -ringosc.dstage\[11\].id.d2 2.955 -ringosc.dstage\[11\].id.out 25.11 -ringosc.dstage\[11\].id.trim\[0\] 66.1 -ringosc.dstage\[11\].id.trim\[1\] 10.595 -ringosc.dstage\[11\].id.ts 7.15 -ringosc.dstage\[1\].id.d0 7.435 -ringosc.dstage\[1\].id.d1 5.57 -ringosc.dstage\[1\].id.d2 2.375 -ringosc.dstage\[1\].id.out 15.085 -ringosc.dstage\[1\].id.trim\[0\] 4.435 -ringosc.dstage\[1\].id.trim\[1\] 37.75 -ringosc.dstage\[1\].id.ts 15.975 -ringosc.dstage\[2\].id.d0 6.32 -ringosc.dstage\[2\].id.d1 17.87 -ringosc.dstage\[2\].id.d2 7.36 -ringosc.dstage\[2\].id.out 10.365 -ringosc.dstage\[2\].id.trim\[0\] 16.19 -ringosc.dstage\[2\].id.trim\[1\] 6.015 -ringosc.dstage\[2\].id.ts 70.935 -ringosc.dstage\[3\].id.d0 5.96 -ringosc.dstage\[3\].id.d1 7.775 -ringosc.dstage\[3\].id.d2 18.595 -ringosc.dstage\[3\].id.out 7.395 -ringosc.dstage\[3\].id.trim\[0\] 8.195 -ringosc.dstage\[3\].id.trim\[1\] 19.675 -ringosc.dstage\[3\].id.ts 40.72 -ringosc.dstage\[4\].id.d0 8.395 -ringosc.dstage\[4\].id.d1 10.595 -ringosc.dstage\[4\].id.d2 1.38 -ringosc.dstage\[4\].id.out 13.175 -ringosc.dstage\[4\].id.trim\[0\] 5.23 -ringosc.dstage\[4\].id.trim\[1\] 48.675 -ringosc.dstage\[4\].id.ts 21.895 -ringosc.dstage\[5\].id.d0 6.475 -ringosc.dstage\[5\].id.d1 4.97 -ringosc.dstage\[5\].id.d2 7.23 -ringosc.dstage\[5\].id.out 20.135 -ringosc.dstage\[5\].id.trim\[0\] 8.195 -ringosc.dstage\[5\].id.trim\[1\] 59.565 -ringosc.dstage\[5\].id.ts 13.61 -ringosc.dstage\[6\].id.d0 20.96 -ringosc.dstage\[6\].id.d1 12.79 -ringosc.dstage\[6\].id.d2 4.14 -ringosc.dstage\[6\].id.out 14.435 -ringosc.dstage\[6\].id.trim\[0\] 7.855 -ringosc.dstage\[6\].id.trim\[1\] 29.835 -ringosc.dstage\[6\].id.ts 31.395 -ringosc.dstage\[7\].id.d0 1.72 -ringosc.dstage\[7\].id.d1 8.595 -ringosc.dstage\[7\].id.d2 5.095 -ringosc.dstage\[7\].id.out 43.455 -ringosc.dstage\[7\].id.trim\[0\] 11.2 -ringosc.dstage\[7\].id.trim\[1\] 6.015 -ringosc.dstage\[7\].id.ts 29.085 -ringosc.dstage\[8\].id.d0 2.835 -ringosc.dstage\[8\].id.d1 5.43 -ringosc.dstage\[8\].id.d2 1.38 -ringosc.dstage\[8\].id.out 12.46 -ringosc.dstage\[8\].id.trim\[0\] 30.395 -ringosc.dstage\[8\].id.trim\[1\] 5.235 -ringosc.dstage\[8\].id.ts 16.15 -ringosc.dstage\[9\].id.d0 5.595 -ringosc.dstage\[9\].id.d1 6.295 -ringosc.dstage\[9\].id.d2 10.12 -ringosc.dstage\[9\].id.trim\[0\] 35.2 -ringosc.dstage\[9\].id.trim\[1\] 12.475 -ringosc.dstage\[9\].id.ts 14.855 -ringosc.iss.ctrl0 2.3 -ringosc.iss.d0 4.755 -ringosc.iss.d1 13.09 -ringosc.iss.d2 2.375 -ringosc.iss.one 6.695 -ringosc.iss.reset 79.64 -ringosc.iss.trim\[0\] 72.24 -ringosc.iss.trim\[1\] 21.9 diff --git a/signoff/digital_pll/signoff.rpt b/signoff/digital_pll/signoff.rpt deleted file mode 100644 index bf672156..00000000 --- a/signoff/digital_pll/signoff.rpt +++ /dev/null @@ -1,11 +0,0 @@ -Klayout MR DRC: Passed -Layout Vs Schematic: Passed -digital_pll-nom-s-sta STA: Passed (max_tran) -digital_pll-min-s-sta STA: Passed (max_tran) -digital_pll-max-t-sta STA: Passed (max_tran) -digital_pll-min-t-sta STA: Passed -digital_pll-min-f-sta STA: Passed -digital_pll-max-f-sta STA: Passed -digital_pll-nom-t-sta STA: Passed -digital_pll-max-s-sta STA: Passed (max_tran) -digital_pll-nom-f-sta STA: Passed diff --git a/signoff/digital_pll/standalone_pvr/digital_pll.lvs.json b/signoff/digital_pll/standalone_pvr/digital_pll.lvs.json deleted file mode 100644 index 34ee5cb5..00000000 --- a/signoff/digital_pll/standalone_pvr/digital_pll.lvs.json +++ /dev/null @@ -1,2498 +0,0 @@ -[ - { - "pins": [ - [ - "1", - "2", - "3", - "4" - ], [ - "1", - "2", - "3", - "4" - ] - ] - }, - { - "pins": [ - [ - "1", - "2", - "3", - "4" - ], [ - "1", - "2", - "3", - "4" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__nor2_2", - "sky130_fd_sc_hd__nor2_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 2], - ["sky130_fd_pr__nfet_01v8", 2 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 2 ], - ["sky130_fd_pr__nfet_01v8", 2 ] - ] - ], - "nets": [ - 8, - 8 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "B", - "VGND", - "VNB", - "A", - "VPB", - "Y" - ], [ - "VPWR", - "B", - "VGND", - "VNB", - "A", - "VPB", - "Y" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__xnor2_2", - "sky130_fd_sc_hd__xnor2_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 5], - ["sky130_fd_pr__nfet_01v8", 5 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 5 ], - ["sky130_fd_pr__nfet_01v8", 5 ] - ] - ], - "nets": [ - 11, - 11 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "Y", - "VPWR", - "B", - "A", - "VPB", - "VNB" - ], [ - "VGND", - "Y", - "VPWR", - "B", - "A", - "VPB", - "VNB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__decap_4", - "sky130_fd_sc_hd__decap_4" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 1], - ["sky130_fd_pr__nfet_01v8", 1 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 1 ], - ["sky130_fd_pr__nfet_01v8", 1 ] - ] - ], - "nets": [ - 4, - 4 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "VNB", - "VPWR", - "VGND" - ], [ - "VPB", - "VNB", - "VPWR", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__a211o_2", - "sky130_fd_sc_hd__a211o_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 5], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 5 ], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ] - ], - "nets": [ - 13, - 13 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "VGND", - "VPB", - "VNB", - "A1", - "B1", - "C1", - "X", - "A2" - ], [ - "VPWR", - "VGND", - "VPB", - "VNB", - "A1", - "B1", - "C1", - "X", - "A2" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__clkinv_1", - "sky130_fd_sc_hd__clkinv_1" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 1], - ["sky130_fd_pr__nfet_01v8", 1 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 1 ], - ["sky130_fd_pr__nfet_01v8", 1 ] - ] - ], - "nets": [ - 6, - 6 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "VPB", - "VGND", - "VNB", - "Y", - "A" - ], [ - "VPWR", - "VPB", - "VGND", - "VNB", - "Y", - "A" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__buf_2", - "sky130_fd_sc_hd__buf_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 2], - ["sky130_fd_pr__nfet_01v8", 2 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 2 ], - ["sky130_fd_pr__nfet_01v8", 2 ] - ] - ], - "nets": [ - 7, - 7 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "X", - "VGND", - "VNB", - "A", - "VPWR", - "VPB" - ], [ - "X", - "VGND", - "VNB", - "A", - "VPWR", - "VPB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o21ai_2", - "sky130_fd_sc_hd__o21ai_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 3], - ["sky130_fd_pr__pfet_01v8_hvt", 3 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 3 ], - ["sky130_fd_pr__pfet_01v8_hvt", 3 ] - ] - ], - "nets": [ - 10, - 10 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "Y", - "VPB", - "VNB", - "A2", - "VGND", - "VPWR", - "B1", - "A1" - ], [ - "Y", - "VPB", - "VNB", - "A2", - "VGND", - "VPWR", - "B1", - "A1" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__decap_3", - "sky130_fd_sc_hd__decap_3" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 1], - ["sky130_fd_pr__nfet_01v8", 1 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 1 ], - ["sky130_fd_pr__nfet_01v8", 1 ] - ] - ], - "nets": [ - 4, - 4 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "VNB", - "VPWR", - "VGND" - ], [ - "VPB", - "VNB", - "VPWR", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o21a_2", - "sky130_fd_sc_hd__o21a_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 4], - ["sky130_fd_pr__nfet_01v8", 4 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 4 ], - ["sky130_fd_pr__nfet_01v8", 4 ] - ] - ], - "nets": [ - 11, - 11 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VNB", - "VPB", - "A2", - "X", - "A1", - "B1", - "VGND", - "VPWR" - ], [ - "VNB", - "VPB", - "A2", - "X", - "A1", - "B1", - "VGND", - "VPWR" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__einvp_2", - "sky130_fd_sc_hd__einvp_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 3], - ["sky130_fd_pr__nfet_01v8", 3 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 3 ], - ["sky130_fd_pr__nfet_01v8", 3 ] - ] - ], - "nets": [ - 10, - 10 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "TE", - "VNB", - "VPWR", - "VGND", - "A", - "Z" - ], [ - "VPB", - "TE", - "VNB", - "VPWR", - "VGND", - "A", - "Z" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__diode_2", - "sky130_fd_sc_hd__diode_2" - ], - "devices": [ - [ - ["sky130_fd_pr__diode_pw2nd_05v5", 1 ] - ], [ - ["sky130_fd_pr__diode_pw2nd_05v5", 1 ] - ] - ], - "nets": [ - 2, - 2 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VNB", - "DIODE", - "VGND", - "VPWR", - "VPB" - ], [ - "VNB", - "DIODE", - "VGND", - "VPWR", - "VPB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__einvn_8", - "sky130_fd_sc_hd__einvn_8" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 3], - ["sky130_fd_pr__nfet_01v8", 3 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 3 ], - ["sky130_fd_pr__nfet_01v8", 3 ] - ] - ], - "nets": [ - 10, - 10 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VNB", - "VPB", - "TE_B", - "VGND", - "Z", - "A", - "VPWR" - ], [ - "VNB", - "VPB", - "TE_B", - "VGND", - "Z", - "A", - "VPWR" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__mux2_2", - "sky130_fd_sc_hd__mux2_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 6], - ["sky130_fd_pr__nfet_01v8", 6 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 6 ], - ["sky130_fd_pr__nfet_01v8", 6 ] - ] - ], - "nets": [ - 14, - 14 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VNB", - "VPB", - "A1", - "A0", - "X", - "VGND", - "VPWR", - "S" - ], [ - "VNB", - "VPB", - "A1", - "A0", - "X", - "VGND", - "VPWR", - "S" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o2111a_2", - "sky130_fd_sc_hd__o2111a_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 6], - ["sky130_fd_pr__nfet_01v8", 6 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 6 ], - ["sky130_fd_pr__nfet_01v8", 6 ] - ] - ], - "nets": [ - 15, - 15 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "C1", - "X", - "B1", - "A2", - "A1", - "D1", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "C1", - "X", - "B1", - "A2", - "A1", - "D1", - "VPWR" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__inv_2", - "sky130_fd_sc_hd__inv_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 1], - ["sky130_fd_pr__nfet_01v8", 1 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 1 ], - ["sky130_fd_pr__nfet_01v8", 1 ] - ] - ], - "nets": [ - 6, - 6 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "VNB", - "VPWR", - "VPB", - "Y", - "A" - ], [ - "VGND", - "VNB", - "VPWR", - "VPB", - "Y", - "A" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__a21o_2", - "sky130_fd_sc_hd__a21o_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 4], - ["sky130_fd_pr__nfet_01v8", 4 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 4 ], - ["sky130_fd_pr__nfet_01v8", 4 ] - ] - ], - "nets": [ - 11, - 11 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VNB", - "VPB", - "A1", - "X", - "B1", - "A2", - "VPWR", - "VGND" - ], [ - "VNB", - "VPB", - "A1", - "X", - "B1", - "A2", - "VPWR", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__nand2_2", - "sky130_fd_sc_hd__nand2_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 2], - ["sky130_fd_pr__nfet_01v8", 2 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 2 ], - ["sky130_fd_pr__nfet_01v8", 2 ] - ] - ], - "nets": [ - 8, - 8 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "Y", - "A", - "VNB", - "B", - "VPWR", - "VPB" - ], [ - "VGND", - "Y", - "A", - "VNB", - "B", - "VPWR", - "VPB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__einvn_4", - "sky130_fd_sc_hd__einvn_4" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 3], - ["sky130_fd_pr__pfet_01v8_hvt", 3 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 3 ], - ["sky130_fd_pr__pfet_01v8_hvt", 3 ] - ] - ], - "nets": [ - 10, - 10 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VNB", - "VPB", - "TE_B", - "Z", - "A", - "VGND", - "VPWR" - ], [ - "VNB", - "VPB", - "TE_B", - "Z", - "A", - "VGND", - "VPWR" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o31a_2", - "sky130_fd_sc_hd__o31a_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 5], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 5 ], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ] - ], - "nets": [ - 13, - 13 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "VGND", - "A3", - "X", - "B1", - "A1", - "A2", - "VPB", - "VNB" - ], [ - "VPWR", - "VGND", - "A3", - "X", - "B1", - "A1", - "A2", - "VPB", - "VNB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o211a_2", - "sky130_fd_sc_hd__o211a_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 5], - ["sky130_fd_pr__nfet_01v8", 5 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 5 ], - ["sky130_fd_pr__nfet_01v8", 5 ] - ] - ], - "nets": [ - 13, - 13 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "VPB", - "VNB", - "VPWR", - "B1", - "C1", - "X", - "A2", - "A1" - ], [ - "VGND", - "VPB", - "VNB", - "VPWR", - "B1", - "C1", - "X", - "A2", - "A1" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__decap_6", - "sky130_fd_sc_hd__decap_6" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 1], - ["sky130_fd_pr__nfet_01v8", 1 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 1 ], - ["sky130_fd_pr__nfet_01v8", 1 ] - ] - ], - "nets": [ - 4, - 4 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "VNB", - "VPWR", - "VGND" - ], [ - "VPB", - "VNB", - "VPWR", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__and2_2", - "sky130_fd_sc_hd__and2_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 3], - ["sky130_fd_pr__nfet_01v8", 3 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 3 ], - ["sky130_fd_pr__nfet_01v8", 3 ] - ] - ], - "nets": [ - 9, - 9 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "VPB", - "VNB", - "X", - "A", - "B", - "VGND" - ], [ - "VPWR", - "VPB", - "VNB", - "X", - "A", - "B", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__clkbuf_2", - "sky130_fd_sc_hd__clkbuf_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 2], - ["sky130_fd_pr__nfet_01v8", 2 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 2 ], - ["sky130_fd_pr__nfet_01v8", 2 ] - ] - ], - "nets": [ - 7, - 7 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "A", - "VPWR", - "VPB", - "VGND", - "VNB", - "X" - ], [ - "A", - "VPWR", - "VPB", - "VGND", - "VNB", - "X" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__and3b_2", - "sky130_fd_sc_hd__and3b_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 5], - ["sky130_fd_pr__nfet_01v8", 5 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 5 ], - ["sky130_fd_pr__nfet_01v8", 5 ] - ] - ], - "nets": [ - 12, - 12 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "VNB", - "VPWR", - "VPB", - "C", - "X", - "B", - "A_N" - ], [ - "VGND", - "VNB", - "VPWR", - "VPB", - "C", - "X", - "B", - "A_N" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o22a_2", - "sky130_fd_sc_hd__o22a_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 5], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 5 ], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ] - ], - "nets": [ - 13, - 13 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "VGND", - "VPB", - "VNB", - "X", - "B2", - "B1", - "A2", - "A1" - ], [ - "VPWR", - "VGND", - "VPB", - "VNB", - "X", - "B2", - "B1", - "A2", - "A1" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__nand3b_2", - "sky130_fd_sc_hd__nand3b_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 4], - ["sky130_fd_pr__pfet_01v8_hvt", 4 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 4 ], - ["sky130_fd_pr__pfet_01v8_hvt", 4 ] - ] - ], - "nets": [ - 11, - 11 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "Y", - "VPB", - "VNB", - "C", - "B", - "VGND", - "A_N" - ], [ - "VPWR", - "Y", - "VPB", - "VNB", - "C", - "B", - "VGND", - "A_N" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__clkbuf_1", - "sky130_fd_sc_hd__clkbuf_1" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 2], - ["sky130_fd_pr__nfet_01v8", 2 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 2 ], - ["sky130_fd_pr__nfet_01v8", 2 ] - ] - ], - "nets": [ - 7, - 7 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "A", - "VNB", - "X", - "VPWR", - "VPB" - ], [ - "VGND", - "A", - "VNB", - "X", - "VPWR", - "VPB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__nand4b_2", - "sky130_fd_sc_hd__nand4b_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 5], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 5 ], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ] - ], - "nets": [ - 13, - 13 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "C", - "D", - "B", - "A_N", - "VGND", - "VPWR", - "Y", - "VPB", - "VNB" - ], [ - "C", - "D", - "B", - "A_N", - "VGND", - "VPWR", - "Y", - "VPB", - "VNB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__nand3_2", - "sky130_fd_sc_hd__nand3_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 3], - ["sky130_fd_pr__pfet_01v8_hvt", 3 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 3 ], - ["sky130_fd_pr__pfet_01v8_hvt", 3 ] - ] - ], - "nets": [ - 10, - 10 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "Y", - "VNB", - "VPWR", - "VPB", - "C", - "B", - "A", - "VGND" - ], [ - "Y", - "VNB", - "VPWR", - "VPB", - "C", - "B", - "A", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__a22o_2", - "sky130_fd_sc_hd__a22o_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 5], - ["sky130_fd_pr__nfet_01v8", 5 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 5 ], - ["sky130_fd_pr__nfet_01v8", 5 ] - ] - ], - "nets": [ - 13, - 13 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "VNB", - "X", - "A2", - "B1", - "A1", - "B2", - "VPWR", - "VGND" - ], [ - "VPB", - "VNB", - "X", - "A2", - "B1", - "A1", - "B2", - "VPWR", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__xor2_2", - "sky130_fd_sc_hd__xor2_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 5], - ["sky130_fd_pr__nfet_01v8", 5 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 5 ], - ["sky130_fd_pr__nfet_01v8", 5 ] - ] - ], - "nets": [ - 11, - 11 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "VNB", - "VPWR", - "X", - "A", - "B", - "VGND" - ], [ - "VPB", - "VNB", - "VPWR", - "X", - "A", - "B", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__nand2b_2", - "sky130_fd_sc_hd__nand2b_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 3], - ["sky130_fd_pr__nfet_01v8", 3 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 3 ], - ["sky130_fd_pr__nfet_01v8", 3 ] - ] - ], - "nets": [ - 9, - 9 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "B", - "VGND", - "A_N", - "VNB", - "VPWR", - "Y", - "VPB" - ], [ - "B", - "VGND", - "A_N", - "VNB", - "VPWR", - "Y", - "VPB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__a21boi_2", - "sky130_fd_sc_hd__a21boi_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 6], - ["sky130_fd_pr__pfet_01v8_hvt", 4 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 6 ], - ["sky130_fd_pr__pfet_01v8_hvt", 4 ] - ] - ], - "nets": [ - 12, - 12 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "B1_N", - "VPWR", - "A1", - "A2", - "VNB", - "Y", - "VGND", - "VPB" - ], [ - "B1_N", - "VPWR", - "A1", - "A2", - "VNB", - "Y", - "VGND", - "VPB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__a32o_2", - "sky130_fd_sc_hd__a32o_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 6], - ["sky130_fd_pr__nfet_01v8", 6 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 6 ], - ["sky130_fd_pr__nfet_01v8", 6 ] - ] - ], - "nets": [ - 15, - 15 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "A2", - "B2", - "A1", - "B1", - "A3", - "X", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "A2", - "B2", - "A1", - "B1", - "A3", - "X", - "VPWR" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__dfrtp_2", - "sky130_fd_sc_hd__dfrtp_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 14], - ["sky130_fd_pr__pfet_01v8_hvt", 14 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 14 ], - ["sky130_fd_pr__pfet_01v8_hvt", 14 ] - ] - ], - "nets": [ - 21, - 21 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "RESET_B", - "VPWR", - "VPB", - "VNB", - "VGND", - "Q", - "D", - "CLK" - ], [ - "RESET_B", - "VPWR", - "VPB", - "VNB", - "VGND", - "Q", - "D", - "CLK" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o2bb2a_2", - "sky130_fd_sc_hd__o2bb2a_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 6], - ["sky130_fd_pr__pfet_01v8_hvt", 6 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 6 ], - ["sky130_fd_pr__pfet_01v8_hvt", 6 ] - ] - ], - "nets": [ - 14, - 14 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "VPB", - "VNB", - "VGND", - "A1_N", - "A2_N", - "X", - "B2", - "B1" - ], [ - "VPWR", - "VPB", - "VNB", - "VGND", - "A1_N", - "A2_N", - "X", - "B2", - "B1" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__einvp_1", - "sky130_fd_sc_hd__einvp_1" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 3], - ["sky130_fd_pr__nfet_01v8", 3 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 3 ], - ["sky130_fd_pr__nfet_01v8", 3 ] - ] - ], - "nets": [ - 10, - 10 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "TE", - "VNB", - "A", - "Z", - "VGND", - "VPWR" - ], [ - "VPB", - "TE", - "VNB", - "A", - "Z", - "VGND", - "VPWR" - ] - ] - }, - { - "name": [ - "sky130_ef_sc_hd__decap_12", - "sky130_ef_sc_hd__decap_12" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 1], - ["sky130_fd_pr__nfet_01v8", 1 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 1 ], - ["sky130_fd_pr__nfet_01v8", 1 ] - ] - ], - "nets": [ - 4, - 4 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "VNB", - "VPWR", - "VGND" - ], [ - "VPB", - "VNB", - "VPWR", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__a21oi_2", - "sky130_fd_sc_hd__a21oi_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 5], - ["sky130_fd_pr__pfet_01v8_hvt", 3 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 5 ], - ["sky130_fd_pr__pfet_01v8_hvt", 3 ] - ] - ], - "nets": [ - 11, - 11 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "Y", - "VNB", - "VPWR", - "B1", - "VGND", - "A2", - "A1", - "VPB" - ], [ - "Y", - "VNB", - "VPWR", - "B1", - "VGND", - "A2", - "A1", - "VPB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__and4b_2", - "sky130_fd_sc_hd__and4b_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 6], - ["sky130_fd_pr__nfet_01v8", 6 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 6 ], - ["sky130_fd_pr__nfet_01v8", 6 ] - ] - ], - "nets": [ - 14, - 14 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "X", - "D", - "A_N", - "C", - "B", - "VPWR", - "VPB", - "VNB" - ], [ - "VGND", - "X", - "D", - "A_N", - "C", - "B", - "VPWR", - "VPB", - "VNB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o221a_2", - "sky130_fd_sc_hd__o221a_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 6], - ["sky130_fd_pr__nfet_01v8", 6 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 6 ], - ["sky130_fd_pr__nfet_01v8", 6 ] - ] - ], - "nets": [ - 15, - 15 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "X", - "C1", - "A2", - "B2", - "B1", - "A1", - "VNB", - "VPB", - "VPWR" - ], [ - "VGND", - "X", - "C1", - "A2", - "B2", - "B1", - "A1", - "VNB", - "VPB", - "VPWR" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__and3_2", - "sky130_fd_sc_hd__and3_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 4], - ["sky130_fd_pr__nfet_01v8", 4 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 4 ], - ["sky130_fd_pr__nfet_01v8", 4 ] - ] - ], - "nets": [ - 11, - 11 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "X", - "VGND", - "A", - "C", - "B", - "VNB", - "VPWR", - "VPB" - ], [ - "X", - "VGND", - "A", - "C", - "B", - "VNB", - "VPWR", - "VPB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__and2b_2", - "sky130_fd_sc_hd__and2b_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 4], - ["sky130_fd_pr__nfet_01v8", 4 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 4 ], - ["sky130_fd_pr__nfet_01v8", 4 ] - ] - ], - "nets": [ - 10, - 10 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "X", - "B", - "A_N", - "VPWR", - "VPB", - "VNB" - ], [ - "VGND", - "X", - "B", - "A_N", - "VPWR", - "VPB", - "VNB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o21ba_2", - "sky130_fd_sc_hd__o21ba_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 5], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 5 ], - ["sky130_fd_pr__pfet_01v8_hvt", 5 ] - ] - ], - "nets": [ - 12, - 12 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VNB", - "VPB", - "X", - "A2", - "A1", - "B1_N", - "VGND", - "VPWR" - ], [ - "VNB", - "VPB", - "X", - "A2", - "A1", - "B1_N", - "VGND", - "VPWR" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__o32a_2", - "sky130_fd_sc_hd__o32a_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 6], - ["sky130_fd_pr__nfet_01v8", 6 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 6 ], - ["sky130_fd_pr__nfet_01v8", 6 ] - ] - ], - "nets": [ - 15, - 15 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "VGND", - "VPB", - "VNB", - "A3", - "B2", - "B1", - "A1", - "X", - "A2" - ], [ - "VPWR", - "VGND", - "VPB", - "VNB", - "A3", - "B2", - "B1", - "A1", - "X", - "A2" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__clkbuf_16", - "sky130_fd_sc_hd__clkbuf_16" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 2], - ["sky130_fd_pr__nfet_01v8", 2 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 2 ], - ["sky130_fd_pr__nfet_01v8", 2 ] - ] - ], - "nets": [ - 7, - 7 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "X", - "VPB", - "VGND", - "VNB", - "A" - ], [ - "VPWR", - "X", - "VPB", - "VGND", - "VNB", - "A" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__decap_8", - "sky130_fd_sc_hd__decap_8" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 1], - ["sky130_fd_pr__nfet_01v8", 1 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 1 ], - ["sky130_fd_pr__nfet_01v8", 1 ] - ] - ], - "nets": [ - 4, - 4 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "VNB", - "VPWR", - "VGND" - ], [ - "VPB", - "VNB", - "VPWR", - "VGND" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__a31o_2", - "sky130_fd_sc_hd__a31o_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 5], - ["sky130_fd_pr__nfet_01v8", 5 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 5 ], - ["sky130_fd_pr__nfet_01v8", 5 ] - ] - ], - "nets": [ - 13, - 13 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "VNB", - "VPB", - "A2", - "A1", - "B1", - "A3", - "X", - "VPWR" - ], [ - "VGND", - "VNB", - "VPB", - "A2", - "A1", - "B1", - "A3", - "X", - "VPWR" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__clkinv_2", - "sky130_fd_sc_hd__clkinv_2" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 1], - ["sky130_fd_pr__pfet_01v8_hvt", 1 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 1 ], - ["sky130_fd_pr__pfet_01v8_hvt", 1 ] - ] - ], - "nets": [ - 6, - 6 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "VPB", - "VGND", - "VNB", - "Y", - "A" - ], [ - "VPWR", - "VPB", - "VGND", - "VNB", - "Y", - "A" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__clkinv_8", - "sky130_fd_sc_hd__clkinv_8" - ], - "devices": [ - [ - ["sky130_fd_pr__nfet_01v8", 1], - ["sky130_fd_pr__pfet_01v8_hvt", 1 ] - ], [ - ["sky130_fd_pr__nfet_01v8", 1 ], - ["sky130_fd_pr__pfet_01v8_hvt", 1 ] - ] - ], - "nets": [ - 6, - 6 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPWR", - "VPB", - "VGND", - "VNB", - "Y", - "A" - ], [ - "VPWR", - "VPB", - "VGND", - "VNB", - "Y", - "A" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__conb_1", - "sky130_fd_sc_hd__conb_1" - ], - "devices": [ - [ - ["sky130_fd_pr__res_generic_po", 2 ] - ], [ - ["sky130_fd_pr__res_generic_po", 2 ] - ] - ], - "nets": [ - 4, - 4 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "LO", - "HI", - "VPWR", - "VPB", - "VNB" - ], [ - "VGND", - "LO", - "HI", - "VPWR", - "VPB", - "VNB" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__or2_2", - "sky130_fd_sc_hd__or2_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 3], - ["sky130_fd_pr__nfet_01v8", 3 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 3 ], - ["sky130_fd_pr__nfet_01v8", 3 ] - ] - ], - "nets": [ - 9, - 9 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VPB", - "VGND", - "VNB", - "B", - "X", - "VPWR", - "A" - ], [ - "VPB", - "VGND", - "VNB", - "B", - "X", - "VPWR", - "A" - ] - ] - }, - { - "name": [ - "sky130_fd_sc_hd__nand4_2", - "sky130_fd_sc_hd__nand4_2" - ], - "devices": [ - [ - ["sky130_fd_pr__pfet_01v8_hvt", 4], - ["sky130_fd_pr__nfet_01v8", 4 ] - ], [ - ["sky130_fd_pr__pfet_01v8_hvt", 4 ], - ["sky130_fd_pr__nfet_01v8", 4 ] - ] - ], - "nets": [ - 12, - 12 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "VGND", - "Y", - "B", - "C", - "A", - "D", - "VPWR", - "VPB", - "VNB" - ], [ - "VGND", - "Y", - "B", - "C", - "A", - "D", - "VPWR", - "VPB", - "VNB" - ] - ] - }, - { - "name": [ - "digital_pll", - "digital_pll" - ], - "devices": [ - [ - ["sky130_fd_sc_hd__nor2_2", 42], - ["sky130_fd_sc_hd__xnor2_2", 11], - ["sky130_fd_sc_hd__decap_4", 1], - ["sky130_fd_sc_hd__a211o_2", 3], - ["sky130_fd_sc_hd__clkinv_1", 13], - ["sky130_fd_sc_hd__buf_2", 32], - ["sky130_fd_sc_hd__o21ai_2", 6], - ["sky130_fd_sc_hd__decap_3", 1], - ["sky130_fd_sc_hd__o21a_2", 5], - ["sky130_fd_sc_hd__einvp_2", 26], - ["sky130_fd_sc_hd__diode_2", 37], - ["sky130_fd_sc_hd__einvn_8", 13], - ["sky130_fd_sc_hd__mux2_2", 11], - ["sky130_fd_sc_hd__o2111a_2", 2], - ["sky130_fd_sc_hd__inv_2", 13], - ["sky130_fd_sc_hd__a21o_2", 14], - ["sky130_fd_sc_hd__nand2_2", 20], - ["sky130_fd_sc_hd__einvn_4", 13], - ["sky130_fd_sc_hd__o31a_2", 4], - ["sky130_fd_sc_hd__o211a_2", 7], - ["sky130_fd_sc_hd__decap_6", 1], - ["sky130_fd_sc_hd__and2_2", 14], - ["sky130_fd_sc_hd__clkbuf_2", 12], - ["sky130_fd_sc_hd__and3b_2", 2], - ["sky130_fd_sc_hd__o22a_2", 4], - ["sky130_fd_sc_hd__nand3b_2", 2], - ["sky130_fd_sc_hd__clkbuf_1", 13], - ["sky130_fd_sc_hd__nand4b_2", 1], - ["sky130_fd_sc_hd__nand3_2", 3], - ["sky130_fd_sc_hd__a22o_2", 7], - ["sky130_fd_sc_hd__xor2_2", 4], - ["sky130_fd_sc_hd__nand2b_2", 7], - ["sky130_fd_sc_hd__a21boi_2", 1], - ["sky130_fd_sc_hd__a32o_2", 6], - ["sky130_fd_sc_hd__dfrtp_2", 23], - ["sky130_fd_sc_hd__o2bb2a_2", 1], - ["sky130_fd_sc_hd__einvp_1", 1], - ["sky130_ef_sc_hd__decap_12", 1], - ["sky130_fd_sc_hd__a21oi_2", 5], - ["sky130_fd_sc_hd__and4b_2", 2], - ["sky130_fd_sc_hd__o221a_2", 1], - ["sky130_fd_sc_hd__and3_2", 6], - ["sky130_fd_sc_hd__and2b_2", 1], - ["sky130_fd_sc_hd__o21ba_2", 1], - ["sky130_fd_sc_hd__o32a_2", 1], - ["sky130_fd_sc_hd__clkbuf_16", 2], - ["sky130_fd_sc_hd__decap_8", 1], - ["sky130_fd_sc_hd__a31o_2", 1], - ["sky130_fd_sc_hd__clkinv_2", 2], - ["sky130_fd_sc_hd__clkinv_8", 2], - ["sky130_fd_sc_hd__conb_1", 1], - ["sky130_fd_sc_hd__or2_2", 1], - ["sky130_fd_sc_hd__nand4_2", 1 ] - ], [ - ["sky130_fd_sc_hd__nor2_2", 42 ], - ["sky130_fd_sc_hd__xnor2_2", 11 ], - ["sky130_fd_sc_hd__decap_4", 1 ], - ["sky130_fd_sc_hd__a211o_2", 3 ], - ["sky130_fd_sc_hd__clkinv_1", 13 ], - ["sky130_fd_sc_hd__buf_2", 32 ], - ["sky130_fd_sc_hd__o21ai_2", 6 ], - ["sky130_fd_sc_hd__decap_3", 1 ], - ["sky130_fd_sc_hd__o21a_2", 5 ], - ["sky130_fd_sc_hd__einvp_2", 26 ], - ["sky130_fd_sc_hd__diode_2", 37 ], - ["sky130_fd_sc_hd__einvn_8", 13 ], - ["sky130_fd_sc_hd__mux2_2", 11 ], - ["sky130_fd_sc_hd__o2111a_2", 2 ], - ["sky130_fd_sc_hd__inv_2", 13 ], - ["sky130_fd_sc_hd__a21o_2", 14 ], - ["sky130_fd_sc_hd__nand2_2", 20 ], - ["sky130_fd_sc_hd__einvn_4", 13 ], - ["sky130_fd_sc_hd__o31a_2", 4 ], - ["sky130_fd_sc_hd__o211a_2", 7 ], - ["sky130_fd_sc_hd__decap_6", 1 ], - ["sky130_fd_sc_hd__and2_2", 14 ], - ["sky130_fd_sc_hd__clkbuf_2", 12 ], - ["sky130_fd_sc_hd__and3b_2", 2 ], - ["sky130_fd_sc_hd__o22a_2", 4 ], - ["sky130_fd_sc_hd__nand3b_2", 2 ], - ["sky130_fd_sc_hd__clkbuf_1", 13 ], - ["sky130_fd_sc_hd__nand4b_2", 1 ], - ["sky130_fd_sc_hd__nand3_2", 3 ], - ["sky130_fd_sc_hd__a22o_2", 7 ], - ["sky130_fd_sc_hd__xor2_2", 4 ], - ["sky130_fd_sc_hd__nand2b_2", 7 ], - ["sky130_fd_sc_hd__a21boi_2", 1 ], - ["sky130_fd_sc_hd__a32o_2", 6 ], - ["sky130_fd_sc_hd__dfrtp_2", 23 ], - ["sky130_fd_sc_hd__o2bb2a_2", 1 ], - ["sky130_fd_sc_hd__einvp_1", 1 ], - ["sky130_ef_sc_hd__decap_12", 1 ], - ["sky130_fd_sc_hd__a21oi_2", 5 ], - ["sky130_fd_sc_hd__and4b_2", 2 ], - ["sky130_fd_sc_hd__o221a_2", 1 ], - ["sky130_fd_sc_hd__and3_2", 6 ], - ["sky130_fd_sc_hd__and2b_2", 1 ], - ["sky130_fd_sc_hd__o21ba_2", 1 ], - ["sky130_fd_sc_hd__o32a_2", 1 ], - ["sky130_fd_sc_hd__clkbuf_16", 2 ], - ["sky130_fd_sc_hd__decap_8", 1 ], - ["sky130_fd_sc_hd__a31o_2", 1 ], - ["sky130_fd_sc_hd__clkinv_2", 2 ], - ["sky130_fd_sc_hd__clkinv_8", 2 ], - ["sky130_fd_sc_hd__conb_1", 1 ], - ["sky130_fd_sc_hd__or2_2", 1 ], - ["sky130_fd_sc_hd__nand4_2", 1 ] - ] - ], - "nets": [ - 374, - 374 - ], - "badnets": [ - ], - "badelements": [ - ], - "pins": [ - [ - "clockp[0]", - "clockp[1]", - "div[3]", - "div[1]", - "div[0]", - "div[4]", - "VGND", - "VPWR", - "dco", - "div[2]", - "ext_trim[0]", - "ext_trim[1]", - "ext_trim[2]", - "ext_trim[3]", - "ext_trim[5]", - "ext_trim[4]", - "ext_trim[6]", - "ext_trim[8]", - "ext_trim[10]", - "ext_trim[11]", - "ext_trim[7]", - "ext_trim[12]", - "ext_trim[14]", - "ext_trim[9]", - "ext_trim[15]", - "ext_trim[17]", - "ext_trim[18]", - "ext_trim[19]", - "ext_trim[20]", - "ext_trim[21]", - "ext_trim[13]", - "ext_trim[22]", - "ext_trim[16]", - "ext_trim[23]", - "ext_trim[24]", - "ext_trim[25]", - "enable", - "resetb", - "osc" - ], [ - "clockp[0]", - "clockp[1]", - "div[3]", - "div[1]", - "div[0]", - "div[4]", - "VGND", - "VPWR", - "dco", - "div[2]", - "ext_trim[0]", - "ext_trim[1]", - "ext_trim[2]", - "ext_trim[3]", - "ext_trim[5]", - "ext_trim[4]", - "ext_trim[6]", - "ext_trim[8]", - "ext_trim[10]", - "ext_trim[11]", - "ext_trim[7]", - "ext_trim[12]", - "ext_trim[14]", - "ext_trim[9]", - "ext_trim[15]", - "ext_trim[17]", - "ext_trim[18]", - "ext_trim[19]", - "ext_trim[20]", - "ext_trim[21]", - "ext_trim[13]", - "ext_trim[22]", - "ext_trim[16]", - "ext_trim[23]", - "ext_trim[24]", - "ext_trim[25]", - "enable", - "resetb", - "osc" - ] - ] - } -] diff --git a/signoff/digital_pll/standalone_pvr/digital_pll.lvs.report b/signoff/digital_pll/standalone_pvr/digital_pll.lvs.report deleted file mode 100644 index 61d20f11..00000000 --- a/signoff/digital_pll/standalone_pvr/digital_pll.lvs.report +++ /dev/null @@ -1,1530 +0,0 @@ - -Circuit 1 cell sky130_fd_pr__pfet_01v8_hvt and Circuit 2 cell sky130_fd_pr__pfet_01v8_hvt are black boxes. - -Subcircuit pins: -Circuit 1: sky130_fd_pr__pfet_01v8_hvt |Circuit 2: sky130_fd_pr__pfet_01v8_hvt --------------------------------------------|------------------------------------------- -1 |1 -2 |2 -3 |3 -4 |4 ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_pr__pfet_01v8_hvt and sky130_fd_pr__pfet_01v8_hvt are equivalent. - -Circuit 1 cell sky130_fd_pr__nfet_01v8 and Circuit 2 cell sky130_fd_pr__nfet_01v8 are black boxes. - -Subcircuit pins: -Circuit 1: sky130_fd_pr__nfet_01v8 |Circuit 2: sky130_fd_pr__nfet_01v8 --------------------------------------------|------------------------------------------- -1 |1 -2 |2 -3 |3 -4 |4 ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_pr__nfet_01v8 and sky130_fd_pr__nfet_01v8 are equivalent. - -Class sky130_fd_sc_hd__nor2_2 (0): Merged 4 parallel devices. -Class sky130_fd_sc_hd__nor2_2 (1): Merged 4 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__nor2_2 |Circuit 2: sky130_fd_sc_hd__nor2_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (4->2) |sky130_fd_pr__pfet_01v8_hvt (4->2) -sky130_fd_pr__nfet_01v8 (4->2) |sky130_fd_pr__nfet_01v8 (4->2) -Number of devices: 4 |Number of devices: 4 -Number of nets: 8 |Number of nets: 8 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nor2_2 |Circuit 2: sky130_fd_sc_hd__nor2_2 --------------------------------------------|------------------------------------------- -VPWR |VPWR -B |B -VGND |VGND -VNB |VNB -A |A -VPB |VPB -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nor2_2 and sky130_fd_sc_hd__nor2_2 are equivalent. - -Class sky130_fd_sc_hd__xnor2_2 (0): Merged 10 parallel devices. -Class sky130_fd_sc_hd__xnor2_2 (1): Merged 10 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__xnor2_2 |Circuit 2: sky130_fd_sc_hd__xnor2_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (10->5) |sky130_fd_pr__pfet_01v8_hvt (10->5) -sky130_fd_pr__nfet_01v8 (10->5) |sky130_fd_pr__nfet_01v8 (10->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 11 |Number of nets: 11 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__xnor2_2 |Circuit 2: sky130_fd_sc_hd__xnor2_2 --------------------------------------------|------------------------------------------- -VGND |VGND -Y |Y -VPWR |VPWR -B |B -A |A -VPB |VPB -VNB |VNB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__xnor2_2 and sky130_fd_sc_hd__xnor2_2 are equivalent. - -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__decap_4 |Circuit 2: sky130_fd_sc_hd__decap_4 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (1) |sky130_fd_pr__pfet_01v8_hvt (1) -sky130_fd_pr__nfet_01v8 (1) |sky130_fd_pr__nfet_01v8 (1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 4 |Number of nets: 4 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__decap_4 |Circuit 2: sky130_fd_sc_hd__decap_4 --------------------------------------------|------------------------------------------- -VPB |VPB -VNB |VNB -VPWR |VPWR -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__decap_4 and sky130_fd_sc_hd__decap_4 are equivalent. - -Class sky130_fd_sc_hd__a211o_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__a211o_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__a211o_2 |Circuit 2: sky130_fd_sc_hd__a211o_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (6->5) |sky130_fd_pr__nfet_01v8 (6->5) -sky130_fd_pr__pfet_01v8_hvt (6->5) |sky130_fd_pr__pfet_01v8_hvt (6->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 13 |Number of nets: 13 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a211o_2 |Circuit 2: sky130_fd_sc_hd__a211o_2 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VGND |VGND -VPB |VPB -VNB |VNB -A1 |A1 -B1 |B1 -C1 |C1 -X |X -A2 |A2 ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a211o_2 and sky130_fd_sc_hd__a211o_2 are equivalent. - -Class sky130_fd_sc_hd__clkinv_1 (0): Merged 1 parallel devices. -Class sky130_fd_sc_hd__clkinv_1 (1): Merged 1 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__clkinv_1 |Circuit 2: sky130_fd_sc_hd__clkinv_1 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (2->1) |sky130_fd_pr__pfet_01v8_hvt (2->1) -sky130_fd_pr__nfet_01v8 (1) |sky130_fd_pr__nfet_01v8 (1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 6 |Number of nets: 6 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkinv_1 |Circuit 2: sky130_fd_sc_hd__clkinv_1 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VPB |VPB -VGND |VGND -VNB |VNB -Y |Y -A |A ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkinv_1 and sky130_fd_sc_hd__clkinv_1 are equivalent. - -Class sky130_fd_sc_hd__buf_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__buf_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__buf_2 |Circuit 2: sky130_fd_sc_hd__buf_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (3->2) |sky130_fd_pr__pfet_01v8_hvt (3->2) -sky130_fd_pr__nfet_01v8 (3->2) |sky130_fd_pr__nfet_01v8 (3->2) -Number of devices: 4 |Number of devices: 4 -Number of nets: 7 |Number of nets: 7 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__buf_2 |Circuit 2: sky130_fd_sc_hd__buf_2 --------------------------------------------|------------------------------------------- -X |X -VGND |VGND -VNB |VNB -A |A -VPWR |VPWR -VPB |VPB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__buf_2 and sky130_fd_sc_hd__buf_2 are equivalent. - -Class sky130_fd_sc_hd__o21ai_2 (0): Merged 6 parallel devices. -Class sky130_fd_sc_hd__o21ai_2 (1): Merged 6 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o21ai_2 |Circuit 2: sky130_fd_sc_hd__o21ai_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (6->3) |sky130_fd_pr__nfet_01v8 (6->3) -sky130_fd_pr__pfet_01v8_hvt (6->3) |sky130_fd_pr__pfet_01v8_hvt (6->3) -Number of devices: 6 |Number of devices: 6 -Number of nets: 10 |Number of nets: 10 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o21ai_2 |Circuit 2: sky130_fd_sc_hd__o21ai_2 --------------------------------------------|------------------------------------------- -Y |Y -VPB |VPB -VNB |VNB -A2 |A2 -VGND |VGND -VPWR |VPWR -B1 |B1 -A1 |A1 ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o21ai_2 and sky130_fd_sc_hd__o21ai_2 are equivalent. - -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__decap_3 |Circuit 2: sky130_fd_sc_hd__decap_3 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (1) |sky130_fd_pr__pfet_01v8_hvt (1) -sky130_fd_pr__nfet_01v8 (1) |sky130_fd_pr__nfet_01v8 (1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 4 |Number of nets: 4 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__decap_3 |Circuit 2: sky130_fd_sc_hd__decap_3 --------------------------------------------|------------------------------------------- -VPB |VPB -VNB |VNB -VPWR |VPWR -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__decap_3 and sky130_fd_sc_hd__decap_3 are equivalent. - -Class sky130_fd_sc_hd__o21a_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__o21a_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o21a_2 |Circuit 2: sky130_fd_sc_hd__o21a_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (5->4) |sky130_fd_pr__pfet_01v8_hvt (5->4) -sky130_fd_pr__nfet_01v8 (5->4) |sky130_fd_pr__nfet_01v8 (5->4) -Number of devices: 8 |Number of devices: 8 -Number of nets: 11 |Number of nets: 11 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o21a_2 |Circuit 2: sky130_fd_sc_hd__o21a_2 --------------------------------------------|------------------------------------------- -VNB |VNB -VPB |VPB -A2 |A2 -X |X -A1 |A1 -B1 |B1 -VGND |VGND -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o21a_2 and sky130_fd_sc_hd__o21a_2 are equivalent. - -Class sky130_fd_sc_hd__einvp_2 (0): Merged 4 parallel devices. -Class sky130_fd_sc_hd__einvp_2 (1): Merged 4 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__einvp_2 |Circuit 2: sky130_fd_sc_hd__einvp_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (5->3) |sky130_fd_pr__pfet_01v8_hvt (5->3) -sky130_fd_pr__nfet_01v8 (5->3) |sky130_fd_pr__nfet_01v8 (5->3) -Number of devices: 6 |Number of devices: 6 -Number of nets: 10 |Number of nets: 10 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__einvp_2 |Circuit 2: sky130_fd_sc_hd__einvp_2 --------------------------------------------|------------------------------------------- -VPB |VPB -TE |TE -VNB |VNB -VPWR |VPWR -VGND |VGND -A |A -Z |Z ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__einvp_2 and sky130_fd_sc_hd__einvp_2 are equivalent. - -Cell sky130_fd_sc_hd__diode_2 (0) disconnected node: VGND -Cell sky130_fd_sc_hd__diode_2 (0) disconnected node: VPWR -Cell sky130_fd_sc_hd__diode_2 (0) disconnected node: VPB -Cell sky130_fd_sc_hd__diode_2 (1) disconnected node: VGND -Cell sky130_fd_sc_hd__diode_2 (1) disconnected node: VPB -Cell sky130_fd_sc_hd__diode_2 (1) disconnected node: VPWR -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__diode_2 |Circuit 2: sky130_fd_sc_hd__diode_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__diode_pw2nd_05v5 (1) |sky130_fd_pr__diode_pw2nd_05v5 (1) -Number of devices: 1 |Number of devices: 1 -Number of nets: 2 |Number of nets: 2 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__diode_2 |Circuit 2: sky130_fd_sc_hd__diode_2 --------------------------------------------|------------------------------------------- -VNB |VNB -DIODE |DIODE -VGND |VGND -VPWR |VPWR -VPB |VPB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__diode_2 and sky130_fd_sc_hd__diode_2 are equivalent. - -Class sky130_fd_sc_hd__einvn_8 (0): Merged 28 parallel devices. -Class sky130_fd_sc_hd__einvn_8 (1): Merged 28 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__einvn_8 |Circuit 2: sky130_fd_sc_hd__einvn_8 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (17->3) |sky130_fd_pr__pfet_01v8_hvt (17->3) -sky130_fd_pr__nfet_01v8 (17->3) |sky130_fd_pr__nfet_01v8 (17->3) -Number of devices: 6 |Number of devices: 6 -Number of nets: 10 |Number of nets: 10 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__einvn_8 |Circuit 2: sky130_fd_sc_hd__einvn_8 --------------------------------------------|------------------------------------------- -VNB |VNB -VPB |VPB -TE_B |TE_B -VGND |VGND -Z |Z -A |A -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__einvn_8 and sky130_fd_sc_hd__einvn_8 are equivalent. - -Class sky130_fd_sc_hd__mux2_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__mux2_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__mux2_2 |Circuit 2: sky130_fd_sc_hd__mux2_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (7->6) |sky130_fd_pr__pfet_01v8_hvt (7->6) -sky130_fd_pr__nfet_01v8 (7->6) |sky130_fd_pr__nfet_01v8 (7->6) -Number of devices: 12 |Number of devices: 12 -Number of nets: 14 |Number of nets: 14 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__mux2_2 |Circuit 2: sky130_fd_sc_hd__mux2_2 --------------------------------------------|------------------------------------------- -VNB |VNB -VPB |VPB -A1 |A1 -A0 |A0 -X |X -VGND |VGND -VPWR |VPWR -S |S ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__mux2_2 and sky130_fd_sc_hd__mux2_2 are equivalent. - -Class sky130_fd_sc_hd__o2111a_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__o2111a_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o2111a_2 |Circuit 2: sky130_fd_sc_hd__o2111a_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (7->6) |sky130_fd_pr__pfet_01v8_hvt (7->6) -sky130_fd_pr__nfet_01v8 (7->6) |sky130_fd_pr__nfet_01v8 (7->6) -Number of devices: 12 |Number of devices: 12 -Number of nets: 15 |Number of nets: 15 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o2111a_2 |Circuit 2: sky130_fd_sc_hd__o2111a_2 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -C1 |C1 -X |X -B1 |B1 -A2 |A2 -A1 |A1 -D1 |D1 -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o2111a_2 and sky130_fd_sc_hd__o2111a_2 are equivalent. - -Class sky130_fd_sc_hd__inv_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__inv_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__inv_2 |Circuit 2: sky130_fd_sc_hd__inv_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (2->1) |sky130_fd_pr__pfet_01v8_hvt (2->1) -sky130_fd_pr__nfet_01v8 (2->1) |sky130_fd_pr__nfet_01v8 (2->1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 6 |Number of nets: 6 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__inv_2 |Circuit 2: sky130_fd_sc_hd__inv_2 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPWR |VPWR -VPB |VPB -Y |Y -A |A ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__inv_2 and sky130_fd_sc_hd__inv_2 are equivalent. - -Class sky130_fd_sc_hd__a21o_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__a21o_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__a21o_2 |Circuit 2: sky130_fd_sc_hd__a21o_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (5->4) |sky130_fd_pr__pfet_01v8_hvt (5->4) -sky130_fd_pr__nfet_01v8 (5->4) |sky130_fd_pr__nfet_01v8 (5->4) -Number of devices: 8 |Number of devices: 8 -Number of nets: 11 |Number of nets: 11 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a21o_2 |Circuit 2: sky130_fd_sc_hd__a21o_2 --------------------------------------------|------------------------------------------- -VNB |VNB -VPB |VPB -A1 |A1 -X |X -B1 |B1 -A2 |A2 -VPWR |VPWR -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a21o_2 and sky130_fd_sc_hd__a21o_2 are equivalent. - -Class sky130_fd_sc_hd__nand2_2 (0): Merged 4 parallel devices. -Class sky130_fd_sc_hd__nand2_2 (1): Merged 4 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__nand2_2 |Circuit 2: sky130_fd_sc_hd__nand2_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (4->2) |sky130_fd_pr__pfet_01v8_hvt (4->2) -sky130_fd_pr__nfet_01v8 (4->2) |sky130_fd_pr__nfet_01v8 (4->2) -Number of devices: 4 |Number of devices: 4 -Number of nets: 8 |Number of nets: 8 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand2_2 |Circuit 2: sky130_fd_sc_hd__nand2_2 --------------------------------------------|------------------------------------------- -VGND |VGND -Y |Y -A |A -VNB |VNB -B |B -VPWR |VPWR -VPB |VPB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand2_2 and sky130_fd_sc_hd__nand2_2 are equivalent. - -Class sky130_fd_sc_hd__einvn_4 (0): Merged 12 parallel devices. -Class sky130_fd_sc_hd__einvn_4 (1): Merged 12 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__einvn_4 |Circuit 2: sky130_fd_sc_hd__einvn_4 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (9->3) |sky130_fd_pr__nfet_01v8 (9->3) -sky130_fd_pr__pfet_01v8_hvt (9->3) |sky130_fd_pr__pfet_01v8_hvt (9->3) -Number of devices: 6 |Number of devices: 6 -Number of nets: 10 |Number of nets: 10 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__einvn_4 |Circuit 2: sky130_fd_sc_hd__einvn_4 --------------------------------------------|------------------------------------------- -VNB |VNB -VPB |VPB -TE_B |TE_B -Z |Z -A |A -VGND |VGND -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__einvn_4 and sky130_fd_sc_hd__einvn_4 are equivalent. - -Class sky130_fd_sc_hd__o31a_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__o31a_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o31a_2 |Circuit 2: sky130_fd_sc_hd__o31a_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (6->5) |sky130_fd_pr__nfet_01v8 (6->5) -sky130_fd_pr__pfet_01v8_hvt (6->5) |sky130_fd_pr__pfet_01v8_hvt (6->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 13 |Number of nets: 13 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o31a_2 |Circuit 2: sky130_fd_sc_hd__o31a_2 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VGND |VGND -A3 |A3 -X |X -B1 |B1 -A1 |A1 -A2 |A2 -VPB |VPB -VNB |VNB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o31a_2 and sky130_fd_sc_hd__o31a_2 are equivalent. - -Class sky130_fd_sc_hd__o211a_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__o211a_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o211a_2 |Circuit 2: sky130_fd_sc_hd__o211a_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (6->5) |sky130_fd_pr__pfet_01v8_hvt (6->5) -sky130_fd_pr__nfet_01v8 (6->5) |sky130_fd_pr__nfet_01v8 (6->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 13 |Number of nets: 13 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o211a_2 |Circuit 2: sky130_fd_sc_hd__o211a_2 --------------------------------------------|------------------------------------------- -VGND |VGND -VPB |VPB -VNB |VNB -VPWR |VPWR -B1 |B1 -C1 |C1 -X |X -A2 |A2 -A1 |A1 ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o211a_2 and sky130_fd_sc_hd__o211a_2 are equivalent. - -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__decap_6 |Circuit 2: sky130_fd_sc_hd__decap_6 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (1) |sky130_fd_pr__pfet_01v8_hvt (1) -sky130_fd_pr__nfet_01v8 (1) |sky130_fd_pr__nfet_01v8 (1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 4 |Number of nets: 4 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__decap_6 |Circuit 2: sky130_fd_sc_hd__decap_6 --------------------------------------------|------------------------------------------- -VPB |VPB -VNB |VNB -VPWR |VPWR -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__decap_6 and sky130_fd_sc_hd__decap_6 are equivalent. - -Class sky130_fd_sc_hd__and2_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__and2_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__and2_2 |Circuit 2: sky130_fd_sc_hd__and2_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (4->3) |sky130_fd_pr__pfet_01v8_hvt (4->3) -sky130_fd_pr__nfet_01v8 (4->3) |sky130_fd_pr__nfet_01v8 (4->3) -Number of devices: 6 |Number of devices: 6 -Number of nets: 9 |Number of nets: 9 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and2_2 |Circuit 2: sky130_fd_sc_hd__and2_2 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VPB |VPB -VNB |VNB -X |X -A |A -B |B -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and2_2 and sky130_fd_sc_hd__and2_2 are equivalent. - -Class sky130_fd_sc_hd__clkbuf_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__clkbuf_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__clkbuf_2 |Circuit 2: sky130_fd_sc_hd__clkbuf_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (3->2) |sky130_fd_pr__pfet_01v8_hvt (3->2) -sky130_fd_pr__nfet_01v8 (3->2) |sky130_fd_pr__nfet_01v8 (3->2) -Number of devices: 4 |Number of devices: 4 -Number of nets: 7 |Number of nets: 7 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkbuf_2 |Circuit 2: sky130_fd_sc_hd__clkbuf_2 --------------------------------------------|------------------------------------------- -A |A -VPWR |VPWR -VPB |VPB -VGND |VGND -VNB |VNB -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkbuf_2 and sky130_fd_sc_hd__clkbuf_2 are equivalent. - -Class sky130_fd_sc_hd__and3b_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__and3b_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__and3b_2 |Circuit 2: sky130_fd_sc_hd__and3b_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (6->5) |sky130_fd_pr__pfet_01v8_hvt (6->5) -sky130_fd_pr__nfet_01v8 (6->5) |sky130_fd_pr__nfet_01v8 (6->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 12 |Number of nets: 12 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and3b_2 |Circuit 2: sky130_fd_sc_hd__and3b_2 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPWR |VPWR -VPB |VPB -C |C -X |X -B |B -A_N |A_N ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and3b_2 and sky130_fd_sc_hd__and3b_2 are equivalent. - -Class sky130_fd_sc_hd__o22a_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__o22a_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o22a_2 |Circuit 2: sky130_fd_sc_hd__o22a_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (6->5) |sky130_fd_pr__nfet_01v8 (6->5) -sky130_fd_pr__pfet_01v8_hvt (6->5) |sky130_fd_pr__pfet_01v8_hvt (6->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 13 |Number of nets: 13 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o22a_2 |Circuit 2: sky130_fd_sc_hd__o22a_2 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VGND |VGND -VPB |VPB -VNB |VNB -X |X -B2 |B2 -B1 |B1 -A2 |A2 -A1 |A1 ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o22a_2 and sky130_fd_sc_hd__o22a_2 are equivalent. - -Class sky130_fd_sc_hd__nand3b_2 (0): Merged 6 parallel devices. -Class sky130_fd_sc_hd__nand3b_2 (1): Merged 6 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__nand3b_2 |Circuit 2: sky130_fd_sc_hd__nand3b_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (7->4) |sky130_fd_pr__nfet_01v8 (7->4) -sky130_fd_pr__pfet_01v8_hvt (7->4) |sky130_fd_pr__pfet_01v8_hvt (7->4) -Number of devices: 8 |Number of devices: 8 -Number of nets: 11 |Number of nets: 11 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand3b_2 |Circuit 2: sky130_fd_sc_hd__nand3b_2 --------------------------------------------|------------------------------------------- -VPWR |VPWR -Y |Y -VPB |VPB -VNB |VNB -C |C -B |B -VGND |VGND -A_N |A_N ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand3b_2 and sky130_fd_sc_hd__nand3b_2 are equivalent. - -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__clkbuf_1 |Circuit 2: sky130_fd_sc_hd__clkbuf_1 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (2) |sky130_fd_pr__pfet_01v8_hvt (2) -sky130_fd_pr__nfet_01v8 (2) |sky130_fd_pr__nfet_01v8 (2) -Number of devices: 4 |Number of devices: 4 -Number of nets: 7 |Number of nets: 7 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkbuf_1 |Circuit 2: sky130_fd_sc_hd__clkbuf_1 --------------------------------------------|------------------------------------------- -VGND |VGND -A |A -VNB |VNB -X |X -VPWR |VPWR -VPB |VPB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkbuf_1 and sky130_fd_sc_hd__clkbuf_1 are equivalent. - -Class sky130_fd_sc_hd__nand4b_2 (0): Merged 8 parallel devices. -Class sky130_fd_sc_hd__nand4b_2 (1): Merged 8 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__nand4b_2 |Circuit 2: sky130_fd_sc_hd__nand4b_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (9->5) |sky130_fd_pr__nfet_01v8 (9->5) -sky130_fd_pr__pfet_01v8_hvt (9->5) |sky130_fd_pr__pfet_01v8_hvt (9->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 13 |Number of nets: 13 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand4b_2 |Circuit 2: sky130_fd_sc_hd__nand4b_2 --------------------------------------------|------------------------------------------- -C |C -D |D -B |B -A_N |A_N -VGND |VGND -VPWR |VPWR -Y |Y -VPB |VPB -VNB |VNB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand4b_2 and sky130_fd_sc_hd__nand4b_2 are equivalent. - -Class sky130_fd_sc_hd__nand3_2 (0): Merged 6 parallel devices. -Class sky130_fd_sc_hd__nand3_2 (1): Merged 6 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__nand3_2 |Circuit 2: sky130_fd_sc_hd__nand3_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (6->3) |sky130_fd_pr__nfet_01v8 (6->3) -sky130_fd_pr__pfet_01v8_hvt (6->3) |sky130_fd_pr__pfet_01v8_hvt (6->3) -Number of devices: 6 |Number of devices: 6 -Number of nets: 10 |Number of nets: 10 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand3_2 |Circuit 2: sky130_fd_sc_hd__nand3_2 --------------------------------------------|------------------------------------------- -Y |Y -VNB |VNB -VPWR |VPWR -VPB |VPB -C |C -B |B -A |A -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand3_2 and sky130_fd_sc_hd__nand3_2 are equivalent. - -Class sky130_fd_sc_hd__a22o_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__a22o_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__a22o_2 |Circuit 2: sky130_fd_sc_hd__a22o_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (6->5) |sky130_fd_pr__pfet_01v8_hvt (6->5) -sky130_fd_pr__nfet_01v8 (6->5) |sky130_fd_pr__nfet_01v8 (6->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 13 |Number of nets: 13 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a22o_2 |Circuit 2: sky130_fd_sc_hd__a22o_2 --------------------------------------------|------------------------------------------- -VPB |VPB -VNB |VNB -X |X -A2 |A2 -B1 |B1 -A1 |A1 -B2 |B2 -VPWR |VPWR -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a22o_2 and sky130_fd_sc_hd__a22o_2 are equivalent. - -Class sky130_fd_sc_hd__xor2_2 (0): Merged 10 parallel devices. -Class sky130_fd_sc_hd__xor2_2 (1): Merged 10 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__xor2_2 |Circuit 2: sky130_fd_sc_hd__xor2_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (10->5) |sky130_fd_pr__pfet_01v8_hvt (10->5) -sky130_fd_pr__nfet_01v8 (10->5) |sky130_fd_pr__nfet_01v8 (10->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 11 |Number of nets: 11 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__xor2_2 |Circuit 2: sky130_fd_sc_hd__xor2_2 --------------------------------------------|------------------------------------------- -VPB |VPB -VNB |VNB -VPWR |VPWR -X |X -A |A -B |B -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__xor2_2 and sky130_fd_sc_hd__xor2_2 are equivalent. - -Class sky130_fd_sc_hd__nand2b_2 (0): Merged 4 parallel devices. -Class sky130_fd_sc_hd__nand2b_2 (1): Merged 4 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__nand2b_2 |Circuit 2: sky130_fd_sc_hd__nand2b_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (5->3) |sky130_fd_pr__pfet_01v8_hvt (5->3) -sky130_fd_pr__nfet_01v8 (5->3) |sky130_fd_pr__nfet_01v8 (5->3) -Number of devices: 6 |Number of devices: 6 -Number of nets: 9 |Number of nets: 9 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand2b_2 |Circuit 2: sky130_fd_sc_hd__nand2b_2 --------------------------------------------|------------------------------------------- -B |B -VGND |VGND -A_N |A_N -VNB |VNB -VPWR |VPWR -Y |Y -VPB |VPB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand2b_2 and sky130_fd_sc_hd__nand2b_2 are equivalent. - -Class sky130_fd_sc_hd__a21boi_2 (0): Merged 4 parallel devices. -Class sky130_fd_sc_hd__a21boi_2 (1): Merged 4 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__a21boi_2 |Circuit 2: sky130_fd_sc_hd__a21boi_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (7->6) |sky130_fd_pr__nfet_01v8 (7->6) -sky130_fd_pr__pfet_01v8_hvt (7->4) |sky130_fd_pr__pfet_01v8_hvt (7->4) -Number of devices: 10 |Number of devices: 10 -Number of nets: 12 |Number of nets: 12 ---------------------------------------------------------------------------------------- -Resolving automorphisms by property value. -Resolving automorphisms by pin name. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a21boi_2 |Circuit 2: sky130_fd_sc_hd__a21boi_2 --------------------------------------------|------------------------------------------- -B1_N |B1_N -VPWR |VPWR -A1 |A1 -A2 |A2 -VNB |VNB -Y |Y -VGND |VGND -VPB |VPB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a21boi_2 and sky130_fd_sc_hd__a21boi_2 are equivalent. - -Class sky130_fd_sc_hd__a32o_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__a32o_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__a32o_2 |Circuit 2: sky130_fd_sc_hd__a32o_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (7->6) |sky130_fd_pr__pfet_01v8_hvt (7->6) -sky130_fd_pr__nfet_01v8 (7->6) |sky130_fd_pr__nfet_01v8 (7->6) -Number of devices: 12 |Number of devices: 12 -Number of nets: 15 |Number of nets: 15 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a32o_2 |Circuit 2: sky130_fd_sc_hd__a32o_2 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -A2 |A2 -B2 |B2 -A1 |A1 -B1 |B1 -A3 |A3 -X |X -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a32o_2 and sky130_fd_sc_hd__a32o_2 are equivalent. - -Class sky130_fd_sc_hd__dfrtp_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__dfrtp_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__dfrtp_2 |Circuit 2: sky130_fd_sc_hd__dfrtp_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (15->14) |sky130_fd_pr__nfet_01v8 (15->14) -sky130_fd_pr__pfet_01v8_hvt (15->14) |sky130_fd_pr__pfet_01v8_hvt (15->14) -Number of devices: 28 |Number of devices: 28 -Number of nets: 21 |Number of nets: 21 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__dfrtp_2 |Circuit 2: sky130_fd_sc_hd__dfrtp_2 --------------------------------------------|------------------------------------------- -RESET_B |RESET_B -VPWR |VPWR -VPB |VPB -VNB |VNB -VGND |VGND -Q |Q -D |D -CLK |CLK ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__dfrtp_2 and sky130_fd_sc_hd__dfrtp_2 are equivalent. - -Class sky130_fd_sc_hd__o2bb2a_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__o2bb2a_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o2bb2a_2 |Circuit 2: sky130_fd_sc_hd__o2bb2a_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (7->6) |sky130_fd_pr__nfet_01v8 (7->6) -sky130_fd_pr__pfet_01v8_hvt (7->6) |sky130_fd_pr__pfet_01v8_hvt (7->6) -Number of devices: 12 |Number of devices: 12 -Number of nets: 14 |Number of nets: 14 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o2bb2a_2 |Circuit 2: sky130_fd_sc_hd__o2bb2a_2 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VPB |VPB -VNB |VNB -VGND |VGND -A1_N |A1_N -A2_N |A2_N -X |X -B2 |B2 -B1 |B1 ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o2bb2a_2 and sky130_fd_sc_hd__o2bb2a_2 are equivalent. - -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__einvp_1 |Circuit 2: sky130_fd_sc_hd__einvp_1 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (3) |sky130_fd_pr__pfet_01v8_hvt (3) -sky130_fd_pr__nfet_01v8 (3) |sky130_fd_pr__nfet_01v8 (3) -Number of devices: 6 |Number of devices: 6 -Number of nets: 10 |Number of nets: 10 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__einvp_1 |Circuit 2: sky130_fd_sc_hd__einvp_1 --------------------------------------------|------------------------------------------- -VPB |VPB -TE |TE -VNB |VNB -A |A -Z |Z -VGND |VGND -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__einvp_1 and sky130_fd_sc_hd__einvp_1 are equivalent. - -Subcircuit summary: -Circuit 1: sky130_ef_sc_hd__decap_12 |Circuit 2: sky130_ef_sc_hd__decap_12 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (1) |sky130_fd_pr__pfet_01v8_hvt (1) -sky130_fd_pr__nfet_01v8 (1) |sky130_fd_pr__nfet_01v8 (1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 4 |Number of nets: 4 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_ef_sc_hd__decap_12 |Circuit 2: sky130_ef_sc_hd__decap_12 --------------------------------------------|------------------------------------------- -VPB |VPB -VNB |VNB -VPWR |VPWR -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_ef_sc_hd__decap_12 and sky130_ef_sc_hd__decap_12 are equivalent. - -Class sky130_fd_sc_hd__a21oi_2 (0): Merged 4 parallel devices. -Class sky130_fd_sc_hd__a21oi_2 (1): Merged 4 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__a21oi_2 |Circuit 2: sky130_fd_sc_hd__a21oi_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (6->5) |sky130_fd_pr__nfet_01v8 (6->5) -sky130_fd_pr__pfet_01v8_hvt (6->3) |sky130_fd_pr__pfet_01v8_hvt (6->3) -Number of devices: 8 |Number of devices: 8 -Number of nets: 11 |Number of nets: 11 ---------------------------------------------------------------------------------------- -Resolving automorphisms by property value. -Resolving automorphisms by pin name. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a21oi_2 |Circuit 2: sky130_fd_sc_hd__a21oi_2 --------------------------------------------|------------------------------------------- -Y |Y -VNB |VNB -VPWR |VPWR -B1 |B1 -VGND |VGND -A2 |A2 -A1 |A1 -VPB |VPB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a21oi_2 and sky130_fd_sc_hd__a21oi_2 are equivalent. - -Class sky130_fd_sc_hd__and4b_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__and4b_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__and4b_2 |Circuit 2: sky130_fd_sc_hd__and4b_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (7->6) |sky130_fd_pr__pfet_01v8_hvt (7->6) -sky130_fd_pr__nfet_01v8 (7->6) |sky130_fd_pr__nfet_01v8 (7->6) -Number of devices: 12 |Number of devices: 12 -Number of nets: 14 |Number of nets: 14 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and4b_2 |Circuit 2: sky130_fd_sc_hd__and4b_2 --------------------------------------------|------------------------------------------- -VGND |VGND -X |X -D |D -A_N |A_N -C |C -B |B -VPWR |VPWR -VPB |VPB -VNB |VNB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and4b_2 and sky130_fd_sc_hd__and4b_2 are equivalent. - -Class sky130_fd_sc_hd__o221a_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__o221a_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o221a_2 |Circuit 2: sky130_fd_sc_hd__o221a_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (7->6) |sky130_fd_pr__pfet_01v8_hvt (7->6) -sky130_fd_pr__nfet_01v8 (7->6) |sky130_fd_pr__nfet_01v8 (7->6) -Number of devices: 12 |Number of devices: 12 -Number of nets: 15 |Number of nets: 15 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o221a_2 |Circuit 2: sky130_fd_sc_hd__o221a_2 --------------------------------------------|------------------------------------------- -VGND |VGND -X |X -C1 |C1 -A2 |A2 -B2 |B2 -B1 |B1 -A1 |A1 -VNB |VNB -VPB |VPB -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o221a_2 and sky130_fd_sc_hd__o221a_2 are equivalent. - -Class sky130_fd_sc_hd__and3_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__and3_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__and3_2 |Circuit 2: sky130_fd_sc_hd__and3_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (5->4) |sky130_fd_pr__pfet_01v8_hvt (5->4) -sky130_fd_pr__nfet_01v8 (5->4) |sky130_fd_pr__nfet_01v8 (5->4) -Number of devices: 8 |Number of devices: 8 -Number of nets: 11 |Number of nets: 11 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and3_2 |Circuit 2: sky130_fd_sc_hd__and3_2 --------------------------------------------|------------------------------------------- -X |X -VGND |VGND -A |A -C |C -B |B -VNB |VNB -VPWR |VPWR -VPB |VPB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and3_2 and sky130_fd_sc_hd__and3_2 are equivalent. - -Class sky130_fd_sc_hd__and2b_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__and2b_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__and2b_2 |Circuit 2: sky130_fd_sc_hd__and2b_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (5->4) |sky130_fd_pr__pfet_01v8_hvt (5->4) -sky130_fd_pr__nfet_01v8 (5->4) |sky130_fd_pr__nfet_01v8 (5->4) -Number of devices: 8 |Number of devices: 8 -Number of nets: 10 |Number of nets: 10 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__and2b_2 |Circuit 2: sky130_fd_sc_hd__and2b_2 --------------------------------------------|------------------------------------------- -VGND |VGND -X |X -B |B -A_N |A_N -VPWR |VPWR -VPB |VPB -VNB |VNB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__and2b_2 and sky130_fd_sc_hd__and2b_2 are equivalent. - -Class sky130_fd_sc_hd__o21ba_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__o21ba_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o21ba_2 |Circuit 2: sky130_fd_sc_hd__o21ba_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (6->5) |sky130_fd_pr__nfet_01v8 (6->5) -sky130_fd_pr__pfet_01v8_hvt (6->5) |sky130_fd_pr__pfet_01v8_hvt (6->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 12 |Number of nets: 12 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o21ba_2 |Circuit 2: sky130_fd_sc_hd__o21ba_2 --------------------------------------------|------------------------------------------- -VNB |VNB -VPB |VPB -X |X -A2 |A2 -A1 |A1 -B1_N |B1_N -VGND |VGND -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o21ba_2 and sky130_fd_sc_hd__o21ba_2 are equivalent. - -Class sky130_fd_sc_hd__o32a_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__o32a_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__o32a_2 |Circuit 2: sky130_fd_sc_hd__o32a_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (7->6) |sky130_fd_pr__pfet_01v8_hvt (7->6) -sky130_fd_pr__nfet_01v8 (7->6) |sky130_fd_pr__nfet_01v8 (7->6) -Number of devices: 12 |Number of devices: 12 -Number of nets: 15 |Number of nets: 15 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__o32a_2 |Circuit 2: sky130_fd_sc_hd__o32a_2 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VGND |VGND -VPB |VPB -VNB |VNB -A3 |A3 -B2 |B2 -B1 |B1 -A1 |A1 -X |X -A2 |A2 ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__o32a_2 and sky130_fd_sc_hd__o32a_2 are equivalent. - -Class sky130_fd_sc_hd__clkbuf_16 (0): Merged 36 parallel devices. -Class sky130_fd_sc_hd__clkbuf_16 (1): Merged 36 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__clkbuf_16 |Circuit 2: sky130_fd_sc_hd__clkbuf_16 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (20->2) |sky130_fd_pr__pfet_01v8_hvt (20->2) -sky130_fd_pr__nfet_01v8 (20->2) |sky130_fd_pr__nfet_01v8 (20->2) -Number of devices: 4 |Number of devices: 4 -Number of nets: 7 |Number of nets: 7 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkbuf_16 |Circuit 2: sky130_fd_sc_hd__clkbuf_16 --------------------------------------------|------------------------------------------- -VPWR |VPWR -X |X -VPB |VPB -VGND |VGND -VNB |VNB -A |A ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkbuf_16 and sky130_fd_sc_hd__clkbuf_16 are equivalent. - -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__decap_8 |Circuit 2: sky130_fd_sc_hd__decap_8 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (1) |sky130_fd_pr__pfet_01v8_hvt (1) -sky130_fd_pr__nfet_01v8 (1) |sky130_fd_pr__nfet_01v8 (1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 4 |Number of nets: 4 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__decap_8 |Circuit 2: sky130_fd_sc_hd__decap_8 --------------------------------------------|------------------------------------------- -VPB |VPB -VNB |VNB -VPWR |VPWR -VGND |VGND ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__decap_8 and sky130_fd_sc_hd__decap_8 are equivalent. - -Class sky130_fd_sc_hd__a31o_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__a31o_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__a31o_2 |Circuit 2: sky130_fd_sc_hd__a31o_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (6->5) |sky130_fd_pr__pfet_01v8_hvt (6->5) -sky130_fd_pr__nfet_01v8 (6->5) |sky130_fd_pr__nfet_01v8 (6->5) -Number of devices: 10 |Number of devices: 10 -Number of nets: 13 |Number of nets: 13 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__a31o_2 |Circuit 2: sky130_fd_sc_hd__a31o_2 --------------------------------------------|------------------------------------------- -VGND |VGND -VNB |VNB -VPB |VPB -A2 |A2 -A1 |A1 -B1 |B1 -A3 |A3 -X |X -VPWR |VPWR ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__a31o_2 and sky130_fd_sc_hd__a31o_2 are equivalent. - -Class sky130_fd_sc_hd__clkinv_2 (0): Merged 3 parallel devices. -Class sky130_fd_sc_hd__clkinv_2 (1): Merged 3 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__clkinv_2 |Circuit 2: sky130_fd_sc_hd__clkinv_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (2->1) |sky130_fd_pr__nfet_01v8 (2->1) -sky130_fd_pr__pfet_01v8_hvt (3->1) |sky130_fd_pr__pfet_01v8_hvt (3->1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 6 |Number of nets: 6 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkinv_2 |Circuit 2: sky130_fd_sc_hd__clkinv_2 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VPB |VPB -VGND |VGND -VNB |VNB -Y |Y -A |A ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkinv_2 and sky130_fd_sc_hd__clkinv_2 are equivalent. - -Class sky130_fd_sc_hd__clkinv_8 (0): Merged 18 parallel devices. -Class sky130_fd_sc_hd__clkinv_8 (1): Merged 18 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__clkinv_8 |Circuit 2: sky130_fd_sc_hd__clkinv_8 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_01v8 (8->1) |sky130_fd_pr__nfet_01v8 (8->1) -sky130_fd_pr__pfet_01v8_hvt (12->1) |sky130_fd_pr__pfet_01v8_hvt (12->1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 6 |Number of nets: 6 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__clkinv_8 |Circuit 2: sky130_fd_sc_hd__clkinv_8 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VPB |VPB -VGND |VGND -VNB |VNB -Y |Y -A |A ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__clkinv_8 and sky130_fd_sc_hd__clkinv_8 are equivalent. - -Cell sky130_fd_sc_hd__conb_1 (0) disconnected node: VPB -Cell sky130_fd_sc_hd__conb_1 (0) disconnected node: VNB -Cell sky130_fd_sc_hd__conb_1 (1) disconnected node: VNB -Cell sky130_fd_sc_hd__conb_1 (1) disconnected node: VPB -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__conb_1 |Circuit 2: sky130_fd_sc_hd__conb_1 --------------------------------------------|------------------------------------------- -sky130_fd_pr__res_generic_po (2) |sky130_fd_pr__res_generic_po (2) -Number of devices: 2 |Number of devices: 2 -Number of nets: 4 |Number of nets: 4 ---------------------------------------------------------------------------------------- -Resolving automorphisms by property value. -Resolving automorphisms by pin name. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__conb_1 |Circuit 2: sky130_fd_sc_hd__conb_1 --------------------------------------------|------------------------------------------- -VGND |VGND -LO |LO -HI |HI -VPWR |VPWR -VPB |VPB -VNB |VNB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__conb_1 and sky130_fd_sc_hd__conb_1 are equivalent. - -Class sky130_fd_sc_hd__or2_2 (0): Merged 2 parallel devices. -Class sky130_fd_sc_hd__or2_2 (1): Merged 2 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__or2_2 |Circuit 2: sky130_fd_sc_hd__or2_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (4->3) |sky130_fd_pr__pfet_01v8_hvt (4->3) -sky130_fd_pr__nfet_01v8 (4->3) |sky130_fd_pr__nfet_01v8 (4->3) -Number of devices: 6 |Number of devices: 6 -Number of nets: 9 |Number of nets: 9 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__or2_2 |Circuit 2: sky130_fd_sc_hd__or2_2 --------------------------------------------|------------------------------------------- -VPB |VPB -VGND |VGND -VNB |VNB -B |B -X |X -VPWR |VPWR -A |A ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__or2_2 and sky130_fd_sc_hd__or2_2 are equivalent. - -Class sky130_fd_sc_hd__nand4_2 (0): Merged 8 parallel devices. -Class sky130_fd_sc_hd__nand4_2 (1): Merged 8 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hd__nand4_2 |Circuit 2: sky130_fd_sc_hd__nand4_2 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_01v8_hvt (8->4) |sky130_fd_pr__pfet_01v8_hvt (8->4) -sky130_fd_pr__nfet_01v8 (8->4) |sky130_fd_pr__nfet_01v8 (8->4) -Number of devices: 8 |Number of devices: 8 -Number of nets: 12 |Number of nets: 12 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hd__nand4_2 |Circuit 2: sky130_fd_sc_hd__nand4_2 --------------------------------------------|------------------------------------------- -VGND |VGND -Y |Y -B |B -C |C -A |A -D |D -VPWR |VPWR -VPB |VPB -VNB |VNB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hd__nand4_2 and sky130_fd_sc_hd__nand4_2 are equivalent. - -Class digital_pll (0): Merged 220 parallel devices. -Class digital_pll (1): Merged 220 parallel devices. -Subcircuit summary: -Circuit 1: digital_pll |Circuit 2: digital_pll --------------------------------------------|------------------------------------------- -sky130_fd_sc_hd__nor2_2 (42) |sky130_fd_sc_hd__nor2_2 (42) -sky130_fd_sc_hd__xnor2_2 (11) |sky130_fd_sc_hd__xnor2_2 (11) -sky130_fd_sc_hd__decap_4 (85->1) |sky130_fd_sc_hd__decap_4 (85->1) -sky130_fd_sc_hd__a211o_2 (3) |sky130_fd_sc_hd__a211o_2 (3) -sky130_fd_sc_hd__clkinv_1 (13) |sky130_fd_sc_hd__clkinv_1 (13) -sky130_fd_sc_hd__buf_2 (32) |sky130_fd_sc_hd__buf_2 (32) -sky130_fd_sc_hd__o21ai_2 (6) |sky130_fd_sc_hd__o21ai_2 (6) -sky130_fd_sc_hd__decap_3 (105->1) |sky130_fd_sc_hd__decap_3 (105->1) -sky130_fd_sc_hd__o21a_2 (5) |sky130_fd_sc_hd__o21a_2 (5) -sky130_fd_sc_hd__einvp_2 (26) |sky130_fd_sc_hd__einvp_2 (26) -sky130_fd_sc_hd__diode_2 (56->37) |sky130_fd_sc_hd__diode_2 (56->37) -sky130_fd_sc_hd__einvn_8 (13) |sky130_fd_sc_hd__einvn_8 (13) -sky130_fd_sc_hd__mux2_2 (11) |sky130_fd_sc_hd__mux2_2 (11) -sky130_fd_sc_hd__o2111a_2 (2) |sky130_fd_sc_hd__o2111a_2 (2) -sky130_fd_sc_hd__inv_2 (13) |sky130_fd_sc_hd__inv_2 (13) -sky130_fd_sc_hd__a21o_2 (14) |sky130_fd_sc_hd__a21o_2 (14) -sky130_fd_sc_hd__nand2_2 (20) |sky130_fd_sc_hd__nand2_2 (20) -sky130_fd_sc_hd__einvn_4 (13) |sky130_fd_sc_hd__einvn_4 (13) -sky130_fd_sc_hd__o31a_2 (4) |sky130_fd_sc_hd__o31a_2 (4) -sky130_fd_sc_hd__o211a_2 (7) |sky130_fd_sc_hd__o211a_2 (7) -sky130_fd_sc_hd__decap_6 (7->1) |sky130_fd_sc_hd__decap_6 (7->1) -sky130_fd_sc_hd__and2_2 (14) |sky130_fd_sc_hd__and2_2 (14) -sky130_fd_sc_hd__clkbuf_2 (12) |sky130_fd_sc_hd__clkbuf_2 (12) -sky130_fd_sc_hd__and3b_2 (2) |sky130_fd_sc_hd__and3b_2 (2) -sky130_fd_sc_hd__o22a_2 (4) |sky130_fd_sc_hd__o22a_2 (4) -sky130_fd_sc_hd__nand3b_2 (2) |sky130_fd_sc_hd__nand3b_2 (2) -sky130_fd_sc_hd__clkbuf_1 (13) |sky130_fd_sc_hd__clkbuf_1 (13) -sky130_fd_sc_hd__nand4b_2 (1) |sky130_fd_sc_hd__nand4b_2 (1) -sky130_fd_sc_hd__nand3_2 (3) |sky130_fd_sc_hd__nand3_2 (3) -sky130_fd_sc_hd__a22o_2 (7) |sky130_fd_sc_hd__a22o_2 (7) -sky130_fd_sc_hd__xor2_2 (4) |sky130_fd_sc_hd__xor2_2 (4) -sky130_fd_sc_hd__nand2b_2 (7) |sky130_fd_sc_hd__nand2b_2 (7) -sky130_fd_sc_hd__a21boi_2 (1) |sky130_fd_sc_hd__a21boi_2 (1) -sky130_fd_sc_hd__a32o_2 (6) |sky130_fd_sc_hd__a32o_2 (6) -sky130_fd_sc_hd__dfrtp_2 (23) |sky130_fd_sc_hd__dfrtp_2 (23) -sky130_fd_sc_hd__o2bb2a_2 (1) |sky130_fd_sc_hd__o2bb2a_2 (1) -sky130_fd_sc_hd__einvp_1 (1) |sky130_fd_sc_hd__einvp_1 (1) -sky130_ef_sc_hd__decap_12 (3->1) |sky130_ef_sc_hd__decap_12 (3->1) -sky130_fd_sc_hd__a21oi_2 (5) |sky130_fd_sc_hd__a21oi_2 (5) -sky130_fd_sc_hd__and4b_2 (2) |sky130_fd_sc_hd__and4b_2 (2) -sky130_fd_sc_hd__o221a_2 (1) |sky130_fd_sc_hd__o221a_2 (1) -sky130_fd_sc_hd__and3_2 (6) |sky130_fd_sc_hd__and3_2 (6) -sky130_fd_sc_hd__and2b_2 (1) |sky130_fd_sc_hd__and2b_2 (1) -sky130_fd_sc_hd__o21ba_2 (1) |sky130_fd_sc_hd__o21ba_2 (1) -sky130_fd_sc_hd__o32a_2 (1) |sky130_fd_sc_hd__o32a_2 (1) -sky130_fd_sc_hd__clkbuf_16 (2) |sky130_fd_sc_hd__clkbuf_16 (2) -sky130_fd_sc_hd__decap_8 (6->1) |sky130_fd_sc_hd__decap_8 (6->1) -sky130_fd_sc_hd__a31o_2 (1) |sky130_fd_sc_hd__a31o_2 (1) -sky130_fd_sc_hd__clkinv_2 (2) |sky130_fd_sc_hd__clkinv_2 (2) -sky130_fd_sc_hd__clkinv_8 (2) |sky130_fd_sc_hd__clkinv_8 (2) -sky130_fd_sc_hd__conb_1 (1) |sky130_fd_sc_hd__conb_1 (1) -sky130_fd_sc_hd__or2_2 (1) |sky130_fd_sc_hd__or2_2 (1) -sky130_fd_sc_hd__nand4_2 (1) |sky130_fd_sc_hd__nand4_2 (1) -Number of devices: 405 |Number of devices: 405 -Number of nets: 374 |Number of nets: 374 ---------------------------------------------------------------------------------------- -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: digital_pll |Circuit 2: digital_pll --------------------------------------------|------------------------------------------- -clockp[0] |clockp[0] -clockp[1] |clockp[1] -div[3] |div[3] -div[1] |div[1] -div[0] |div[0] -div[4] |div[4] -VGND |VGND -VPWR |VPWR -dco |dco -div[2] |div[2] -ext_trim[0] |ext_trim[0] -ext_trim[1] |ext_trim[1] -ext_trim[2] |ext_trim[2] -ext_trim[3] |ext_trim[3] -ext_trim[5] |ext_trim[5] -ext_trim[4] |ext_trim[4] -ext_trim[6] |ext_trim[6] -ext_trim[8] |ext_trim[8] -ext_trim[10] |ext_trim[10] -ext_trim[11] |ext_trim[11] -ext_trim[7] |ext_trim[7] -ext_trim[12] |ext_trim[12] -ext_trim[14] |ext_trim[14] -ext_trim[9] |ext_trim[9] -ext_trim[15] |ext_trim[15] -ext_trim[17] |ext_trim[17] -ext_trim[18] |ext_trim[18] -ext_trim[19] |ext_trim[19] -ext_trim[20] |ext_trim[20] -ext_trim[21] |ext_trim[21] -ext_trim[13] |ext_trim[13] -ext_trim[22] |ext_trim[22] -ext_trim[16] |ext_trim[16] -ext_trim[23] |ext_trim[23] -ext_trim[24] |ext_trim[24] -ext_trim[25] |ext_trim[25] -enable |enable -resetb |resetb -osc |osc ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes digital_pll and digital_pll are equivalent. - -Final result: Circuits match uniquely. -. diff --git a/signoff/digital_pll/standalone_pvr/digital_pll.unflattened b/signoff/digital_pll/standalone_pvr/digital_pll.unflattened deleted file mode 100644 index e69de29b..00000000 diff --git a/signoff/digital_pll/standalone_pvr/digital_pll_klayout_drc.xml b/signoff/digital_pll/standalone_pvr/digital_pll_klayout_drc.xml deleted file mode 100644 index c8b7622d..00000000 --- a/signoff/digital_pll/standalone_pvr/digital_pll_klayout_drc.xml +++ /dev/null @@ -1,873 +0,0 @@ - - - SKY130 DRC runset - - drc: script='tech-files/sky130A_mr.drc' - digital_pll - - - - - dnwell.2 - dnwell.2 : min. dnwell width : 3.0um - - - - - nwell.1 - nwell.1 : min. nwell width : 0.84um - - - - - nwell.2a - nwell.2a : min. nwell spacing (merged if less) : 1.27um - - - - - nwell.6 - nwell.6 : min enclosure of nwellHole by dnwell : 1.03um - - - - - hvtp.1 - hvtp.1 : min. hvtp width : 0.38um - - - - - hvtp.2 - hvtp.2 : min. hvtp spacing : 0.38um - - - - - hvtr.1 - hvtr.1 : min. hvtr width : 0.38um - - - - - hvtr.2 - hvtr.2 : min. hvtr spacing : 0.38um - - - - - hvtr.2_a - hvtr.2_a : hvtr must not overlap hvtp - - - - - lvtn.1a - lvtn.1a : min. lvtn width : 0.38um - - - - - lvtn.2 - lvtn.2 : min. lvtn spacing : 0.38um - - - - - ncm.1 - ncm.1 : min. ncm width : 0.38um - - - - - ncm.2a - ncm.2a : min. ncm spacing : 0.38um - - - - - difftap.1 - difftap.1 : min. diff width across areaid:ce : 0.15um - - - - - difftap.1_a - difftap.1_a : min. diff width in periphery : 0.15um - - - - - difftap.1_b - difftap.1_b : min. tap width across areaid:ce : 0.15um - - - - - difftap.1_c - difftap.1_c : min. tap width in periphery : 0.15um - - - - - difftap.3 - difftap.3 : min. difftap spacing : 0.27um - - - - - tunm.1 - tunm.1 : min. tunm width : 0.41um - - - - - tunm.2 - tunm.2 : min. tunm spacing : 0.5um - - - - - poly.1a - poly.1a : min. poly width : 0.15um - - - - - poly.2 - poly.2 : min. poly spacing : 0.21um - - - - - rpm.1a - rpm.1a : min. rpm width : 1.27um - - - - - rpm.2 - rpm.2 : min. rpm spacing : 0.84um - - - - - urpm.1a - urpm.1a : min. rpm width : 1.27um - - - - - urpm.2 - urpm.2 : min. rpm spacing : 0.84um - - - - - npc.1 - npc.1 : min. npc width : 0.27um - - - - - npc.2 - npc.2 : min. npc spacing, should be manually merged if less than : 0.27um - - - - - nsd.1 - nsd.1 : min. nsdm width : 0.38um - - - - - nsd.2 - nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um - - - - - psd.1 - psd.1 : min. psdm width : 0.38um - - - - - psd.2 - psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um - - - - - licon.1 - licon.1 : licon should be rectangle - - - - - licon.1_a/b - licon.1_a/b : minimum/maximum width of licon : 0.17um - - - - - licon.13 - licon.13 : min. difftap licon spacing to npc : 0.09um - - - - - licon.13_a - licon.13_a : licon of diffTap in periphery must not overlap npc - - - - - licon.17 - licon.17 : Licons may not overlap both poly and (diff or tap) - - - - - capm.1 - capm.1 : min. capm width : 1.0um - - - - - capm.2a - capm.2a : min. capm spacing : 0.84um - - - - - capm.2b - capm.2b : min. capm spacing : 1.2um - - - - - capm.2b_a - capm.2b_a : min. spacing of m3_bot_plate : 1.2um - - - - - capm.3 - capm.3 : min. capm and m3 enclosure of m3 : 0.14um - - - - - capm.3_a - capm.3_a : min. m3 enclosure of capm : 0.14um - - - - - capm.4 - capm.4 : min. capm enclosure of via3 : 0.14um - - - - - capm.5 - capm.5 : min. capm spacing to via3 : 0.14um - - - - - capm.11 - capm.11 : Min spacing of capm and met3 not overlapping capm : 0.5um - - - - - cap2m.1 - cap2m.1 : min. cap2m width : 1.0um - - - - - cap2m.2a - cap2m.2a : min. cap2m spacing : 0.84um - - - - - cap2m.2b - cap2m.2b : min. cap2m spacing : 1.2um - - - - - cap2m.2b_a - cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um - - - - - cap2m.3 - cap2m.3 : min. m4 enclosure of cap2m : 0.14um - - - - - cap2m.3_a - cap2m.3_a : min. m4 enclosure of cap2m : 0.14um - - - - - cap2m.4 - cap2m.4 : min. cap2m enclosure of via4 : 0.14um - - - - - cap2m.5 - cap2m.5 : min. cap2m spacing to via4 : 0.14um - - - - - cap2m.11 - cap2m.11 : Min spacing of cap2m and met4 not overlapping cap2m : 0.5um - - - - - li.1 - li.1 : min. li width : 0.17um - - - - - li.3 - li.3 : min. li spacing : 0.17um - - - - - li.5 - li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um - - - - - li.6 - li.6 : min. li area : 0.0561um² - - - - - ct.1 - ct.1: non-ring mcon should be rectangular - - - - - ct.1_a - ct.1_a : minimum width of mcon : 0.17um - - - - - ct.1_b - ct.1_b : maximum length of mcon : 0.17um - - - - - ct.2 - ct.2 : min. mcon spacing : 0.19um - - - - - ct.3 - ct.3 : min. width of ring-shaped mcon : 0.17um - - - - - ct.3_a - ct.3_a : max. width of ring-shaped mcon : 0.175um - - - - - ct.3_b - ct.3_b: ring-shaped mcon must be enclosed by areaid_sl - - - - - ct.4 - ct.4 : mcon should covered by li - - - - - m1.1 - m1.1 : min. m1 width : 0.14um - - - - - m1.2 - m1.2 : min. m1 spacing : 0.14um - - - - - m1.3ab - m1.3ab : min. 3um.m1 spacing m1 : 0.28um - - - - - 791_m1.4 - 791_m1.4 : min. m1 enclosure of mcon : 0.03um - - - - - m1.4 - m1.4 : mcon periphery must be enclosed by m1 - - - - - m1.4a - m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um - - - - - m1.4a_a - m1.4a_a : mcon periph must be enclosed by met1 for specific cells - - - - - m1.6 - m1.6 : min. m1 area : 0.083um² - - - - - m1.7 - m1.7 : min. m1 with holes area : 0.14um² - - - - - m1.5 - m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um - - - - - via.1a - via.1a : via outside of moduleCut should be rectangular - - - - - via.1a_a - via.1a_a : min. width of via outside of moduleCut : 0.15um - - - - - via.1a_b - via.1a_b : maximum length of via : 0.15um - - - - - via.2 - via.2 : min. via spacing : 0.17um - - - - - via.3 - via.3 : min. width of ring-shaped via : 0.2um - - - - - via.3_a - via.3_a : max. width of ring-shaped via : 0.205um - - - - - via.3_b - via.3_b: ring-shaped via must be enclosed by areaid_sl - - - - - via.4a - via.4a : min. m1 enclosure of 0.15um via : 0.055um - - - - - via.4a_a - via.4a_a : 0.15um via must be enclosed by met1 - - - - - via.5a - via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um - - - - - m2.1 - m2.1 : min. m2 width : 0.14um - - - - - m2.2 - m2.2 : min. m2 spacing : 0.14um - - - - - m2.3ab - m2.3ab : min. 3um.m2 spacing m2 : 0.28um - - - - - m2.6 - m2.6 : min. m2 area : 0.0676um² - - - - - m2.7 - m2.7 : min. m2 holes area : 0.14um² - - - - - m2.4 - m2.4 : min. m2 enclosure of via : 0.055um - - - - - m2.4_a - m2.4_a : via in periphery must be enclosed by met2 - - - - - m2.5 - m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um - - - - - via2.1a - via2.1a : via2 outside of moduleCut should be rectangular - - - - - via2.1a_a - via2.1a_a : min. width of via2 outside of moduleCut : 0.2um - - - - - via2.1a_b - via2.1a_b : maximum length of via2 : 0.2um - - - - - via2.2 - via2.2 : min. via2 spacing : 0.2um - - - - - via2.3 - via2.3 : min. width of ring-shaped via2 : 0.2um - - - - - via2.3_a - via2.3_a : max. width of ring-shaped via2 : 0.205um - - - - - via2.3_b - via2.3_b: ring-shaped via2 must be enclosed by areaid_sl - - - - - via2.4 - via2.4 : min. m2 enclosure of via2 : 0.04um - - - - - via2.4_a - via2.4_a : via must be enclosed by met2 - - - - - via2.5 - via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um - - - - - m3.1 - m3.1 : min. m3 width : 0.3um - - - - - m3.2 - m3.2 : min. m3 spacing : 0.3um - - - - - m3.3cd - m3.3cd : min. 3um.m3 spacing m3 : 0.4um - - - - - m3.4 - m3.4 : min. m3 enclosure of via2 : 0.065um - - - - - m3.4_a - m3.4_a : via2 must be enclosed by met3 - - - - - via3.1 - via3.1 : via3 outside of moduleCut should be rectangular - - - - - via3.1_a - via3.1_a : min. width of via3 outside of moduleCut : 0.2um - - - - - via3.1_b - via3.1_b : maximum length of via3 : 0.2um - - - - - via3.2 - via3.2 : min. via3 spacing : 0.2um - - - - - via3.4 - via3.4 : min. m3 enclosure of via3 : 0.06um - - - - - via3.4_a - via3.4_a : non-ring via3 must be enclosed by met3 - - - - - via3.5 - via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um - - - - - m4.1 - m4.1 : min. m4 width : 0.3um - - - - - m4.2 - m4.2 : min. m4 spacing : 0.3um - - - - - m4.4a - m4.4a : min. m4 area : 0.240um² - - - - - m4.5ab - m4.5ab : min. 3um.m4 spacing m4 : 0.4um - - - - - m4.3 - m4.3 : min. m4 enclosure of via3 : 0.065um - - - - - m4.3_a - m4.3_a : via3 must be enclosed by met4 - - - - - via4.1 - via4.1 : via4 outside of moduleCut should be rectangular - - - - - via4.1_a - via4.1_a : min. width of via4 outside of moduleCut : 0.8um - - - - - via4.1_b - via4.1_b : maximum length of via4 : 0.8um - - - - - via4.2 - via4.2 : min. via4 spacing : 0.8um - - - - - via4.3 - via4.3 : min. width of ring-shaped via4 : 0.8um - - - - - via4.3_a - via4.3_a : max. width of ring-shaped via4 : 0.805um - - - - - via4.3_b - via4.3_b: ring-shaped via4 must be enclosed by areaid_sl - - - - - via4.4 - via4.4 : min. m4 enclosure of via4 : 0.19um - - - - - via4.4_a - via4.4_a : m4 must enclose all via4 - - - - - m5.1 - m5.1 : min. m5 width : 1.6um - - - - - m5.2 - m5.2 : min. m5 spacing : 1.6um - - - - - m5.3 - m5.3 : min. m5 enclosure of via4 : 0.31um - - - - - m5.3_a - m5.3_a : via must be enclosed by m5 - - - - - m5.4 - m5.4 : min. m5 area : 4.0um² - - - - - pad.2 - pad.2 : min. pad spacing : 1.27um - - - - - hvi.1 - hvi.1 : min. hvi width : 0.6um - - - - - hvi.2a - hvi.2a : min. hvi spacing : 0.7um - - - - - hvntm.1 - hvntm.1 : min. hvntm width : 0.7um - - - - - hvntm.2 - hvntm.2 : min. hvntm spacing : 0.7um - - - - - - - digital_pll - - - - - - - - diff --git a/signoff/digital_pll/standalone_pvr/lvs_summary.rpt b/signoff/digital_pll/standalone_pvr/lvs_summary.rpt deleted file mode 100644 index 64103fd3..00000000 --- a/signoff/digital_pll/standalone_pvr/lvs_summary.rpt +++ /dev/null @@ -1 +0,0 @@ -Layout Vs Schematic Passed \ No newline at end of file