From 37720ea216dd6ee304c3e68975677f4a908b6aeb Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Tue, 20 Sep 2022 16:00:09 -0400 Subject: [PATCH 01/44] Corrections to the padframe to make sure that all pad digital inputs that are permanently tied low or high come from either the local "TIE" pad connections (if they are in the 3.3V domain) or from a constant one wire in the 1.8V domain that is generated in the gpio_control_block module and exported to the chip_io (or chip_io_alt) module. --- verilog/rtl/caravan.v | 23 ++++--- verilog/rtl/caravel.v | 25 ++++--- verilog/rtl/chip_io.v | 33 +++++---- verilog/rtl/chip_io_alt.v | 31 +++++---- verilog/rtl/mprj_io.v | 9 +-- verilog/rtl/pads.v | 136 ++++++++++++++++++++------------------ 6 files changed, 136 insertions(+), 121 deletions(-) diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index 6834a533..5e9c6189 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -165,6 +165,7 @@ module caravan ( wire [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] mprj_io_in; wire [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] mprj_io_in_3v3; wire [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] mprj_io_out; + wire [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] mprj_io_one; // User Project Control (user-facing) // 27 GPIO bidirectional with in/out/oeb and a 3.3V copy of the input @@ -292,6 +293,9 @@ module caravan ( .vssd1 (vssd1_core), .vssd2 (vssd2_core), + // Connect 1.8V constant one to nearest GPIO control block + .vccd_const_one(mprj_io_one[`MPRJ_IO_PADS-`ANALOG_PADS-1]), + .gpio(gpio), .mprj_io(mprj_io), .clock(clock), @@ -325,6 +329,7 @@ module caravan ( .flash_io1_do_core(flash_io1_do), .flash_io0_di_core(flash_io0_di), .flash_io1_di_core(flash_io1_di), + .mprj_io_one(mprj_io_one), .mprj_io_in(mprj_io_in), .mprj_io_in_3v3(mprj_io_in_3v3), .mprj_io_out(mprj_io_out), @@ -1099,7 +1104,7 @@ module caravan ( .mgmt_gpio_out(mgmt_io_out[1:0]), .mgmt_gpio_oeb(mgmt_io_oeb[1:0]), - .one(), + .one(mprj_io_one[1:0]), .zero(), // Serial data chain for pad configuration @@ -1127,7 +1132,6 @@ module caravan ( ); /* Section 1 GPIOs (GPIO 0 to 18) */ - wire [`MPRJ_IO_PADS_1-`ANALOG_PADS_1-3:0] one_loop1; /* Section 1 GPIOs (GPIO 2 to 7) that start up under management control */ @@ -1153,9 +1157,9 @@ module caravan ( .mgmt_gpio_in(mgmt_io_in[7:2]), .mgmt_gpio_out(mgmt_io_in[7:2]), - .mgmt_gpio_oeb(one_loop1[5:0]), + .mgmt_gpio_oeb(mprj_io_one[7:2]), - .one(one_loop1[5:0]), + .one(mprj_io_one[7:2]), .zero(), // Serial data chain for pad configuration @@ -1205,9 +1209,9 @@ module caravan ( .mgmt_gpio_in(mgmt_io_in[`DIG1_TOP:8]), .mgmt_gpio_out(mgmt_io_in[`DIG1_TOP:8]), - .mgmt_gpio_oeb(one_loop1[`MPRJ_IO_PADS_1-`ANALOG_PADS_1-3:6]), + .mgmt_gpio_oeb(mprj_io_one[(`MPRJ_IO_PADS_1-`ANALOG_PADS_1-1):8]), - .one(one_loop1[`MPRJ_IO_PADS_1-`ANALOG_PADS_1-3:6]), + .one(mprj_io_one[(`MPRJ_IO_PADS_1-`ANALOG_PADS_1-1):8]), .zero(), // Serial data chain for pad configuration @@ -1260,7 +1264,7 @@ module caravan ( .mgmt_gpio_out(mgmt_io_out[4:2]), .mgmt_gpio_oeb(mgmt_io_oeb[4:2]), - .one(), + .one(mprj_io_one[(`MPRJ_DIG_PADS-1):(`MPRJ_DIG_PADS-3)]), .zero(), // Serial data chain for pad configuration @@ -1288,7 +1292,6 @@ module caravan ( ); /* Section 2 GPIOs (GPIO 19 to 37) */ - wire [`MPRJ_IO_PADS_2-`ANALOG_PADS_2-4:0] one_loop2; gpio_control_block gpio_control_in_2 [`MPRJ_IO_PADS_2-`ANALOG_PADS_2-4:0] ( `ifdef USE_POWER_PINS @@ -1312,9 +1315,9 @@ module caravan ( .mgmt_gpio_in(mgmt_io_in[(`DIG2_TOP-3):`DIG2_BOT]), .mgmt_gpio_out(mgmt_io_in[(`DIG2_TOP-3):`DIG2_BOT]), - .mgmt_gpio_oeb(one_loop2), + .mgmt_gpio_oeb(mprj_io_one[(`MPRJ_IO_PADS_2-`ANALOG_PADS_2-4):0]), - .one(one_loop2), + .one(mprj_io_one[(`MPRJ_IO_PADS_2-`ANALOG_PADS_2-4):0]), .zero(), // Serial data chain for pad configuration diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index 706cb21a..5ee33bdc 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -142,6 +142,7 @@ module caravel ( wire [`MPRJ_IO_PADS*3-1:0] mprj_io_dm; wire [`MPRJ_IO_PADS-1:0] mprj_io_in; wire [`MPRJ_IO_PADS-1:0] mprj_io_out; + wire [`MPRJ_IO_PADS-1:0] mprj_io_one; // User Project Control (user-facing) wire [`MPRJ_IO_PADS-1:0] user_io_oeb; @@ -252,7 +253,8 @@ module caravel ( .vccd2 (vccd2_core), .vssd1 (vssd1_core), .vssd2 (vssd2_core), - + // Connect 1.8V constant one to nearest GPIO control block + .vccd_const_one(mprj_io_one[`MPRJ_IO_PADS-1]), .gpio(gpio), .mprj_io(mprj_io), .clock(clock), @@ -286,6 +288,7 @@ module caravel ( .flash_io1_do_core(flash_io1_do), .flash_io0_di_core(flash_io0_di), .flash_io1_di_core(flash_io1_di), + .mprj_io_one(mprj_io_one), .mprj_io_in(mprj_io_in), .mprj_io_out(mprj_io_out), .mprj_io_oeb(mprj_io_oeb), @@ -1152,7 +1155,7 @@ module caravel ( .mgmt_gpio_out(mgmt_io_out[1:0]), .mgmt_gpio_oeb(mgmt_io_oeb[1:0]), - .one(), + .one(mprj_io_one[1:0]), .zero(), // Serial data chain for pad configuration @@ -1179,9 +1182,6 @@ module caravel ( .pad_gpio_in(mprj_io_in[1:0]) ); - /* Section 1 GPIOs (GPIO 0 to 18) */ - wire [`MPRJ_IO_PADS_1-1:2] one_loop1; - /* Section 1 GPIOs (GPIO 2 to 7) that start up under management control */ gpio_control_block gpio_control_in_1a [5:0] ( @@ -1206,9 +1206,9 @@ module caravel ( .mgmt_gpio_in(mgmt_io_in[7:2]), .mgmt_gpio_out(mgmt_io_in[7:2]), - .mgmt_gpio_oeb(one_loop1[7:2]), + .mgmt_gpio_oeb(mprj_io_one[7:2]), - .one(one_loop1[7:2]), + .one(mprj_io_one[7:2]), .zero(), // Serial data chain for pad configuration @@ -1259,9 +1259,9 @@ module caravel ( .mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS_1-1):8]), .mgmt_gpio_out(mgmt_io_in[(`MPRJ_IO_PADS_1-1):8]), - .mgmt_gpio_oeb(one_loop1[(`MPRJ_IO_PADS_1-1):8]), + .mgmt_gpio_oeb(mprj_io_one[(`MPRJ_IO_PADS_1-1):8]), - .one(one_loop1[(`MPRJ_IO_PADS_1-1):8]), + .one(mprj_io_one[(`MPRJ_IO_PADS_1-1):8]), .zero(), // Serial data chain for pad configuration @@ -1314,7 +1314,7 @@ module caravel ( .mgmt_gpio_out(mgmt_io_out[4:2]), .mgmt_gpio_oeb(mgmt_io_oeb[4:2]), - .one(), + .one(mprj_io_one[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-3)]), .zero(), // Serial data chain for pad configuration @@ -1342,7 +1342,6 @@ module caravel ( ); /* Section 2 GPIOs (GPIO 19 to 34) */ - wire [`MPRJ_IO_PADS_2-4:0] one_loop2; gpio_control_block gpio_control_in_2 [`MPRJ_IO_PADS_2-4:0] ( `ifdef USE_POWER_PINS @@ -1366,9 +1365,9 @@ module caravel ( .mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS-4):(`MPRJ_IO_PADS_1)]), .mgmt_gpio_out(mgmt_io_in[(`MPRJ_IO_PADS-4):(`MPRJ_IO_PADS_1)]), - .mgmt_gpio_oeb(one_loop2), + .mgmt_gpio_oeb(mprj_io_one[(`MPRJ_IO_PADS-4):(`MPRJ_IO_PADS_1)]), - .one(one_loop2), + .one(mprj_io_one[(`MPRJ_IO_PADS-4):(`MPRJ_IO_PADS_1)]), .zero(), // Serial data chain for pad configuration diff --git a/verilog/rtl/chip_io.v b/verilog/rtl/chip_io.v index 8ae69d11..6d2b3d07 100644 --- a/verilog/rtl/chip_io.v +++ b/verilog/rtl/chip_io.v @@ -61,6 +61,7 @@ module chip_io( // Chip Core Interface input porb_h, input por, + input vccd_const_one, output resetb_core_h, output clock_core, input gpio_out_core, @@ -97,6 +98,8 @@ module chip_io( input [`MPRJ_IO_PADS-1:0] mprj_io_analog_pol, input [`MPRJ_IO_PADS*3-1:0] mprj_io_dm, output [`MPRJ_IO_PADS-1:0] mprj_io_in, + // Loopbacks to constant value 1 in the 1.8V domain + input [`MPRJ_IO_PADS-1:0] mprj_io_one, // User project direct access to gpio pad connections for analog // (all but the lowest-numbered 7 pads) inout [`MPRJ_IO_PADS-10:0] mprj_analog_io @@ -274,18 +277,18 @@ module chip_io( {flash_io1_ieb_core, flash_io1_ieb_core, flash_io1_oeb_core}; // Management clock input pad - `INPUT_PAD(clock, clock_core); + `INPUT_PAD(clock, clock_core, vccd_const_one); // Management GPIO pad - `INOUT_PAD(gpio, gpio_in_core, gpio_out_core, gpio_inenb_core, gpio_outenb_core, dm_all); + `INOUT_PAD(gpio, gpio_in_core, vccd_const_one, gpio_out_core, gpio_inenb_core, gpio_outenb_core, dm_all); // Management Flash SPI pads - `INOUT_PAD(flash_io0, flash_io0_di_core, flash_io0_do_core, flash_io0_ieb_core, flash_io0_oeb_core, flash_io0_mode); + `INOUT_PAD(flash_io0, flash_io0_di_core, vccd_const_one, flash_io0_do_core, flash_io0_ieb_core, flash_io0_oeb_core, flash_io0_mode); - `INOUT_PAD(flash_io1, flash_io1_di_core, flash_io1_do_core, flash_io1_ieb_core, flash_io1_oeb_core, flash_io1_mode); + `INOUT_PAD(flash_io1, flash_io1_di_core, vccd_const_one, flash_io1_do_core, flash_io1_ieb_core, flash_io1_oeb_core, flash_io1_mode); - `OUTPUT_NO_INP_DIS_PAD(flash_csb, flash_csb_core, flash_csb_oeb_core); - `OUTPUT_NO_INP_DIS_PAD(flash_clk, flash_clk_core, flash_clk_oeb_core); + `OUTPUT_NO_INP_DIS_PAD(flash_csb, flash_csb_core, vccd_const_one, flash_csb_oeb_core); + `OUTPUT_NO_INP_DIS_PAD(flash_clk, flash_clk_core, vccd_const_one, flash_clk_oeb_core); // NOTE: The analog_out pad from the raven chip has been replaced by // the digital reset input resetb on caravel due to the lack of an on-board @@ -293,6 +296,7 @@ module chip_io( // free reset. wire xresloop; + wire xres_vss_loop; sky130_fd_io__top_xres4v2 resetb_pad ( `MGMT_ABUTMENT_PINS `ifndef TOP_ROUTING @@ -300,16 +304,16 @@ module chip_io( `endif .TIE_WEAK_HI_H(xresloop), // Loop-back connection to pad through pad_a_esd_h .TIE_HI_ESD(), - .TIE_LO_ESD(), + .TIE_LO_ESD(xres_vss_loop), .PAD_A_ESD_H(xresloop), .XRES_H_N(resetb_core_h), - .DISABLE_PULLUP_H(vssio), // 0 = enable pull-up on reset pad - .ENABLE_H(porb_h), // Power-on-reset - .EN_VDDIO_SIG_H(vssio), // No idea. - .INP_SEL_H(vssio), // 1 = use filt_in_h else filter the pad input - .FILT_IN_H(vssio), // Alternate input for glitch filter - .PULLUP_H(vssio), // Pullup connection for alternate filter input - .ENABLE_VDDIO(vccd) + .DISABLE_PULLUP_H(xres_vss_loop), // 0 = enable pull-up on reset pad + .ENABLE_H(porb_h), // Power-on-reset + .EN_VDDIO_SIG_H(xres_vss_loop), // No idea. + .INP_SEL_H(xres_vss_loop), // 1 = use filt_in_h else filter the pad input + .FILT_IN_H(xres_vss_loop), // Alternate input for glitch filter + .PULLUP_H(xres_vss_loop), // Pullup connection for alternate filter input + .ENABLE_VDDIO(vccd_const_one) ); // Corner cells (These are overlay cells; it is not clear what is normally @@ -378,6 +382,7 @@ module chip_io( .analog_a(analog_a), .analog_b(analog_b), .porb_h(porb_h), + .vccd_conb(mprj_io_one), .io(mprj_io), .io_out(mprj_io_out), .oeb(mprj_io_oeb), diff --git a/verilog/rtl/chip_io_alt.v b/verilog/rtl/chip_io_alt.v index 0ea1fafa..b99afbac 100644 --- a/verilog/rtl/chip_io_alt.v +++ b/verilog/rtl/chip_io_alt.v @@ -116,6 +116,7 @@ module chip_io_alt #( input [(`MPRJ_IO_PADS-ANALOG_PADS_1-ANALOG_PADS_2)*3-1:0] mprj_io_dm, output [`MPRJ_IO_PADS-ANALOG_PADS_1-ANALOG_PADS_2-1:0] mprj_io_in, output [`MPRJ_IO_PADS-ANALOG_PADS_1-ANALOG_PADS_2-1:0] mprj_io_in_3v3, + input [`MPRJ_IO_PADS-ANALOG_PADS_1-ANALOG_PADS_2-1:0] mprj_io_one, // User project direct access to gpio pad connections for analog // "analog" connects to the "esd_0" pin of the GPIO pad, and @@ -344,17 +345,17 @@ module chip_io_alt #( {flash_io1_ieb_core, flash_io1_ieb_core, flash_io1_oeb_core}; // Management clock input pad - `INPUT_PAD(clock, clock_core); + `INPUT_PAD(clock, clock_core, vccd_const_one); // Management GPIO pad - `INOUT_PAD(gpio, gpio_in_core, gpio_out_core, gpio_inenb_core, gpio_outenb_core, dm_all); + `INOUT_PAD(gpio, gpio_in_core, vccd_const_one, gpio_out_core, gpio_inenb_core, gpio_outenb_core, dm_all); // Management Flash SPI pads - `INOUT_PAD(flash_io0, flash_io0_di_core, flash_io0_do_core, flash_io0_ieb_core, flash_io0_oeb_core, flash_io0_mode); - `INOUT_PAD(flash_io1, flash_io1_di_core, flash_io1_do_core, flash_io1_ieb_core, flash_io1_oeb_core, flash_io1_mode); + `INOUT_PAD(flash_io0, flash_io0_di_core, vccd_const_one, flash_io0_do_core, flash_io0_ieb_core, flash_io0_oeb_core, flash_io0_mode); + `INOUT_PAD(flash_io1, flash_io1_di_core, vccd_const_one, flash_io1_do_core, flash_io1_ieb_core, flash_io1_oeb_core, flash_io1_mode); - `OUTPUT_NO_INP_DIS_PAD(flash_csb, flash_csb_core, flash_csb_oeb_core); - `OUTPUT_NO_INP_DIS_PAD(flash_clk, flash_clk_core, flash_clk_oeb_core); + `OUTPUT_NO_INP_DIS_PAD(flash_csb, flash_csb_core, vccd_const_one, flash_csb_oeb_core); + `OUTPUT_NO_INP_DIS_PAD(flash_clk, flash_clk_core, vccd_const_one, flash_clk_oeb_core); // NOTE: The analog_out pad from the raven chip has been replaced by // the digital reset input resetb on caravel due to the lack of an on-board @@ -362,6 +363,7 @@ module chip_io_alt #( // free reset. wire xresloop; + wire xres_zero_loop sky130_fd_io__top_xres4v2 resetb_pad ( `MGMT_ABUTMENT_PINS `ifndef TOP_ROUTING @@ -369,16 +371,16 @@ module chip_io_alt #( `endif .TIE_WEAK_HI_H(xresloop), // Loop-back connection to pad through pad_a_esd_h .TIE_HI_ESD(), - .TIE_LO_ESD(), + .TIE_LO_ESD(xres_zero_loop), .PAD_A_ESD_H(xresloop), .XRES_H_N(resetb_core_h), - .DISABLE_PULLUP_H(vssio), // 0 = enable pull-up on reset pad - .ENABLE_H(porb_h), // Power-on-reset - .EN_VDDIO_SIG_H(vssio), // No idea. - .INP_SEL_H(vssio), // 1 = use filt_in_h else filter the pad input - .FILT_IN_H(vssio), // Alternate input for glitch filter - .PULLUP_H(vssio), // Pullup connection for alternate filter input - .ENABLE_VDDIO(vccd) + .DISABLE_PULLUP_H(xres_zero_loop), // 0 = enable pull-up on reset pad + .ENABLE_H(porb_h), // Power-on-reset + .EN_VDDIO_SIG_H(xres_zero_loop), // No idea. + .INP_SEL_H(xres_zero_loop), // 1 = use filt_in_h else filter the pad input + .FILT_IN_H(xres_zero_loop), // Alternate input for glitch filter + .PULLUP_H(xres_zero_loop), // Pullup connection for alternate filter input + .ENABLE_VDDIO(vccd_const_one) ); // Corner cells (These are overlay cells; it is not clear what is normally @@ -451,6 +453,7 @@ module chip_io_alt #( .analog_a(analog_a), .analog_b(analog_b), .porb_h(porb_h), + .vccd_conb(mprj_io_one), .io({mprj_io[`MPRJ_IO_PADS-1:`MPRJ_IO_PADS_1+ANALOG_PADS_2], mprj_io[`MPRJ_IO_PADS_1-ANALOG_PADS_1-1:0]}), diff --git a/verilog/rtl/mprj_io.v b/verilog/rtl/mprj_io.v index ec4fdf99..f76cfc7a 100644 --- a/verilog/rtl/mprj_io.v +++ b/verilog/rtl/mprj_io.v @@ -44,6 +44,7 @@ module mprj_io #( input analog_a, input analog_b, input porb_h, + input [TOTAL_PADS-1:0] vccd_conb, inout [TOTAL_PADS-1:0] io, input [TOTAL_PADS-1:0] io_out, input [TOTAL_PADS-1:0] oeb, @@ -79,8 +80,8 @@ module mprj_io #( .ENABLE_H(enh[AREA1PADS - 1:0]), .ENABLE_INP_H(loop1_io[AREA1PADS - 1:0]), .ENABLE_VDDA_H(porb_h), - .ENABLE_VSWITCH_H(vssio), - .ENABLE_VDDIO(vccd), + .ENABLE_VSWITCH_H(loop1_io[AREA1PADS - 1:0]), + .ENABLE_VDDIO(vccd_conb[AREA1PADS - 1:0]), .INP_DIS(inp_dis[AREA1PADS - 1:0]), .IB_MODE_SEL(ib_mode_sel[AREA1PADS - 1:0]), .VTRIP_SEL(vtrip_sel[AREA1PADS - 1:0]), @@ -110,8 +111,8 @@ module mprj_io #( .ENABLE_H(enh[TOTAL_PADS - 1:AREA1PADS]), .ENABLE_INP_H(loop1_io[TOTAL_PADS - 1:AREA1PADS]), .ENABLE_VDDA_H(porb_h), - .ENABLE_VSWITCH_H(vssio), - .ENABLE_VDDIO(vccd), + .ENABLE_VSWITCH_H(loop1_io[TOTAL_PADS - 1:AREA1PADS]), + .ENABLE_VDDIO(vccd_conb[TOTAL_PADS - 1:AREA1PADS]), .INP_DIS(inp_dis[TOTAL_PADS - 1:AREA1PADS]), .IB_MODE_SEL(ib_mode_sel[TOTAL_PADS - 1:AREA1PADS]), .VTRIP_SEL(vtrip_sel[TOTAL_PADS - 1:AREA1PADS]), diff --git a/verilog/rtl/pads.v b/verilog/rtl/pads.v index 86e0be5d..3a09e243 100644 --- a/verilog/rtl/pads.v +++ b/verilog/rtl/pads.v @@ -73,40 +73,42 @@ .SRC_BDY_LVC1(L1), \ .SRC_BDY_LVC2(L2) -`define INPUT_PAD(X,Y) \ - wire loop_``X; \ +`define INPUT_PAD(X,Y,CONB_ONE) \ + wire loop_zero_``X; \ + wire loop_one_``X; \ sky130_ef_io__gpiov2_pad_wrapped X``_pad ( \ `MGMT_ABUTMENT_PINS \ `ifndef TOP_ROUTING \ .PAD(X), \ `endif \ - .OUT(vssd), \ - .OE_N(vccd), \ - .HLD_H_N(vddio), \ + .OUT(loop_zero_``X), \ + .OE_N(CONB_ONE), \ + .HLD_H_N(loop_one_``X), \ .ENABLE_H(porb_h), \ - .ENABLE_INP_H(loop_``X), \ + .ENABLE_INP_H(loop_zero_``X), \ .ENABLE_VDDA_H(porb_h), \ - .ENABLE_VSWITCH_H(vssa), \ - .ENABLE_VDDIO(vccd), \ + .ENABLE_VSWITCH_H(loop_zero_``X), \ + .ENABLE_VDDIO(CONB_ONE), \ .INP_DIS(por), \ - .IB_MODE_SEL(vssd), \ - .VTRIP_SEL(vssd), \ - .SLOW(vssd), \ - .HLD_OVR(vssd), \ - .ANALOG_EN(vssd), \ - .ANALOG_SEL(vssd), \ - .ANALOG_POL(vssd), \ - .DM({vssd, vssd, vccd}), \ + .IB_MODE_SEL(loop_zero_``X), \ + .VTRIP_SEL(loop_zero_``X), \ + .SLOW(loop_zero_``X), \ + .HLD_OVR(loop_zero_``X), \ + .ANALOG_EN(loop_zero_``X), \ + .ANALOG_SEL(loop_zero_``X), \ + .ANALOG_POL(loop_zero_``X), \ + .DM({loop_zero_``X, loop_zero_``X, vccd}), \ .PAD_A_NOESD_H(), \ .PAD_A_ESD_0_H(), \ .PAD_A_ESD_1_H(), \ .IN(Y), \ .IN_H(), \ - .TIE_HI_ESD(), \ - .TIE_LO_ESD(loop_``X) ) + .TIE_HI_ESD(loop_one_``X), \ + .TIE_LO_ESD(loop_zero_``X) ) -`define OUTPUT_PAD(X,Y,INPUT_DIS,OUT_EN_N) \ - wire loop_``X; \ +`define OUTPUT_PAD(X,Y,CONB_ONE,INPUT_DIS,OUT_EN_N) \ + wire loop_zero_``X; \ + wire loop_one_``X; \ sky130_ef_io__gpiov2_pad_wrapped X``_pad ( \ `MGMT_ABUTMENT_PINS \ `ifndef TOP_ROUTING \ @@ -114,31 +116,32 @@ `endif \ .OUT(Y), \ .OE_N(OUT_EN_N), \ - .HLD_H_N(vddio), \ + .HLD_H_N(loop_one_``X), \ .ENABLE_H(porb_h), \ - .ENABLE_INP_H(loop_``X), \ + .ENABLE_INP_H(loop_zero_``X), \ .ENABLE_VDDA_H(porb_h), \ - .ENABLE_VSWITCH_H(vssa), \ - .ENABLE_VDDIO(vccd), \ + .ENABLE_VSWITCH_H(loop_zero_``X), \ + .ENABLE_VDDIO(CONB_ONE), \ .INP_DIS(INPUT_DIS), \ - .IB_MODE_SEL(vssd), \ - .VTRIP_SEL(vssd), \ - .SLOW(vssd), \ - .HLD_OVR(vssd), \ - .ANALOG_EN(vssd), \ - .ANALOG_SEL(vssd), \ - .ANALOG_POL(vssd), \ - .DM({vccd, vccd, vssd}), \ + .IB_MODE_SEL(loop_zero_``X), \ + .VTRIP_SEL(loop_zero_``X), \ + .SLOW(loop_zero_``X), \ + .HLD_OVR(loop_zero_``X), \ + .ANALOG_EN(loop_zero_``X), \ + .ANALOG_SEL(loop_zero_``X), \ + .ANALOG_POL(loop_zero_``X), \ + .DM({CONB_ONE, CONB_ONE, loop_zero_``X}), \ .PAD_A_NOESD_H(), \ .PAD_A_ESD_0_H(), \ .PAD_A_ESD_1_H(), \ .IN(), \ .IN_H(), \ - .TIE_HI_ESD(), \ - .TIE_LO_ESD(loop_``X)) + .TIE_HI_ESD(loop_one_``X), \ + .TIE_LO_ESD(loop_zero_``X)) -`define OUTPUT_NO_INP_DIS_PAD(X,Y,OUT_EN_N) \ - wire loop_``X; \ +`define OUTPUT_NO_INP_DIS_PAD(X,Y,CONB_ONE,OUT_EN_N) \ + wire loop_zero_``X; \ + wire loop_one_``X; \ sky130_ef_io__gpiov2_pad_wrapped X``_pad ( \ `MGMT_ABUTMENT_PINS \ `ifndef TOP_ROUTING \ @@ -146,31 +149,32 @@ `endif \ .OUT(Y), \ .OE_N(OUT_EN_N), \ - .HLD_H_N(vddio), \ + .HLD_H_N(loop_one_``X), \ .ENABLE_H(porb_h), \ - .ENABLE_INP_H(loop_``X), \ + .ENABLE_INP_H(loop_zero_``X), \ .ENABLE_VDDA_H(porb_h), \ - .ENABLE_VSWITCH_H(vssa), \ - .ENABLE_VDDIO(vccd), \ - .INP_DIS(loop_``X), \ - .IB_MODE_SEL(vssd), \ - .VTRIP_SEL(vssd), \ - .SLOW(vssd), \ - .HLD_OVR(vssd), \ - .ANALOG_EN(vssd), \ - .ANALOG_SEL(vssd), \ - .ANALOG_POL(vssd), \ - .DM({vccd, vccd, vssd}), \ + .ENABLE_VSWITCH_H(loop_zero_``X), \ + .ENABLE_VDDIO(CONB_ONE), \ + .INP_DIS(loop_zero_``X), \ + .IB_MODE_SEL(loop_zero_``X), \ + .VTRIP_SEL(loop_zero_``X), \ + .SLOW(loop_zero_``X), \ + .HLD_OVR(loop_zero_``X), \ + .ANALOG_EN(loop_zero_``X), \ + .ANALOG_SEL(loop_zero_``X), \ + .ANALOG_POL(loop_zero_``X), \ + .DM({CONB_ONE, CONB_ONE, loop_zero_``X}), \ .PAD_A_NOESD_H(), \ .PAD_A_ESD_0_H(), \ .PAD_A_ESD_1_H(), \ .IN(), \ .IN_H(), \ - .TIE_HI_ESD(), \ - .TIE_LO_ESD(loop_``X)) + .TIE_HI_ESD(loop_one_``X), \ + .TIE_LO_ESD(loop_zero_``X)) -`define INOUT_PAD(X,Y,Y_OUT,INPUT_DIS,OUT_EN_N,MODE) \ - wire loop_``X; \ +`define INOUT_PAD(X,Y,CONB_ONE,Y_OUT,INPUT_DIS,OUT_EN_N,MODE) \ + wire loop_zero_``X; \ + wire loop_one_``X; \ sky130_ef_io__gpiov2_pad_wrapped X``_pad ( \ `MGMT_ABUTMENT_PINS \ `ifndef TOP_ROUTING \ @@ -178,27 +182,27 @@ `endif \ .OUT(Y_OUT), \ .OE_N(OUT_EN_N), \ - .HLD_H_N(vddio), \ + .HLD_H_N(loop_one_``X), \ .ENABLE_H(porb_h), \ - .ENABLE_INP_H(loop_``X), \ + .ENABLE_INP_H(loop_zero_``X), \ .ENABLE_VDDA_H(porb_h), \ - .ENABLE_VSWITCH_H(vssa), \ - .ENABLE_VDDIO(vccd), \ + .ENABLE_VSWITCH_H(loop_zero_``X), \ + .ENABLE_VDDIO(CONB_ONE), \ .INP_DIS(INPUT_DIS), \ - .IB_MODE_SEL(vssd), \ - .VTRIP_SEL(vssd), \ - .SLOW(vssd), \ - .HLD_OVR(vssd), \ - .ANALOG_EN(vssd), \ - .ANALOG_SEL(vssd), \ - .ANALOG_POL(vssd), \ + .IB_MODE_SEL(loop_zero_``X), \ + .VTRIP_SEL(loop_zero_``X), \ + .SLOW(loop_zero_``X), \ + .HLD_OVR(loop_zero_``X), \ + .ANALOG_EN(loop_zero_``X), \ + .ANALOG_SEL(loop_zero_``X), \ + .ANALOG_POL(loop_zero_``X), \ .DM(MODE), \ .PAD_A_NOESD_H(), \ .PAD_A_ESD_0_H(), \ .PAD_A_ESD_1_H(), \ .IN(Y), \ .IN_H(), \ - .TIE_HI_ESD(), \ - .TIE_LO_ESD(loop_``X) ) + .TIE_HI_ESD(loop_one_``X), \ + .TIE_LO_ESD(loop_zero_``X) ) // `default_nettype wire From 3962b061f65fdf9c8d14195b902e1662900920d3 Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Tue, 20 Sep 2022 20:04:12 +0000 Subject: [PATCH 02/44] Apply automatic changes to Manifest and README.rst --- manifest | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/manifest b/manifest index af5987a9..839725da 100644 --- a/manifest +++ b/manifest @@ -2,14 +2,14 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -6576abded424d948d2a7e71c2b4a4df1eda77238 verilog/rtl/caravan.v +670031aa4d92dbf15054b698f859b01d35143aa9 verilog/rtl/caravan.v a855d65d6fc59352e4f8a994e451418d113586fc verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -cb320bf7e981979c4e823270d823395ea609c77e verilog/rtl/caravel.v +22c9fc7c6e9dccd4c8511d9d6ec63765dfaedf3a verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v -d0c5cf9260783b1a88c0b772c2e3cee3dcd0cf76 verilog/rtl/chip_io.v -54de41c59139783d39654e1f0a86e2880cb7b076 verilog/rtl/chip_io_alt.v +a0b12a4769db4cfa0cd340194af3429d3daedb51 verilog/rtl/chip_io.v +2b0bbaa63039534db811c82d808e885a1b9c20e3 verilog/rtl/chip_io_alt.v 126aff02aa229dc346301c552d785dec76a4d68e verilog/rtl/clock_div.v 36af0303a0e84ce4a40a854ef1481f8a56bc9989 verilog/rtl/digital_pll.v ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v @@ -21,9 +21,9 @@ ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v 0f3db7cf4d68971ba4e286c8706b20c9252d1f98 verilog/rtl/mgmt_protect.v 3b1ff20593bc386d13f5e2cf1571f08121889957 verilog/rtl/mgmt_protect_hv.v 9816acedf3dc3edd193861cc217ec46180ac1cdd verilog/rtl/mprj2_logic_high.v -9dd11188f3a6980537dd51d8dd1a827795ac70fc verilog/rtl/mprj_io.v +d71adbc70dbb0ed879d3b75419bd807c866a9680 verilog/rtl/mprj_io.v 3baffde4788f01e2ff0e5cd83020a76bd63ef7d7 verilog/rtl/mprj_logic_high.v -6f490c83d6064c380a3f475823ef97f325d7f6c1 verilog/rtl/pads.v +770d418646d4f4f37a08b5de8308d33eafd7bde9 verilog/rtl/pads.v 669d16642d5dd5f6824812754db20db98c9fe17b verilog/rtl/ring_osc2x13.v 6f802b6ab7e6502160adfe41e313958b86d2c277 verilog/rtl/simple_por.v 1b1705d41992b318c791a5703e0d43d0bcda8f12 verilog/rtl/spare_logic_block.v From e1e23857ff766c114abb56539b9c04634f46caba Mon Sep 17 00:00:00 2001 From: jeffdi Date: Tue, 20 Sep 2022 13:56:50 -0700 Subject: [PATCH 03/44] remove spare logic blocks in top level --- verilog/rtl/caravan.v | 3 +++ verilog/rtl/caravel.v | 2 ++ 2 files changed, 5 insertions(+) diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index 6834a533..642a1e2c 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -1376,6 +1376,7 @@ module caravan ( .X(rstb_l) ); + `ifdef USE_SPARE_LOGIC // Spare logic for metal mask fixes wire [107:0] spare_xz_nc; wire [15:0] spare_xi_nc; @@ -1400,6 +1401,8 @@ module caravan ( .spare_xfq(spare_xfq_nc), .spare_xfqn(spare_xfqn_nc) ); + `endif + endmodule // `default_nettype wire diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index 706cb21a..12f40581 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -1430,6 +1430,7 @@ module caravel ( .X(rstb_l) ); + `ifdef USE_SPARE_LOGIC // Spare logic for metal mask fixes wire [107:0] spare_xz_nc; wire [15:0] spare_xi_nc; @@ -1454,6 +1455,7 @@ module caravel ( .spare_xfq(spare_xfq_nc), .spare_xfqn(spare_xfqn_nc) ); + `endif endmodule // `default_nettype wire From e1d5dd75fec56548294eb922186869eca450fb49 Mon Sep 17 00:00:00 2001 From: jeffdi Date: Tue, 20 Sep 2022 20:57:55 +0000 Subject: [PATCH 04/44] Apply automatic changes to Manifest and README.rst --- manifest | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/manifest b/manifest index af5987a9..1e4e5dfe 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -6576abded424d948d2a7e71c2b4a4df1eda77238 verilog/rtl/caravan.v +f2412513d519d07586462951e8458d6c52b9e901 verilog/rtl/caravan.v a855d65d6fc59352e4f8a994e451418d113586fc verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -cb320bf7e981979c4e823270d823395ea609c77e verilog/rtl/caravel.v +894ca71fbbf78411beb9a37060a70703bf0bc1e7 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v d0c5cf9260783b1a88c0b772c2e3cee3dcd0cf76 verilog/rtl/chip_io.v From 3f3c3db09940fe0e29cee4c2d4ad4d85c57b3115 Mon Sep 17 00:00:00 2001 From: jeffdi Date: Tue, 20 Sep 2022 14:41:43 -0700 Subject: [PATCH 05/44] update for dv simulations for mgmt core --- Makefile | 79 +++++++++++++++++++++++++++++++++++++++++++++++++++----- 1 file changed, 73 insertions(+), 6 deletions(-) diff --git a/Makefile b/Makefile index 2e7ea7d5..9a07a4d0 100644 --- a/Makefile +++ b/Makefile @@ -44,7 +44,7 @@ LARGE_FILES_GZ_SPLIT += $(addsuffix .00.split, $(ARCHIVES)) MCW_ROOT?=$(PWD)/mgmt_core_wrapper MCW ?=LITEX_VEXRISCV -MPW_TAG ?= mpw-5e +MPW_TAG ?= caravel_stanford # PDK switch varient export PDK?=sky130A @@ -201,15 +201,82 @@ clean: cd $(CARAVEL_ROOT)/verilog/dv/wb_utests/ && \ $(MAKE) -j$(THREADS) clean +######### +## Verify + + +#.PHONY: verify +#verify: +# cd $(CARAVEL_ROOT)/verilog/dv/caravel/mgmt_soc/ && \ +# $(MAKE) -j$(THREADS) all +# cd $(CARAVEL_ROOT)/verilog/dv/wb_utests/ && \ +# $(MAKE) -j$(THREADS) all + +.PHONY: simenv +simenv: + docker pull efabless/dv:latest + +dv_patterns=$(shell cd mgmt_core_wrapper/verilog/dv/tests-caravel && find * -maxdepth 0 -type d) +dv-targets-rtl=$(dv_patterns:%=verify-%-rtl) +dv-targets-gl=$(dv_patterns:%=verify-%-gl) +dv-targets-gl-sdf=$(dv_patterns:%=verify-%-gl-sdf) + +TARGET_PATH=$(shell pwd) +verify_command="source ~/.bashrc && cd ${TARGET_PATH}/mgmt_core_wrapper/verilog/dv/tests-caravel/$* && export SIM=${SIM} && make" +dv_base_dependencies=simenv +docker_run_verify=\ + docker run -v ${TARGET_PATH}:${TARGET_PATH} -v ${PDK_ROOT}:${PDK_ROOT} \ + -v ${CARAVEL_ROOT}:${CARAVEL_ROOT} \ + -e TARGET_PATH=${TARGET_PATH} -e PDK_ROOT=${PDK_ROOT} \ + -e CARAVEL_ROOT=${CARAVEL_ROOT} \ + -e TOOLS=/foss/tools/riscv-gnu-toolchain-rv32i/217e7f3debe424d61374d31e33a091a630535937 \ + -e DESIGNS=$(TARGET_PATH) \ + -e PDK=$(PDK) \ + -e CORE_VERILOG_PATH=$(TARGET_PATH)/mgmt_core_wrapper/verilog \ + -e MCW_ROOT=$(MCW_ROOT) \ + -u $$(id -u $$USER):$$(id -g $$USER) efabless/dv:latest \ + sh -c $(verify_command) + +.PHONY: harden +harden: $(blocks) .PHONY: verify -verify: - cd $(CARAVEL_ROOT)/verilog/dv/caravel/mgmt_soc/ && \ - $(MAKE) -j$(THREADS) all - cd $(CARAVEL_ROOT)/verilog/dv/wb_utests/ && \ - $(MAKE) -j$(THREADS) all +verify: $(dv-targets-rtl) +.PHONY: verify-all-rtl +verify-all-rtl: $(dv-targets-rtl) +.PHONY: verify-all-gl +verify-all-gl: $(dv-targets-gl) + +.PHONY: verify-all-gl-sdf +verify-all-gl-sdf: $(dv-targets-gl-sdf) + +$(dv-targets-rtl): SIM=RTL +$(dv-targets-rtl): verify-%-rtl: $(dv_base_dependencies) + $(docker_run_verify) + +$(dv-targets-gl): SIM=GL +$(dv-targets-gl): verify-%-gl: $(dv_base_dependencies) + $(docker_run_verify) + +$(dv-targets-gl-sdf): SIM=GL_SDF +$(dv-targets-gl-sdf): verify-%-gl-sdf: $(dv_base_dependencies) + $(docker_run_verify) + +clean-targets=$(blocks:%=clean-%) +.PHONY: $(clean-targets) +$(clean-targets): clean-% : + rm -f ./verilog/gl/$*.v + rm -f ./spef/$*.spef + rm -f ./sdc/$*.sdc + rm -f ./sdf/$*.sdf + rm -f ./gds/$*.gds + rm -f ./mag/$*.mag + rm -f ./lef/$*.lef + rm -f ./maglef/*.maglef + +############### ##### $(LARGE_FILES_GZ): %.$(ARCHIVE_EXT): % From 66fc0c6a066ab2d2bbc0d9937a3a58b0a703e472 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Tue, 20 Sep 2022 17:49:08 -0400 Subject: [PATCH 06/44] Modified the GPIO control block to buffer the constant high/low outputs. Corrected the pad constant connections to all be in the correct domain (1.8V or 3.3V). Created a new "constant_block" module that generates a single constant 1 and 0 value in the 1.8V domain, and used 7 of these in the chip_io (and chip_io_alt) modules to create the 1.8V domain constant signals for the seven pads belonging to the management (clock, reset, flash SPI, and management GPIO). --- verilog/rtl/caravan.v | 4 -- verilog/rtl/caravan_netlists.v | 2 + verilog/rtl/caravel.v | 2 - verilog/rtl/caravel_netlists.v | 10 +++++ verilog/rtl/chip_io.v | 25 +++++++---- verilog/rtl/chip_io_alt.v | 24 +++++++--- verilog/rtl/constant_block.v | 77 ++++++++++++++++++++++++++++++++ verilog/rtl/gpio_control_block.v | 36 +++++++++++++-- verilog/rtl/pads.v | 74 +++++++++++++++--------------- 9 files changed, 192 insertions(+), 62 deletions(-) create mode 100644 verilog/rtl/constant_block.v diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index 5e9c6189..dcf4a9dc 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -292,10 +292,6 @@ module caravan ( .vccd2 (vccd2_core), .vssd1 (vssd1_core), .vssd2 (vssd2_core), - - // Connect 1.8V constant one to nearest GPIO control block - .vccd_const_one(mprj_io_one[`MPRJ_IO_PADS-`ANALOG_PADS-1]), - .gpio(gpio), .mprj_io(mprj_io), .clock(clock), diff --git a/verilog/rtl/caravan_netlists.v b/verilog/rtl/caravan_netlists.v index 8dc8a7eb..488fda46 100644 --- a/verilog/rtl/caravan_netlists.v +++ b/verilog/rtl/caravan_netlists.v @@ -58,6 +58,7 @@ `include "gl/mprj2_logic_high.v" `include "gl/mgmt_protect.v" `include "gl/mgmt_protect_hv.v" + `include "gl/constant_block.v" `include "gl/gpio_control_block.v" `include "gl/gpio_defaults_block.v" `include "gl/gpio_defaults_block_0403.v" @@ -83,6 +84,7 @@ `include "mprj2_logic_high.v" `include "mgmt_protect.v" `include "mgmt_protect_hv.v" + `include "constant_block.v" `include "gpio_control_block.v" `include "gpio_defaults_block.v" `include "gpio_logic_high.v" diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index 5ee33bdc..315fbc30 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -253,8 +253,6 @@ module caravel ( .vccd2 (vccd2_core), .vssd1 (vssd1_core), .vssd2 (vssd2_core), - // Connect 1.8V constant one to nearest GPIO control block - .vccd_const_one(mprj_io_one[`MPRJ_IO_PADS-1]), .gpio(gpio), .mprj_io(mprj_io), .clock(clock), diff --git a/verilog/rtl/caravel_netlists.v b/verilog/rtl/caravel_netlists.v index c967700e..2a6d2d0a 100644 --- a/verilog/rtl/caravel_netlists.v +++ b/verilog/rtl/caravel_netlists.v @@ -56,6 +56,7 @@ `include "gl/mprj2_logic_high.v" `include "gl/mgmt_protect.v" `include "gl/mgmt_protect_hv.v" + `include "gl/constant_block.v" `include "gl/gpio_control_block.v" `include "gl/gpio_defaults_block.v" `include "gl/gpio_defaults_block_0403.v" @@ -65,6 +66,10 @@ `include "gl/spare_logic_block.v" `include "gl/mgmt_defines.v" `include "gl/mgmt_core_wrapper.v" + `include "gl/mgmt_core.v" + `include "gl/DFFRAM.v" + `include "gl/DFFRAMBB.v" + `include "gl/VexRiscv_LiteDebug.v" `include "gl/caravel.v" `else `include "digital_pll.v" @@ -81,12 +86,17 @@ `include "mprj2_logic_high.v" `include "mgmt_protect.v" `include "mgmt_protect_hv.v" + `include "constant_block.v" `include "gpio_control_block.v" `include "gpio_defaults_block.v" `include "gpio_logic_high.v" `include "xres_buf.v" `include "spare_logic_block.v" `include "mgmt_core_wrapper.v" + `include "mgmt_core.v" + `include "DFFRAM.v" + `include "DFFRAMBB.v" + `include "VexRiscv_LiteDebug.v" `include "caravel.v" `endif diff --git a/verilog/rtl/chip_io.v b/verilog/rtl/chip_io.v index 6d2b3d07..88087745 100644 --- a/verilog/rtl/chip_io.v +++ b/verilog/rtl/chip_io.v @@ -61,7 +61,6 @@ module chip_io( // Chip Core Interface input porb_h, input por, - input vccd_const_one, output resetb_core_h, output clock_core, input gpio_out_core, @@ -277,18 +276,28 @@ module chip_io( {flash_io1_ieb_core, flash_io1_ieb_core, flash_io1_oeb_core}; // Management clock input pad - `INPUT_PAD(clock, clock_core, vccd_const_one); + `INPUT_PAD(clock, clock_core, vccd_const_one[0], vssd_const_zero[0]); + + wire [6:0] vccd_const_one; // Constant value for management pins + wire [6:0] vssd_const_zero; // Constant value for management pins + + constant_block constant_value_inst [6:0] ( + .vccd(vccd), + .vssd(vssd), + .one(vccd_const_one), + .zero(vssd_const_zero) + ); // Management GPIO pad - `INOUT_PAD(gpio, gpio_in_core, vccd_const_one, gpio_out_core, gpio_inenb_core, gpio_outenb_core, dm_all); + `INOUT_PAD(gpio, gpio_in_core, vccd_const_one[1], vssd_const_zero[1], gpio_out_core, gpio_inenb_core, gpio_outenb_core, dm_all); // Management Flash SPI pads - `INOUT_PAD(flash_io0, flash_io0_di_core, vccd_const_one, flash_io0_do_core, flash_io0_ieb_core, flash_io0_oeb_core, flash_io0_mode); + `INOUT_PAD(flash_io0, flash_io0_di_core, vccd_const_one[2], vssd_const_zero[2], flash_io0_do_core, flash_io0_ieb_core, flash_io0_oeb_core, flash_io0_mode); - `INOUT_PAD(flash_io1, flash_io1_di_core, vccd_const_one, flash_io1_do_core, flash_io1_ieb_core, flash_io1_oeb_core, flash_io1_mode); + `INOUT_PAD(flash_io1, flash_io1_di_core, vccd_const_one[3], vssd_const_zero[3], flash_io1_do_core, flash_io1_ieb_core, flash_io1_oeb_core, flash_io1_mode); - `OUTPUT_NO_INP_DIS_PAD(flash_csb, flash_csb_core, vccd_const_one, flash_csb_oeb_core); - `OUTPUT_NO_INP_DIS_PAD(flash_clk, flash_clk_core, vccd_const_one, flash_clk_oeb_core); + `OUTPUT_NO_INP_DIS_PAD(flash_csb, flash_csb_core, vccd_const_one[4], vssd_const_zero[4], flash_csb_oeb_core); + `OUTPUT_NO_INP_DIS_PAD(flash_clk, flash_clk_core, vccd_const_one[5], vssd_const_zero[5], flash_clk_oeb_core); // NOTE: The analog_out pad from the raven chip has been replaced by // the digital reset input resetb on caravel due to the lack of an on-board @@ -313,7 +322,7 @@ module chip_io( .INP_SEL_H(xres_vss_loop), // 1 = use filt_in_h else filter the pad input .FILT_IN_H(xres_vss_loop), // Alternate input for glitch filter .PULLUP_H(xres_vss_loop), // Pullup connection for alternate filter input - .ENABLE_VDDIO(vccd_const_one) + .ENABLE_VDDIO(vccd_const_one[6]) ); // Corner cells (These are overlay cells; it is not clear what is normally diff --git a/verilog/rtl/chip_io_alt.v b/verilog/rtl/chip_io_alt.v index b99afbac..3b74b35d 100644 --- a/verilog/rtl/chip_io_alt.v +++ b/verilog/rtl/chip_io_alt.v @@ -344,18 +344,28 @@ module chip_io_alt #( wire[2:0] flash_io1_mode = {flash_io1_ieb_core, flash_io1_ieb_core, flash_io1_oeb_core}; + wire [6:0] vccd_const_one; // Constant value for management pins + wire [6:0] vssd_const_zero; // Constant value for management pins + + constant_block constant_value_inst [6:0] ( + .vccd(vccd), + .vssd(vssd), + .one(vccd_const_one), + .zero(vssd_const_zero) + ); + // Management clock input pad - `INPUT_PAD(clock, clock_core, vccd_const_one); + `INPUT_PAD(clock, clock_core, vccd_const_one[0], vssd_const_zero[0]); // Management GPIO pad - `INOUT_PAD(gpio, gpio_in_core, vccd_const_one, gpio_out_core, gpio_inenb_core, gpio_outenb_core, dm_all); + `INOUT_PAD(gpio, gpio_in_core, vccd_const_one[1], vssd_const_zero[1], gpio_out_core, gpio_inenb_core, gpio_outenb_core, dm_all); // Management Flash SPI pads - `INOUT_PAD(flash_io0, flash_io0_di_core, vccd_const_one, flash_io0_do_core, flash_io0_ieb_core, flash_io0_oeb_core, flash_io0_mode); - `INOUT_PAD(flash_io1, flash_io1_di_core, vccd_const_one, flash_io1_do_core, flash_io1_ieb_core, flash_io1_oeb_core, flash_io1_mode); + `INOUT_PAD(flash_io0, flash_io0_di_core, vccd_const_one[2], vssd_const_zero[2], flash_io0_do_core, flash_io0_ieb_core, flash_io0_oeb_core, flash_io0_mode); + `INOUT_PAD(flash_io1, flash_io1_di_core, vccd_const_one[3], vssd_const_zero[3], flash_io1_do_core, flash_io1_ieb_core, flash_io1_oeb_core, flash_io1_mode); - `OUTPUT_NO_INP_DIS_PAD(flash_csb, flash_csb_core, vccd_const_one, flash_csb_oeb_core); - `OUTPUT_NO_INP_DIS_PAD(flash_clk, flash_clk_core, vccd_const_one, flash_clk_oeb_core); + `OUTPUT_NO_INP_DIS_PAD(flash_csb, flash_csb_core, vccd_const_one[4], vssd_const_zero[4], flash_csb_oeb_core); + `OUTPUT_NO_INP_DIS_PAD(flash_clk, flash_clk_core, vccd_const_one[5], vssd_const_zero[5], flash_clk_oeb_core); // NOTE: The analog_out pad from the raven chip has been replaced by // the digital reset input resetb on caravel due to the lack of an on-board @@ -380,7 +390,7 @@ module chip_io_alt #( .INP_SEL_H(xres_zero_loop), // 1 = use filt_in_h else filter the pad input .FILT_IN_H(xres_zero_loop), // Alternate input for glitch filter .PULLUP_H(xres_zero_loop), // Pullup connection for alternate filter input - .ENABLE_VDDIO(vccd_const_one) + .ENABLE_VDDIO(vccd_const_one[6]) ); // Corner cells (These are overlay cells; it is not clear what is normally diff --git a/verilog/rtl/constant_block.v b/verilog/rtl/constant_block.v new file mode 100644 index 00000000..d6a03b3e --- /dev/null +++ b/verilog/rtl/constant_block.v @@ -0,0 +1,77 @@ +// SPDX-FileCopyrightText: 2020 Efabless Corporation +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// SPDX-License-Identifier: Apache-2.0 + +`default_nettype none +/* + *--------------------------------------------------------------------- + * A simple module that generates buffered high and low outputs + * in the 1.8V domain. + *--------------------------------------------------------------------- + */ + +module constant_block ( + `ifdef USE_POWER_PINS + inout vccd, + inout vssd, + `endif + + output one, + output zero +); + + wire one_unbuf; + wire zero_unbuf; + + sky130_fd_sc_hd__conb_1 const_source ( +`ifdef USE_POWER_PINS + .VPWR(vccd), + .VGND(vssd), + .VPB(vccd), + .VNB(vssd), +`endif + .HI(one_unbuf), + .LO(zero_unbuf) + ); + + /* Buffer the constant outputs (could be synthesized) */ + /* NOTE: Constant cell HI, LO outputs are connected to power */ + /* rails through an approximately 120 ohm resistor, which is not */ + /* enough to drive inputs in the I/O cells while ensuring ESD */ + /* requirements, without buffering. */ + + sky130_fd_sc_hd__buf_16 const_one_buf ( +`ifdef USE_POWER_PINS + .VPWR(vccd), + .VGND(vssd), + .VPB(vccd), + .VNB(vssd), +`endif + .A(one_unbuf), + .X(one) + ); + + sky130_fd_sc_hd__buf_16 const_zero_buf ( +`ifdef USE_POWER_PINS + .VPWR(vccd), + .VGND(vssd), + .VPB(vccd), + .VNB(vssd), +`endif + .A(zero_unbuf), + .X(zero) + ); + +endmodule +`default_nettype wire diff --git a/verilog/rtl/gpio_control_block.v b/verilog/rtl/gpio_control_block.v index 5bfdd24d..3a0d6ea9 100644 --- a/verilog/rtl/gpio_control_block.v +++ b/verilog/rtl/gpio_control_block.v @@ -135,8 +135,8 @@ module gpio_control_block #( wire pad_gpio_outenb; wire pad_gpio_out; wire pad_gpio_in; - wire one; - wire zero; + wire one_unbuf; + wire zero_unbuf; wire user_gpio_in; wire gpio_in_unbuf; @@ -280,8 +280,36 @@ module gpio_control_block #( .VPB(vccd), .VNB(vssd), `endif - .HI(one), - .LO(zero) + .HI(one_unbuf), + .LO(zero_unbuf) + ); + + /* Buffer the constant outputs (could be synthesized) */ + /* NOTE: Constant cell HI, LO outputs are connected to power */ + /* rails through an approximately 120 ohm resistor, which is not */ + /* enough to drive inputs in the I/O cells while ensuring ESD */ + /* requirements, without buffering. */ + + sky130_fd_sc_hd__buf_8 const_one_buf ( +`ifdef USE_POWER_PINS + .VPWR(vccd), + .VGND(vssd), + .VPB(vccd), + .VNB(vssd), +`endif + .A(one_unbuf), + .X(one) + ); + + sky130_fd_sc_hd__buf_8 const_zero_buf ( +`ifdef USE_POWER_PINS + .VPWR(vccd), + .VGND(vssd), + .VPB(vccd), + .VNB(vssd), +`endif + .A(zero_unbuf), + .X(zero) ); endmodule diff --git a/verilog/rtl/pads.v b/verilog/rtl/pads.v index 3a09e243..623fc818 100644 --- a/verilog/rtl/pads.v +++ b/verilog/rtl/pads.v @@ -73,7 +73,7 @@ .SRC_BDY_LVC1(L1), \ .SRC_BDY_LVC2(L2) -`define INPUT_PAD(X,Y,CONB_ONE) \ +`define INPUT_PAD(X,Y,CONB_ONE,CONB_ZERO) \ wire loop_zero_``X; \ wire loop_one_``X; \ sky130_ef_io__gpiov2_pad_wrapped X``_pad ( \ @@ -81,7 +81,7 @@ `ifndef TOP_ROUTING \ .PAD(X), \ `endif \ - .OUT(loop_zero_``X), \ + .OUT(CONB_ZERO), \ .OE_N(CONB_ONE), \ .HLD_H_N(loop_one_``X), \ .ENABLE_H(porb_h), \ @@ -90,14 +90,14 @@ .ENABLE_VSWITCH_H(loop_zero_``X), \ .ENABLE_VDDIO(CONB_ONE), \ .INP_DIS(por), \ - .IB_MODE_SEL(loop_zero_``X), \ - .VTRIP_SEL(loop_zero_``X), \ - .SLOW(loop_zero_``X), \ - .HLD_OVR(loop_zero_``X), \ - .ANALOG_EN(loop_zero_``X), \ - .ANALOG_SEL(loop_zero_``X), \ - .ANALOG_POL(loop_zero_``X), \ - .DM({loop_zero_``X, loop_zero_``X, vccd}), \ + .IB_MODE_SEL(CONB_ZERO), \ + .VTRIP_SEL(CONB_ZERO), \ + .SLOW(CONB_ZERO), \ + .HLD_OVR(CONB_ZERO), \ + .ANALOG_EN(CONB_ZERO), \ + .ANALOG_SEL(CONB_ZERO), \ + .ANALOG_POL(CONB_ZERO), \ + .DM({CONB_ZERO, CONB_ZERO, CONB_ONE}), \ .PAD_A_NOESD_H(), \ .PAD_A_ESD_0_H(), \ .PAD_A_ESD_1_H(), \ @@ -106,7 +106,7 @@ .TIE_HI_ESD(loop_one_``X), \ .TIE_LO_ESD(loop_zero_``X) ) -`define OUTPUT_PAD(X,Y,CONB_ONE,INPUT_DIS,OUT_EN_N) \ +`define OUTPUT_PAD(X,Y,CONB_ONE,CONB_ZERO,INPUT_DIS,OUT_EN_N) \ wire loop_zero_``X; \ wire loop_one_``X; \ sky130_ef_io__gpiov2_pad_wrapped X``_pad ( \ @@ -123,14 +123,14 @@ .ENABLE_VSWITCH_H(loop_zero_``X), \ .ENABLE_VDDIO(CONB_ONE), \ .INP_DIS(INPUT_DIS), \ - .IB_MODE_SEL(loop_zero_``X), \ - .VTRIP_SEL(loop_zero_``X), \ - .SLOW(loop_zero_``X), \ - .HLD_OVR(loop_zero_``X), \ - .ANALOG_EN(loop_zero_``X), \ - .ANALOG_SEL(loop_zero_``X), \ - .ANALOG_POL(loop_zero_``X), \ - .DM({CONB_ONE, CONB_ONE, loop_zero_``X}), \ + .IB_MODE_SEL(CONB_ZERO), \ + .VTRIP_SEL(CONB_ZERO), \ + .SLOW(CONB_ZERO), \ + .HLD_OVR(CONB_ZERO), \ + .ANALOG_EN(CONB_ZERO), \ + .ANALOG_SEL(CONB_ZERO), \ + .ANALOG_POL(CONB_ZERO), \ + .DM({CONB_ONE, CONB_ONE, CONB_ZERO}), \ .PAD_A_NOESD_H(), \ .PAD_A_ESD_0_H(), \ .PAD_A_ESD_1_H(), \ @@ -139,7 +139,7 @@ .TIE_HI_ESD(loop_one_``X), \ .TIE_LO_ESD(loop_zero_``X)) -`define OUTPUT_NO_INP_DIS_PAD(X,Y,CONB_ONE,OUT_EN_N) \ +`define OUTPUT_NO_INP_DIS_PAD(X,Y,CONB_ONE,CONB_ZERO,OUT_EN_N) \ wire loop_zero_``X; \ wire loop_one_``X; \ sky130_ef_io__gpiov2_pad_wrapped X``_pad ( \ @@ -155,15 +155,15 @@ .ENABLE_VDDA_H(porb_h), \ .ENABLE_VSWITCH_H(loop_zero_``X), \ .ENABLE_VDDIO(CONB_ONE), \ - .INP_DIS(loop_zero_``X), \ - .IB_MODE_SEL(loop_zero_``X), \ - .VTRIP_SEL(loop_zero_``X), \ - .SLOW(loop_zero_``X), \ - .HLD_OVR(loop_zero_``X), \ - .ANALOG_EN(loop_zero_``X), \ - .ANALOG_SEL(loop_zero_``X), \ - .ANALOG_POL(loop_zero_``X), \ - .DM({CONB_ONE, CONB_ONE, loop_zero_``X}), \ + .INP_DIS(CONB_ZERO), \ + .IB_MODE_SEL(CONB_ZERO), \ + .VTRIP_SEL(CONB_ZERO), \ + .SLOW(CONB_ZERO), \ + .HLD_OVR(CONB_ZERO), \ + .ANALOG_EN(CONB_ZERO), \ + .ANALOG_SEL(CONB_ZERO), \ + .ANALOG_POL(CONB_ZERO), \ + .DM({CONB_ONE, CONB_ONE, CONB_ZERO}), \ .PAD_A_NOESD_H(), \ .PAD_A_ESD_0_H(), \ .PAD_A_ESD_1_H(), \ @@ -172,7 +172,7 @@ .TIE_HI_ESD(loop_one_``X), \ .TIE_LO_ESD(loop_zero_``X)) -`define INOUT_PAD(X,Y,CONB_ONE,Y_OUT,INPUT_DIS,OUT_EN_N,MODE) \ +`define INOUT_PAD(X,Y,CONB_ONE,CONB_ZERO,Y_OUT,INPUT_DIS,OUT_EN_N,MODE) \ wire loop_zero_``X; \ wire loop_one_``X; \ sky130_ef_io__gpiov2_pad_wrapped X``_pad ( \ @@ -189,13 +189,13 @@ .ENABLE_VSWITCH_H(loop_zero_``X), \ .ENABLE_VDDIO(CONB_ONE), \ .INP_DIS(INPUT_DIS), \ - .IB_MODE_SEL(loop_zero_``X), \ - .VTRIP_SEL(loop_zero_``X), \ - .SLOW(loop_zero_``X), \ - .HLD_OVR(loop_zero_``X), \ - .ANALOG_EN(loop_zero_``X), \ - .ANALOG_SEL(loop_zero_``X), \ - .ANALOG_POL(loop_zero_``X), \ + .IB_MODE_SEL(CONB_ZERO), \ + .VTRIP_SEL(CONB_ZERO), \ + .SLOW(CONB_ZERO), \ + .HLD_OVR(CONB_ZERO), \ + .ANALOG_EN(CONB_ZERO), \ + .ANALOG_SEL(CONB_ZERO), \ + .ANALOG_POL(CONB_ZERO), \ .DM(MODE), \ .PAD_A_NOESD_H(), \ .PAD_A_ESD_0_H(), \ From b9a819634d5798ed8b2091e444b765fe5d4ceb7e Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Tue, 20 Sep 2022 21:56:37 +0000 Subject: [PATCH 07/44] Apply automatic changes to Manifest and README.rst --- manifest | 15 ++++++++------- 1 file changed, 8 insertions(+), 7 deletions(-) diff --git a/manifest b/manifest index 839725da..7c568469 100644 --- a/manifest +++ b/manifest @@ -2,18 +2,19 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -670031aa4d92dbf15054b698f859b01d35143aa9 verilog/rtl/caravan.v -a855d65d6fc59352e4f8a994e451418d113586fc verilog/rtl/caravan_netlists.v +a349dd3c5fae352a0a89131bf65018650944977f verilog/rtl/caravan.v +a2d65c149e87a9892bce34281e5322c01ce50119 verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -22c9fc7c6e9dccd4c8511d9d6ec63765dfaedf3a verilog/rtl/caravel.v +bc32bfb9b30f358219531ccab71421aec21d1300 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v -a0b12a4769db4cfa0cd340194af3429d3daedb51 verilog/rtl/chip_io.v -2b0bbaa63039534db811c82d808e885a1b9c20e3 verilog/rtl/chip_io_alt.v +cc983a39219a9211a3e360b77d3ba6e7e8f6bea8 verilog/rtl/chip_io.v +8a4f1bd4eb40367c3ca8df76df6e1423a8271461 verilog/rtl/chip_io_alt.v 126aff02aa229dc346301c552d785dec76a4d68e verilog/rtl/clock_div.v +941bd7636e7558b045faa3d8c6ba2d91b4c4b798 verilog/rtl/constant_block.v 36af0303a0e84ce4a40a854ef1481f8a56bc9989 verilog/rtl/digital_pll.v ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v -41f899d8a8510f933e08e41d1b4ac13d84191f38 verilog/rtl/gpio_control_block.v +6e1277baf7702168702ee5d4e373180c7d0b4631 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v 5469b880904d6dd5d1eba6f026b3582810df412c verilog/rtl/housekeeping.v @@ -23,7 +24,7 @@ ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v 9816acedf3dc3edd193861cc217ec46180ac1cdd verilog/rtl/mprj2_logic_high.v d71adbc70dbb0ed879d3b75419bd807c866a9680 verilog/rtl/mprj_io.v 3baffde4788f01e2ff0e5cd83020a76bd63ef7d7 verilog/rtl/mprj_logic_high.v -770d418646d4f4f37a08b5de8308d33eafd7bde9 verilog/rtl/pads.v +4edbfd0ad80b69a799a399ffc717b560fcae615b verilog/rtl/pads.v 669d16642d5dd5f6824812754db20db98c9fe17b verilog/rtl/ring_osc2x13.v 6f802b6ab7e6502160adfe41e313958b86d2c277 verilog/rtl/simple_por.v 1b1705d41992b318c791a5703e0d43d0bcda8f12 verilog/rtl/spare_logic_block.v From 2606285b8c0c9b9ea5516aa338b36f8b8e4f5d29 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Tue, 20 Sep 2022 18:23:32 -0400 Subject: [PATCH 08/44] Flipped some lines where a wire was used before it was declared. --- verilog/rtl/chip_io.v | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/verilog/rtl/chip_io.v b/verilog/rtl/chip_io.v index 88087745..7aafd2db 100644 --- a/verilog/rtl/chip_io.v +++ b/verilog/rtl/chip_io.v @@ -275,9 +275,6 @@ module chip_io( wire[2:0] flash_io1_mode = {flash_io1_ieb_core, flash_io1_ieb_core, flash_io1_oeb_core}; - // Management clock input pad - `INPUT_PAD(clock, clock_core, vccd_const_one[0], vssd_const_zero[0]); - wire [6:0] vccd_const_one; // Constant value for management pins wire [6:0] vssd_const_zero; // Constant value for management pins @@ -288,6 +285,9 @@ module chip_io( .zero(vssd_const_zero) ); + // Management clock input pad + `INPUT_PAD(clock, clock_core, vccd_const_one[0], vssd_const_zero[0]); + // Management GPIO pad `INOUT_PAD(gpio, gpio_in_core, vccd_const_one[1], vssd_const_zero[1], gpio_out_core, gpio_inenb_core, gpio_outenb_core, dm_all); From 19a7b303e9405422a14fbdda321b9c52493f613a Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Tue, 20 Sep 2022 22:25:10 +0000 Subject: [PATCH 09/44] Apply automatic changes to Manifest and README.rst --- manifest | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/manifest b/manifest index 7c568469..6df8fb5e 100644 --- a/manifest +++ b/manifest @@ -8,7 +8,7 @@ a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v bc32bfb9b30f358219531ccab71421aec21d1300 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v -cc983a39219a9211a3e360b77d3ba6e7e8f6bea8 verilog/rtl/chip_io.v +fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v 8a4f1bd4eb40367c3ca8df76df6e1423a8271461 verilog/rtl/chip_io_alt.v 126aff02aa229dc346301c552d785dec76a4d68e verilog/rtl/clock_div.v 941bd7636e7558b045faa3d8c6ba2d91b4c4b798 verilog/rtl/constant_block.v From fdbe22567460bc6a3cc5a4e9c30100811e235996 Mon Sep 17 00:00:00 2001 From: jeffdi Date: Tue, 20 Sep 2022 15:31:51 -0700 Subject: [PATCH 10/44] update for dv simulations for mgmt core --- Makefile | 55 +++++++++++++++++++++++++++++++++++++------------------ 1 file changed, 37 insertions(+), 18 deletions(-) diff --git a/Makefile b/Makefile index 9a07a4d0..9dfc59f5 100644 --- a/Makefile +++ b/Makefile @@ -216,13 +216,17 @@ clean: simenv: docker pull efabless/dv:latest -dv_patterns=$(shell cd mgmt_core_wrapper/verilog/dv/tests-caravel && find * -maxdepth 0 -type d) -dv-targets-rtl=$(dv_patterns:%=verify-%-rtl) -dv-targets-gl=$(dv_patterns:%=verify-%-gl) -dv-targets-gl-sdf=$(dv_patterns:%=verify-%-gl-sdf) +dv_caravel_patterns=$(shell cd mgmt_core_wrapper/verilog/dv/tests-caravel && find * -maxdepth 0 -type d) +dv_standalone_patterns+=$(shell cd mgmt_core_wrapper/verilog/dv/tests-standalone && find * -maxdepth 0 -type d) +dv-caravel-targets-rtl=$(dv_caravel_patterns:%=verify-%-rtl) +dv-standalone-targets-rtl=$(dv_standalone_patterns:%=verify-%-rtl) +dv-caravel-targets-gl=$(dv_caravel_patterns:%=verify-%-gl) +dv-standalone-targets-gl=$(dv_standalone_patterns:%=verify-%-gl) +dv-caravel-targets-gl-sdf=$(dv_caravel_patterns:%=verify-%-gl-sdf) +dv-standalone-targets-gl-sdf=$(dv_standalone_patterns:%=verify-%-gl-sdf) TARGET_PATH=$(shell pwd) -verify_command="source ~/.bashrc && cd ${TARGET_PATH}/mgmt_core_wrapper/verilog/dv/tests-caravel/$* && export SIM=${SIM} && make" +verify_command="source ~/.bashrc && cd ${TARGET_PATH}/mgmt_core_wrapper/verilog/dv/tests-${CONFIG}/$* && export SIM=${SIM} && make" dv_base_dependencies=simenv docker_run_verify=\ docker run -v ${TARGET_PATH}:${TARGET_PATH} -v ${PDK_ROOT}:${PDK_ROOT} \ @@ -241,27 +245,42 @@ docker_run_verify=\ harden: $(blocks) .PHONY: verify -verify: $(dv-targets-rtl) +verify: $(dv-caravel-targets-rtl) -.PHONY: verify-all-rtl -verify-all-rtl: $(dv-targets-rtl) +.PHONY: verify-caravel-all-rtl verify-standalone-all-rtl +verify-caravel-all-rtl: $(dv-caravel-targets-rtl) +verify-standalone-all-rtl: $(dv-standalone-targets-rtl) -.PHONY: verify-all-gl -verify-all-gl: $(dv-targets-gl) +.PHONY: verify-caravel-all-gl verify-standalone-all-gl +verify-caravel-all-gl: $(dv-caravel-targets-gl) +verify-standalone-all-gl: $(dv-standalone-targets-gl) -.PHONY: verify-all-gl-sdf -verify-all-gl-sdf: $(dv-targets-gl-sdf) +.PHONY: verify-caravel-all-gl-sdf verify-standalone-all-gl-sdf +verify-caravel-all-gl-sdf: $(dv-targets-gl-sdf) +verify-standalone-all-gl-sdf: $(dv-targets-gl-sdf) -$(dv-targets-rtl): SIM=RTL -$(dv-targets-rtl): verify-%-rtl: $(dv_base_dependencies) +$(dv-caravel-targets-rtl): SIM=RTL CONFIG=caravel +$(dv-caravel-targets-rtl): verify-%-rtl: $(dv_base_dependencies) $(docker_run_verify) -$(dv-targets-gl): SIM=GL -$(dv-targets-gl): verify-%-gl: $(dv_base_dependencies) +$(dv-caravel-targets-gl): SIM=GL CONFIG=caravel +$(dv-caravel-targets-gl): verify-%-gl: $(dv_base_dependencies) $(docker_run_verify) -$(dv-targets-gl-sdf): SIM=GL_SDF -$(dv-targets-gl-sdf): verify-%-gl-sdf: $(dv_base_dependencies) +$(dv-caravel-targets-gl-sdf): SIM=GL_SDF CONFIG=caravel +$(dv-caravel-targets-gl-sdf): verify-%-gl-sdf: $(dv_base_dependencies) + $(docker_run_verify) + +$(dv-standalone-targets-rtl): SIM=RTL CONFIG=standalone +$(dv-standalone-targets-rtl): verify-%-rtl: $(dv_base_dependencies) + $(docker_run_verify) + +$(dv-standalone-targets-gl): SIM=GL CONFIG=standalone +$(dv-standalone-targets-gl): verify-%-gl: $(dv_base_dependencies) + $(docker_run_verify) + +$(dv-standalone-targets-gl-sdf): SIM=GL_SDF CONFIG=standalone +$(dv-standalone-targets-gl-sdf): verify-%-gl-sdf: $(dv_base_dependencies) $(docker_run_verify) clean-targets=$(blocks:%=clean-%) From a04966d62d00f0e3c1e99bab71ba498da71d59fe Mon Sep 17 00:00:00 2001 From: jeffdi Date: Tue, 20 Sep 2022 16:36:00 -0700 Subject: [PATCH 11/44] update for dv simulations for mgmt core --- Makefile | 18 ++++++++++++------ 1 file changed, 12 insertions(+), 6 deletions(-) diff --git a/Makefile b/Makefile index 9dfc59f5..a8400c0e 100644 --- a/Makefile +++ b/Makefile @@ -259,27 +259,33 @@ verify-standalone-all-gl: $(dv-standalone-targets-gl) verify-caravel-all-gl-sdf: $(dv-targets-gl-sdf) verify-standalone-all-gl-sdf: $(dv-targets-gl-sdf) -$(dv-caravel-targets-rtl): SIM=RTL CONFIG=caravel +$(dv-caravel-targets-rtl): SIM=RTL +$(dv-caravel-targets-rtl): CONFIG=caravel $(dv-caravel-targets-rtl): verify-%-rtl: $(dv_base_dependencies) $(docker_run_verify) -$(dv-caravel-targets-gl): SIM=GL CONFIG=caravel +$(dv-caravel-targets-gl): SIM=GL +$(dv-caravel-targets-gl): CONFIG=caravel $(dv-caravel-targets-gl): verify-%-gl: $(dv_base_dependencies) $(docker_run_verify) -$(dv-caravel-targets-gl-sdf): SIM=GL_SDF CONFIG=caravel +$(dv-caravel-targets-gl-sdf): SIM=GL_SDF +$(dv-caravel-targets-gl-sdf): CONFIG=caravel $(dv-caravel-targets-gl-sdf): verify-%-gl-sdf: $(dv_base_dependencies) $(docker_run_verify) -$(dv-standalone-targets-rtl): SIM=RTL CONFIG=standalone +$(dv-standalone-targets-rtl): SIM=RTL +$(dv-standalone-targets-rtl): CONFIG=standalone $(dv-standalone-targets-rtl): verify-%-rtl: $(dv_base_dependencies) $(docker_run_verify) -$(dv-standalone-targets-gl): SIM=GL CONFIG=standalone +$(dv-standalone-targets-gl): SIM=GL +$(dv-standalone-targets-gl): CONFIG=standalone $(dv-standalone-targets-gl): verify-%-gl: $(dv_base_dependencies) $(docker_run_verify) -$(dv-standalone-targets-gl-sdf): SIM=GL_SDF CONFIG=standalone +$(dv-standalone-targets-gl-sdf): SIM=GL_SDF +$(dv-standalone-targets-gl-sdf): CONFIG=standalone $(dv-standalone-targets-gl-sdf): verify-%-gl-sdf: $(dv_base_dependencies) $(docker_run_verify) From 85847dfe0522b37060f4880a9dd2094e96962f45 Mon Sep 17 00:00:00 2001 From: jeffdi Date: Tue, 20 Sep 2022 16:49:20 -0700 Subject: [PATCH 12/44] update for dv simulations for mgmt core --- Makefile | 24 ++++++++++++------------ 1 file changed, 12 insertions(+), 12 deletions(-) diff --git a/Makefile b/Makefile index a8400c0e..4d0acf87 100644 --- a/Makefile +++ b/Makefile @@ -218,12 +218,12 @@ simenv: dv_caravel_patterns=$(shell cd mgmt_core_wrapper/verilog/dv/tests-caravel && find * -maxdepth 0 -type d) dv_standalone_patterns+=$(shell cd mgmt_core_wrapper/verilog/dv/tests-standalone && find * -maxdepth 0 -type d) -dv-caravel-targets-rtl=$(dv_caravel_patterns:%=verify-%-rtl) -dv-standalone-targets-rtl=$(dv_standalone_patterns:%=verify-%-rtl) -dv-caravel-targets-gl=$(dv_caravel_patterns:%=verify-%-gl) -dv-standalone-targets-gl=$(dv_standalone_patterns:%=verify-%-gl) -dv-caravel-targets-gl-sdf=$(dv_caravel_patterns:%=verify-%-gl-sdf) -dv-standalone-targets-gl-sdf=$(dv_standalone_patterns:%=verify-%-gl-sdf) +dv-caravel-targets-rtl=$(dv_caravel_patterns:%=verify-caravel-%-rtl) +dv-standalone-targets-rtl=$(dv_standalone_patterns:%=verify-standalone-%-rtl) +dv-caravel-targets-gl=$(dv_caravel_patterns:%=verify-caravel-%-gl) +dv-standalone-targets-gl=$(dv_standalone_patterns:%=verify-standalone-%-gl) +dv-caravel-targets-gl-sdf=$(dv_caravel_patterns:%=verify-caravel-%-gl-sdf) +dv-standalone-targets-gl-sdf=$(dv_standalone_patterns:%=verify-standalone-%-gl-sdf) TARGET_PATH=$(shell pwd) verify_command="source ~/.bashrc && cd ${TARGET_PATH}/mgmt_core_wrapper/verilog/dv/tests-${CONFIG}/$* && export SIM=${SIM} && make" @@ -261,32 +261,32 @@ verify-standalone-all-gl-sdf: $(dv-targets-gl-sdf) $(dv-caravel-targets-rtl): SIM=RTL $(dv-caravel-targets-rtl): CONFIG=caravel -$(dv-caravel-targets-rtl): verify-%-rtl: $(dv_base_dependencies) +$(dv-caravel-targets-rtl): verify-caravel-%-rtl: $(dv_base_dependencies) $(docker_run_verify) $(dv-caravel-targets-gl): SIM=GL $(dv-caravel-targets-gl): CONFIG=caravel -$(dv-caravel-targets-gl): verify-%-gl: $(dv_base_dependencies) +$(dv-caravel-targets-gl): verify-caravel-%-gl: $(dv_base_dependencies) $(docker_run_verify) $(dv-caravel-targets-gl-sdf): SIM=GL_SDF $(dv-caravel-targets-gl-sdf): CONFIG=caravel -$(dv-caravel-targets-gl-sdf): verify-%-gl-sdf: $(dv_base_dependencies) +$(dv-caravel-targets-gl-sdf): verify-caravel-%-gl-sdf: $(dv_base_dependencies) $(docker_run_verify) $(dv-standalone-targets-rtl): SIM=RTL $(dv-standalone-targets-rtl): CONFIG=standalone -$(dv-standalone-targets-rtl): verify-%-rtl: $(dv_base_dependencies) +$(dv-standalone-targets-rtl): verify-standalone-%-rtl: $(dv_base_dependencies) $(docker_run_verify) $(dv-standalone-targets-gl): SIM=GL $(dv-standalone-targets-gl): CONFIG=standalone -$(dv-standalone-targets-gl): verify-%-gl: $(dv_base_dependencies) +$(dv-standalone-targets-gl): verify-standalone-%-gl: $(dv_base_dependencies) $(docker_run_verify) $(dv-standalone-targets-gl-sdf): SIM=GL_SDF $(dv-standalone-targets-gl-sdf): CONFIG=standalone -$(dv-standalone-targets-gl-sdf): verify-%-gl-sdf: $(dv_base_dependencies) +$(dv-standalone-targets-gl-sdf): verify-standalone-%-gl-sdf: $(dv_base_dependencies) $(docker_run_verify) clean-targets=$(blocks:%=clean-%) From 3fd3107cae94c16c6d924d7dec3d5503094b4ab4 Mon Sep 17 00:00:00 2001 From: jeffdi Date: Tue, 20 Sep 2022 17:44:50 -0700 Subject: [PATCH 13/44] add log for verify simulation output --- Makefile | 11 +++++++++-- 1 file changed, 9 insertions(+), 2 deletions(-) diff --git a/Makefile b/Makefile index 4d0acf87..2e7e22dd 100644 --- a/Makefile +++ b/Makefile @@ -225,6 +225,8 @@ dv-standalone-targets-gl=$(dv_standalone_patterns:%=verify-standalone-%-gl) dv-caravel-targets-gl-sdf=$(dv_caravel_patterns:%=verify-caravel-%-gl-sdf) dv-standalone-targets-gl-sdf=$(dv_standalone_patterns:%=verify-standalone-%-gl-sdf) +VERIFY_LOG = "verify-${CONFIG}-${SIM}.log" + TARGET_PATH=$(shell pwd) verify_command="source ~/.bashrc && cd ${TARGET_PATH}/mgmt_core_wrapper/verilog/dv/tests-${CONFIG}/$* && export SIM=${SIM} && make" dv_base_dependencies=simenv @@ -239,7 +241,7 @@ docker_run_verify=\ -e CORE_VERILOG_PATH=$(TARGET_PATH)/mgmt_core_wrapper/verilog \ -e MCW_ROOT=$(MCW_ROOT) \ -u $$(id -u $$USER):$$(id -g $$USER) efabless/dv:latest \ - sh -c $(verify_command) + sh -c $(verify_command) | tee ${VERIFY_LOG} .PHONY: harden harden: $(blocks) @@ -247,6 +249,11 @@ harden: $(blocks) .PHONY: verify verify: $(dv-caravel-targets-rtl) +verify_log_header: + @echo "*************************************************************************" > ${VERIFY_LOG} + @echo "Verification Log: `date` ${CONFIG} ${SIM}" >> ${VERIFY_LOG} + @echo "*************************************************************************" >> ${VERIFY_LOG} + .PHONY: verify-caravel-all-rtl verify-standalone-all-rtl verify-caravel-all-rtl: $(dv-caravel-targets-rtl) verify-standalone-all-rtl: $(dv-standalone-targets-rtl) @@ -276,7 +283,7 @@ $(dv-caravel-targets-gl-sdf): verify-caravel-%-gl-sdf: $(dv_base_dependencies) $(dv-standalone-targets-rtl): SIM=RTL $(dv-standalone-targets-rtl): CONFIG=standalone -$(dv-standalone-targets-rtl): verify-standalone-%-rtl: $(dv_base_dependencies) +$(dv-standalone-targets-rtl): verify-standalone-%-rtl: $(dv_base_dependencies) verify_log_header $(docker_run_verify) $(dv-standalone-targets-gl): SIM=GL From d8399ae6f51b735053daa1a33a8871930c0945ca Mon Sep 17 00:00:00 2001 From: jeffdi Date: Tue, 20 Sep 2022 18:12:58 -0700 Subject: [PATCH 14/44] add log for verify simulation output --- Makefile | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/Makefile b/Makefile index 2e7e22dd..8694934f 100644 --- a/Makefile +++ b/Makefile @@ -217,7 +217,7 @@ simenv: docker pull efabless/dv:latest dv_caravel_patterns=$(shell cd mgmt_core_wrapper/verilog/dv/tests-caravel && find * -maxdepth 0 -type d) -dv_standalone_patterns+=$(shell cd mgmt_core_wrapper/verilog/dv/tests-standalone && find * -maxdepth 0 -type d) +dv_standalone_patterns=$(shell cd mgmt_core_wrapper/verilog/dv/tests-standalone && find * -maxdepth 0 -type d) dv-caravel-targets-rtl=$(dv_caravel_patterns:%=verify-caravel-%-rtl) dv-standalone-targets-rtl=$(dv_standalone_patterns:%=verify-standalone-%-rtl) dv-caravel-targets-gl=$(dv_caravel_patterns:%=verify-caravel-%-gl) @@ -226,7 +226,6 @@ dv-caravel-targets-gl-sdf=$(dv_caravel_patterns:%=verify-caravel-%-gl-sdf) dv-standalone-targets-gl-sdf=$(dv_standalone_patterns:%=verify-standalone-%-gl-sdf) VERIFY_LOG = "verify-${CONFIG}-${SIM}.log" - TARGET_PATH=$(shell pwd) verify_command="source ~/.bashrc && cd ${TARGET_PATH}/mgmt_core_wrapper/verilog/dv/tests-${CONFIG}/$* && export SIM=${SIM} && make" dv_base_dependencies=simenv @@ -241,7 +240,7 @@ docker_run_verify=\ -e CORE_VERILOG_PATH=$(TARGET_PATH)/mgmt_core_wrapper/verilog \ -e MCW_ROOT=$(MCW_ROOT) \ -u $$(id -u $$USER):$$(id -g $$USER) efabless/dv:latest \ - sh -c $(verify_command) | tee ${VERIFY_LOG} + sh -c $(verify_command) | tee -a ${VERIFY_LOG} .PHONY: harden harden: $(blocks) @@ -249,9 +248,10 @@ harden: $(blocks) .PHONY: verify verify: $(dv-caravel-targets-rtl) +.PHONY: verify_log_header verify_log_header: @echo "*************************************************************************" > ${VERIFY_LOG} - @echo "Verification Log: `date` ${CONFIG} ${SIM}" >> ${VERIFY_LOG} + @echo "Verification Log: `date` Configuration: ${CONFIG} ${SIM}" >> ${VERIFY_LOG} @echo "*************************************************************************" >> ${VERIFY_LOG} .PHONY: verify-caravel-all-rtl verify-standalone-all-rtl From baeb1cc55176e3155617af7783f562f1a88e178c Mon Sep 17 00:00:00 2001 From: jeffdi Date: Tue, 20 Sep 2022 18:27:21 -0700 Subject: [PATCH 15/44] add log for verify simulation output --- Makefile | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/Makefile b/Makefile index 8694934f..bd72d873 100644 --- a/Makefile +++ b/Makefile @@ -268,17 +268,17 @@ verify-standalone-all-gl-sdf: $(dv-targets-gl-sdf) $(dv-caravel-targets-rtl): SIM=RTL $(dv-caravel-targets-rtl): CONFIG=caravel -$(dv-caravel-targets-rtl): verify-caravel-%-rtl: $(dv_base_dependencies) +$(dv-caravel-targets-rtl): verify-caravel-%-rtl: $(dv_base_dependencies) verify_log_header $(docker_run_verify) $(dv-caravel-targets-gl): SIM=GL $(dv-caravel-targets-gl): CONFIG=caravel -$(dv-caravel-targets-gl): verify-caravel-%-gl: $(dv_base_dependencies) +$(dv-caravel-targets-gl): verify-caravel-%-gl: $(dv_base_dependencies) verify_log_header $(docker_run_verify) $(dv-caravel-targets-gl-sdf): SIM=GL_SDF $(dv-caravel-targets-gl-sdf): CONFIG=caravel -$(dv-caravel-targets-gl-sdf): verify-caravel-%-gl-sdf: $(dv_base_dependencies) +$(dv-caravel-targets-gl-sdf): verify-caravel-%-gl-sdf: $(dv_base_dependencies) verify_log_header $(docker_run_verify) $(dv-standalone-targets-rtl): SIM=RTL @@ -288,12 +288,12 @@ $(dv-standalone-targets-rtl): verify-standalone-%-rtl: $(dv_base_dependencies) v $(dv-standalone-targets-gl): SIM=GL $(dv-standalone-targets-gl): CONFIG=standalone -$(dv-standalone-targets-gl): verify-standalone-%-gl: $(dv_base_dependencies) +$(dv-standalone-targets-gl): verify-standalone-%-gl: $(dv_base_dependencies) verify_log_header $(docker_run_verify) $(dv-standalone-targets-gl-sdf): SIM=GL_SDF $(dv-standalone-targets-gl-sdf): CONFIG=standalone -$(dv-standalone-targets-gl-sdf): verify-standalone-%-gl-sdf: $(dv_base_dependencies) +$(dv-standalone-targets-gl-sdf): verify-standalone-%-gl-sdf: $(dv_base_dependencies) verify_log_header $(docker_run_verify) clean-targets=$(blocks:%=clean-%) From 74a9f24476120ef1e5b9fe66afacc3c80a3fd24e Mon Sep 17 00:00:00 2001 From: Jeff DiCorpo <42048757+jeffdi@users.noreply.github.com> Date: Wed, 21 Sep 2022 10:25:30 -0700 Subject: [PATCH 16/44] Update Makefile update open_pdks commit id --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index bd72d873..b9e2ad1f 100644 --- a/Makefile +++ b/Makefile @@ -86,7 +86,7 @@ SPECIAL_VOLTAGE_LIBRARY ?= sky130_fd_sc_hvl IO_LIBRARY ?= sky130_fd_io PRIMITIVES_LIBRARY ?= sky130_fd_pr SKYWATER_COMMIT ?= c094b6e83a4f9298e47f696ec5a7fd53535ec5eb -OPEN_PDKS_COMMIT ?= 05af1d05227419f0955cd98610351f4680575b95 +OPEN_PDKS_COMMIT ?= 8f6aff1881e5feae49acb6d5be53c4acc91bb235 # = 1.0.303 PDK_MAGIC_COMMIT ?= fe2eb6d3906ed15ade0e7a51daea80dd4e3846e2 # = 8.3.294 From e3b2cd94589d2e5ab62c83b17b91e630ca8066df Mon Sep 17 00:00:00 2001 From: jeffdi Date: Wed, 21 Sep 2022 17:37:17 +0000 Subject: [PATCH 17/44] Apply automatic changes to Manifest and README.rst --- manifest | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/manifest b/manifest index 6df8fb5e..d5ec2e39 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -a349dd3c5fae352a0a89131bf65018650944977f verilog/rtl/caravan.v +1cd495e2e1223a5fd549f10e613076679a83eac6 verilog/rtl/caravan.v a2d65c149e87a9892bce34281e5322c01ce50119 verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -bc32bfb9b30f358219531ccab71421aec21d1300 verilog/rtl/caravel.v +a017c38ef9b280e55b1ced730e643300b66f2ab3 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v From aba145e0e299b129913730ec8f59eccbbb1ace1a Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Tue, 27 Sep 2022 20:58:57 -0400 Subject: [PATCH 18/44] Made modifications in support of changing the hard-coded default configuration of GPIO 3 (CSB) from a standard input to a weak pull-up input. --- def/caravel.def | 140 +++++++------- mag/caravan.mag | 10 +- mag/caravel.mag | 10 +- scripts/gen_gpio_defaults.py | 2 +- scripts/run_caravan_lvs_full.sh | 1 + verilog/gl/caravan.v | 10 +- verilog/gl/caravel.v | 10 +- verilog/gl/gpio_defaults_block_0c01.v | 260 ++++++++++++++++++++++++++ verilog/rtl/caravan.v | 39 +++- verilog/rtl/caravel.v | 39 +++- verilog/rtl/housekeeping.v | 7 +- 11 files changed, 428 insertions(+), 100 deletions(-) create mode 100644 verilog/gl/gpio_defaults_block_0c01.v diff --git a/def/caravel.def b/def/caravel.def index 7e378bd8..b6e3eb13 100644 --- a/def/caravel.def +++ b/def/caravel.def @@ -1957,8 +1957,8 @@ COMPONENTS 90 ; - gpio_control_in_2\[7\] gpio_control_block + FIXED ( 38155 3811000 ) N ; - gpio_control_in_2\[8\] gpio_control_block + FIXED ( 38155 3595000 ) N ; - gpio_control_in_2\[9\] gpio_control_block + FIXED ( 38155 3379000 ) N ; - - gpio_defaults_block_0\[0\] gpio_defaults_block + FIXED ( 3517335 670000 ) FN ; - - gpio_defaults_block_0\[1\] gpio_defaults_block + FIXED ( 3517335 896000 ) FN ; + - gpio_defaults_block_0 gpio_defaults_block + FIXED ( 3517335 670000 ) FN ; + - gpio_defaults_block_1 gpio_defaults_block + FIXED ( 3517335 896000 ) FN ; - gpio_defaults_block_10 gpio_defaults_block + FIXED ( 3517335 3135000 ) FN ; - gpio_defaults_block_11 gpio_defaults_block + FIXED ( 3517335 3360000 ) FN ; - gpio_defaults_block_12 gpio_defaults_block + FIXED ( 3517335 3586000 ) FN ; @@ -1979,9 +1979,9 @@ COMPONENTS 90 ; - gpio_defaults_block_27 gpio_defaults_block + FIXED ( 41835 3660000 ) N ; - gpio_defaults_block_28 gpio_defaults_block + FIXED ( 41835 3444000 ) N ; - gpio_defaults_block_29 gpio_defaults_block + FIXED ( 41835 3228000 ) N ; - - gpio_defaults_block_2\[0\] gpio_defaults_block + FIXED ( 3517335 1121000 ) FN ; - - gpio_defaults_block_2\[1\] gpio_defaults_block + FIXED ( 3517335 1347000 ) FN ; - - gpio_defaults_block_2\[2\] gpio_defaults_block + FIXED ( 3517335 1572000 ) FN ; + - gpio_defaults_block_2 gpio_defaults_block + FIXED ( 3517335 1121000 ) FN ; + - gpio_defaults_block_3 gpio_defaults_block + FIXED ( 3517335 1347000 ) FN ; + - gpio_defaults_block_4 gpio_defaults_block + FIXED ( 3517335 1572000 ) FN ; - gpio_defaults_block_30 gpio_defaults_block + FIXED ( 41835 3012000 ) N ; - gpio_defaults_block_31 gpio_defaults_block + FIXED ( 41835 2796000 ) N ; - gpio_defaults_block_32 gpio_defaults_block + FIXED ( 41835 2158000 ) N ; @@ -3460,7 +3460,7 @@ NETS 2794 ; NEW met4 ( 201020 3427540 ) ( * 3639020 ) NEW met3 ( 201020 3427540 ) M3M4_PR_M NEW met3 ( 201020 3639020 ) M3M4_PR_M ; - - gpio_defaults\[0\] ( gpio_defaults_block_0\[0\] gpio_defaults[0] ) ( gpio_control_bidir_1\[0\] gpio_defaults[0] ) + USE SIGNAL + - gpio_defaults\[0\] ( gpio_defaults_block_0 gpio_defaults[0] ) ( gpio_control_bidir_1\[0\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 3546140 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[100\] ( gpio_defaults_block_7 gpio_defaults[9] ) ( gpio_control_in_1a\[5\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 3525440 2463980 0 ) ( * 2464320 0 ) ; @@ -3482,7 +3482,7 @@ NETS 2794 ; + ROUTED met2 ( 3536940 2683620 0 ) ( * 2684300 0 ) ; - gpio_defaults\[109\] ( gpio_defaults_block_8 gpio_defaults[5] ) ( gpio_control_in_1\[0\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 3534640 2683620 0 ) ( * 2684300 0 ) ; - - gpio_defaults\[10\] ( gpio_defaults_block_0\[0\] gpio_defaults[10] ) ( gpio_control_bidir_1\[0\] gpio_defaults[10] ) + USE SIGNAL + - gpio_defaults\[10\] ( gpio_defaults_block_0 gpio_defaults[10] ) ( gpio_control_bidir_1\[0\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 3523140 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[110\] ( gpio_defaults_block_8 gpio_defaults[6] ) ( gpio_control_in_1\[0\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 3532340 2683620 0 ) ( * 2684300 0 ) ; @@ -3504,7 +3504,7 @@ NETS 2794 ; + ROUTED met2 ( 3543840 2908700 0 ) ( * 2909380 0 ) ; - gpio_defaults\[119\] ( gpio_defaults_block_9 gpio_defaults[2] ) ( gpio_control_in_1\[1\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 3541540 2908700 0 ) ( * 2909380 0 ) ; - - gpio_defaults\[11\] ( gpio_defaults_block_0\[0\] gpio_defaults[11] ) ( gpio_control_bidir_1\[0\] gpio_defaults[11] ) + USE SIGNAL + - gpio_defaults\[11\] ( gpio_defaults_block_0 gpio_defaults[11] ) ( gpio_control_bidir_1\[0\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 3520840 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[120\] ( gpio_defaults_block_9 gpio_defaults[3] ) ( gpio_control_in_1\[1\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 3539240 2908700 0 ) ( * 2909380 0 ) ; @@ -3526,7 +3526,7 @@ NETS 2794 ; + ROUTED met2 ( 3520840 2908700 0 ) ( * 2909380 0 ) ; - gpio_defaults\[129\] ( gpio_defaults_block_9 gpio_defaults[12] ) ( gpio_control_in_1\[1\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 3518540 2908700 0 ) ( * 2909380 0 ) ; - - gpio_defaults\[12\] ( gpio_defaults_block_0\[0\] gpio_defaults[12] ) ( gpio_control_bidir_1\[0\] gpio_defaults[12] ) + USE SIGNAL + - gpio_defaults\[12\] ( gpio_defaults_block_0 gpio_defaults[12] ) ( gpio_control_bidir_1\[0\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 3518540 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[130\] ( gpio_defaults_block_10 gpio_defaults[0] ) ( gpio_control_in_1\[2\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 3546140 3134460 0 ) ( * 3135140 0 ) ; @@ -3548,7 +3548,7 @@ NETS 2794 ; + ROUTED met2 ( 3527740 3134460 0 ) ( * 3135140 0 ) ; - gpio_defaults\[139\] ( gpio_defaults_block_10 gpio_defaults[9] ) ( gpio_control_in_1\[2\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 3525440 3134460 0 ) ( * 3135140 0 ) ; - - gpio_defaults\[13\] ( gpio_defaults_block_0\[1\] gpio_defaults[0] ) ( gpio_control_bidir_1\[1\] gpio_defaults[0] ) + USE SIGNAL + - gpio_defaults\[13\] ( gpio_defaults_block_1 gpio_defaults[0] ) ( gpio_control_bidir_1\[1\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 3546140 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[140\] ( gpio_defaults_block_10 gpio_defaults[10] ) ( gpio_control_in_1\[2\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 3523140 3134460 0 ) ( * 3135140 0 ) ; @@ -3570,7 +3570,7 @@ NETS 2794 ; + ROUTED met2 ( 3534640 3359540 0 ) ( * 3360220 0 ) ; - gpio_defaults\[149\] ( gpio_defaults_block_11 gpio_defaults[6] ) ( gpio_control_in_1\[3\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 3532340 3359540 0 ) ( * 3360220 0 ) ; - - gpio_defaults\[14\] ( gpio_defaults_block_0\[1\] gpio_defaults[1] ) ( gpio_control_bidir_1\[1\] gpio_defaults[1] ) + USE SIGNAL + - gpio_defaults\[14\] ( gpio_defaults_block_1 gpio_defaults[1] ) ( gpio_control_bidir_1\[1\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 3543840 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[150\] ( gpio_defaults_block_11 gpio_defaults[7] ) ( gpio_control_in_1\[3\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 3530040 3359540 0 ) ( * 3360220 0 ) ; @@ -3592,7 +3592,7 @@ NETS 2794 ; + ROUTED met2 ( 3541540 3585980 0 ) ( * 3586320 0 ) ; - gpio_defaults\[159\] ( gpio_defaults_block_12 gpio_defaults[3] ) ( gpio_control_in_1\[4\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 3539240 3585980 0 ) ( * 3586320 0 ) ; - - gpio_defaults\[15\] ( gpio_defaults_block_0\[1\] gpio_defaults[2] ) ( gpio_control_bidir_1\[1\] gpio_defaults[2] ) + USE SIGNAL + - gpio_defaults\[15\] ( gpio_defaults_block_1 gpio_defaults[2] ) ( gpio_control_bidir_1\[1\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 3541540 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[160\] ( gpio_defaults_block_12 gpio_defaults[4] ) ( gpio_control_in_1\[4\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 3536940 3585980 0 ) ( * 3586320 0 ) ; @@ -3614,7 +3614,7 @@ NETS 2794 ; + ROUTED met2 ( 3518540 3585980 0 ) ( * 3586320 0 ) ; - gpio_defaults\[169\] ( gpio_defaults_block_13 gpio_defaults[0] ) ( gpio_control_in_1\[5\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 3546140 3810380 0 ) ( * 3811060 0 ) ; - - gpio_defaults\[16\] ( gpio_defaults_block_0\[1\] gpio_defaults[3] ) ( gpio_control_bidir_1\[1\] gpio_defaults[3] ) + USE SIGNAL + - gpio_defaults\[16\] ( gpio_defaults_block_1 gpio_defaults[3] ) ( gpio_control_bidir_1\[1\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 3539240 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[170\] ( gpio_defaults_block_13 gpio_defaults[1] ) ( gpio_control_in_1\[5\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 3543840 3810380 0 ) ( * 3811060 0 ) ; @@ -3636,7 +3636,7 @@ NETS 2794 ; + ROUTED met2 ( 3525440 3810380 0 ) ( * 3811060 0 ) ; - gpio_defaults\[179\] ( gpio_defaults_block_13 gpio_defaults[10] ) ( gpio_control_in_1\[5\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 3523140 3810380 0 ) ( * 3811060 0 ) ; - - gpio_defaults\[17\] ( gpio_defaults_block_0\[1\] gpio_defaults[4] ) ( gpio_control_bidir_1\[1\] gpio_defaults[4] ) + USE SIGNAL + - gpio_defaults\[17\] ( gpio_defaults_block_1 gpio_defaults[4] ) ( gpio_control_bidir_1\[1\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 3536940 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[180\] ( gpio_defaults_block_13 gpio_defaults[11] ) ( gpio_control_in_1\[5\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 3520840 3810380 0 ) ( * 3811060 0 ) ; @@ -3658,7 +3658,7 @@ NETS 2794 ; + ROUTED met2 ( 3532340 4702540 0 ) ( * 4703220 0 ) ; - gpio_defaults\[189\] ( gpio_defaults_block_14 gpio_defaults[7] ) ( gpio_control_in_1\[6\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 3530040 4702540 0 ) ( * 4703220 0 ) ; - - gpio_defaults\[18\] ( gpio_defaults_block_0\[1\] gpio_defaults[5] ) ( gpio_control_bidir_1\[1\] gpio_defaults[5] ) + USE SIGNAL + - gpio_defaults\[18\] ( gpio_defaults_block_1 gpio_defaults[5] ) ( gpio_control_bidir_1\[1\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 3534640 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[190\] ( gpio_defaults_block_14 gpio_defaults[8] ) ( gpio_control_in_1\[6\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 3527740 4702540 0 ) ( * 4703220 0 ) ; @@ -3680,9 +3680,9 @@ NETS 2794 ; + ROUTED met2 ( 2810830 5138760 0 ) ( 2811290 * 0 ) ; - gpio_defaults\[199\] ( gpio_defaults_block_15 gpio_defaults[4] ) ( gpio_control_in_1\[7\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 2810830 5136380 0 ) ( 2811290 * 0 ) ; - - gpio_defaults\[19\] ( gpio_defaults_block_0\[1\] gpio_defaults[6] ) ( gpio_control_bidir_1\[1\] gpio_defaults[6] ) + USE SIGNAL + - gpio_defaults\[19\] ( gpio_defaults_block_1 gpio_defaults[6] ) ( gpio_control_bidir_1\[1\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 3532340 895900 0 ) ( * 896580 0 ) ; - - gpio_defaults\[1\] ( gpio_defaults_block_0\[0\] gpio_defaults[1] ) ( gpio_control_bidir_1\[0\] gpio_defaults[1] ) + USE SIGNAL + - gpio_defaults\[1\] ( gpio_defaults_block_0 gpio_defaults[1] ) ( gpio_control_bidir_1\[0\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 3543840 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[200\] ( gpio_defaults_block_15 gpio_defaults[5] ) ( gpio_control_in_1\[7\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 2810830 5134000 0 ) ( 2811290 * 0 ) ; @@ -3704,7 +3704,7 @@ NETS 2794 ; + ROUTED met2 ( 2553690 5145560 0 ) ( 2554150 * 0 ) ; - gpio_defaults\[209\] ( gpio_defaults_block_16 gpio_defaults[1] ) ( gpio_control_in_1\[8\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 2553690 5143180 0 ) ( 2554150 * 0 ) ; - - gpio_defaults\[20\] ( gpio_defaults_block_0\[1\] gpio_defaults[7] ) ( gpio_control_bidir_1\[1\] gpio_defaults[7] ) + USE SIGNAL + - gpio_defaults\[20\] ( gpio_defaults_block_1 gpio_defaults[7] ) ( gpio_control_bidir_1\[1\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 3530040 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[210\] ( gpio_defaults_block_16 gpio_defaults[2] ) ( gpio_control_in_1\[8\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 2553690 5140955 0 ) ( 2554150 * 0 ) ; @@ -3726,7 +3726,7 @@ NETS 2794 ; + ROUTED met2 ( 2553690 5122440 0 ) ( 2554150 * 0 ) ; - gpio_defaults\[219\] ( gpio_defaults_block_16 gpio_defaults[11] ) ( gpio_control_in_1\[8\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 2553690 5120255 0 ) ( 2554150 * 0 ) ; - - gpio_defaults\[21\] ( gpio_defaults_block_0\[1\] gpio_defaults[8] ) ( gpio_control_bidir_1\[1\] gpio_defaults[8] ) + USE SIGNAL + - gpio_defaults\[21\] ( gpio_defaults_block_1 gpio_defaults[8] ) ( gpio_control_bidir_1\[1\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 3527740 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[220\] ( gpio_defaults_block_16 gpio_defaults[12] ) ( gpio_control_in_1\[8\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 2553690 5118020 0 ) ( 2554150 * 0 ) ; @@ -3748,7 +3748,7 @@ NETS 2794 ; + ROUTED met2 ( 2168670 5129580 0 ) ( 2169130 * 0 ) ; - gpio_defaults\[229\] ( gpio_defaults_block_17 gpio_defaults[8] ) ( gpio_control_in_1\[9\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 2168670 5127200 0 ) ( 2169130 * 0 ) ; - - gpio_defaults\[22\] ( gpio_defaults_block_0\[1\] gpio_defaults[9] ) ( gpio_control_bidir_1\[1\] gpio_defaults[9] ) + USE SIGNAL + - gpio_defaults\[22\] ( gpio_defaults_block_1 gpio_defaults[9] ) ( gpio_control_bidir_1\[1\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 3525440 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[230\] ( gpio_defaults_block_17 gpio_defaults[9] ) ( gpio_control_in_1\[9\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 2168670 5124820 0 ) ( 2169130 * 0 ) ; @@ -3772,7 +3772,7 @@ NETS 2794 ; + ROUTED met2 ( 1831950 5136380 0 ) ( 1832410 * 0 ) ; - gpio_defaults\[239\] ( gpio_defaults_block_18 gpio_defaults[5] ) ( gpio_control_in_1\[10\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 1831950 5134000 0 ) ( 1832410 * 0 ) ; - - gpio_defaults\[23\] ( gpio_defaults_block_0\[1\] gpio_defaults[10] ) ( gpio_control_bidir_1\[1\] gpio_defaults[10] ) + USE SIGNAL + - gpio_defaults\[23\] ( gpio_defaults_block_1 gpio_defaults[10] ) ( gpio_control_bidir_1\[1\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 3523140 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[240\] ( gpio_defaults_block_18 gpio_defaults[6] ) ( gpio_control_in_1\[10\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 1831490 5130940 ) ( * 5131620 0 ) @@ -3803,7 +3803,7 @@ NETS 2794 ; - gpio_defaults\[249\] ( gpio_defaults_block_19 gpio_defaults[2] ) ( gpio_control_in_2\[0\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 1579870 5140955 0 ) ( 1580100 * ) NEW met2 ( 1580100 5140955 ) ( 1580330 * 0 ) ; - - gpio_defaults\[24\] ( gpio_defaults_block_0\[1\] gpio_defaults[11] ) ( gpio_control_bidir_1\[1\] gpio_defaults[11] ) + USE SIGNAL + - gpio_defaults\[24\] ( gpio_defaults_block_1 gpio_defaults[11] ) ( gpio_control_bidir_1\[1\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 3520840 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[250\] ( gpio_defaults_block_19 gpio_defaults[3] ) ( gpio_control_in_2\[0\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 1579870 5138760 0 ) ( 1580100 * ) @@ -3835,7 +3835,7 @@ NETS 2794 ; - gpio_defaults\[259\] ( gpio_defaults_block_19 gpio_defaults[12] ) ( gpio_control_in_2\[0\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 1579870 5118020 0 ) ( 1580100 * ) NEW met2 ( 1580100 5118020 ) ( 1580330 * 0 ) ; - - gpio_defaults\[25\] ( gpio_defaults_block_0\[1\] gpio_defaults[12] ) ( gpio_control_bidir_1\[1\] gpio_defaults[12] ) + USE SIGNAL + - gpio_defaults\[25\] ( gpio_defaults_block_1 gpio_defaults[12] ) ( gpio_control_bidir_1\[1\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 3518540 895900 0 ) ( * 896580 0 ) ; - gpio_defaults\[260\] ( gpio_defaults_block_20 gpio_defaults[0] ) ( gpio_control_in_2\[1\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 1321810 5145560 0 ) ( 1322270 * 0 ) ; @@ -3857,7 +3857,7 @@ NETS 2794 ; + ROUTED met2 ( 1321810 5127200 0 ) ( 1322270 * 0 ) ; - gpio_defaults\[269\] ( gpio_defaults_block_20 gpio_defaults[9] ) ( gpio_control_in_2\[1\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 1321810 5124820 0 ) ( 1322270 * 0 ) ; - - gpio_defaults\[26\] ( gpio_defaults_block_2\[0\] gpio_defaults[0] ) ( gpio_control_in_1a\[0\] gpio_defaults[0] ) + USE SIGNAL + - gpio_defaults\[26\] ( gpio_defaults_block_2 gpio_defaults[0] ) ( gpio_control_in_1a\[0\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 3546140 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[270\] ( gpio_defaults_block_20 gpio_defaults[10] ) ( gpio_control_in_2\[1\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 1321810 5122440 0 ) ( 1322270 * 0 ) ; @@ -3879,7 +3879,7 @@ NETS 2794 ; + ROUTED met2 ( 1064670 5134000 0 ) ( 1065130 * 0 ) ; - gpio_defaults\[279\] ( gpio_defaults_block_21 gpio_defaults[6] ) ( gpio_control_in_2\[2\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 1064670 5131620 0 ) ( 1065130 * 0 ) ; - - gpio_defaults\[27\] ( gpio_defaults_block_2\[0\] gpio_defaults[1] ) ( gpio_control_in_1a\[0\] gpio_defaults[1] ) + USE SIGNAL + - gpio_defaults\[27\] ( gpio_defaults_block_2 gpio_defaults[1] ) ( gpio_control_in_1a\[0\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 3543840 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[280\] ( gpio_defaults_block_21 gpio_defaults[7] ) ( gpio_control_in_2\[2\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 1064670 5129580 0 ) ( 1065130 * 0 ) ; @@ -3897,7 +3897,7 @@ NETS 2794 ; - gpio_defaults\[287\] ( gpio_defaults_block_22 gpio_defaults[1] ) ( gpio_control_in_2\[3\] gpio_defaults[1] ) + USE SIGNAL ; - gpio_defaults\[288\] ( gpio_defaults_block_22 gpio_defaults[2] ) ( gpio_control_in_2\[3\] gpio_defaults[2] ) + USE SIGNAL ; - gpio_defaults\[289\] ( gpio_defaults_block_22 gpio_defaults[3] ) ( gpio_control_in_2\[3\] gpio_defaults[3] ) + USE SIGNAL ; - - gpio_defaults\[28\] ( gpio_defaults_block_2\[0\] gpio_defaults[2] ) ( gpio_control_in_1a\[0\] gpio_defaults[2] ) + USE SIGNAL + - gpio_defaults\[28\] ( gpio_defaults_block_2 gpio_defaults[2] ) ( gpio_control_in_1a\[0\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 3541540 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[290\] ( gpio_defaults_block_22 gpio_defaults[4] ) ( gpio_control_in_2\[3\] gpio_defaults[4] ) + USE SIGNAL ; - gpio_defaults\[291\] ( gpio_defaults_block_22 gpio_defaults[5] ) ( gpio_control_in_2\[3\] gpio_defaults[5] ) + USE SIGNAL ; @@ -3910,9 +3910,9 @@ NETS 2794 ; - gpio_defaults\[298\] ( gpio_defaults_block_22 gpio_defaults[12] ) ( gpio_control_in_2\[3\] gpio_defaults[12] ) + USE SIGNAL ; - gpio_defaults\[299\] ( gpio_defaults_block_23 gpio_defaults[0] ) ( gpio_control_in_2\[4\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 550850 5145560 0 ) ( 551310 * 0 ) ; - - gpio_defaults\[29\] ( gpio_defaults_block_2\[0\] gpio_defaults[3] ) ( gpio_control_in_1a\[0\] gpio_defaults[3] ) + USE SIGNAL + - gpio_defaults\[29\] ( gpio_defaults_block_2 gpio_defaults[3] ) ( gpio_control_in_1a\[0\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 3539240 1120980 0 ) ( * 1121320 0 ) ; - - gpio_defaults\[2\] ( gpio_defaults_block_0\[0\] gpio_defaults[2] ) ( gpio_control_bidir_1\[0\] gpio_defaults[2] ) + USE SIGNAL + - gpio_defaults\[2\] ( gpio_defaults_block_0 gpio_defaults[2] ) ( gpio_control_bidir_1\[0\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 3541540 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[300\] ( gpio_defaults_block_23 gpio_defaults[1] ) ( gpio_control_in_2\[4\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 550850 5143180 0 ) ( 551310 * 0 ) ; @@ -3934,7 +3934,7 @@ NETS 2794 ; + ROUTED met2 ( 550850 5124820 0 ) ( 551310 * 0 ) ; - gpio_defaults\[309\] ( gpio_defaults_block_23 gpio_defaults[10] ) ( gpio_control_in_2\[4\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 550850 5122440 0 ) ( 551310 * 0 ) ; - - gpio_defaults\[30\] ( gpio_defaults_block_2\[0\] gpio_defaults[4] ) ( gpio_control_in_1a\[0\] gpio_defaults[4] ) + USE SIGNAL + - gpio_defaults\[30\] ( gpio_defaults_block_2 gpio_defaults[4] ) ( gpio_control_in_1a\[0\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 3536940 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[310\] ( gpio_defaults_block_23 gpio_defaults[11] ) ( gpio_control_in_2\[4\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 550850 5120255 0 ) ( 551310 * 0 ) ; @@ -3956,7 +3956,7 @@ NETS 2794 ; + ROUTED met2 ( 56810 4720900 0 ) ( * 4721580 0 ) ; - gpio_defaults\[319\] ( gpio_defaults_block_24 gpio_defaults[7] ) ( gpio_control_in_2\[5\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 59110 4720900 0 ) ( * 4721580 0 ) ; - - gpio_defaults\[31\] ( gpio_defaults_block_2\[0\] gpio_defaults[5] ) ( gpio_control_in_1a\[0\] gpio_defaults[5] ) + USE SIGNAL + - gpio_defaults\[31\] ( gpio_defaults_block_2 gpio_defaults[5] ) ( gpio_control_in_1a\[0\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 3534640 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[320\] ( gpio_defaults_block_24 gpio_defaults[8] ) ( gpio_control_in_2\[5\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 61410 4720900 0 ) ( * 4721580 0 ) ; @@ -3978,7 +3978,7 @@ NETS 2794 ; + ROUTED met2 ( 49910 4091900 0 ) ( * 4092580 0 ) ; - gpio_defaults\[329\] ( gpio_defaults_block_25 gpio_defaults[4] ) ( gpio_control_in_2\[6\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 52210 4091900 0 ) ( * 4092580 0 ) ; - - gpio_defaults\[32\] ( gpio_defaults_block_2\[0\] gpio_defaults[6] ) ( gpio_control_in_1a\[0\] gpio_defaults[6] ) + USE SIGNAL + - gpio_defaults\[32\] ( gpio_defaults_block_2 gpio_defaults[6] ) ( gpio_control_in_1a\[0\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 3532340 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[330\] ( gpio_defaults_block_25 gpio_defaults[5] ) ( gpio_control_in_2\[6\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 54510 4091900 0 ) ( * 4092580 0 ) ; @@ -4000,7 +4000,7 @@ NETS 2794 ; + ROUTED met2 ( 43010 3875660 0 ) ( * 3876340 0 ) ; - gpio_defaults\[339\] ( gpio_defaults_block_26 gpio_defaults[1] ) ( gpio_control_in_2\[7\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 45310 3875660 0 ) ( * 3876340 0 ) ; - - gpio_defaults\[33\] ( gpio_defaults_block_2\[0\] gpio_defaults[7] ) ( gpio_control_in_1a\[0\] gpio_defaults[7] ) + USE SIGNAL + - gpio_defaults\[33\] ( gpio_defaults_block_2 gpio_defaults[7] ) ( gpio_control_in_1a\[0\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 3530040 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[340\] ( gpio_defaults_block_26 gpio_defaults[2] ) ( gpio_control_in_2\[7\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 47610 3875660 0 ) ( * 3876340 0 ) ; @@ -4022,7 +4022,7 @@ NETS 2794 ; + ROUTED met2 ( 66010 3875660 0 ) ( * 3876340 0 ) ; - gpio_defaults\[349\] ( gpio_defaults_block_26 gpio_defaults[11] ) ( gpio_control_in_2\[7\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 68310 3875660 0 ) ( * 3876340 0 ) ; - - gpio_defaults\[34\] ( gpio_defaults_block_2\[0\] gpio_defaults[8] ) ( gpio_control_in_1a\[0\] gpio_defaults[8] ) + USE SIGNAL + - gpio_defaults\[34\] ( gpio_defaults_block_2 gpio_defaults[8] ) ( gpio_control_in_1a\[0\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 3527740 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[350\] ( gpio_defaults_block_26 gpio_defaults[12] ) ( gpio_control_in_2\[7\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 70610 3875660 0 ) ( * 3876340 0 ) ; @@ -4044,7 +4044,7 @@ NETS 2794 ; + ROUTED met2 ( 59110 3659420 0 ) ( * 3660100 0 ) ; - gpio_defaults\[359\] ( gpio_defaults_block_27 gpio_defaults[8] ) ( gpio_control_in_2\[8\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 61410 3659420 0 ) ( * 3660100 0 ) ; - - gpio_defaults\[35\] ( gpio_defaults_block_2\[0\] gpio_defaults[9] ) ( gpio_control_in_1a\[0\] gpio_defaults[9] ) + USE SIGNAL + - gpio_defaults\[35\] ( gpio_defaults_block_2 gpio_defaults[9] ) ( gpio_control_in_1a\[0\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 3525440 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[360\] ( gpio_defaults_block_27 gpio_defaults[9] ) ( gpio_control_in_2\[8\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 63710 3659420 0 ) ( * 3660100 0 ) ; @@ -4066,7 +4066,7 @@ NETS 2794 ; + ROUTED met2 ( 52210 3443860 0 ) ( * 3444540 0 ) ; - gpio_defaults\[369\] ( gpio_defaults_block_28 gpio_defaults[5] ) ( gpio_control_in_2\[9\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 54510 3443860 0 ) ( * 3444540 0 ) ; - - gpio_defaults\[36\] ( gpio_defaults_block_2\[0\] gpio_defaults[10] ) ( gpio_control_in_1a\[0\] gpio_defaults[10] ) + USE SIGNAL + - gpio_defaults\[36\] ( gpio_defaults_block_2 gpio_defaults[10] ) ( gpio_control_in_1a\[0\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 3523140 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[370\] ( gpio_defaults_block_28 gpio_defaults[6] ) ( gpio_control_in_2\[9\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 56810 3443860 0 ) ( * 3444540 0 ) ; @@ -4088,7 +4088,7 @@ NETS 2794 ; + ROUTED met2 ( 45310 3227620 0 ) ( * 3228300 0 ) ; - gpio_defaults\[379\] ( gpio_defaults_block_29 gpio_defaults[2] ) ( gpio_control_in_2\[10\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 47610 3227620 0 ) ( * 3228300 0 ) ; - - gpio_defaults\[37\] ( gpio_defaults_block_2\[0\] gpio_defaults[11] ) ( gpio_control_in_1a\[0\] gpio_defaults[11] ) + USE SIGNAL + - gpio_defaults\[37\] ( gpio_defaults_block_2 gpio_defaults[11] ) ( gpio_control_in_1a\[0\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 3520840 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[380\] ( gpio_defaults_block_29 gpio_defaults[3] ) ( gpio_control_in_2\[10\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 49910 3227620 0 ) ( * 3228300 0 ) ; @@ -4110,7 +4110,7 @@ NETS 2794 ; + ROUTED met2 ( 68310 3227620 0 ) ( * 3228300 0 ) ; - gpio_defaults\[389\] ( gpio_defaults_block_29 gpio_defaults[12] ) ( gpio_control_in_2\[10\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 70610 3227620 0 ) ( * 3228300 0 ) ; - - gpio_defaults\[38\] ( gpio_defaults_block_2\[0\] gpio_defaults[12] ) ( gpio_control_in_1a\[0\] gpio_defaults[12] ) + USE SIGNAL + - gpio_defaults\[38\] ( gpio_defaults_block_2 gpio_defaults[12] ) ( gpio_control_in_1a\[0\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 3518540 1120980 0 ) ( * 1121320 0 ) ; - gpio_defaults\[390\] ( gpio_defaults_block_30 gpio_defaults[0] ) ( gpio_control_in_2\[11\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 43010 3011380 0 ) ( * 3012060 0 ) ; @@ -4132,9 +4132,9 @@ NETS 2794 ; + ROUTED met2 ( 61410 3011380 0 ) ( * 3012060 0 ) ; - gpio_defaults\[399\] ( gpio_defaults_block_30 gpio_defaults[9] ) ( gpio_control_in_2\[11\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 63710 3011380 0 ) ( * 3012060 0 ) ; - - gpio_defaults\[39\] ( gpio_defaults_block_2\[1\] gpio_defaults[0] ) ( gpio_control_in_1a\[1\] gpio_defaults[0] ) + USE SIGNAL + - gpio_defaults\[39\] ( gpio_defaults_block_3 gpio_defaults[0] ) ( gpio_control_in_1a\[1\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 3546140 1346740 0 ) ( * 1347420 0 ) ; - - gpio_defaults\[3\] ( gpio_defaults_block_0\[0\] gpio_defaults[3] ) ( gpio_control_bidir_1\[0\] gpio_defaults[3] ) + USE SIGNAL + - gpio_defaults\[3\] ( gpio_defaults_block_0 gpio_defaults[3] ) ( gpio_control_bidir_1\[0\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 3539240 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[400\] ( gpio_defaults_block_30 gpio_defaults[10] ) ( gpio_control_in_2\[11\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 66010 3011380 0 ) ( * 3012060 0 ) ; @@ -4156,7 +4156,7 @@ NETS 2794 ; + ROUTED met2 ( 54510 2795820 0 ) ( * 2796500 0 ) ; - gpio_defaults\[409\] ( gpio_defaults_block_31 gpio_defaults[6] ) ( gpio_control_in_2\[12\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 56810 2795820 0 ) ( * 2796500 0 ) ; - - gpio_defaults\[40\] ( gpio_defaults_block_2\[1\] gpio_defaults[1] ) ( gpio_control_in_1a\[1\] gpio_defaults[1] ) + USE SIGNAL + - gpio_defaults\[40\] ( gpio_defaults_block_3 gpio_defaults[1] ) ( gpio_control_in_1a\[1\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 3543840 1346740 0 ) ( * 1347420 0 ) ; - gpio_defaults\[410\] ( gpio_defaults_block_31 gpio_defaults[7] ) ( gpio_control_in_2\[12\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 59110 2795820 0 ) ( * 2796500 0 ) ; @@ -4178,7 +4178,7 @@ NETS 2794 ; + ROUTED met2 ( 47610 2157980 0 ) ( * 2158320 0 ) ; - gpio_defaults\[419\] ( gpio_defaults_block_32 gpio_defaults[3] ) ( gpio_control_in_2\[13\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 49910 2157980 0 ) ( * 2158320 0 ) ; - - gpio_defaults\[41\] ( gpio_defaults_block_2\[1\] gpio_defaults[2] ) ( gpio_control_in_1a\[1\] gpio_defaults[2] ) + USE SIGNAL + - gpio_defaults\[41\] ( gpio_defaults_block_3 gpio_defaults[2] ) ( gpio_control_in_1a\[1\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 3541540 1346740 0 ) ( * 1347420 0 ) ; - gpio_defaults\[420\] ( gpio_defaults_block_32 gpio_defaults[4] ) ( gpio_control_in_2\[13\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 52210 2157980 0 ) ( * 2158320 0 ) ; @@ -4200,7 +4200,7 @@ NETS 2794 ; + ROUTED met2 ( 70610 2157980 0 ) ( * 2158320 0 ) ; - gpio_defaults\[429\] ( gpio_defaults_block_33 gpio_defaults[0] ) ( gpio_control_in_2\[14\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 43010 1941740 0 ) ( * 1942420 0 ) ; - - gpio_defaults\[42\] ( gpio_defaults_block_2\[1\] gpio_defaults[3] ) ( gpio_control_in_1a\[1\] gpio_defaults[3] ) + USE SIGNAL + - gpio_defaults\[42\] ( gpio_defaults_block_3 gpio_defaults[3] ) ( gpio_control_in_1a\[1\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 3539240 1346740 0 ) ( * 1347420 0 ) ; - gpio_defaults\[430\] ( gpio_defaults_block_33 gpio_defaults[1] ) ( gpio_control_in_2\[14\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 45310 1941740 0 ) ( * 1942420 0 ) ; @@ -4222,7 +4222,7 @@ NETS 2794 ; + ROUTED met2 ( 63710 1941740 0 ) ( * 1942420 0 ) ; - gpio_defaults\[439\] ( gpio_defaults_block_33 gpio_defaults[10] ) ( gpio_control_in_2\[14\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 66010 1941740 0 ) ( * 1942420 0 ) ; - - gpio_defaults\[43\] ( gpio_defaults_block_2\[1\] gpio_defaults[4] ) ( gpio_control_in_1a\[1\] gpio_defaults[4] ) + USE SIGNAL + - gpio_defaults\[43\] ( gpio_defaults_block_3 gpio_defaults[4] ) ( gpio_control_in_1a\[1\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 3536940 1346740 0 ) ( * 1347420 0 ) ; - gpio_defaults\[440\] ( gpio_defaults_block_33 gpio_defaults[11] ) ( gpio_control_in_2\[14\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 68310 1941740 0 ) ( * 1942420 0 ) ; @@ -4244,7 +4244,7 @@ NETS 2794 ; + ROUTED met2 ( 56810 1725500 0 ) ( * 1726180 0 ) ; - gpio_defaults\[449\] ( gpio_defaults_block_34 gpio_defaults[7] ) ( gpio_control_in_2\[15\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 59110 1725500 0 ) ( * 1726180 0 ) ; - - gpio_defaults\[44\] ( gpio_defaults_block_2\[1\] gpio_defaults[5] ) ( gpio_control_in_1a\[1\] gpio_defaults[5] ) + USE SIGNAL + - gpio_defaults\[44\] ( gpio_defaults_block_3 gpio_defaults[5] ) ( gpio_control_in_1a\[1\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 3534640 1346740 0 ) ( * 1347420 0 ) ; - gpio_defaults\[450\] ( gpio_defaults_block_34 gpio_defaults[8] ) ( gpio_control_in_2\[15\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 61410 1725500 0 ) ( * 1726180 0 ) ; @@ -4266,7 +4266,7 @@ NETS 2794 ; + ROUTED met2 ( 49910 1509940 0 ) ( * 1510620 0 ) ; - gpio_defaults\[459\] ( gpio_defaults_block_35 gpio_defaults[4] ) ( gpio_control_bidir_2\[0\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 52210 1509940 0 ) ( * 1510620 0 ) ; - - gpio_defaults\[45\] ( gpio_defaults_block_2\[1\] gpio_defaults[6] ) ( gpio_control_in_1a\[1\] gpio_defaults[6] ) + USE SIGNAL + - gpio_defaults\[45\] ( gpio_defaults_block_3 gpio_defaults[6] ) ( gpio_control_in_1a\[1\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 3532340 1346740 0 ) ( * 1347420 0 ) ; - gpio_defaults\[460\] ( gpio_defaults_block_35 gpio_defaults[5] ) ( gpio_control_bidir_2\[0\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 54510 1509940 0 ) ( * 1510620 0 ) ; @@ -4288,7 +4288,7 @@ NETS 2794 ; + ROUTED met2 ( 43010 1293700 0 ) ( * 1294380 0 ) ; - gpio_defaults\[469\] ( gpio_defaults_block_36 gpio_defaults[1] ) ( gpio_control_bidir_2\[1\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 45310 1293700 0 ) ( * 1294380 0 ) ; - - gpio_defaults\[46\] ( gpio_defaults_block_2\[1\] gpio_defaults[7] ) ( gpio_control_in_1a\[1\] gpio_defaults[7] ) + USE SIGNAL + - gpio_defaults\[46\] ( gpio_defaults_block_3 gpio_defaults[7] ) ( gpio_control_in_1a\[1\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 3530040 1346740 0 ) ( * 1347420 0 ) ; - gpio_defaults\[470\] ( gpio_defaults_block_36 gpio_defaults[2] ) ( gpio_control_bidir_2\[1\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 47610 1293700 0 ) ( * 1294380 0 ) ; @@ -4310,7 +4310,7 @@ NETS 2794 ; + ROUTED met2 ( 66010 1293700 0 ) ( * 1294380 0 ) ; - gpio_defaults\[479\] ( gpio_defaults_block_36 gpio_defaults[11] ) ( gpio_control_bidir_2\[1\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 68310 1293700 0 ) ( * 1294380 0 ) ; - - gpio_defaults\[47\] ( gpio_defaults_block_2\[1\] gpio_defaults[8] ) ( gpio_control_in_1a\[1\] gpio_defaults[8] ) + USE SIGNAL + - gpio_defaults\[47\] ( gpio_defaults_block_3 gpio_defaults[8] ) ( gpio_control_in_1a\[1\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 3527740 1346740 0 ) ( * 1347420 0 ) ; - gpio_defaults\[480\] ( gpio_defaults_block_36 gpio_defaults[12] ) ( gpio_control_bidir_2\[1\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 70610 1293700 0 ) ( * 1294380 0 ) ; @@ -4332,7 +4332,7 @@ NETS 2794 ; + ROUTED met2 ( 59110 1077460 0 ) ( * 1078140 0 ) ; - gpio_defaults\[489\] ( gpio_defaults_block_37 gpio_defaults[8] ) ( gpio_control_bidir_2\[2\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 61410 1077460 0 ) ( * 1078140 0 ) ; - - gpio_defaults\[48\] ( gpio_defaults_block_2\[1\] gpio_defaults[9] ) ( gpio_control_in_1a\[1\] gpio_defaults[9] ) + USE SIGNAL + - gpio_defaults\[48\] ( gpio_defaults_block_3 gpio_defaults[9] ) ( gpio_control_in_1a\[1\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 3525440 1346740 0 ) ( * 1347420 0 ) ; - gpio_defaults\[490\] ( gpio_defaults_block_37 gpio_defaults[9] ) ( gpio_control_bidir_2\[2\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 63710 1077460 0 ) ( * 1078140 0 ) ; @@ -4342,41 +4342,41 @@ NETS 2794 ; + ROUTED met2 ( 68310 1077460 0 ) ( * 1078140 0 ) ; - gpio_defaults\[493\] ( gpio_defaults_block_37 gpio_defaults[12] ) ( gpio_control_bidir_2\[2\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 70610 1077460 0 ) ( * 1078140 0 ) ; - - gpio_defaults\[49\] ( gpio_defaults_block_2\[1\] gpio_defaults[10] ) ( gpio_control_in_1a\[1\] gpio_defaults[10] ) + USE SIGNAL + - gpio_defaults\[49\] ( gpio_defaults_block_3 gpio_defaults[10] ) ( gpio_control_in_1a\[1\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 3523140 1346740 0 ) ( * 1347420 0 ) ; - - gpio_defaults\[4\] ( gpio_defaults_block_0\[0\] gpio_defaults[4] ) ( gpio_control_bidir_1\[0\] gpio_defaults[4] ) + USE SIGNAL + - gpio_defaults\[4\] ( gpio_defaults_block_0 gpio_defaults[4] ) ( gpio_control_bidir_1\[0\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 3536940 669460 0 ) ( * 670140 0 ) ; - - gpio_defaults\[50\] ( gpio_defaults_block_2\[1\] gpio_defaults[11] ) ( gpio_control_in_1a\[1\] gpio_defaults[11] ) + USE SIGNAL + - gpio_defaults\[50\] ( gpio_defaults_block_3 gpio_defaults[11] ) ( gpio_control_in_1a\[1\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 3520840 1346740 0 ) ( * 1347420 0 ) ; - - gpio_defaults\[51\] ( gpio_defaults_block_2\[1\] gpio_defaults[12] ) ( gpio_control_in_1a\[1\] gpio_defaults[12] ) + USE SIGNAL + - gpio_defaults\[51\] ( gpio_defaults_block_3 gpio_defaults[12] ) ( gpio_control_in_1a\[1\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 3518540 1346740 0 ) ( * 1347420 0 ) ; - - gpio_defaults\[52\] ( gpio_defaults_block_2\[2\] gpio_defaults[0] ) ( gpio_control_in_1a\[2\] gpio_defaults[0] ) + USE SIGNAL + - gpio_defaults\[52\] ( gpio_defaults_block_4 gpio_defaults[0] ) ( gpio_control_in_1a\[2\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 3546140 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[53\] ( gpio_defaults_block_2\[2\] gpio_defaults[1] ) ( gpio_control_in_1a\[2\] gpio_defaults[1] ) + USE SIGNAL + - gpio_defaults\[53\] ( gpio_defaults_block_4 gpio_defaults[1] ) ( gpio_control_in_1a\[2\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 3543840 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[54\] ( gpio_defaults_block_2\[2\] gpio_defaults[2] ) ( gpio_control_in_1a\[2\] gpio_defaults[2] ) + USE SIGNAL + - gpio_defaults\[54\] ( gpio_defaults_block_4 gpio_defaults[2] ) ( gpio_control_in_1a\[2\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 3541540 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[55\] ( gpio_defaults_block_2\[2\] gpio_defaults[3] ) ( gpio_control_in_1a\[2\] gpio_defaults[3] ) + USE SIGNAL + - gpio_defaults\[55\] ( gpio_defaults_block_4 gpio_defaults[3] ) ( gpio_control_in_1a\[2\] gpio_defaults[3] ) + USE SIGNAL + ROUTED met2 ( 3539240 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[56\] ( gpio_defaults_block_2\[2\] gpio_defaults[4] ) ( gpio_control_in_1a\[2\] gpio_defaults[4] ) + USE SIGNAL + - gpio_defaults\[56\] ( gpio_defaults_block_4 gpio_defaults[4] ) ( gpio_control_in_1a\[2\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 3536940 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[57\] ( gpio_defaults_block_2\[2\] gpio_defaults[5] ) ( gpio_control_in_1a\[2\] gpio_defaults[5] ) + USE SIGNAL + - gpio_defaults\[57\] ( gpio_defaults_block_4 gpio_defaults[5] ) ( gpio_control_in_1a\[2\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 3534640 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[58\] ( gpio_defaults_block_2\[2\] gpio_defaults[6] ) ( gpio_control_in_1a\[2\] gpio_defaults[6] ) + USE SIGNAL + - gpio_defaults\[58\] ( gpio_defaults_block_4 gpio_defaults[6] ) ( gpio_control_in_1a\[2\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 3532340 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[59\] ( gpio_defaults_block_2\[2\] gpio_defaults[7] ) ( gpio_control_in_1a\[2\] gpio_defaults[7] ) + USE SIGNAL + - gpio_defaults\[59\] ( gpio_defaults_block_4 gpio_defaults[7] ) ( gpio_control_in_1a\[2\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 3530040 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[5\] ( gpio_defaults_block_0\[0\] gpio_defaults[5] ) ( gpio_control_bidir_1\[0\] gpio_defaults[5] ) + USE SIGNAL + - gpio_defaults\[5\] ( gpio_defaults_block_0 gpio_defaults[5] ) ( gpio_control_bidir_1\[0\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 3534640 669460 0 ) ( * 670140 0 ) ; - - gpio_defaults\[60\] ( gpio_defaults_block_2\[2\] gpio_defaults[8] ) ( gpio_control_in_1a\[2\] gpio_defaults[8] ) + USE SIGNAL + - gpio_defaults\[60\] ( gpio_defaults_block_4 gpio_defaults[8] ) ( gpio_control_in_1a\[2\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 3527740 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[61\] ( gpio_defaults_block_2\[2\] gpio_defaults[9] ) ( gpio_control_in_1a\[2\] gpio_defaults[9] ) + USE SIGNAL + - gpio_defaults\[61\] ( gpio_defaults_block_4 gpio_defaults[9] ) ( gpio_control_in_1a\[2\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 3525440 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[62\] ( gpio_defaults_block_2\[2\] gpio_defaults[10] ) ( gpio_control_in_1a\[2\] gpio_defaults[10] ) + USE SIGNAL + - gpio_defaults\[62\] ( gpio_defaults_block_4 gpio_defaults[10] ) ( gpio_control_in_1a\[2\] gpio_defaults[10] ) + USE SIGNAL + ROUTED met2 ( 3523140 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[63\] ( gpio_defaults_block_2\[2\] gpio_defaults[11] ) ( gpio_control_in_1a\[2\] gpio_defaults[11] ) + USE SIGNAL + - gpio_defaults\[63\] ( gpio_defaults_block_4 gpio_defaults[11] ) ( gpio_control_in_1a\[2\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 3520840 1571820 0 ) ( * 1572500 0 ) ; - - gpio_defaults\[64\] ( gpio_defaults_block_2\[2\] gpio_defaults[12] ) ( gpio_control_in_1a\[2\] gpio_defaults[12] ) + USE SIGNAL + - gpio_defaults\[64\] ( gpio_defaults_block_4 gpio_defaults[12] ) ( gpio_control_in_1a\[2\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 3518540 1571820 0 ) ( * 1572500 0 ) ; - gpio_defaults\[65\] ( gpio_defaults_block_5 gpio_defaults[0] ) ( gpio_control_in_1a\[3\] gpio_defaults[0] ) + USE SIGNAL + ROUTED met2 ( 3546140 1796900 0 ) ( * 1797580 0 ) ; @@ -4388,7 +4388,7 @@ NETS 2794 ; + ROUTED met2 ( 3539240 1796900 0 ) ( * 1797580 0 ) ; - gpio_defaults\[69\] ( gpio_defaults_block_5 gpio_defaults[4] ) ( gpio_control_in_1a\[3\] gpio_defaults[4] ) + USE SIGNAL + ROUTED met2 ( 3536940 1796900 0 ) ( * 1797580 0 ) ; - - gpio_defaults\[6\] ( gpio_defaults_block_0\[0\] gpio_defaults[6] ) ( gpio_control_bidir_1\[0\] gpio_defaults[6] ) + USE SIGNAL + - gpio_defaults\[6\] ( gpio_defaults_block_0 gpio_defaults[6] ) ( gpio_control_bidir_1\[0\] gpio_defaults[6] ) + USE SIGNAL + ROUTED met2 ( 3532340 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[70\] ( gpio_defaults_block_5 gpio_defaults[5] ) ( gpio_control_in_1a\[3\] gpio_defaults[5] ) + USE SIGNAL + ROUTED met2 ( 3534640 1796900 0 ) ( * 1797580 0 ) ; @@ -4410,7 +4410,7 @@ NETS 2794 ; + ROUTED met2 ( 3546140 2022660 0 ) ( * 2023340 0 ) ; - gpio_defaults\[79\] ( gpio_defaults_block_6 gpio_defaults[1] ) ( gpio_control_in_1a\[4\] gpio_defaults[1] ) + USE SIGNAL + ROUTED met2 ( 3543840 2022660 0 ) ( * 2023340 0 ) ; - - gpio_defaults\[7\] ( gpio_defaults_block_0\[0\] gpio_defaults[7] ) ( gpio_control_bidir_1\[0\] gpio_defaults[7] ) + USE SIGNAL + - gpio_defaults\[7\] ( gpio_defaults_block_0 gpio_defaults[7] ) ( gpio_control_bidir_1\[0\] gpio_defaults[7] ) + USE SIGNAL + ROUTED met2 ( 3530040 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[80\] ( gpio_defaults_block_6 gpio_defaults[2] ) ( gpio_control_in_1a\[4\] gpio_defaults[2] ) + USE SIGNAL + ROUTED met2 ( 3541540 2022660 0 ) ( * 2023340 0 ) ; @@ -4432,7 +4432,7 @@ NETS 2794 ; + ROUTED met2 ( 3523140 2022660 0 ) ( * 2023340 0 ) ; - gpio_defaults\[89\] ( gpio_defaults_block_6 gpio_defaults[11] ) ( gpio_control_in_1a\[4\] gpio_defaults[11] ) + USE SIGNAL + ROUTED met2 ( 3520840 2022660 0 ) ( * 2023340 0 ) ; - - gpio_defaults\[8\] ( gpio_defaults_block_0\[0\] gpio_defaults[8] ) ( gpio_control_bidir_1\[0\] gpio_defaults[8] ) + USE SIGNAL + - gpio_defaults\[8\] ( gpio_defaults_block_0 gpio_defaults[8] ) ( gpio_control_bidir_1\[0\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 3527740 669460 0 ) ( * 670140 0 ) ; - gpio_defaults\[90\] ( gpio_defaults_block_6 gpio_defaults[12] ) ( gpio_control_in_1a\[4\] gpio_defaults[12] ) + USE SIGNAL + ROUTED met2 ( 3518540 2022660 0 ) ( * 2023340 0 ) ; @@ -4454,7 +4454,7 @@ NETS 2794 ; + ROUTED met2 ( 3530040 2463980 0 ) ( * 2464320 0 ) ; - gpio_defaults\[99\] ( gpio_defaults_block_7 gpio_defaults[8] ) ( gpio_control_in_1a\[5\] gpio_defaults[8] ) + USE SIGNAL + ROUTED met2 ( 3527740 2463980 0 ) ( * 2464320 0 ) ; - - gpio_defaults\[9\] ( gpio_defaults_block_0\[0\] gpio_defaults[9] ) ( gpio_control_bidir_1\[0\] gpio_defaults[9] ) + USE SIGNAL + - gpio_defaults\[9\] ( gpio_defaults_block_0 gpio_defaults[9] ) ( gpio_control_bidir_1\[0\] gpio_defaults[9] ) + USE SIGNAL + ROUTED met2 ( 3525440 669460 0 ) ( * 670140 0 ) ; - gpio_in_core ( soc gpio_in_pad ) ( padframe gpio_in_core ) + USE SIGNAL + ROUTED met2 ( 2574390 210460 ) ( 2575770 * 0 ) diff --git a/mag/caravan.mag b/mag/caravan.mag index 6f53590c..dee363c2 100644 --- a/mag/caravan.mag +++ b/mag/caravan.mag @@ -59042,23 +59042,23 @@ use gpio_control_block gpio_control_in_2\[9\] timestamp 1650900217 transform 1 0 7631 0 1 332200 box 882 416 34000 13000 -use gpio_defaults_block_1803 gpio_defaults_block_0\[0\] +use gpio_defaults_block_1803 gpio_defaults_block_0 timestamp 1638587925 transform -1 0 709467 0 1 134000 box -38 0 6018 2224 -use gpio_defaults_block_1803 gpio_defaults_block_0\[1\] +use gpio_defaults_block_1803 gpio_defaults_block_1 timestamp 1638587925 transform -1 0 709467 0 1 179200 box -38 0 6018 2224 -use gpio_defaults_block_0403 gpio_defaults_block_2\[0\] +use gpio_defaults_block_0403 gpio_defaults_block_2 timestamp 1638587925 transform -1 0 709467 0 1 224200 box -38 0 6018 2224 -use gpio_defaults_block_0403 gpio_defaults_block_2\[1\] +use gpio_defaults_block_0c01 gpio_defaults_block_3 timestamp 1638587925 transform -1 0 709467 0 1 269400 box -38 0 6018 2224 -use gpio_defaults_block_0403 gpio_defaults_block_2\[2\] +use gpio_defaults_block_0403 gpio_defaults_block_4 timestamp 1638587925 transform -1 0 709467 0 1 314400 box -38 0 6018 2224 diff --git a/mag/caravel.mag b/mag/caravel.mag index c49d27eb..17bec0c0 100644 --- a/mag/caravel.mag +++ b/mag/caravel.mag @@ -73882,23 +73882,23 @@ use gpio_control_block gpio_control_in_2\[15\] timestamp 1650900217 transform 1 0 7631 0 1 332200 box 882 416 34000 13000 -use gpio_defaults_block_1803 gpio_defaults_block_0\[0\] +use gpio_defaults_block_1803 gpio_defaults_block_0 timestamp 1638587925 transform -1 0 709467 0 1 134000 box -38 0 6018 2224 -use gpio_defaults_block_1803 gpio_defaults_block_0\[1\] +use gpio_defaults_block_1803 gpio_defaults_block_1 timestamp 1638587925 transform -1 0 709467 0 1 179200 box -38 0 6018 2224 -use gpio_defaults_block_0403 gpio_defaults_block_2\[0\] +use gpio_defaults_block_0403 gpio_defaults_block_2 timestamp 1638587925 transform -1 0 709467 0 1 224200 box -38 0 6018 2224 -use gpio_defaults_block_0403 gpio_defaults_block_2\[1\] +use gpio_defaults_block_0c01 gpio_defaults_block_3 timestamp 1638587925 transform -1 0 709467 0 1 269400 box -38 0 6018 2224 -use gpio_defaults_block_0403 gpio_defaults_block_2\[2\] +use gpio_defaults_block_0403 gpio_defaults_block_4 timestamp 1638587925 transform -1 0 709467 0 1 314400 box -38 0 6018 2224 diff --git a/scripts/gen_gpio_defaults.py b/scripts/gen_gpio_defaults.py index 9eaeb487..bf96ce84 100755 --- a/scripts/gen_gpio_defaults.py +++ b/scripts/gen_gpio_defaults.py @@ -172,7 +172,7 @@ if __name__ == '__main__': kvpairs["`USER_CONFIG_GPIO_0_INIT"] = "13'h1803" kvpairs["`USER_CONFIG_GPIO_1_INIT"] = "13'h1803" kvpairs["`USER_CONFIG_GPIO_2_INIT"] = "13'h0403" - kvpairs["`USER_CONFIG_GPIO_3_INIT"] = "13'h0403" + kvpairs["`USER_CONFIG_GPIO_3_INIT"] = "13'h0c01" kvpairs["`USER_CONFIG_GPIO_4_INIT"] = "13'h0403" # Generate zero and one coordinates for each via diff --git a/scripts/run_caravan_lvs_full.sh b/scripts/run_caravan_lvs_full.sh index 9515b70f..4f61d569 100755 --- a/scripts/run_caravan_lvs_full.sh +++ b/scripts/run_caravan_lvs_full.sh @@ -78,6 +78,7 @@ readnet verilog ../verilog/gl/digital_pll.v \$circuit2 readnet verilog ../verilog/gl/gpio_control_block.v \$circuit2 readnet verilog ../verilog/gl/gpio_defaults_block.v \$circuit2 readnet verilog ../verilog/gl/gpio_defaults_block_1803.v \$circuit2 +readnet verilog ../verilog/gl/gpio_defaults_block_0c01.v \$circuit2 readnet verilog ../verilog/gl/gpio_defaults_block_0403.v \$circuit2 readnet verilog ../verilog/gl/gpio_logic_high.v \$circuit2 readnet verilog ../verilog/gl/housekeeping.v \$circuit2 diff --git a/verilog/gl/caravan.v b/verilog/gl/caravan.v index 3c5491a3..3b9d3606 100644 --- a/verilog/gl/caravan.v +++ b/verilog/gl/caravan.v @@ -3802,12 +3802,12 @@ module caravan(vddio, vddio_2, vssio, vssio_2, vdda, vssa, vccd, vssd, vdda1, vd .vssd1(vssd1_core), .zero() ); - gpio_defaults_block_1803 \gpio_defaults_block_0[0] ( + gpio_defaults_block_1803 gpio_defaults_block_0 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[12] , \gpio_defaults[11] , \gpio_defaults[10] , \gpio_defaults[9] , \gpio_defaults[8] , \gpio_defaults[7] , \gpio_defaults[6] , \gpio_defaults[5] , \gpio_defaults[4] , \gpio_defaults[3] , \gpio_defaults[2] , \gpio_defaults[1] , \gpio_defaults[0] }) ); - gpio_defaults_block_1803 \gpio_defaults_block_0[1] ( + gpio_defaults_block_1803 gpio_defaults_block_1 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[25] , \gpio_defaults[24] , \gpio_defaults[23] , \gpio_defaults[22] , \gpio_defaults[21] , \gpio_defaults[20] , \gpio_defaults[19] , \gpio_defaults[18] , \gpio_defaults[17] , \gpio_defaults[16] , \gpio_defaults[15] , \gpio_defaults[14] , \gpio_defaults[13] }) @@ -3857,17 +3857,17 @@ module caravan(vddio, vddio_2, vssio, vssio_2, vdda, vssa, vccd, vssd, vdda1, vd .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[246] , \gpio_defaults[245] , \gpio_defaults[244] , \gpio_defaults[243] , \gpio_defaults[242] , \gpio_defaults[241] , \gpio_defaults[240] , \gpio_defaults[239] , \gpio_defaults[238] , \gpio_defaults[237] , \gpio_defaults[236] , \gpio_defaults[235] , \gpio_defaults[234] }) ); - gpio_defaults_block_0403 \gpio_defaults_block_2[0] ( + gpio_defaults_block_0403 gpio_defaults_block_2 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[38] , \gpio_defaults[37] , \gpio_defaults[36] , \gpio_defaults[35] , \gpio_defaults[34] , \gpio_defaults[33] , \gpio_defaults[32] , \gpio_defaults[31] , \gpio_defaults[30] , \gpio_defaults[29] , \gpio_defaults[28] , \gpio_defaults[27] , \gpio_defaults[26] }) ); - gpio_defaults_block_0403 \gpio_defaults_block_2[1] ( + gpio_defaults_block_0c01 gpio_defaults_block_3 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[51] , \gpio_defaults[50] , \gpio_defaults[49] , \gpio_defaults[48] , \gpio_defaults[47] , \gpio_defaults[46] , \gpio_defaults[45] , \gpio_defaults[44] , \gpio_defaults[43] , \gpio_defaults[42] , \gpio_defaults[41] , \gpio_defaults[40] , \gpio_defaults[39] }) ); - gpio_defaults_block_0403 \gpio_defaults_block_2[2] ( + gpio_defaults_block_0403 gpio_defaults_block_4 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[64] , \gpio_defaults[63] , \gpio_defaults[62] , \gpio_defaults[61] , \gpio_defaults[60] , \gpio_defaults[59] , \gpio_defaults[58] , \gpio_defaults[57] , \gpio_defaults[56] , \gpio_defaults[55] , \gpio_defaults[54] , \gpio_defaults[53] , \gpio_defaults[52] }) diff --git a/verilog/gl/caravel.v b/verilog/gl/caravel.v index 4dfc6af8..7402bb6f 100644 --- a/verilog/gl/caravel.v +++ b/verilog/gl/caravel.v @@ -4493,12 +4493,12 @@ module caravel(vddio, vddio_2, vssio, vssio_2, vdda, vssa, vccd, vssd, vdda1, vd .vssd1(vssd1_core), .zero() ); - gpio_defaults_block_1803 \gpio_defaults_block_0[0] ( + gpio_defaults_block_1803 gpio_defaults_block_0 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[12] , \gpio_defaults[11] , \gpio_defaults[10] , \gpio_defaults[9] , \gpio_defaults[8] , \gpio_defaults[7] , \gpio_defaults[6] , \gpio_defaults[5] , \gpio_defaults[4] , \gpio_defaults[3] , \gpio_defaults[2] , \gpio_defaults[1] , \gpio_defaults[0] }) ); - gpio_defaults_block_1803 \gpio_defaults_block_0[1] ( + gpio_defaults_block_1803 gpio_defaults_block_1 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[25] , \gpio_defaults[24] , \gpio_defaults[23] , \gpio_defaults[22] , \gpio_defaults[21] , \gpio_defaults[20] , \gpio_defaults[19] , \gpio_defaults[18] , \gpio_defaults[17] , \gpio_defaults[16] , \gpio_defaults[15] , \gpio_defaults[14] , \gpio_defaults[13] }) @@ -4603,17 +4603,17 @@ module caravel(vddio, vddio_2, vssio, vssio_2, vdda, vssa, vccd, vssd, vdda1, vd .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[389] , \gpio_defaults[388] , \gpio_defaults[387] , \gpio_defaults[386] , \gpio_defaults[385] , \gpio_defaults[384] , \gpio_defaults[383] , \gpio_defaults[382] , \gpio_defaults[381] , \gpio_defaults[380] , \gpio_defaults[379] , \gpio_defaults[378] , \gpio_defaults[377] }) ); - gpio_defaults_block_0403 \gpio_defaults_block_2[0] ( + gpio_defaults_block_0403 gpio_defaults_block_2 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[38] , \gpio_defaults[37] , \gpio_defaults[36] , \gpio_defaults[35] , \gpio_defaults[34] , \gpio_defaults[33] , \gpio_defaults[32] , \gpio_defaults[31] , \gpio_defaults[30] , \gpio_defaults[29] , \gpio_defaults[28] , \gpio_defaults[27] , \gpio_defaults[26] }) ); - gpio_defaults_block_0403 \gpio_defaults_block_2[1] ( + gpio_defaults_block_0c01 gpio_defaults_block_3 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[51] , \gpio_defaults[50] , \gpio_defaults[49] , \gpio_defaults[48] , \gpio_defaults[47] , \gpio_defaults[46] , \gpio_defaults[45] , \gpio_defaults[44] , \gpio_defaults[43] , \gpio_defaults[42] , \gpio_defaults[41] , \gpio_defaults[40] , \gpio_defaults[39] }) ); - gpio_defaults_block_0403 \gpio_defaults_block_2[2] ( + gpio_defaults_block_0403 gpio_defaults_block_4 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[64] , \gpio_defaults[63] , \gpio_defaults[62] , \gpio_defaults[61] , \gpio_defaults[60] , \gpio_defaults[59] , \gpio_defaults[58] , \gpio_defaults[57] , \gpio_defaults[56] , \gpio_defaults[55] , \gpio_defaults[54] , \gpio_defaults[53] , \gpio_defaults[52] }) diff --git a/verilog/gl/gpio_defaults_block_0c01.v b/verilog/gl/gpio_defaults_block_0c01.v new file mode 100644 index 00000000..0bcca4a4 --- /dev/null +++ b/verilog/gl/gpio_defaults_block_0c01.v @@ -0,0 +1,260 @@ +module gpio_defaults_block_0403 (VGND, + VPWR, + gpio_defaults); + input VGND; + input VPWR; + output [12:0] gpio_defaults; + + wire \gpio_defaults_low[0] ; + wire \gpio_defaults_high[10] ; + wire \gpio_defaults_low[11] ; + wire \gpio_defaults_low[12] ; + wire \gpio_defaults_high[1] ; + wire \gpio_defaults_low[2] ; + wire \gpio_defaults_low[3] ; + wire \gpio_defaults_low[4] ; + wire \gpio_defaults_low[5] ; + wire \gpio_defaults_low[6] ; + wire \gpio_defaults_low[7] ; + wire \gpio_defaults_low[8] ; + wire \gpio_defaults_low[9] ; + wire \gpio_defaults_high[0] ; + wire \gpio_defaults_high[11] ; + wire \gpio_defaults_high[12] ; + wire \gpio_defaults_high[2] ; + wire \gpio_defaults_high[3] ; + wire \gpio_defaults_high[4] ; + wire \gpio_defaults_high[5] ; + wire \gpio_defaults_high[6] ; + wire \gpio_defaults_high[7] ; + wire \gpio_defaults_high[8] ; + wire \gpio_defaults_high[9] ; + wire \gpio_defaults_low[10] ; + wire \gpio_defaults_low[1] ; + + sky130_fd_sc_hd__fill_1 FILLER_0_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_43 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_48 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_60 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_12 FILLER_1_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_12 FILLER_1_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_12 FILLER_1_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_12 FILLER_1_39 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_1_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_1_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_1_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_1_61 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_12 FILLER_2_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_2_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_12 FILLER_2_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_12 FILLER_2_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_12 FILLER_2_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_2_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_2_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_2_61 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_1 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_4 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_5 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_10 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_6 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_7 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_8 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_9 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[0] (.HI(\gpio_defaults_high[0] ), + .LO(\gpio_defaults_low[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[10] (.HI(\gpio_defaults_high[10] ), + .LO(\gpio_defaults_low[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[11] (.HI(\gpio_defaults_high[11] ), + .LO(\gpio_defaults_low[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[12] (.HI(\gpio_defaults_high[12] ), + .LO(\gpio_defaults_low[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[1] (.HI(\gpio_defaults_high[1] ), + .LO(\gpio_defaults_low[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[2] (.HI(\gpio_defaults_high[2] ), + .LO(\gpio_defaults_low[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[3] (.HI(\gpio_defaults_high[3] ), + .LO(\gpio_defaults_low[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[4] (.HI(\gpio_defaults_high[4] ), + .LO(\gpio_defaults_low[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[5] (.HI(\gpio_defaults_high[5] ), + .LO(\gpio_defaults_low[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[6] (.HI(\gpio_defaults_high[6] ), + .LO(\gpio_defaults_low[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[7] (.HI(\gpio_defaults_high[7] ), + .LO(\gpio_defaults_low[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[8] (.HI(\gpio_defaults_high[8] ), + .LO(\gpio_defaults_low[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__conb_1 \gpio_default_value[9] (.HI(\gpio_defaults_high[9] ), + .LO(\gpio_defaults_low[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + assign gpio_defaults[0] = \gpio_defaults_high[0] ; + assign gpio_defaults[1] = \gpio_defaults_low[1] ; + assign gpio_defaults[2] = \gpio_defaults_low[2] ; + assign gpio_defaults[3] = \gpio_defaults_low[3] ; + assign gpio_defaults[4] = \gpio_defaults_low[4] ; + assign gpio_defaults[5] = \gpio_defaults_low[5] ; + assign gpio_defaults[6] = \gpio_defaults_low[6] ; + assign gpio_defaults[7] = \gpio_defaults_low[7] ; + assign gpio_defaults[8] = \gpio_defaults_low[8] ; + assign gpio_defaults[9] = \gpio_defaults_low[9] ; + assign gpio_defaults[10] = \gpio_defaults_high[10] ; + assign gpio_defaults[11] = \gpio_defaults_high[11] ; + assign gpio_defaults[12] = \gpio_defaults_low[12] ; +endmodule diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index 6834a533..3df4beaf 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -820,22 +820,53 @@ module caravan ( gpio_defaults_block #( .GPIO_CONFIG_INIT(13'h1803) - ) gpio_defaults_block_0 [1:0] ( + ) gpio_defaults_block_0 ( `ifdef USE_POWER_PINS .VPWR(vccd_core), .VGND(vssd_core), `endif - .gpio_defaults(gpio_defaults[25:0]) + .gpio_defaults(gpio_defaults[12:0]) + ); + + gpio_defaults_block #( + .GPIO_CONFIG_INIT(13'h1803) + ) gpio_defaults_block_1 ( + `ifdef USE_POWER_PINS + .VPWR(vccd_core), + .VGND(vssd_core), + `endif + .gpio_defaults(gpio_defaults[25:13]) ); gpio_defaults_block #( .GPIO_CONFIG_INIT(13'h0403) - ) gpio_defaults_block_2 [2:0] ( + ) gpio_defaults_block_2 ( `ifdef USE_POWER_PINS .VPWR(vccd_core), .VGND(vssd_core), `endif - .gpio_defaults(gpio_defaults[64:26]) + .gpio_defaults(gpio_defaults[38:26]) + ); + + // CSB is configured to be a weak pull-up + gpio_defaults_block #( + .GPIO_CONFIG_INIT(13'h0c01) + ) gpio_defaults_block_3 ( + `ifdef USE_POWER_PINS + .VPWR(vccd_core), + .VGND(vssd_core), + `endif + .gpio_defaults(gpio_defaults[51:39]) + ); + + gpio_defaults_block #( + .GPIO_CONFIG_INIT(13'h0403) + ) gpio_defaults_block_4 ( + `ifdef USE_POWER_PINS + .VPWR(vccd_core), + .VGND(vssd_core), + `endif + .gpio_defaults(gpio_defaults[64:52]) ); /* Via-programmable defaults for the rest of the GPIO pins */ diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index 706cb21a..f6ce11e4 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -762,22 +762,53 @@ module caravel ( gpio_defaults_block #( .GPIO_CONFIG_INIT(13'h1803) - ) gpio_defaults_block_0 [1:0] ( + ) gpio_defaults_block_0 ( `ifdef USE_POWER_PINS .VPWR(vccd_core), .VGND(vssd_core), `endif - .gpio_defaults(gpio_defaults[25:0]) + .gpio_defaults(gpio_defaults[12:0]) + ); + + gpio_defaults_block #( + .GPIO_CONFIG_INIT(13'h1803) + ) gpio_defaults_block_1 ( + `ifdef USE_POWER_PINS + .VPWR(vccd_core), + .VGND(vssd_core), + `endif + .gpio_defaults(gpio_defaults[25:13]) ); gpio_defaults_block #( .GPIO_CONFIG_INIT(13'h0403) - ) gpio_defaults_block_2 [2:0] ( + ) gpio_defaults_block_2 ( `ifdef USE_POWER_PINS .VPWR(vccd_core), .VGND(vssd_core), `endif - .gpio_defaults(gpio_defaults[64:26]) + .gpio_defaults(gpio_defaults[38:26]) + ); + + // CSB pin is set as an internal pull-up + gpio_defaults_block #( + .GPIO_CONFIG_INIT(13'h0c01) + ) gpio_defaults_block_3 ( + `ifdef USE_POWER_PINS + .VPWR(vccd_core), + .VGND(vssd_core), + `endif + .gpio_defaults(gpio_defaults[51:39]) + ); + + gpio_defaults_block #( + .GPIO_CONFIG_INIT(13'h0403) + ) gpio_defaults_block_4 ( + `ifdef USE_POWER_PINS + .VPWR(vccd_core), + .VGND(vssd_core), + `endif + .gpio_defaults(gpio_defaults[64:52]) ); /* Via-programmable defaults for the rest of the GPIO pins */ diff --git a/verilog/rtl/housekeeping.v b/verilog/rtl/housekeeping.v index bb4e20f4..c77e5287 100644 --- a/verilog/rtl/housekeeping.v +++ b/verilog/rtl/housekeeping.v @@ -1039,7 +1039,12 @@ module housekeeping #( if ((j < 2) || (j >= `MPRJ_IO_PADS - 2)) begin gpio_configure[j] <= 'h1803; end else begin - gpio_configure[j] <= 'h0403; + if (j == 3) begin + // j == 3 corresponds to CSB, which is a weak pull-up + gpio_configure[j] <= 'h0c01; + end else begin + gpio_configure[j] <= 'h0403; + end end end From 170f5aa10203f82368d56c10aed804eb806a035b Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Wed, 28 Sep 2022 01:01:11 +0000 Subject: [PATCH 19/44] Apply automatic changes to Manifest and README.rst --- manifest | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/manifest b/manifest index af5987a9..b72bee5d 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -6576abded424d948d2a7e71c2b4a4df1eda77238 verilog/rtl/caravan.v +8742ec716e4c5afbc21f027f50637ca3b7c4ee06 verilog/rtl/caravan.v a855d65d6fc59352e4f8a994e451418d113586fc verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -cb320bf7e981979c4e823270d823395ea609c77e verilog/rtl/caravel.v +e52513f75e1583db5081594705ff4791c6ce5a4f verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v d0c5cf9260783b1a88c0b772c2e3cee3dcd0cf76 verilog/rtl/chip_io.v @@ -16,7 +16,7 @@ ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v 41f899d8a8510f933e08e41d1b4ac13d84191f38 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v -5469b880904d6dd5d1eba6f026b3582810df412c verilog/rtl/housekeeping.v +1659246cc676cbf1469464178d82d8d94f363af5 verilog/rtl/housekeeping.v 3030f955d5f110d24012bd1562c0e18c1a0d04e2 verilog/rtl/housekeeping_spi.v 0f3db7cf4d68971ba4e286c8706b20c9252d1f98 verilog/rtl/mgmt_protect.v 3b1ff20593bc386d13f5e2cf1571f08121889957 verilog/rtl/mgmt_protect_hv.v From 1e4d518dd072d4601d459675cf8996e37e1714e7 Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Mon, 3 Oct 2022 21:40:12 +0000 Subject: [PATCH 20/44] Apply automatic changes to Manifest and README.rst --- manifest | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/manifest b/manifest index 73800bb0..83fb2a4b 100644 --- a/manifest +++ b/manifest @@ -16,7 +16,7 @@ ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v 60d2384a91301fec5721953d87931193681822c4 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v -8dafb824eae7173e43f4e2f31c7470a6a1272c79 verilog/rtl/housekeeping.v +f4acdc7f11c762c0e029fc30481182322db45300 verilog/rtl/housekeeping.v 3030f955d5f110d24012bd1562c0e18c1a0d04e2 verilog/rtl/housekeeping_spi.v 0f3db7cf4d68971ba4e286c8706b20c9252d1f98 verilog/rtl/mgmt_protect.v 3b1ff20593bc386d13f5e2cf1571f08121889957 verilog/rtl/mgmt_protect_hv.v From 7276623d3cd2b909e83a79f24968b5b547fcef86 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Wed, 5 Oct 2022 10:02:24 -0400 Subject: [PATCH 21/44] Corrected the pull-up definition and revised the CSB definition to match the corrected defintions (namely, pull-up is configuration 0x0801, and pull-down is configuration 0x0c01). --- mag/caravan.mag | 2 +- mag/caravel.mag | 2 +- scripts/gen_gpio_defaults.py | 2 +- scripts/run_caravan_lvs_full.sh | 2 +- verilog/dv/caravel/defs.h | 8 +-- verilog/gl/caravan.v | 2 +- verilog/gl/caravel.v | 2 +- ...lock_0c01.v => gpio_defaults_block_0801.v} | 4 +- verilog/rtl/caravan.v | 26 ++++---- verilog/rtl/caravan_netlists.v | 1 + verilog/rtl/caravel.v | 26 ++++---- verilog/rtl/caravel_netlists.v | 1 + verilog/rtl/gpio_control_block.v | 43 ++++++++----- verilog/rtl/housekeeping.v | 64 +++++++------------ 14 files changed, 86 insertions(+), 99 deletions(-) rename verilog/gl/{gpio_defaults_block_0c01.v => gpio_defaults_block_0801.v} (98%) diff --git a/mag/caravan.mag b/mag/caravan.mag index dee363c2..5b2fd534 100644 --- a/mag/caravan.mag +++ b/mag/caravan.mag @@ -59054,7 +59054,7 @@ use gpio_defaults_block_0403 gpio_defaults_block_2 timestamp 1638587925 transform -1 0 709467 0 1 224200 box -38 0 6018 2224 -use gpio_defaults_block_0c01 gpio_defaults_block_3 +use gpio_defaults_block_0801 gpio_defaults_block_3 timestamp 1638587925 transform -1 0 709467 0 1 269400 box -38 0 6018 2224 diff --git a/mag/caravel.mag b/mag/caravel.mag index 17bec0c0..fb2cc8bc 100644 --- a/mag/caravel.mag +++ b/mag/caravel.mag @@ -73894,7 +73894,7 @@ use gpio_defaults_block_0403 gpio_defaults_block_2 timestamp 1638587925 transform -1 0 709467 0 1 224200 box -38 0 6018 2224 -use gpio_defaults_block_0c01 gpio_defaults_block_3 +use gpio_defaults_block_0801 gpio_defaults_block_3 timestamp 1638587925 transform -1 0 709467 0 1 269400 box -38 0 6018 2224 diff --git a/scripts/gen_gpio_defaults.py b/scripts/gen_gpio_defaults.py index bf96ce84..28e55d28 100755 --- a/scripts/gen_gpio_defaults.py +++ b/scripts/gen_gpio_defaults.py @@ -172,7 +172,7 @@ if __name__ == '__main__': kvpairs["`USER_CONFIG_GPIO_0_INIT"] = "13'h1803" kvpairs["`USER_CONFIG_GPIO_1_INIT"] = "13'h1803" kvpairs["`USER_CONFIG_GPIO_2_INIT"] = "13'h0403" - kvpairs["`USER_CONFIG_GPIO_3_INIT"] = "13'h0c01" + kvpairs["`USER_CONFIG_GPIO_3_INIT"] = "13'h0801" kvpairs["`USER_CONFIG_GPIO_4_INIT"] = "13'h0403" # Generate zero and one coordinates for each via diff --git a/scripts/run_caravan_lvs_full.sh b/scripts/run_caravan_lvs_full.sh index 4f61d569..c7111990 100755 --- a/scripts/run_caravan_lvs_full.sh +++ b/scripts/run_caravan_lvs_full.sh @@ -78,7 +78,7 @@ readnet verilog ../verilog/gl/digital_pll.v \$circuit2 readnet verilog ../verilog/gl/gpio_control_block.v \$circuit2 readnet verilog ../verilog/gl/gpio_defaults_block.v \$circuit2 readnet verilog ../verilog/gl/gpio_defaults_block_1803.v \$circuit2 -readnet verilog ../verilog/gl/gpio_defaults_block_0c01.v \$circuit2 +readnet verilog ../verilog/gl/gpio_defaults_block_0801.v \$circuit2 readnet verilog ../verilog/gl/gpio_defaults_block_0403.v \$circuit2 readnet verilog ../verilog/gl/gpio_logic_high.v \$circuit2 readnet verilog ../verilog/gl/housekeeping.v \$circuit2 diff --git a/verilog/dv/caravel/defs.h b/verilog/dv/caravel/defs.h index 3ed82a49..bebab837 100644 --- a/verilog/dv/caravel/defs.h +++ b/verilog/dv/caravel/defs.h @@ -216,15 +216,15 @@ extern uint32_t flashio_worker_end; // Useful GPIO mode values #define GPIO_MODE_MGMT_STD_INPUT_NOPULL 0x0403 -#define GPIO_MODE_MGMT_STD_INPUT_PULLDOWN 0x0801 -#define GPIO_MODE_MGMT_STD_INPUT_PULLUP 0x0c01 +#define GPIO_MODE_MGMT_STD_INPUT_PULLDOWN 0x0c01 +#define GPIO_MODE_MGMT_STD_INPUT_PULLUP 0x0801 #define GPIO_MODE_MGMT_STD_OUTPUT 0x1809 #define GPIO_MODE_MGMT_STD_BIDIRECTIONAL 0x1801 #define GPIO_MODE_MGMT_STD_ANALOG 0x000b #define GPIO_MODE_USER_STD_INPUT_NOPULL 0x0402 -#define GPIO_MODE_USER_STD_INPUT_PULLDOWN 0x0800 -#define GPIO_MODE_USER_STD_INPUT_PULLUP 0x0c00 +#define GPIO_MODE_USER_STD_INPUT_PULLDOWN 0x0c00 +#define GPIO_MODE_USER_STD_INPUT_PULLUP 0x0800 #define GPIO_MODE_USER_STD_OUTPUT 0x1808 #define GPIO_MODE_USER_STD_BIDIRECTIONAL 0x1800 #define GPIO_MODE_USER_STD_OUT_MONITORED 0x1802 diff --git a/verilog/gl/caravan.v b/verilog/gl/caravan.v index 3b9d3606..c944de16 100644 --- a/verilog/gl/caravan.v +++ b/verilog/gl/caravan.v @@ -3862,7 +3862,7 @@ module caravan(vddio, vddio_2, vssio, vssio_2, vdda, vssa, vccd, vssd, vdda1, vd .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[38] , \gpio_defaults[37] , \gpio_defaults[36] , \gpio_defaults[35] , \gpio_defaults[34] , \gpio_defaults[33] , \gpio_defaults[32] , \gpio_defaults[31] , \gpio_defaults[30] , \gpio_defaults[29] , \gpio_defaults[28] , \gpio_defaults[27] , \gpio_defaults[26] }) ); - gpio_defaults_block_0c01 gpio_defaults_block_3 ( + gpio_defaults_block_0801 gpio_defaults_block_3 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[51] , \gpio_defaults[50] , \gpio_defaults[49] , \gpio_defaults[48] , \gpio_defaults[47] , \gpio_defaults[46] , \gpio_defaults[45] , \gpio_defaults[44] , \gpio_defaults[43] , \gpio_defaults[42] , \gpio_defaults[41] , \gpio_defaults[40] , \gpio_defaults[39] }) diff --git a/verilog/gl/caravel.v b/verilog/gl/caravel.v index 7402bb6f..68a8e0fa 100644 --- a/verilog/gl/caravel.v +++ b/verilog/gl/caravel.v @@ -4608,7 +4608,7 @@ module caravel(vddio, vddio_2, vssio, vssio_2, vdda, vssa, vccd, vssd, vdda1, vd .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[38] , \gpio_defaults[37] , \gpio_defaults[36] , \gpio_defaults[35] , \gpio_defaults[34] , \gpio_defaults[33] , \gpio_defaults[32] , \gpio_defaults[31] , \gpio_defaults[30] , \gpio_defaults[29] , \gpio_defaults[28] , \gpio_defaults[27] , \gpio_defaults[26] }) ); - gpio_defaults_block_0c01 gpio_defaults_block_3 ( + gpio_defaults_block_0801 gpio_defaults_block_3 ( .VGND(vssd_core), .VPWR(vccd_core), .gpio_defaults({ \gpio_defaults[51] , \gpio_defaults[50] , \gpio_defaults[49] , \gpio_defaults[48] , \gpio_defaults[47] , \gpio_defaults[46] , \gpio_defaults[45] , \gpio_defaults[44] , \gpio_defaults[43] , \gpio_defaults[42] , \gpio_defaults[41] , \gpio_defaults[40] , \gpio_defaults[39] }) diff --git a/verilog/gl/gpio_defaults_block_0c01.v b/verilog/gl/gpio_defaults_block_0801.v similarity index 98% rename from verilog/gl/gpio_defaults_block_0c01.v rename to verilog/gl/gpio_defaults_block_0801.v index 0bcca4a4..379ba1c9 100644 --- a/verilog/gl/gpio_defaults_block_0c01.v +++ b/verilog/gl/gpio_defaults_block_0801.v @@ -1,4 +1,4 @@ -module gpio_defaults_block_0403 (VGND, +module gpio_defaults_block_0801 (VGND, VPWR, gpio_defaults); input VGND; @@ -254,7 +254,7 @@ module gpio_defaults_block_0403 (VGND, assign gpio_defaults[7] = \gpio_defaults_low[7] ; assign gpio_defaults[8] = \gpio_defaults_low[8] ; assign gpio_defaults[9] = \gpio_defaults_low[9] ; - assign gpio_defaults[10] = \gpio_defaults_high[10] ; + assign gpio_defaults[10] = \gpio_defaults_low[10] ; assign gpio_defaults[11] = \gpio_defaults_high[11] ; assign gpio_defaults[12] = \gpio_defaults_low[12] ; endmodule diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index 3df4beaf..1616eb7c 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -208,10 +208,10 @@ module caravan ( // ser_tx = mprj_io[6] (output) // irq = mprj_io[7] (input) - wire [`MPRJ_IO_PADS-1:0] mgmt_io_in; /* one- and three-pin data */ - wire [`MPRJ_IO_PADS-1:0] mgmt_io_nc; /* no-connects */ - wire [4:0] mgmt_io_out; /* three-pin interface out */ - wire [4:0] mgmt_io_oeb; /* three-pin output enable */ + wire [`MPRJ_IO_PADS-1:0] mgmt_io_in; /* one- and three-pin data in */ + wire [`MPRJ_IO_PADS-1:0] mgmt_io_out; /* one- and three-pin data out */ + wire [`MPRJ_IO_PADS-1:0] mgmt_io_oeb; /* output enable, used only by */ + /* three-pin interfaces */ wire [`MPRJ_PWR_PADS-1:0] pwr_ctrl_nc; /* no-connects */ wire clock_core; @@ -761,10 +761,8 @@ module caravan ( .serial_data_2(mprj_io_loader_data_2), .mgmt_gpio_in(mgmt_io_in), - .mgmt_gpio_out({mgmt_io_out[4:2], mgmt_io_in[`MPRJ_IO_PADS-4:2], - mgmt_io_out[1:0]}), - .mgmt_gpio_oeb({mgmt_io_oeb[4:2], mgmt_io_nc[`MPRJ_IO_PADS-6:0], - mgmt_io_oeb[1:0]}), + .mgmt_gpio_out(mgmt_io_out), + .mgmt_gpio_oeb(mgmt_io_oeb), .pwr_ctrl_out(pwr_ctrl_nc), /* Not used in this version */ @@ -850,7 +848,7 @@ module caravan ( // CSB is configured to be a weak pull-up gpio_defaults_block #( - .GPIO_CONFIG_INIT(13'h0c01) + .GPIO_CONFIG_INIT(13'h0801) ) gpio_defaults_block_3 ( `ifdef USE_POWER_PINS .VPWR(vccd_core), @@ -1183,7 +1181,7 @@ module caravan ( .serial_load_out(gpio_load_1[7:2]), .mgmt_gpio_in(mgmt_io_in[7:2]), - .mgmt_gpio_out(mgmt_io_in[7:2]), + .mgmt_gpio_out(mgmt_io_out[7:2]), .mgmt_gpio_oeb(one_loop1[5:0]), .one(one_loop1[5:0]), @@ -1235,7 +1233,7 @@ module caravan ( .serial_load_out(gpio_load_1[(`MPRJ_IO_PADS_1-`ANALOG_PADS_1-1):8]), .mgmt_gpio_in(mgmt_io_in[`DIG1_TOP:8]), - .mgmt_gpio_out(mgmt_io_in[`DIG1_TOP:8]), + .mgmt_gpio_out(mgmt_io_out[`DIG1_TOP:8]), .mgmt_gpio_oeb(one_loop1[`MPRJ_IO_PADS_1-`ANALOG_PADS_1-3:6]), .one(one_loop1[`MPRJ_IO_PADS_1-`ANALOG_PADS_1-3:6]), @@ -1288,8 +1286,8 @@ module caravan ( .serial_load_out(gpio_load_2[(`MPRJ_IO_PADS_2-`ANALOG_PADS_2-1):(`MPRJ_IO_PADS_2-`ANALOG_PADS_2-3)]), .mgmt_gpio_in(mgmt_io_in[(`DIG2_TOP):(`DIG2_TOP-2)]), - .mgmt_gpio_out(mgmt_io_out[4:2]), - .mgmt_gpio_oeb(mgmt_io_oeb[4:2]), + .mgmt_gpio_out(mgmt_io_out[(`DIG2_TOP):(`DIG2_TOP-2)]), + .mgmt_gpio_oeb(mgmt_io_oeb[(`DIG2_TOP):(`DIG2_TOP-2)]), .one(), .zero(), @@ -1342,7 +1340,7 @@ module caravan ( .serial_load_out(gpio_load_2[(`MPRJ_IO_PADS_2-`ANALOG_PADS_2-4):0]), .mgmt_gpio_in(mgmt_io_in[(`DIG2_TOP-3):`DIG2_BOT]), - .mgmt_gpio_out(mgmt_io_in[(`DIG2_TOP-3):`DIG2_BOT]), + .mgmt_gpio_out(mgmt_io_out[(`DIG2_TOP-3):`DIG2_BOT]), .mgmt_gpio_oeb(one_loop2), .one(one_loop2), diff --git a/verilog/rtl/caravan_netlists.v b/verilog/rtl/caravan_netlists.v index 8dc8a7eb..2acb15f3 100644 --- a/verilog/rtl/caravan_netlists.v +++ b/verilog/rtl/caravan_netlists.v @@ -62,6 +62,7 @@ `include "gl/gpio_defaults_block.v" `include "gl/gpio_defaults_block_0403.v" `include "gl/gpio_defaults_block_1803.v" + `include "gl/gpio_defaults_block_0801.v" `include "gl/gpio_logic_high.v" `include "gl/xres_buf.v" `include "gl/spare_logic_block.v" diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index f6ce11e4..ce382a97 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -173,10 +173,10 @@ module caravel ( // ser_tx = mprj_io[6] (output) // irq = mprj_io[7] (input) - wire [`MPRJ_IO_PADS-1:0] mgmt_io_in; /* one- and three-pin data */ - wire [`MPRJ_IO_PADS-5:0] mgmt_io_nc; /* no-connects */ - wire [4:0] mgmt_io_out; /* three-pin interface out */ - wire [4:0] mgmt_io_oeb; /* three-pin output enable */ + wire [`MPRJ_IO_PADS-1:0] mgmt_io_in; /* one- and three-pin data in */ + wire [`MPRJ_IO_PADS-1:0] mgmt_io_out; /* one- and three-pin data out */ + wire [`MPRJ_IO_PADS-5:0] mgmt_io_oeb; /* output enable, used only by */ + /* the three-pin interfaces */ wire [`MPRJ_PWR_PADS-1:0] pwr_ctrl_nc; /* no-connects */ wire clock_core; @@ -703,10 +703,8 @@ module caravel ( .serial_data_2(mprj_io_loader_data_2), .mgmt_gpio_in(mgmt_io_in), - .mgmt_gpio_out({mgmt_io_out[4:2], mgmt_io_in[`MPRJ_IO_PADS-4:2], - mgmt_io_out[1:0]}), - .mgmt_gpio_oeb({mgmt_io_oeb[4:2], mgmt_io_nc[`MPRJ_IO_PADS-6:0], - mgmt_io_oeb[1:0]}), + .mgmt_gpio_out(mgmt_io_out), + .mgmt_gpio_oeb(mgmt_io_oeb), .pwr_ctrl_out(pwr_ctrl_nc), /* Not used in this version */ @@ -792,7 +790,7 @@ module caravel ( // CSB pin is set as an internal pull-up gpio_defaults_block #( - .GPIO_CONFIG_INIT(13'h0c01) + .GPIO_CONFIG_INIT(13'h0801) ) gpio_defaults_block_3 ( `ifdef USE_POWER_PINS .VPWR(vccd_core), @@ -1236,7 +1234,7 @@ module caravel ( .serial_load_out(gpio_load_1[7:2]), .mgmt_gpio_in(mgmt_io_in[7:2]), - .mgmt_gpio_out(mgmt_io_in[7:2]), + .mgmt_gpio_out(mgmt_io_out[7:2]), .mgmt_gpio_oeb(one_loop1[7:2]), .one(one_loop1[7:2]), @@ -1289,7 +1287,7 @@ module caravel ( .serial_load_out(gpio_load_1[(`MPRJ_IO_PADS_1-1):8]), .mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS_1-1):8]), - .mgmt_gpio_out(mgmt_io_in[(`MPRJ_IO_PADS_1-1):8]), + .mgmt_gpio_out(mgmt_io_out[(`MPRJ_IO_PADS_1-1):8]), .mgmt_gpio_oeb(one_loop1[(`MPRJ_IO_PADS_1-1):8]), .one(one_loop1[(`MPRJ_IO_PADS_1-1):8]), @@ -1342,8 +1340,8 @@ module caravel ( .serial_load_out(gpio_load_2[(`MPRJ_IO_PADS_2-1):(`MPRJ_IO_PADS_2-3)]), .mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-3)]), - .mgmt_gpio_out(mgmt_io_out[4:2]), - .mgmt_gpio_oeb(mgmt_io_oeb[4:2]), + .mgmt_gpio_out(mgmt_io_out[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-3)]), + .mgmt_gpio_oeb(mgmt_io_oeb[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-3)]), .one(), .zero(), @@ -1396,7 +1394,7 @@ module caravel ( .serial_load_out(gpio_load_2[(`MPRJ_IO_PADS_2-4):0]), .mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS-4):(`MPRJ_IO_PADS_1)]), - .mgmt_gpio_out(mgmt_io_in[(`MPRJ_IO_PADS-4):(`MPRJ_IO_PADS_1)]), + .mgmt_gpio_out(mgmt_io_out[(`MPRJ_IO_PADS-4):(`MPRJ_IO_PADS_1)]), .mgmt_gpio_oeb(one_loop2), .one(one_loop2), diff --git a/verilog/rtl/caravel_netlists.v b/verilog/rtl/caravel_netlists.v index c967700e..d48ce2c4 100644 --- a/verilog/rtl/caravel_netlists.v +++ b/verilog/rtl/caravel_netlists.v @@ -60,6 +60,7 @@ `include "gl/gpio_defaults_block.v" `include "gl/gpio_defaults_block_0403.v" `include "gl/gpio_defaults_block_1803.v" + `include "gl/gpio_defaults_block_0801.v" `include "gl/gpio_logic_high.v" `include "gl/xres_buf.v" `include "gl/spare_logic_block.v" diff --git a/verilog/rtl/gpio_control_block.v b/verilog/rtl/gpio_control_block.v index 639d734a..b77f081f 100644 --- a/verilog/rtl/gpio_control_block.v +++ b/verilog/rtl/gpio_control_block.v @@ -44,6 +44,9 @@ * so that the serial data bit out from the module only changes on * the clock half cycle. This avoids the need to fine-tune the clock * skew between GPIO blocks. + * + * Modified 10/05/2022 by Tim Edwards + * *--------------------------------------------------------------------- */ @@ -140,11 +143,12 @@ module gpio_control_block #( wire pad_gpio_outenb; wire pad_gpio_out; wire pad_gpio_in; + wire one_unbuf; + wire zero_unbuf; wire one; wire zero; wire user_gpio_in; - wire gpio_in_unbuf; wire gpio_logic1; reg serial_data_out; @@ -223,11 +227,21 @@ module gpio_control_block #( /* Implement pad control behavior depending on state of mgmt_ena */ - assign gpio_in_unbuf = pad_gpio_in; - assign mgmt_gpio_in = (gpio_inenb == 1'b0 && gpio_outenb == 1'b1) ? - pad_gpio_in : 1'bz; + /* The pad value always goes back to the housekeeping module */ + + assign mgmt_gpio_in = pad_gpio_in; + + /* For 2-wire interfaces, the mgmt_gpio_oeb line is tied high at */ + /* the control block. In this case, the output enable state is */ + /* determined by the OEB configuration bit. */ + assign pad_gpio_outenb = (mgmt_ena) ? ((mgmt_gpio_oeb == 1'b1) ? gpio_outenb : 1'b0) : user_gpio_oeb; + + /* For 2-wire interfaces, if the pad is configured for pull-up or */ + /* pull-down, drive the output value locally to achieve the */ + /* expected pull. */ + assign pad_gpio_out = (mgmt_ena) ? ((mgmt_gpio_oeb == 1'b1) ? ((gpio_dm[2:1] == 2'b01) ? ~gpio_dm[0] : mgmt_gpio_out) : mgmt_gpio_out) : user_gpio_out; @@ -242,17 +256,9 @@ module gpio_control_block #( .gpio_logic1(gpio_logic1) ); - sky130_fd_sc_hd__einvp_8 gpio_in_buf ( -`ifdef USE_POWER_PINS - .VPWR(vccd), - .VGND(vssd), - .VPB(vccd), - .VNB(vssd), -`endif - .Z(user_gpio_in), - .A(~gpio_in_unbuf), - .TE(gpio_logic1) - ); + /* If user project area is powered down, zero the pad input value */ + /* going to the user project. */ + assign user_gpio_in = pad_gpio_in & gpio_logic1; sky130_fd_sc_hd__conb_1 const_source ( `ifdef USE_POWER_PINS @@ -261,9 +267,12 @@ module gpio_control_block #( .VPB(vccd), .VNB(vssd), `endif - .HI(one), - .LO(zero) + .HI(one_unbuf), + .LO(zero_unbuf) ); + assign zero = zero_unbuf; + assign one = one_unbuf; + endmodule `default_nettype wire diff --git a/verilog/rtl/housekeeping.v b/verilog/rtl/housekeeping.v index 999cc1e1..4358f3bf 100644 --- a/verilog/rtl/housekeeping.v +++ b/verilog/rtl/housekeeping.v @@ -253,7 +253,7 @@ module housekeeping #( wire [31:0] sram_ro_data; // Housekeeping side 3-wire interface to GPIOs (see below) - wire [`MPRJ_IO_PADS-1:0] mgmt_gpio_out_pre; + wire [`MPRJ_IO_PADS-1:0] mgmt_gpio_out; // Pass-through mode handling. Signals may only be applied when the // core processor is in reset. @@ -264,26 +264,6 @@ module housekeeping #( wire wb_rst_i; assign wb_rst_i = ~wb_rstn_i; - // Handle the management-side control of the GPIO pins. All but the - // first and last three GPIOs (0, 1 and 35 to 37) are one-pin interfaces with - // a single I/O pin whose direction is determined by the local OEB signal. - // The other five are straight-through connections of the 3-wire interface. - - assign mgmt_gpio_out[`MPRJ_IO_PADS-1:`MPRJ_IO_PADS-3] = - mgmt_gpio_out_pre[`MPRJ_IO_PADS-1:`MPRJ_IO_PADS-3]; - assign mgmt_gpio_out[1:0] = mgmt_gpio_out_pre[1:0]; - - genvar i; - - // This implements high-impedence buffers on the GPIO outputs other than - // the first and last two GPIOs so that these pins can be tied together - // at the top level to create the single-wire interface on those GPIOs. - generate - for (i = 2; i < `MPRJ_IO_PADS-3; i = i + 1) begin - assign mgmt_gpio_out[i] = mgmt_gpio_oeb[i] ? 1'bz : mgmt_gpio_out_pre[i]; - end - endgenerate - // Pass-through mode. Housekeeping SPI signals get inserted // between the management SoC and the flash SPI I/O. @@ -750,7 +730,7 @@ module housekeeping #( .reset(~porb), .SCK(mgmt_gpio_in[4]), .SDI(mgmt_gpio_in[2]), - .CSB((spi_is_active) ? mgmt_gpio_in[3] : 1'b1), + .CSB((spi_is_enabled) ? mgmt_gpio_in[3] : 1'b1), .SDO(sdo), .sdoenb(sdo_enb), .idata(odata), @@ -777,9 +757,9 @@ module housekeeping #( // GPIO data handling to and from the management SoC - assign mgmt_gpio_out_pre[37] = (qspi_enabled) ? spimemio_flash_io3_do : + assign mgmt_gpio_out[37] = (qspi_enabled) ? spimemio_flash_io3_do : mgmt_gpio_data[37]; - assign mgmt_gpio_out_pre[36] = (qspi_enabled) ? spimemio_flash_io2_do : + assign mgmt_gpio_out[36] = (qspi_enabled) ? spimemio_flash_io2_do : mgmt_gpio_data[36]; assign mgmt_gpio_oeb[37] = (qspi_enabled) ? spimemio_flash_io3_oeb : @@ -795,32 +775,32 @@ module housekeeping #( assign spimemio_flash_io2_di = mgmt_gpio_in[36]; // SPI master is assigned to the other 4 bits of the data high word. - assign mgmt_gpio_out_pre[32] = (spi_enabled) ? spi_sck : mgmt_gpio_data[32]; - assign mgmt_gpio_out_pre[33] = (spi_enabled) ? spi_csb : mgmt_gpio_data[33]; - assign mgmt_gpio_out_pre[34] = mgmt_gpio_data[34]; - assign mgmt_gpio_out_pre[35] = (spi_enabled) ? spi_sdo : mgmt_gpio_data[35]; + assign mgmt_gpio_out[32] = (spi_enabled) ? spi_sck : mgmt_gpio_data[32]; + assign mgmt_gpio_out[33] = (spi_enabled) ? spi_csb : mgmt_gpio_data[33]; + assign mgmt_gpio_out[34] = mgmt_gpio_data[34]; + assign mgmt_gpio_out[35] = (spi_enabled) ? spi_sdo : mgmt_gpio_data[35]; - assign mgmt_gpio_out_pre[31:16] = mgmt_gpio_data[31:16]; - assign mgmt_gpio_out_pre[12:11] = mgmt_gpio_data[12:11]; + assign mgmt_gpio_out[31:16] = mgmt_gpio_data[31:16]; + assign mgmt_gpio_out[12:11] = mgmt_gpio_data[12:11]; - assign mgmt_gpio_out_pre[10] = (pass_thru_user_delay) ? mgmt_gpio_in[2] + assign mgmt_gpio_out[10] = (pass_thru_user_delay) ? mgmt_gpio_in[2] : mgmt_gpio_data[10]; - assign mgmt_gpio_out_pre[9] = (pass_thru_user) ? mgmt_gpio_in[4] + assign mgmt_gpio_out[9] = (pass_thru_user) ? mgmt_gpio_in[4] : mgmt_gpio_data[9]; - assign mgmt_gpio_out_pre[8] = (pass_thru_user_delay) ? mgmt_gpio_in[3] + assign mgmt_gpio_out[8] = (pass_thru_user_delay) ? mgmt_gpio_in[3] : mgmt_gpio_data[8]; - assign mgmt_gpio_out_pre[7] = mgmt_gpio_data[7]; - assign mgmt_gpio_out_pre[6] = (uart_enabled) ? ser_tx : mgmt_gpio_data[6]; - assign mgmt_gpio_out_pre[5:2] = mgmt_gpio_data[5:2]; + assign mgmt_gpio_out[7] = mgmt_gpio_data[7]; + assign mgmt_gpio_out[6] = (uart_enabled) ? ser_tx : mgmt_gpio_data[6]; + assign mgmt_gpio_out[5:2] = mgmt_gpio_data[5:2]; // In pass-through modes, route SDO from the respective flash (user or // management SoC) to the dedicated SDO pin (GPIO[1]) - assign mgmt_gpio_out_pre[1] = (pass_thru_mgmt) ? pad_flash_io1_di : + assign mgmt_gpio_out[1] = (pass_thru_mgmt) ? pad_flash_io1_di : (pass_thru_user) ? mgmt_gpio_in[11] : (spi_is_active) ? sdo : mgmt_gpio_data[1]; - assign mgmt_gpio_out_pre[0] = (debug_mode) ? debug_out : mgmt_gpio_data[0]; + assign mgmt_gpio_out[0] = (debug_mode) ? debug_out : mgmt_gpio_data[0]; assign mgmt_gpio_oeb[1] = (spi_is_active) ? sdo_enb : ~gpio_configure[0][INP_DIS]; assign mgmt_gpio_oeb[0] = (debug_mode) ? debug_oeb : ~gpio_configure[0][INP_DIS]; @@ -843,11 +823,11 @@ module housekeeping #( // so the pad being under control of the user area takes precedence // over the system monitoring function. - assign mgmt_gpio_out_pre[15] = (clk2_output_dest == 1'b1) ? user_clock + assign mgmt_gpio_out[15] = (clk2_output_dest == 1'b1) ? user_clock : mgmt_gpio_data[15]; - assign mgmt_gpio_out_pre[14] = (clk1_output_dest == 1'b1) ? wb_clk_i + assign mgmt_gpio_out[14] = (clk1_output_dest == 1'b1) ? wb_clk_i : mgmt_gpio_data[14]; - assign mgmt_gpio_out_pre[13] = (trap_output_dest == 1'b1) ? trap + assign mgmt_gpio_out[13] = (trap_output_dest == 1'b1) ? trap : mgmt_gpio_data[13]; assign irq[0] = irq_spi; @@ -1041,7 +1021,7 @@ module housekeeping #( end else begin if (j == 3) begin // j == 3 corresponds to CSB, which is a weak pull-up - gpio_configure[j] <= 'h0c01; + gpio_configure[j] <= 'h0801; end else begin gpio_configure[j] <= 'h0403; end From 5a9adc906ddede1d0a6d204db2329d890aca945d Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Wed, 5 Oct 2022 14:10:47 +0000 Subject: [PATCH 22/44] Apply automatic changes to Manifest and README.rst --- manifest | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/manifest b/manifest index 83fb2a4b..4e610fb6 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -8742ec716e4c5afbc21f027f50637ca3b7c4ee06 verilog/rtl/caravan.v -a855d65d6fc59352e4f8a994e451418d113586fc verilog/rtl/caravan_netlists.v +8e0935b6cf50919e944ec97074a98f545b515d43 verilog/rtl/caravan.v +78d57857e9121ce22681e1a93e63f85058db38d5 verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -e52513f75e1583db5081594705ff4791c6ce5a4f verilog/rtl/caravel.v +3f0bb1f4fedd16d0e675e937a6f9b3e834e671e7 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v d0c5cf9260783b1a88c0b772c2e3cee3dcd0cf76 verilog/rtl/chip_io.v @@ -13,10 +13,10 @@ d0c5cf9260783b1a88c0b772c2e3cee3dcd0cf76 verilog/rtl/chip_io.v 126aff02aa229dc346301c552d785dec76a4d68e verilog/rtl/clock_div.v 36af0303a0e84ce4a40a854ef1481f8a56bc9989 verilog/rtl/digital_pll.v ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v -60d2384a91301fec5721953d87931193681822c4 verilog/rtl/gpio_control_block.v +1f894f1c43d42017c157d8dd7d2e4674c1a43303 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v -f4acdc7f11c762c0e029fc30481182322db45300 verilog/rtl/housekeeping.v +b890e19f294294ee4aad4136b95c46e17d6f91dd verilog/rtl/housekeeping.v 3030f955d5f110d24012bd1562c0e18c1a0d04e2 verilog/rtl/housekeeping_spi.v 0f3db7cf4d68971ba4e286c8706b20c9252d1f98 verilog/rtl/mgmt_protect.v 3b1ff20593bc386d13f5e2cf1571f08121889957 verilog/rtl/mgmt_protect_hv.v From 51c6d04e3129f2f35d7c94f11d1f91e13bdb97a4 Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Wed, 5 Oct 2022 14:19:44 +0000 Subject: [PATCH 23/44] Apply automatic changes to Manifest and README.rst --- manifest | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/manifest b/manifest index 7a717e15..e3c469fb 100644 --- a/manifest +++ b/manifest @@ -2,8 +2,8 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -0e2cda74281c33da2f4e23d0ff5af91adcbcf32a verilog/rtl/caravan.v -a855d65d6fc59352e4f8a994e451418d113586fc verilog/rtl/caravan_netlists.v +3df954345a1009989a81b39489d634c2f569bb35 verilog/rtl/caravan.v +78d57857e9121ce22681e1a93e63f85058db38d5 verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v 3f0bb1f4fedd16d0e675e937a6f9b3e834e671e7 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v From 577cc12fe08a55c6f06fca8890c56383c124cc7f Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Wed, 5 Oct 2022 14:13:57 -0400 Subject: [PATCH 24/44] Implemented fix from early issue #16. Finally decided to pull the trigger on this one in the hopes that it helps prevent user error in implementing input pull-up and pull-down on GPIO pins. --- verilog/rtl/gpio_control_block.v | 25 +++++++++++++++++++------ 1 file changed, 19 insertions(+), 6 deletions(-) diff --git a/verilog/rtl/gpio_control_block.v b/verilog/rtl/gpio_control_block.v index b77f081f..e4361b33 100644 --- a/verilog/rtl/gpio_control_block.v +++ b/verilog/rtl/gpio_control_block.v @@ -45,7 +45,18 @@ * the clock half cycle. This avoids the need to fine-tune the clock * skew between GPIO blocks. * - * Modified 10/05/2022 by Tim Edwards + * Modified 10/4/2022 by Tim Edwards + * Replaces the tri-state output with a zero-value output when the + * user project is powered down (same modification as was made to the + * management protect module). This allows all outputs to be buffered + * and sized by the synthesis tools. + * + * Modified 10/5/2022 by Tim Edwards + * Changed the behavior of the logic for the pad "out" and "oeb" + * pins for the user so that they match the logic used for the + * management SoC, which is to automatically control these values + * when the configuration is set to either input pull-up or input + * pull-down modes. * *--------------------------------------------------------------------- */ @@ -235,16 +246,18 @@ module gpio_control_block #( /* the control block. In this case, the output enable state is */ /* determined by the OEB configuration bit. */ - assign pad_gpio_outenb = (mgmt_ena) ? ((mgmt_gpio_oeb == 1'b1) ? - gpio_outenb : 1'b0) : user_gpio_oeb; + assign pad_gpio_outenb = + (gpio_dm[2:1] == 2'b01) ? 1'b0 : + ((mgmt_ena) ? ((mgmt_gpio_oeb == 1'b1) ? gpio_outenb : 1'b0) : + user_gpio_oeb); /* For 2-wire interfaces, if the pad is configured for pull-up or */ /* pull-down, drive the output value locally to achieve the */ /* expected pull. */ - assign pad_gpio_out = (mgmt_ena) ? ((mgmt_gpio_oeb == 1'b1) ? - ((gpio_dm[2:1] == 2'b01) ? ~gpio_dm[0] : mgmt_gpio_out) : - mgmt_gpio_out) : user_gpio_out; + assign pad_gpio_out = + (gpio_dm[2:1] == 2'b01) ? ~gpio_dm[0] : + ((mgmt_ena) ? mgmt_gpio_out : user_gpio_out); /* Buffer user_gpio_in with an enable that is set by the user domain vccd */ From 6a105425526a9c0951fa29f191346e1591619183 Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Wed, 5 Oct 2022 18:17:44 +0000 Subject: [PATCH 25/44] Apply automatic changes to Manifest and README.rst --- manifest | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/manifest b/manifest index e3c469fb..fa4ee29f 100644 --- a/manifest +++ b/manifest @@ -13,7 +13,7 @@ d0c5cf9260783b1a88c0b772c2e3cee3dcd0cf76 verilog/rtl/chip_io.v 126aff02aa229dc346301c552d785dec76a4d68e verilog/rtl/clock_div.v 36af0303a0e84ce4a40a854ef1481f8a56bc9989 verilog/rtl/digital_pll.v ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v -1f894f1c43d42017c157d8dd7d2e4674c1a43303 verilog/rtl/gpio_control_block.v +72c1bce09886b89608403aa517ddd74010627211 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v b890e19f294294ee4aad4136b95c46e17d6f91dd verilog/rtl/housekeeping.v From f5a9d4677ea6ef8fd4038f93fbfcfa67b8fa4a34 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Wed, 5 Oct 2022 20:46:03 -0400 Subject: [PATCH 26/44] Revert "Implemented fix from early issue #16. Finally decided to pull the" This reverts commit 577cc12fe08a55c6f06fca8890c56383c124cc7f. Reverting the change from issue #16. After some discussion, it has been decided that it is up to the user to implement the pull-up and pull-down modes correctly by setting the output enable and driving the output to the appropriate value. Note that this should be well documented, if by nothing else than a validation testbench that excercises a user pull-up and pull-down input mode. --- manifest | 2 +- verilog/rtl/gpio_control_block.v | 25 ++++++------------------- 2 files changed, 7 insertions(+), 20 deletions(-) diff --git a/manifest b/manifest index fa4ee29f..e3c469fb 100644 --- a/manifest +++ b/manifest @@ -13,7 +13,7 @@ d0c5cf9260783b1a88c0b772c2e3cee3dcd0cf76 verilog/rtl/chip_io.v 126aff02aa229dc346301c552d785dec76a4d68e verilog/rtl/clock_div.v 36af0303a0e84ce4a40a854ef1481f8a56bc9989 verilog/rtl/digital_pll.v ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v -72c1bce09886b89608403aa517ddd74010627211 verilog/rtl/gpio_control_block.v +1f894f1c43d42017c157d8dd7d2e4674c1a43303 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v b890e19f294294ee4aad4136b95c46e17d6f91dd verilog/rtl/housekeeping.v diff --git a/verilog/rtl/gpio_control_block.v b/verilog/rtl/gpio_control_block.v index e4361b33..b77f081f 100644 --- a/verilog/rtl/gpio_control_block.v +++ b/verilog/rtl/gpio_control_block.v @@ -45,18 +45,7 @@ * the clock half cycle. This avoids the need to fine-tune the clock * skew between GPIO blocks. * - * Modified 10/4/2022 by Tim Edwards - * Replaces the tri-state output with a zero-value output when the - * user project is powered down (same modification as was made to the - * management protect module). This allows all outputs to be buffered - * and sized by the synthesis tools. - * - * Modified 10/5/2022 by Tim Edwards - * Changed the behavior of the logic for the pad "out" and "oeb" - * pins for the user so that they match the logic used for the - * management SoC, which is to automatically control these values - * when the configuration is set to either input pull-up or input - * pull-down modes. + * Modified 10/05/2022 by Tim Edwards * *--------------------------------------------------------------------- */ @@ -246,18 +235,16 @@ module gpio_control_block #( /* the control block. In this case, the output enable state is */ /* determined by the OEB configuration bit. */ - assign pad_gpio_outenb = - (gpio_dm[2:1] == 2'b01) ? 1'b0 : - ((mgmt_ena) ? ((mgmt_gpio_oeb == 1'b1) ? gpio_outenb : 1'b0) : - user_gpio_oeb); + assign pad_gpio_outenb = (mgmt_ena) ? ((mgmt_gpio_oeb == 1'b1) ? + gpio_outenb : 1'b0) : user_gpio_oeb; /* For 2-wire interfaces, if the pad is configured for pull-up or */ /* pull-down, drive the output value locally to achieve the */ /* expected pull. */ - assign pad_gpio_out = - (gpio_dm[2:1] == 2'b01) ? ~gpio_dm[0] : - ((mgmt_ena) ? mgmt_gpio_out : user_gpio_out); + assign pad_gpio_out = (mgmt_ena) ? ((mgmt_gpio_oeb == 1'b1) ? + ((gpio_dm[2:1] == 2'b01) ? ~gpio_dm[0] : mgmt_gpio_out) : + mgmt_gpio_out) : user_gpio_out; /* Buffer user_gpio_in with an enable that is set by the user domain vccd */ From 72341326e2dd7e7803b0a08872ed5f5418d49bc7 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Wed, 5 Oct 2022 20:52:21 -0400 Subject: [PATCH 27/44] Corrected a typo in the definition of the mgmt_io_oeb vector in caravel.v, which should be the same as mgmt_io_in and mgmt_io_out and should equal the number of user I/O pads (38). --- verilog/rtl/caravel.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index ce382a97..1ce26331 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -175,7 +175,7 @@ module caravel ( wire [`MPRJ_IO_PADS-1:0] mgmt_io_in; /* one- and three-pin data in */ wire [`MPRJ_IO_PADS-1:0] mgmt_io_out; /* one- and three-pin data out */ - wire [`MPRJ_IO_PADS-5:0] mgmt_io_oeb; /* output enable, used only by */ + wire [`MPRJ_IO_PADS-1:0] mgmt_io_oeb; /* output enable, used only by */ /* the three-pin interfaces */ wire [`MPRJ_PWR_PADS-1:0] pwr_ctrl_nc; /* no-connects */ From eaa21ea87b98494dce7a6259d4ff0730fcf06c0b Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Thu, 6 Oct 2022 00:55:06 +0000 Subject: [PATCH 28/44] Apply automatic changes to Manifest and README.rst --- manifest | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/manifest b/manifest index e3c469fb..06d39946 100644 --- a/manifest +++ b/manifest @@ -5,7 +5,7 @@ b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v 3df954345a1009989a81b39489d634c2f569bb35 verilog/rtl/caravan.v 78d57857e9121ce22681e1a93e63f85058db38d5 verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -3f0bb1f4fedd16d0e675e937a6f9b3e834e671e7 verilog/rtl/caravel.v +6656a38dd3d0b829ec3ed907cba0ba95b0d40535 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v d0c5cf9260783b1a88c0b772c2e3cee3dcd0cf76 verilog/rtl/chip_io.v From 83f58cbe65a32853317294b8920b39d59fd95d23 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Wed, 5 Oct 2022 21:05:58 -0400 Subject: [PATCH 29/44] Added back a "genvar" statement that was deleted from housekeeping along with an unused block, but was needed elsewhere. --- verilog/rtl/housekeeping.v | 2 ++ 1 file changed, 2 insertions(+) diff --git a/verilog/rtl/housekeeping.v b/verilog/rtl/housekeeping.v index 4358f3bf..4a8739e0 100644 --- a/verilog/rtl/housekeeping.v +++ b/verilog/rtl/housekeeping.v @@ -809,6 +809,8 @@ module housekeeping #( assign spi_sdi = (spi_enabled) ? mgmt_gpio_in[34] : 1'b0; assign debug_in = (debug_mode) ? mgmt_gpio_in[0] : 1'b0; + genvar i; + /* These are disconnected, but apply a meaningful signal anyway */ generate for (i = 2; i < `MPRJ_IO_PADS-3; i = i + 1) begin From 73c0d2b805331808d5a76135b7d3853947def0f9 Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Thu, 6 Oct 2022 01:07:43 +0000 Subject: [PATCH 30/44] Apply automatic changes to Manifest and README.rst --- manifest | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/manifest b/manifest index 06d39946..d096ec76 100644 --- a/manifest +++ b/manifest @@ -16,7 +16,7 @@ ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v 1f894f1c43d42017c157d8dd7d2e4674c1a43303 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v -b890e19f294294ee4aad4136b95c46e17d6f91dd verilog/rtl/housekeeping.v +5cecb651a1f7c36d7ae3c4bbca3950ace4186cd5 verilog/rtl/housekeeping.v 3030f955d5f110d24012bd1562c0e18c1a0d04e2 verilog/rtl/housekeeping_spi.v ee3fbd794fcc6d221562147b09891e315873ac4c verilog/rtl/mgmt_protect.v 3b1ff20593bc386d13f5e2cf1571f08121889957 verilog/rtl/mgmt_protect_hv.v From 76627f546bc28d4db03f84973ed65d683ba8f485 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Wed, 5 Oct 2022 21:31:25 -0400 Subject: [PATCH 31/44] Reverting the Makefile, which somehow got picked up from the wrong branch and committed into this one. --- Makefile | 113 ++++--------------------------------------------------- 1 file changed, 7 insertions(+), 106 deletions(-) diff --git a/Makefile b/Makefile index b9e2ad1f..2e7ea7d5 100644 --- a/Makefile +++ b/Makefile @@ -44,7 +44,7 @@ LARGE_FILES_GZ_SPLIT += $(addsuffix .00.split, $(ARCHIVES)) MCW_ROOT?=$(PWD)/mgmt_core_wrapper MCW ?=LITEX_VEXRISCV -MPW_TAG ?= caravel_stanford +MPW_TAG ?= mpw-5e # PDK switch varient export PDK?=sky130A @@ -86,7 +86,7 @@ SPECIAL_VOLTAGE_LIBRARY ?= sky130_fd_sc_hvl IO_LIBRARY ?= sky130_fd_io PRIMITIVES_LIBRARY ?= sky130_fd_pr SKYWATER_COMMIT ?= c094b6e83a4f9298e47f696ec5a7fd53535ec5eb -OPEN_PDKS_COMMIT ?= 8f6aff1881e5feae49acb6d5be53c4acc91bb235 +OPEN_PDKS_COMMIT ?= 05af1d05227419f0955cd98610351f4680575b95 # = 1.0.303 PDK_MAGIC_COMMIT ?= fe2eb6d3906ed15ade0e7a51daea80dd4e3846e2 # = 8.3.294 @@ -201,114 +201,15 @@ clean: cd $(CARAVEL_ROOT)/verilog/dv/wb_utests/ && \ $(MAKE) -j$(THREADS) clean -######### -## Verify - - -#.PHONY: verify -#verify: -# cd $(CARAVEL_ROOT)/verilog/dv/caravel/mgmt_soc/ && \ -# $(MAKE) -j$(THREADS) all -# cd $(CARAVEL_ROOT)/verilog/dv/wb_utests/ && \ -# $(MAKE) -j$(THREADS) all - -.PHONY: simenv -simenv: - docker pull efabless/dv:latest - -dv_caravel_patterns=$(shell cd mgmt_core_wrapper/verilog/dv/tests-caravel && find * -maxdepth 0 -type d) -dv_standalone_patterns=$(shell cd mgmt_core_wrapper/verilog/dv/tests-standalone && find * -maxdepth 0 -type d) -dv-caravel-targets-rtl=$(dv_caravel_patterns:%=verify-caravel-%-rtl) -dv-standalone-targets-rtl=$(dv_standalone_patterns:%=verify-standalone-%-rtl) -dv-caravel-targets-gl=$(dv_caravel_patterns:%=verify-caravel-%-gl) -dv-standalone-targets-gl=$(dv_standalone_patterns:%=verify-standalone-%-gl) -dv-caravel-targets-gl-sdf=$(dv_caravel_patterns:%=verify-caravel-%-gl-sdf) -dv-standalone-targets-gl-sdf=$(dv_standalone_patterns:%=verify-standalone-%-gl-sdf) - -VERIFY_LOG = "verify-${CONFIG}-${SIM}.log" -TARGET_PATH=$(shell pwd) -verify_command="source ~/.bashrc && cd ${TARGET_PATH}/mgmt_core_wrapper/verilog/dv/tests-${CONFIG}/$* && export SIM=${SIM} && make" -dv_base_dependencies=simenv -docker_run_verify=\ - docker run -v ${TARGET_PATH}:${TARGET_PATH} -v ${PDK_ROOT}:${PDK_ROOT} \ - -v ${CARAVEL_ROOT}:${CARAVEL_ROOT} \ - -e TARGET_PATH=${TARGET_PATH} -e PDK_ROOT=${PDK_ROOT} \ - -e CARAVEL_ROOT=${CARAVEL_ROOT} \ - -e TOOLS=/foss/tools/riscv-gnu-toolchain-rv32i/217e7f3debe424d61374d31e33a091a630535937 \ - -e DESIGNS=$(TARGET_PATH) \ - -e PDK=$(PDK) \ - -e CORE_VERILOG_PATH=$(TARGET_PATH)/mgmt_core_wrapper/verilog \ - -e MCW_ROOT=$(MCW_ROOT) \ - -u $$(id -u $$USER):$$(id -g $$USER) efabless/dv:latest \ - sh -c $(verify_command) | tee -a ${VERIFY_LOG} - -.PHONY: harden -harden: $(blocks) .PHONY: verify -verify: $(dv-caravel-targets-rtl) +verify: + cd $(CARAVEL_ROOT)/verilog/dv/caravel/mgmt_soc/ && \ + $(MAKE) -j$(THREADS) all + cd $(CARAVEL_ROOT)/verilog/dv/wb_utests/ && \ + $(MAKE) -j$(THREADS) all -.PHONY: verify_log_header -verify_log_header: - @echo "*************************************************************************" > ${VERIFY_LOG} - @echo "Verification Log: `date` Configuration: ${CONFIG} ${SIM}" >> ${VERIFY_LOG} - @echo "*************************************************************************" >> ${VERIFY_LOG} -.PHONY: verify-caravel-all-rtl verify-standalone-all-rtl -verify-caravel-all-rtl: $(dv-caravel-targets-rtl) -verify-standalone-all-rtl: $(dv-standalone-targets-rtl) - -.PHONY: verify-caravel-all-gl verify-standalone-all-gl -verify-caravel-all-gl: $(dv-caravel-targets-gl) -verify-standalone-all-gl: $(dv-standalone-targets-gl) - -.PHONY: verify-caravel-all-gl-sdf verify-standalone-all-gl-sdf -verify-caravel-all-gl-sdf: $(dv-targets-gl-sdf) -verify-standalone-all-gl-sdf: $(dv-targets-gl-sdf) - -$(dv-caravel-targets-rtl): SIM=RTL -$(dv-caravel-targets-rtl): CONFIG=caravel -$(dv-caravel-targets-rtl): verify-caravel-%-rtl: $(dv_base_dependencies) verify_log_header - $(docker_run_verify) - -$(dv-caravel-targets-gl): SIM=GL -$(dv-caravel-targets-gl): CONFIG=caravel -$(dv-caravel-targets-gl): verify-caravel-%-gl: $(dv_base_dependencies) verify_log_header - $(docker_run_verify) - -$(dv-caravel-targets-gl-sdf): SIM=GL_SDF -$(dv-caravel-targets-gl-sdf): CONFIG=caravel -$(dv-caravel-targets-gl-sdf): verify-caravel-%-gl-sdf: $(dv_base_dependencies) verify_log_header - $(docker_run_verify) - -$(dv-standalone-targets-rtl): SIM=RTL -$(dv-standalone-targets-rtl): CONFIG=standalone -$(dv-standalone-targets-rtl): verify-standalone-%-rtl: $(dv_base_dependencies) verify_log_header - $(docker_run_verify) - -$(dv-standalone-targets-gl): SIM=GL -$(dv-standalone-targets-gl): CONFIG=standalone -$(dv-standalone-targets-gl): verify-standalone-%-gl: $(dv_base_dependencies) verify_log_header - $(docker_run_verify) - -$(dv-standalone-targets-gl-sdf): SIM=GL_SDF -$(dv-standalone-targets-gl-sdf): CONFIG=standalone -$(dv-standalone-targets-gl-sdf): verify-standalone-%-gl-sdf: $(dv_base_dependencies) verify_log_header - $(docker_run_verify) - -clean-targets=$(blocks:%=clean-%) -.PHONY: $(clean-targets) -$(clean-targets): clean-% : - rm -f ./verilog/gl/$*.v - rm -f ./spef/$*.spef - rm -f ./sdc/$*.sdc - rm -f ./sdf/$*.sdf - rm -f ./gds/$*.gds - rm -f ./mag/$*.mag - rm -f ./lef/$*.lef - rm -f ./maglef/*.maglef - -############### ##### $(LARGE_FILES_GZ): %.$(ARCHIVE_EXT): % From 6831b85e3c5031766976c9031334dc2c868dafa1 Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Thu, 6 Oct 2022 01:34:14 +0000 Subject: [PATCH 32/44] Apply automatic changes to Manifest and README.rst --- manifest | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/manifest b/manifest index 08025efb..c23e296d 100644 --- a/manifest +++ b/manifest @@ -2,8 +2,8 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -0e2cda74281c33da2f4e23d0ff5af91adcbcf32a verilog/rtl/caravan.v -a855d65d6fc59352e4f8a994e451418d113586fc verilog/rtl/caravan_netlists.v +ebd68a20da36a7f2cde0f4aa02ab2fce44000dbe verilog/rtl/caravan.v +a2d65c149e87a9892bce34281e5322c01ce50119 verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v a017c38ef9b280e55b1ced730e643300b66f2ab3 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v @@ -14,7 +14,7 @@ fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v 941bd7636e7558b045faa3d8c6ba2d91b4c4b798 verilog/rtl/constant_block.v 36af0303a0e84ce4a40a854ef1481f8a56bc9989 verilog/rtl/digital_pll.v ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v -60d2384a91301fec5721953d87931193681822c4 verilog/rtl/gpio_control_block.v +2b8a0d04b8f7214a5205aade7ec074fe32dbb44e verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v 8dafb824eae7173e43f4e2f31c7470a6a1272c79 verilog/rtl/housekeeping.v From e2556cc11b1caed14b3d308d5fdde1ccd88061f0 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Wed, 5 Oct 2022 21:37:55 -0400 Subject: [PATCH 33/44] Removed the SPARE_LOGIC_BLOCK ifdef...endif from around the spare logic in caravel.v and caravan.v. These had been added to the caravel_stanford branch because the spare logic blocks are not usefully synthesizable. --- verilog/rtl/caravan.v | 3 --- verilog/rtl/caravel.v | 2 -- 2 files changed, 5 deletions(-) diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index 5b357042..3751c4ee 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -1375,7 +1375,6 @@ module caravan ( .X(rstb_l) ); - `ifdef USE_SPARE_LOGIC // Spare logic for metal mask fixes wire [107:0] spare_xz_nc; wire [15:0] spare_xi_nc; @@ -1400,8 +1399,6 @@ module caravan ( .spare_xfq(spare_xfq_nc), .spare_xfqn(spare_xfqn_nc) ); - `endif - endmodule // `default_nettype wire diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index 3a8e082e..315fbc30 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -1427,7 +1427,6 @@ module caravel ( .X(rstb_l) ); - `ifdef USE_SPARE_LOGIC // Spare logic for metal mask fixes wire [107:0] spare_xz_nc; wire [15:0] spare_xi_nc; @@ -1452,7 +1451,6 @@ module caravel ( .spare_xfq(spare_xfq_nc), .spare_xfqn(spare_xfqn_nc) ); - `endif endmodule // `default_nettype wire From 77b47e3b5c69075d70f717b3009e027f01cc352f Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Thu, 6 Oct 2022 01:39:57 +0000 Subject: [PATCH 34/44] Apply automatic changes to Manifest and README.rst --- manifest | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/manifest b/manifest index c23e296d..3abfdd60 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -ebd68a20da36a7f2cde0f4aa02ab2fce44000dbe verilog/rtl/caravan.v +462e675b1c3d5949856b5d8b7b893ffa5a012f79 verilog/rtl/caravan.v a2d65c149e87a9892bce34281e5322c01ce50119 verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -a017c38ef9b280e55b1ced730e643300b66f2ab3 verilog/rtl/caravel.v +bc32bfb9b30f358219531ccab71421aec21d1300 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v From 42805f767e0e9fb91e8e4937e1be780fad62edb2 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Wed, 5 Oct 2022 21:43:29 -0400 Subject: [PATCH 35/44] Removed some references to mgmt_soc_litex files that had been added to caravel_netlists.v when attempting to determine if the verification testbenches could be run from caravel referencing caravel_mgmt_soc_litex instead of the other way around. This file has been reverted back to its original form. --- verilog/rtl/caravel_netlists.v | 8 -------- 1 file changed, 8 deletions(-) diff --git a/verilog/rtl/caravel_netlists.v b/verilog/rtl/caravel_netlists.v index 2a6d2d0a..dfdcecbc 100644 --- a/verilog/rtl/caravel_netlists.v +++ b/verilog/rtl/caravel_netlists.v @@ -66,10 +66,6 @@ `include "gl/spare_logic_block.v" `include "gl/mgmt_defines.v" `include "gl/mgmt_core_wrapper.v" - `include "gl/mgmt_core.v" - `include "gl/DFFRAM.v" - `include "gl/DFFRAMBB.v" - `include "gl/VexRiscv_LiteDebug.v" `include "gl/caravel.v" `else `include "digital_pll.v" @@ -93,10 +89,6 @@ `include "xres_buf.v" `include "spare_logic_block.v" `include "mgmt_core_wrapper.v" - `include "mgmt_core.v" - `include "DFFRAM.v" - `include "DFFRAMBB.v" - `include "VexRiscv_LiteDebug.v" `include "caravel.v" `endif From b140fdb6acb7861ed32cd75663e59ec80a1f20d0 Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Thu, 6 Oct 2022 15:40:23 +0000 Subject: [PATCH 36/44] Apply automatic changes to Manifest and README.rst --- manifest | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/manifest b/manifest index 5aa3ffba..c2f1f998 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -462e675b1c3d5949856b5d8b7b893ffa5a012f79 verilog/rtl/caravan.v -a2d65c149e87a9892bce34281e5322c01ce50119 verilog/rtl/caravan_netlists.v +d5d60c099db9d9439a5f7a752e2ec3fc136ad196 verilog/rtl/caravan.v +1b8dc7f0a4f2196b7c2de926af9c648ebf315f3d verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -bc32bfb9b30f358219531ccab71421aec21d1300 verilog/rtl/caravel.v +da9ede04122837cb2bfabc5b91c80fb9696ad08a verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v @@ -14,7 +14,7 @@ fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v 941bd7636e7558b045faa3d8c6ba2d91b4c4b798 verilog/rtl/constant_block.v 36af0303a0e84ce4a40a854ef1481f8a56bc9989 verilog/rtl/digital_pll.v ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v -2b8a0d04b8f7214a5205aade7ec074fe32dbb44e verilog/rtl/gpio_control_block.v +1f894f1c43d42017c157d8dd7d2e4674c1a43303 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v 5cecb651a1f7c36d7ae3c4bbca3950ace4186cd5 verilog/rtl/housekeeping.v From a07d0d5dac49534d7bd05760d8ba704c6187e177 Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Thu, 6 Oct 2022 15:57:45 -0400 Subject: [PATCH 37/44] Fixed one small error in the housekeeping module that was surfaced by the pull-up/pull-down testbench. --- verilog/rtl/housekeeping.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/verilog/rtl/housekeeping.v b/verilog/rtl/housekeeping.v index 4a8739e0..6c36b7b3 100644 --- a/verilog/rtl/housekeeping.v +++ b/verilog/rtl/housekeeping.v @@ -802,7 +802,7 @@ module housekeeping #( (spi_is_active) ? sdo : mgmt_gpio_data[1]; assign mgmt_gpio_out[0] = (debug_mode) ? debug_out : mgmt_gpio_data[0]; - assign mgmt_gpio_oeb[1] = (spi_is_active) ? sdo_enb : ~gpio_configure[0][INP_DIS]; + assign mgmt_gpio_oeb[1] = (spi_is_active) ? sdo_enb : ~gpio_configure[1][INP_DIS]; assign mgmt_gpio_oeb[0] = (debug_mode) ? debug_oeb : ~gpio_configure[0][INP_DIS]; assign ser_rx = (uart_enabled) ? mgmt_gpio_in[5] : 1'b0; From 318e836af5a1c3d9e5753b1a1b3505801a03c232 Mon Sep 17 00:00:00 2001 From: RTimothyEdwards Date: Thu, 6 Oct 2022 19:59:16 +0000 Subject: [PATCH 38/44] Apply automatic changes to Manifest and README.rst --- manifest | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/manifest b/manifest index c2f1f998..fe63437f 100644 --- a/manifest +++ b/manifest @@ -17,7 +17,7 @@ ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v 1f894f1c43d42017c157d8dd7d2e4674c1a43303 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v -5cecb651a1f7c36d7ae3c4bbca3950ace4186cd5 verilog/rtl/housekeeping.v +ba579e382efe39852282cec649ca7912f661d0d9 verilog/rtl/housekeeping.v 3030f955d5f110d24012bd1562c0e18c1a0d04e2 verilog/rtl/housekeeping_spi.v ee3fbd794fcc6d221562147b09891e315873ac4c verilog/rtl/mgmt_protect.v 3b1ff20593bc386d13f5e2cf1571f08121889957 verilog/rtl/mgmt_protect_hv.v From be25ae7476039f003ed1b75dfc8c27b622209239 Mon Sep 17 00:00:00 2001 From: "R. Timothy Edwards" Date: Fri, 7 Oct 2022 04:23:07 -0400 Subject: [PATCH 39/44] Remove SRAM read-only interface (#151) * Removed the SRAM read-only interface by wrapping all related code in an ifdef for "USE_SRAM_RO_INTERFACE", which is undefined. * Apply automatic changes to Manifest and README.rst Co-authored-by: RTimothyEdwards Co-authored-by: Jeff DiCorpo <42048757+jeffdi@users.noreply.github.com> --- manifest | 6 +++--- verilog/rtl/caravan.v | 6 ++++++ verilog/rtl/caravel.v | 6 ++++++ verilog/rtl/housekeeping.v | 18 ++++++++++++++---- 4 files changed, 29 insertions(+), 7 deletions(-) diff --git a/manifest b/manifest index fe63437f..9cdd7f90 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -d5d60c099db9d9439a5f7a752e2ec3fc136ad196 verilog/rtl/caravan.v +24ed502194cb86e86abf9d94aa0d0918bf8556c9 verilog/rtl/caravan.v 1b8dc7f0a4f2196b7c2de926af9c648ebf315f3d verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -da9ede04122837cb2bfabc5b91c80fb9696ad08a verilog/rtl/caravel.v +c6851e521ea59a923437c3b3efca8ceb225f1d54 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v @@ -17,7 +17,7 @@ ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v 1f894f1c43d42017c157d8dd7d2e4674c1a43303 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v -ba579e382efe39852282cec649ca7912f661d0d9 verilog/rtl/housekeeping.v +d77a4f3c753ce8057a59f5e8462487f69a32b8b7 verilog/rtl/housekeeping.v 3030f955d5f110d24012bd1562c0e18c1a0d04e2 verilog/rtl/housekeeping_spi.v ee3fbd794fcc6d221562147b09891e315873ac4c verilog/rtl/mgmt_protect.v 3b1ff20593bc386d13f5e2cf1571f08121889957 verilog/rtl/mgmt_protect_hv.v diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index a4c3041d..935aeac6 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -406,11 +406,13 @@ module caravan ( wire mprj_vdd_pwrgood; wire mprj2_vdd_pwrgood; +`ifdef USE_SRAM_RO_INTERFACE // SRAM read-noly access from housekeeping wire hkspi_sram_clk; wire hkspi_sram_csb; wire [7:0] hkspi_sram_addr; wire [31:0] hkspi_sram_data; +`endif // Management processor (wrapper). Any management core // implementation must match this pinout. @@ -495,11 +497,13 @@ module caravan ( .la_oenb(la_oenb_mprj), .la_iena(la_iena_mprj), +`ifdef USE_SRAM_RO_INTERFACE // SRAM Read-only access from housekeeping .sram_ro_clk(hkspi_sram_clk), .sram_ro_csb(hkspi_sram_csb), .sram_ro_addr(hkspi_sram_addr), .sram_ro_data(hkspi_sram_data), +`endif // Trap status .trap(trap) @@ -801,10 +805,12 @@ module caravan ( .pad_flash_io0_di(flash_io0_di), .pad_flash_io1_di(flash_io1_di), +`ifdef USE_SRAM_RO_INTERFACE .sram_ro_clk(hkspi_sram_clk), .sram_ro_csb(hkspi_sram_csb), .sram_ro_addr(hkspi_sram_addr), .sram_ro_data(hkspi_sram_data), +`endif .usr1_vcc_pwrgood(mprj_vcc_pwrgood), .usr2_vcc_pwrgood(mprj2_vcc_pwrgood), diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index 5abfa42c..1aca6bdc 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -360,11 +360,13 @@ module caravel ( wire mprj_vdd_pwrgood; wire mprj2_vdd_pwrgood; +`ifdef USE_SRAM_RO_INTERFACE // SRAM read-only access from houskeeping wire hkspi_sram_clk; wire hkspi_sram_csb; wire [7:0] hkspi_sram_addr; wire [31:0] hkspi_sram_data; +`endif // Management processor (wrapper). Any management core // implementation must match this pinout. @@ -449,11 +451,13 @@ module caravel ( .la_oenb(la_oenb_mprj), .la_iena(la_iena_mprj), +`ifdef USE_SRAM_RO_INTERFACE // SRAM Read-only access from housekeeping .sram_ro_clk(hkspi_sram_clk), .sram_ro_csb(hkspi_sram_csb), .sram_ro_addr(hkspi_sram_addr), .sram_ro_data(hkspi_sram_data), +`endif // Trap status .trap(trap) @@ -743,10 +747,12 @@ module caravel ( .pad_flash_io0_di(flash_io0_di), .pad_flash_io1_di(flash_io1_di), +`ifdef USE_SRAM_RO_INTERFACE .sram_ro_clk(hkspi_sram_clk), .sram_ro_csb(hkspi_sram_csb), .sram_ro_addr(hkspi_sram_addr), .sram_ro_data(hkspi_sram_data), +`endif .usr1_vcc_pwrgood(mprj_vcc_pwrgood), .usr2_vcc_pwrgood(mprj2_vcc_pwrgood), diff --git a/verilog/rtl/housekeeping.v b/verilog/rtl/housekeeping.v index 6c36b7b3..a08b7788 100644 --- a/verilog/rtl/housekeeping.v +++ b/verilog/rtl/housekeeping.v @@ -170,10 +170,12 @@ module housekeeping #( input pad_flash_io0_di, input pad_flash_io1_di, +`ifdef USE_SRAM_RO_INTERFACE output sram_ro_clk, output sram_ro_csb, output [7:0] sram_ro_addr, input [31:0] sram_ro_data, +`endif // System signal monitoring input usr1_vcc_pwrgood, @@ -203,9 +205,11 @@ module housekeeping #( reg serial_xfer; reg hkspi_disable; +`ifdef USE_SRAM_RO_INTERFACE reg sram_ro_clk; reg sram_ro_csb; reg [7:0] sram_ro_addr; +`endif reg clk1_output_dest; reg clk2_output_dest; @@ -250,7 +254,9 @@ module housekeeping #( wire cwstb; // Combination of SPI write strobe and back door write strobe wire csclk; // Combination of SPI SCK and back door access trigger +`ifdef USE_SRAM_RO_INTERFACE wire [31:0] sram_ro_data; +`endif // Housekeeping side 3-wire interface to GPIOs (see below) wire [`MPRJ_IO_PADS-1:0] mgmt_gpio_out; @@ -364,13 +370,15 @@ module housekeeping #( serial_bb_load, serial_bb_resetn, serial_bb_enable, serial_busy}; - /* To be added: SRAM read-only port (registers 14 to 19) */ +`ifdef USE_SRAM_RO_INTERFACE + /* Optional: SRAM read-only port (registers 14 to 19) */ 8'h14 : fdata = {6'b000000, sram_ro_clk, sram_ro_csb}; 8'h15 : fdata = sram_ro_addr; 8'h16 : fdata = sram_ro_data[31:24]; 8'h17 : fdata = sram_ro_data[23:16]; 8'h18 : fdata = sram_ro_data[15:8]; 8'h19 : fdata = sram_ro_data[7:0]; +`endif /* System monitoring */ 8'h1a : fdata = {4'b0000, usr1_vcc_pwrgood, usr2_vcc_pwrgood, @@ -517,8 +525,6 @@ module housekeeping #( gpio_adr | 12'h000 : spiaddr = 8'h13; // GPIO control - /* To be added: SRAM read-only interface */ - sys_adr | 12'h000 : spiaddr = 8'h1a; // Power monitor sys_adr | 12'h004 : spiaddr = 8'h1b; // Output redirect sys_adr | 12'h00c : spiaddr = 8'h1c; // Input redirect @@ -1042,9 +1048,11 @@ module housekeeping #( hkspi_disable <= 1'b0; pwr_ctrl_out <= 'd0; +`ifdef USE_SRAM_RO_INTERFACE sram_ro_clk <= 1'b0; sram_ro_csb <= 1'b1; sram_ro_addr <= 8'h00; +`endif end else begin if (cwstb == 1'b1) begin @@ -1096,7 +1104,8 @@ module housekeeping #( serial_xfer <= cdata[0]; end - /* To be done: Add SRAM read-only interface */ +`ifdef USE_SRAM_RO_INTERFACE + /* Optional: Add SRAM read-only interface */ 8'h14: begin sram_ro_clk <= cdata[1]; sram_ro_csb <= cdata[0]; @@ -1104,6 +1113,7 @@ module housekeeping #( 8'h15: begin sram_ro_addr <= cdata; end +`endif /* Registers 16 to 19 (SRAM data) are read-only */ From cfbe353290757e99cda4a1b65aa9260de598f562 Mon Sep 17 00:00:00 2001 From: "R. Timothy Edwards" Date: Fri, 7 Oct 2022 04:24:01 -0400 Subject: [PATCH 40/44] Added spare logic blocks for GPIO (#153) * Added enough spare logic blocks to have the existing four above the processor, plus one each per GPIO (38 for caravel, 27 for caravan). * Apply automatic changes to Manifest and README.rst Co-authored-by: RTimothyEdwards Co-authored-by: Jeff DiCorpo <42048757+jeffdi@users.noreply.github.com> --- manifest | 4 ++-- verilog/rtl/caravan.v | 24 ++++++++++++++---------- verilog/rtl/caravel.v | 24 ++++++++++++++---------- 3 files changed, 30 insertions(+), 22 deletions(-) diff --git a/manifest b/manifest index 9cdd7f90..7de3a29b 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -24ed502194cb86e86abf9d94aa0d0918bf8556c9 verilog/rtl/caravan.v +40fa6c17c38634a72759f07d16fe9b5f55e36d45 verilog/rtl/caravan.v 1b8dc7f0a4f2196b7c2de926af9c648ebf315f3d verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -c6851e521ea59a923437c3b3efca8ceb225f1d54 verilog/rtl/caravel.v +cee8e7bdd3e904c6665d32ea92f56540f5718ac9 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index 935aeac6..747c8eb7 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -1411,17 +1411,21 @@ module caravan ( .X(rstb_l) ); - // Spare logic for metal mask fixes - wire [107:0] spare_xz_nc; - wire [15:0] spare_xi_nc; - wire [3:0] spare_xib_nc; - wire [7:0] spare_xna_nc; - wire [7:0] spare_xno_nc; - wire [7:0] spare_xmx_nc; - wire [7:0] spare_xfq_nc; - wire [7:0] spare_xfqn_nc; + /* Spare logic for metal mask fixes */ + `define NUM_SPARE_BLOCKS (`MPRJ_DIG_PADS+4) - spare_logic_block spare_logic [3:0] ( + wire [(27*`NUM_SPARE_BLOCKS)-1:0] spare_xz_nc; + wire [(4*`NUM_SPARE_BLOCKS)-1:0] spare_xi_nc; + wire [(1*`NUM_SPARE_BLOCKS)-1:0] spare_xib_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xna_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xno_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xmx_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xfq_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xfqn_nc; + + // Four spare logic blocks above the processor and one per GPIO + // control block. + spare_logic_block spare_logic [`NUM_SPARE_BLOCKS-1:0] ( `ifdef USE_POWER_PINS .vccd(vccd_core), .vssd(vssd_core), diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index 1aca6bdc..b5fd8c58 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -1463,17 +1463,21 @@ module caravel ( .X(rstb_l) ); - // Spare logic for metal mask fixes - wire [107:0] spare_xz_nc; - wire [15:0] spare_xi_nc; - wire [3:0] spare_xib_nc; - wire [7:0] spare_xna_nc; - wire [7:0] spare_xno_nc; - wire [7:0] spare_xmx_nc; - wire [7:0] spare_xfq_nc; - wire [7:0] spare_xfqn_nc; + /* Spare logic for metal mask fixes */ + `define NUM_SPARE_BLOCKS (`MPRJ_IO_PADS+4) - spare_logic_block spare_logic [3:0] ( + wire [(27*`NUM_SPARE_BLOCKS)-1:0] spare_xz_nc; + wire [(4*`NUM_SPARE_BLOCKS)-1:0] spare_xi_nc; + wire [(1*`NUM_SPARE_BLOCKS)-1:0] spare_xib_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xna_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xno_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xmx_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xfq_nc; + wire [(2*`NUM_SPARE_BLOCKS)-1:0] spare_xfqn_nc; + + // Four spare logic blocks above the processor and one per GPIO + // control block. + spare_logic_block spare_logic [`NUM_SPARE_BLOCKS-1:0] ( `ifdef USE_POWER_PINS .vccd(vccd_core), .vssd(vssd_core), From 12358ee251edc019c54c1493d922a7afe86133ca Mon Sep 17 00:00:00 2001 From: jeffdi Date: Fri, 7 Oct 2022 08:25:07 +0000 Subject: [PATCH 41/44] Apply automatic changes to Manifest and README.rst --- manifest | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/manifest b/manifest index 7de3a29b..36296e20 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -40fa6c17c38634a72759f07d16fe9b5f55e36d45 verilog/rtl/caravan.v +5b92ba15873bfee3ddae89ad7c8f111aec3df187 verilog/rtl/caravan.v 1b8dc7f0a4f2196b7c2de926af9c648ebf315f3d verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -cee8e7bdd3e904c6665d32ea92f56540f5718ac9 verilog/rtl/caravel.v +4f5fd35a0b28297ee25e44cf85b7a647edcc6785 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v @@ -17,7 +17,7 @@ ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v 1f894f1c43d42017c157d8dd7d2e4674c1a43303 verilog/rtl/gpio_control_block.v 9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v 32d395d5936632f3c92a0de4867d6dd7cd4af1bb verilog/rtl/gpio_logic_high.v -d77a4f3c753ce8057a59f5e8462487f69a32b8b7 verilog/rtl/housekeeping.v +9b602cb0e7f0e6b7e21d87d3a2bd30cb631302c4 verilog/rtl/housekeeping.v 3030f955d5f110d24012bd1562c0e18c1a0d04e2 verilog/rtl/housekeeping_spi.v ee3fbd794fcc6d221562147b09891e315873ac4c verilog/rtl/mgmt_protect.v 3b1ff20593bc386d13f5e2cf1571f08121889957 verilog/rtl/mgmt_protect_hv.v From 0e3badac29455100b8453d9a432a1a1676ccaf1d Mon Sep 17 00:00:00 2001 From: Jeff DiCorpo <42048757+jeffdi@users.noreply.github.com> Date: Fri, 7 Oct 2022 01:36:26 -0700 Subject: [PATCH 42/44] 152 add pass thru for clock and reset (#154) * update caravel.v and caravan.v for clock and reset passthru. * Apply automatic changes to Manifest and README.rst * Apply automatic changes to Manifest and README.rst Co-authored-by: jeffdi Co-authored-by: Mohamed Shalan Co-authored-by: shalan --- manifest | 4 ++-- verilog/rtl/caravan.v | 14 ++++++++++++-- verilog/rtl/caravel.v | 14 ++++++++++++-- 3 files changed, 26 insertions(+), 6 deletions(-) diff --git a/manifest b/manifest index 36296e20..6aa88057 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -5b92ba15873bfee3ddae89ad7c8f111aec3df187 verilog/rtl/caravan.v +220d7b7f62f07b3fbe88ea87699bf7cb24336ce5 verilog/rtl/caravan.v 1b8dc7f0a4f2196b7c2de926af9c648ebf315f3d verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -4f5fd35a0b28297ee25e44cf85b7a647edcc6785 verilog/rtl/caravel.v +2f166c83511062c0366af3f30870aab2ccfe1b25 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index 747c8eb7..99dc0946 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -417,6 +417,10 @@ module caravan ( // Management processor (wrapper). Any management core // implementation must match this pinout. + // Pass thru clock and reset + wire clk_passthru; + wire resetn_passthru; + mgmt_core_wrapper soc ( `ifdef USE_POWER_PINS .VPWR(vccd_core), @@ -427,6 +431,12 @@ module caravan ( .core_clk(caravel_clk), .core_rstn(caravel_rstn), + // Pass thru Clock and reset + .clk_in(caravel_clk), + .resetn_in(caravel_rstn), + .clk_out(clk_passthru), + .resetn_out(resetn_passthru), + // GPIO (1 pin) .gpio_out_pad(gpio_out_core), .gpio_in_pad(gpio_in_core), @@ -527,9 +537,9 @@ module caravan ( .vdda2(vdda2_core), .vssa2(vssa2_core), `endif - .caravel_clk(caravel_clk), + .caravel_clk(clk_passthru), .caravel_clk2(caravel_clk2), - .caravel_rstn(caravel_rstn), + .caravel_rstn(resetn_passthru), .mprj_iena_wb(mprj_iena_wb), .mprj_cyc_o_core(mprj_cyc_o_core), .mprj_stb_o_core(mprj_stb_o_core), diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index b5fd8c58..9a00a234 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -371,6 +371,10 @@ module caravel ( // Management processor (wrapper). Any management core // implementation must match this pinout. + // Pass thru clock and reset + wire clk_passthru; + wire resetn_passthru; + mgmt_core_wrapper soc ( `ifdef USE_POWER_PINS .VPWR(vccd_core), @@ -381,6 +385,12 @@ module caravel ( .core_clk(caravel_clk), .core_rstn(caravel_rstn), + // Pass thru Clock and reset + .clk_in(caravel_clk), + .resetn_in(caravel_rstn), + .clk_out(clk_passthru), + .resetn_out(resetn_passthru), + // GPIO (1 pin) .gpio_out_pad(gpio_out_core), .gpio_in_pad(gpio_in_core), @@ -481,9 +491,9 @@ module caravel ( .vdda2(vdda2_core), .vssa2(vssa2_core), `endif - .caravel_clk(caravel_clk), + .caravel_clk(clk_passthru), .caravel_clk2(caravel_clk2), - .caravel_rstn(caravel_rstn), + .caravel_rstn(resetn_passthru), .mprj_iena_wb(mprj_iena_wb), .mprj_cyc_o_core(mprj_cyc_o_core), .mprj_stb_o_core(mprj_stb_o_core), From 6d1d6189745062d46930e2053f56593584c601dd Mon Sep 17 00:00:00 2001 From: kareem Date: Fri, 7 Oct 2022 04:59:17 -0700 Subject: [PATCH 43/44] reharden!: gpio_control_block - rtl updated ~ add one column to the right to pass placement congestion ~ density adjusted (probably has no effect) + manually add isosubstrate layer in mag and gds from older iterations !important still need to run dynamic simulations !important depends on some updates to openlane !important need to be able to recreate using newer openlane versions --- def/gpio_control_block.def | 5253 ++++---- gds/gpio_control_block.gds.gz | Bin 107108 -> 106097 bytes lef/gpio_control_block.lef | 299 +- mag/gpio_control_block.mag | 14978 ++++++++++++----------- maglef/gpio_control_block.mag | 131 +- openlane/gpio_control_block/config.tcl | 5 +- sdc/gpio_control_block.sdc | 2 +- sdf/gpio_control_block.sdf | 1754 +-- spef/gpio_control_block.spef | 9732 ++++++++------- spi/lvs/gpio_control_block.spice | 422 +- verilog/gl/gpio_control_block.v | 1128 +- 11 files changed, 17111 insertions(+), 16593 deletions(-) diff --git a/def/gpio_control_block.def b/def/gpio_control_block.def index a7348bec..5d3ae978 100644 --- a/def/gpio_control_block.def +++ b/def/gpio_control_block.def @@ -4,27 +4,27 @@ BUSBITCHARS "[]" ; DESIGN gpio_control_block ; UNITS DISTANCE MICRONS 1000 ; DIEAREA ( 0 0 ) ( 170000 65000 ) ; -ROW ROW_0 unithd 4600 2720 N DO 102 BY 1 STEP 460 0 ; -ROW ROW_2_2 unithd 15180 8160 N DO 79 BY 1 STEP 460 0 ; -ROW ROW_3_2 unithd 15180 10880 FS DO 79 BY 1 STEP 460 0 ; -ROW ROW_4_2 unithd 15180 13600 N DO 79 BY 1 STEP 460 0 ; -ROW ROW_5_2 unithd 15180 16320 FS DO 79 BY 1 STEP 460 0 ; -ROW ROW_6_2 unithd 15180 19040 N DO 79 BY 1 STEP 460 0 ; -ROW ROW_7_2 unithd 15180 21760 FS DO 79 BY 1 STEP 460 0 ; -ROW ROW_8_2 unithd 15180 24480 N DO 79 BY 1 STEP 460 0 ; -ROW ROW_9 unithd 4600 27200 FS DO 102 BY 1 STEP 460 0 ; -ROW ROW_10 unithd 4600 29920 N DO 102 BY 1 STEP 460 0 ; -ROW ROW_11 unithd 4600 32640 FS DO 102 BY 1 STEP 460 0 ; -ROW ROW_12 unithd 4600 35360 N DO 102 BY 1 STEP 460 0 ; -ROW ROW_13 unithd 4600 38080 FS DO 102 BY 1 STEP 460 0 ; -ROW ROW_14 unithd 4600 40800 N DO 102 BY 1 STEP 460 0 ; -ROW ROW_15 unithd 4600 43520 FS DO 102 BY 1 STEP 460 0 ; -ROW ROW_16 unithd 4600 46240 N DO 102 BY 1 STEP 460 0 ; -ROW ROW_17 unithd 4600 48960 FS DO 102 BY 1 STEP 460 0 ; -ROW ROW_18 unithd 4600 51680 N DO 102 BY 1 STEP 460 0 ; -ROW ROW_19 unithd 4600 54400 FS DO 102 BY 1 STEP 460 0 ; -ROW ROW_20 unithd 4600 57120 N DO 102 BY 1 STEP 460 0 ; -ROW ROW_1_2 unithd 15180 5440 FS DO 79 BY 1 STEP 460 0 ; +ROW ROW_0 unithd 4600 2720 N DO 103 BY 1 STEP 460 0 ; +ROW ROW_2_2 unithd 15180 8160 N DO 80 BY 1 STEP 460 0 ; +ROW ROW_3_2 unithd 15180 10880 FS DO 80 BY 1 STEP 460 0 ; +ROW ROW_4_2 unithd 15180 13600 N DO 80 BY 1 STEP 460 0 ; +ROW ROW_5_2 unithd 15180 16320 FS DO 80 BY 1 STEP 460 0 ; +ROW ROW_6_2 unithd 15180 19040 N DO 80 BY 1 STEP 460 0 ; +ROW ROW_7_2 unithd 15180 21760 FS DO 80 BY 1 STEP 460 0 ; +ROW ROW_8_2 unithd 15180 24480 N DO 80 BY 1 STEP 460 0 ; +ROW ROW_9 unithd 4600 27200 FS DO 103 BY 1 STEP 460 0 ; +ROW ROW_10 unithd 4600 29920 N DO 103 BY 1 STEP 460 0 ; +ROW ROW_11 unithd 4600 32640 FS DO 103 BY 1 STEP 460 0 ; +ROW ROW_12 unithd 4600 35360 N DO 103 BY 1 STEP 460 0 ; +ROW ROW_13 unithd 4600 38080 FS DO 103 BY 1 STEP 460 0 ; +ROW ROW_14 unithd 4600 40800 N DO 103 BY 1 STEP 460 0 ; +ROW ROW_15 unithd 4600 43520 FS DO 103 BY 1 STEP 460 0 ; +ROW ROW_16 unithd 4600 46240 N DO 103 BY 1 STEP 460 0 ; +ROW ROW_17 unithd 4600 48960 FS DO 103 BY 1 STEP 460 0 ; +ROW ROW_18 unithd 4600 51680 N DO 103 BY 1 STEP 460 0 ; +ROW ROW_19 unithd 4600 54400 FS DO 103 BY 1 STEP 460 0 ; +ROW ROW_20 unithd 4600 57120 N DO 103 BY 1 STEP 460 0 ; +ROW ROW_1_2 unithd 15180 5440 FS DO 80 BY 1 STEP 460 0 ; TRACKS X 230 DO 370 STEP 460 LAYER li1 ; TRACKS Y 170 DO 191 STEP 340 LAYER li1 ; TRACKS X 170 DO 500 STEP 340 LAYER met1 ; @@ -46,113 +46,119 @@ VIAS 5 ; - via5_6_1600_1600_1_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 190 310 400 ; - via5_6_1400_1600_1_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 300 400 310 400 ; END VIAS -COMPONENTS 270 ; - - ANTENNA__065__A0 sky130_fd_sc_hd__diode_2 + PLACED ( 5980 35360 ) FN ; - - ANTENNA__066__B sky130_fd_sc_hd__diode_2 + PLACED ( 44620 2720 ) FN ; - - ANTENNA__071__B sky130_fd_sc_hd__diode_2 + PLACED ( 5980 48960 ) FS ; - - ANTENNA__072__B sky130_fd_sc_hd__diode_2 + PLACED ( 49220 27200 ) S ; - - ANTENNA__073__A sky130_fd_sc_hd__diode_2 + PLACED ( 6440 2720 ) N ; - - ANTENNA__073__B sky130_fd_sc_hd__diode_2 + PLACED ( 14720 2720 ) N ; - - ANTENNA__074__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 15640 2720 ) N ; - - ANTENNA__074__B sky130_fd_sc_hd__diode_2 + PLACED ( 17940 2720 ) FN ; - - ANTENNA__075__B sky130_fd_sc_hd__diode_2 + PLACED ( 43700 35360 ) FN ; - - ANTENNA__076__B sky130_fd_sc_hd__diode_2 + PLACED ( 49220 51680 ) FN ; - - ANTENNA__077__B sky130_fd_sc_hd__diode_2 + PLACED ( 5980 46240 ) FN ; - - ANTENNA__078__B sky130_fd_sc_hd__diode_2 + PLACED ( 41400 5440 ) S ; - - ANTENNA__079__A sky130_fd_sc_hd__diode_2 + PLACED ( 29900 10880 ) FS ; - - ANTENNA__079__B sky130_fd_sc_hd__diode_2 + PLACED ( 28980 10880 ) FS ; - - ANTENNA__080__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 13800 2720 ) N ; - - ANTENNA__080__B sky130_fd_sc_hd__diode_2 + PLACED ( 16560 5440 ) S ; - - ANTENNA__081__B sky130_fd_sc_hd__diode_2 + PLACED ( 49220 43520 ) S ; - - ANTENNA__082__B sky130_fd_sc_hd__diode_2 + PLACED ( 16560 2720 ) N ; - - ANTENNA__083__A sky130_fd_sc_hd__diode_2 + PLACED ( 22080 8160 ) N ; - - ANTENNA__083__B sky130_fd_sc_hd__diode_2 + PLACED ( 17480 5440 ) S ; - - ANTENNA__084__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 23000 8160 ) N ; - - ANTENNA__084__B sky130_fd_sc_hd__diode_2 + PLACED ( 18400 5440 ) S ; - - ANTENNA__085__B sky130_fd_sc_hd__diode_2 + PLACED ( 31280 2720 ) FN ; - - ANTENNA__086__B sky130_fd_sc_hd__diode_2 + PLACED ( 49220 32640 ) S ; - - ANTENNA__087__B sky130_fd_sc_hd__diode_2 + PLACED ( 28980 8160 ) FN ; - - ANTENNA__088__B sky130_fd_sc_hd__diode_2 + PLACED ( 43700 2720 ) FN ; - - ANTENNA__089__B sky130_fd_sc_hd__diode_2 + PLACED ( 28060 10880 ) S ; - - ANTENNA__090__B sky130_fd_sc_hd__diode_2 + PLACED ( 27140 10880 ) S ; - - ANTENNA__091__B sky130_fd_sc_hd__diode_2 + PLACED ( 27140 13600 ) FN ; - - ANTENNA__092__B sky130_fd_sc_hd__diode_2 + PLACED ( 16560 16320 ) FS ; - - ANTENNA__093__B sky130_fd_sc_hd__diode_2 + PLACED ( 29440 38080 ) FS ; - - ANTENNA__094__B sky130_fd_sc_hd__diode_2 + PLACED ( 21160 8160 ) FN ; - - ANTENNA__095__B sky130_fd_sc_hd__diode_2 + PLACED ( 40020 21760 ) S ; - - ANTENNA__096__B sky130_fd_sc_hd__diode_2 + PLACED ( 16560 21760 ) S ; - - ANTENNA__125__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 5980 43520 ) S ; - - ANTENNA_clkbuf_0_serial_clock_A sky130_fd_sc_hd__diode_2 + PLACED ( 25760 10880 ) S ; - - ANTENNA_clkbuf_0_serial_load_A sky130_fd_sc_hd__diode_2 + PLACED ( 5980 29920 ) FN ; +COMPONENTS 276 ; + - ANTENNA__058__1_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 2720 ) N ; + - ANTENNA__061__A0 sky130_fd_sc_hd__diode_2 + PLACED ( 5980 35360 ) FN ; + - ANTENNA__062__B sky130_fd_sc_hd__diode_2 + PLACED ( 47840 2720 ) FN ; + - ANTENNA__068__B sky130_fd_sc_hd__diode_2 + PLACED ( 28980 10880 ) FS ; + - ANTENNA__069__B sky130_fd_sc_hd__diode_2 + PLACED ( 42320 5440 ) S ; + - ANTENNA__070__B sky130_fd_sc_hd__diode_2 + PLACED ( 11500 2720 ) FN ; + - ANTENNA__071__B sky130_fd_sc_hd__diode_2 + PLACED ( 18400 8160 ) N ; + - ANTENNA__072__B sky130_fd_sc_hd__diode_2 + PLACED ( 17940 51680 ) FN ; + - ANTENNA__073__B sky130_fd_sc_hd__diode_2 + PLACED ( 42320 51680 ) FN ; + - ANTENNA__074__B sky130_fd_sc_hd__diode_2 + PLACED ( 43240 5440 ) S ; + - ANTENNA__075__B sky130_fd_sc_hd__diode_2 + PLACED ( 5980 46240 ) FN ; + - ANTENNA__076__B sky130_fd_sc_hd__diode_2 + PLACED ( 17480 5440 ) S ; + - ANTENNA__077__B sky130_fd_sc_hd__diode_2 + PLACED ( 49680 29920 ) FN ; + - ANTENNA__078__B sky130_fd_sc_hd__diode_2 + PLACED ( 16560 8160 ) N ; + - ANTENNA__079__B sky130_fd_sc_hd__diode_2 + PLACED ( 16560 5440 ) S ; + - ANTENNA__080__B sky130_fd_sc_hd__diode_2 + PLACED ( 24840 10880 ) S ; + - ANTENNA__081__B sky130_fd_sc_hd__diode_2 + PLACED ( 5980 51680 ) FN ; + - ANTENNA__082__A sky130_fd_sc_hd__diode_2 + PLACED ( 49680 5440 ) S ; + - ANTENNA__082__B sky130_fd_sc_hd__diode_2 + PLACED ( 46920 2720 ) FN ; + - ANTENNA__083__B sky130_fd_sc_hd__diode_2 + PLACED ( 47840 10880 ) S ; + - ANTENNA__084__B sky130_fd_sc_hd__diode_2 + PLACED ( 12420 2720 ) FN ; + - ANTENNA__085__B sky130_fd_sc_hd__diode_2 + PLACED ( 43700 2720 ) FN ; + - ANTENNA__086__B sky130_fd_sc_hd__diode_2 + PLACED ( 44620 2720 ) FN ; + - ANTENNA__087__B sky130_fd_sc_hd__diode_2 + PLACED ( 27140 13600 ) FN ; + - ANTENNA__088__B sky130_fd_sc_hd__diode_2 + PLACED ( 21620 8160 ) FN ; + - ANTENNA__089__B sky130_fd_sc_hd__diode_2 + PLACED ( 5980 32640 ) FS ; + - ANTENNA__090__B sky130_fd_sc_hd__diode_2 + PLACED ( 28520 13600 ) N ; + - ANTENNA__091__B sky130_fd_sc_hd__diode_2 + PLACED ( 20700 8160 ) FN ; + - ANTENNA__092__B sky130_fd_sc_hd__diode_2 + PLACED ( 29440 13600 ) N ; + - ANTENNA__093__B sky130_fd_sc_hd__diode_2 + PLACED ( 29900 10880 ) S ; + - ANTENNA__094__2_A sky130_fd_sc_hd__diode_2 + PLACED ( 26680 10880 ) FS ; + - ANTENNA__097__5_A sky130_fd_sc_hd__diode_2 + PLACED ( 16100 2720 ) N ; + - ANTENNA__098__6_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 2720 ) N ; + - ANTENNA__099__7_A sky130_fd_sc_hd__diode_2 + PLACED ( 17940 2720 ) N ; + - ANTENNA__101__9_A sky130_fd_sc_hd__diode_2 + PLACED ( 14260 2720 ) N ; + - ANTENNA__102__10_A sky130_fd_sc_hd__diode_2 + PLACED ( 41860 16320 ) FS ; + - ANTENNA__132__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 13340 2720 ) FN ; + - ANTENNA__134__A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 10880 ) FS ; + - ANTENNA_clkbuf_0_serial_clock_A sky130_fd_sc_hd__diode_2 + PLACED ( 5980 48960 ) S ; + - ANTENNA_clkbuf_0_serial_load_A sky130_fd_sc_hd__diode_2 + PLACED ( 17480 8160 ) FN ; + - ANTENNA_fanout27_A sky130_fd_sc_hd__diode_2 + PLACED ( 31280 2720 ) FN ; + - ANTENNA_fanout28_A sky130_fd_sc_hd__diode_2 + PLACED ( 41400 5440 ) FS ; - ANTENNA_input1_A sky130_fd_sc_hd__diode_2 + PLACED ( 32200 2720 ) FN ; - - ANTENNA_input2_A sky130_fd_sc_hd__diode_2 + PLACED ( 19320 2720 ) FN ; - - ANTENNA_input3_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 2720 ) FN ; - - ANTENNA_input4_A sky130_fd_sc_hd__diode_2 + PLACED ( 5980 27200 ) S ; - - ANTENNA_input5_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 8160 ) FN ; - - FILLER_0_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 5980 2720 ) N ; - - FILLER_0_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 18860 2720 ) N ; + - ANTENNA_input2_A sky130_fd_sc_hd__diode_2 + PLACED ( 28520 8160 ) FN ; + - ANTENNA_input3_A sky130_fd_sc_hd__diode_2 + PLACED ( 29440 8160 ) FN ; + - ANTENNA_input4_A sky130_fd_sc_hd__diode_2 + PLACED ( 22540 8160 ) FN ; + - ANTENNA_input5_A sky130_fd_sc_hd__diode_2 + PLACED ( 19780 8160 ) FN ; + - ANTENNA_serial_load_out_buffer_A sky130_fd_sc_hd__diode_2 + PLACED ( 25760 10880 ) FS ; + - FILLER_0_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17020 2720 ) N ; + - FILLER_0_33 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 2720 ) N ; - FILLER_0_57 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 2720 ) N ; - - FILLER_0_91 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 46460 2720 ) N ; - - FILLER_10_85 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 29920 ) N ; - - FILLER_14_98 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 49680 40800 ) N ; - - FILLER_17_98 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 49680 48960 ) FS ; - - FILLER_19_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 29900 54400 ) FS ; - - FILLER_19_70 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 36800 54400 ) FS ; - - FILLER_1_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 19320 5440 ) FS ; - - FILLER_1_98 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 49680 5440 ) FS ; - - FILLER_20_98 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 49680 57120 ) N ; - - FILLER_2_42 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 23920 8160 ) N ; - - FILLER_2_48 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 26680 8160 ) N ; - - FILLER_2_52 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 28520 8160 ) N ; - - FILLER_3_48 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 26680 10880 ) FS ; - - FILLER_3_80 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 41400 10880 ) FS ; - - FILLER_5_56 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30360 16320 ) FS ; - - FILLER_5_98 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 49680 16320 ) FS ; - - FILLER_7_98 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 49680 21760 ) FS ; - - FILLER_8_52 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 28520 24480 ) N ; - - FILLER_8_98 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 49680 24480 ) N ; + - FILLER_10_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 42780 29920 ) N ; + - FILLER_15_50 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 27600 43520 ) FS ; + - FILLER_17_57 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 48960 ) FS ; + - FILLER_17_99 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 50140 48960 ) FS ; + - FILLER_1_30 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 18400 5440 ) FS ; + - FILLER_1_34 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 20240 5440 ) FS ; + - FILLER_2_32 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19320 8160 ) N ; + - FILLER_2_41 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 23460 8160 ) N ; + - FILLER_2_49 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 27140 8160 ) N ; + - FILLER_3_43 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 24380 10880 ) FS ; + - FILLER_3_52 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 28520 10880 ) FS ; + - FILLER_3_84 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43240 10880 ) FS ; + - FILLER_5_26 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 16560 16320 ) FS ; + - FILLER_5_34 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 20240 16320 ) FS ; + - FILLER_5_80 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 41400 16320 ) FS ; + - FILLER_7_26 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 16560 21760 ) FS ; + - FILLER_8_65 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 34500 24480 ) N ; + - FILLER_8_99 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 50140 24480 ) N ; + - FILLER_9_99 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 50140 27200 ) FS ; - PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 2720 ) N ; - - PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 2720 ) FN ; + - PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 2720 ) FN ; - PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 16320 ) FS ; - - PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 16320 ) S ; + - PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 16320 ) S ; - PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 19040 ) N ; - - PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 19040 ) FN ; + - PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 19040 ) FN ; - PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 21760 ) FS ; - - PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 21760 ) S ; + - PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 21760 ) S ; - PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 24480 ) N ; - - PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 24480 ) FN ; + - PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 24480 ) FN ; - PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 27200 ) FS ; - - PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 27200 ) S ; + - PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 27200 ) S ; - PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 5440 ) FS ; - PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 29920 ) N ; - - PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 29920 ) FN ; + - PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 29920 ) FN ; - PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 32640 ) FS ; - - PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 32640 ) S ; + - PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 32640 ) S ; - PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 35360 ) N ; - - PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 35360 ) FN ; + - PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 35360 ) FN ; - PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 38080 ) FS ; - - PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 38080 ) S ; + - PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 38080 ) S ; - PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 40800 ) N ; - - PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 40800 ) FN ; - - PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 5440 ) S ; + - PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 40800 ) FN ; + - PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 5440 ) S ; - PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 43520 ) FS ; - - PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 43520 ) S ; + - PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 43520 ) S ; - PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 46240 ) N ; - - PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 46240 ) FN ; + - PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 46240 ) FN ; - PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 48960 ) FS ; - - PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 48960 ) S ; + - PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 48960 ) S ; - PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 51680 ) N ; - - PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 51680 ) FN ; + - PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 51680 ) FN ; - PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 54400 ) FS ; - - PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 54400 ) S ; + - PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 54400 ) S ; - PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 8160 ) N ; - PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 57120 ) N ; - - PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 57120 ) FN ; - - PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 8160 ) FN ; + - PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 57120 ) FN ; + - PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 8160 ) FN ; - PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 10880 ) FS ; - - PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 10880 ) S ; + - PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 10880 ) S ; - PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 13600 ) N ; - - PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50140 13600 ) FN ; + - PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 50600 13600 ) FN ; - TAP_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 2720 ) N ; - TAP_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 2720 ) N ; - TAP_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 2720 ) N ; @@ -185,137 +191,137 @@ COMPONENTS 270 ; - TAP_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 57120 ) N ; - TAP_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 57120 ) N ; - TAP_73 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 57120 ) N ; - - _060_ sky130_fd_sc_hd__inv_2 + PLACED ( 46920 2720 ) N ; - - _061__1 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 44620 43520 ) FS ; - - _062__14 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 19780 8160 ) N ; - - _063_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 46920 46240 ) N ; - - _064_ sky130_fd_sc_hd__and2_0 + PLACED ( 47380 24480 ) FN ; - - _065_ sky130_fd_sc_hd__mux2_4 + PLACED ( 44620 35360 ) FN ; - - _066_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 46000 43520 ) S ; - - _067_ sky130_fd_sc_hd__and3b_2 + PLACED ( 45540 27200 ) S ; - - _068_ sky130_fd_sc_hd__and2b_2 + PLACED ( 45080 10880 ) FS ; - - _069_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 46460 16320 ) S ; - - _070_ sky130_fd_sc_hd__o21ai_4 + PLACED ( 44160 29920 ) FN ; - - _071_ sky130_fd_sc_hd__or2_0 + PLACED ( 34500 54400 ) FS ; - - _072_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 36800 21760 ) S ; - - _073_ sky130_fd_sc_hd__or2_0 + PLACED ( 5980 57120 ) FN ; - - _074_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 10580 2720 ) N ; - - _075_ sky130_fd_sc_hd__or2_0 + PLACED ( 28520 40800 ) N ; - - _076_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 41400 43520 ) S ; - - _077_ sky130_fd_sc_hd__or2_0 + PLACED ( 47380 40800 ) N ; - - _078_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 43700 46240 ) FN ; - - _079_ sky130_fd_sc_hd__or2_0 + PLACED ( 30820 57120 ) N ; - - _080_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 6900 43520 ) FS ; - - _081_ sky130_fd_sc_hd__or2_0 + PLACED ( 28060 43520 ) S ; - - _082_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 7360 2720 ) N ; - - _083_ sky130_fd_sc_hd__or2_0 + PLACED ( 17940 57120 ) N ; - - _084_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 16560 8160 ) N ; - - _085_ sky130_fd_sc_hd__or2_0 + PLACED ( 47380 5440 ) S ; - - _086_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 46000 32640 ) S ; - - _087_ sky130_fd_sc_hd__or2_0 + PLACED ( 47380 57120 ) N ; - - _088_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 43240 16320 ) S ; - - _089_ sky130_fd_sc_hd__or2_0 + PLACED ( 47380 48960 ) FS ; - - _090_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 41860 10880 ) FS ; - - _091_ sky130_fd_sc_hd__or2_0 + PLACED ( 25760 19040 ) N ; - - _092_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 7360 32640 ) FS ; - - _093_ sky130_fd_sc_hd__or2_0 + PLACED ( 30820 32640 ) FS ; - - _094_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 17480 21760 ) FS ; - - _095_ sky130_fd_sc_hd__or2_0 + PLACED ( 30820 27200 ) FS ; - - _096_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 33580 21760 ) FS ; - - _097__2 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 5980 40800 ) N ; - - _098__3 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 30820 38080 ) FS ; - - _099__4 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 5980 51680 ) N ; - - _100__5 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 5980 54400 ) FS ; - - _101__6 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 6900 27200 ) FS ; - - _102__7 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 5980 32640 ) FS ; - - _103__8 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 28520 19040 ) N ; - - _104__9 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 35880 38080 ) S ; - - _105__10 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 28520 13600 ) N ; - - _106__11 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 25760 13600 ) FN ; - - _107__12 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 6900 29920 ) N ; - - _108__13 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 21620 29920 ) N ; - - _109_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 28520 51680 ) N ; - - _110_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17020 54400 ) FS ; - - _111_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 33120 32640 ) FS ; - - _112_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 37260 38080 ) FS ; - - _113_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17940 46240 ) N ; - - _114_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17940 35360 ) N ; - - _115_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17480 48960 ) FS ; - - _116_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 34500 24480 ) N ; - - _117_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 34500 48960 ) FS ; - - _118_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 37260 54400 ) FS ; - - _119_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17480 16320 ) FS ; - - _120_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 20700 21760 ) FS ; - - _121_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 26680 29920 ) N ; - - _122_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 17940 51680 ) N ; - - _123_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 46240 ) FN ; - - _124_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 48960 ) FS ; - - _125_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 13800 43520 ) FS ; - - _126_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 17940 40800 ) N ; - - _127_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 35360 ) N ; - - _128_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 15180 38080 ) FS ; - - _129_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19780 27200 ) FS ; - - _130_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19780 32640 ) FS ; - - _131_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 30820 40800 ) N ; - - _132_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 32660 35360 ) N ; - - _133_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 30820 43520 ) S ; - - _134_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 32660 46240 ) N ; - - _135_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 7360 54400 ) S ; - - _136_ sky130_fd_sc_hd__buf_2 + PLACED ( 16560 24480 ) N ; - - _139_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 18400 24480 ) FN ; - - clkbuf_0_serial_clock sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 8280 57120 ) FN ; - - clkbuf_0_serial_load sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 5980 38080 ) S ; - - clkbuf_1_0__f_serial_clock sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 8280 27200 ) FS ; - - clkbuf_1_0__f_serial_load sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 16560 19040 ) N ; - - clkbuf_1_1__f_serial_clock sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 10580 32640 ) FS ; - - clkbuf_1_1__f_serial_load sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 8280 29920 ) FN ; - - const_source sky130_fd_sc_hd__conb_1 + PLACED ( 42320 5440 ) S ; - - fanout21 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 30820 46240 ) FN ; - - fanout22 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 30820 35360 ) FN ; - - fanout23 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 47380 51680 ) N ; - - fanout24 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 41400 51680 ) FN ; - - fanout25 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 48300 10880 ) FS ; - - fanout26 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 41400 16320 ) S ; - - fanout27 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 41400 40800 ) N ; - - fanout28 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 32660 24480 ) N ; - - gpio_in_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 41400 21760 ) FS ; + - _058__1 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 10120 2720 ) N ; + - _059__14 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 23000 10880 ) S ; + - _060_ sky130_fd_sc_hd__and2_0 + PLACED ( 43700 29920 ) N ; + - _061_ sky130_fd_sc_hd__mux2_4 + PLACED ( 45080 35360 ) FN ; + - _062_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 46920 27200 ) FS ; + - _063_ sky130_fd_sc_hd__and3b_2 + PLACED ( 46000 29920 ) FN ; + - _064_ sky130_fd_sc_hd__and2b_2 + PLACED ( 45540 16320 ) FS ; + - _065_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 47380 46240 ) FN ; + - _066_ sky130_fd_sc_hd__o21ai_4 + PLACED ( 44620 32640 ) S ; + - _067_ sky130_fd_sc_hd__and2_2 + PLACED ( 42780 16320 ) FS ; + - _068_ sky130_fd_sc_hd__or2_0 + PLACED ( 30820 57120 ) N ; + - _069_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 47380 51680 ) N ; + - _070_ sky130_fd_sc_hd__or2_0 + PLACED ( 5980 29920 ) FN ; + - _071_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 17940 40800 ) FN ; + - _072_ sky130_fd_sc_hd__or2_0 + PLACED ( 28060 43520 ) FS ; + - _073_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 34500 38080 ) FS ; + - _074_ sky130_fd_sc_hd__or2_0 + PLACED ( 47840 48960 ) S ; + - _075_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 47380 40800 ) FN ; + - _076_ sky130_fd_sc_hd__or2_0 + PLACED ( 17940 57120 ) N ; + - _077_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 30820 32640 ) S ; + - _078_ sky130_fd_sc_hd__or2_0 + PLACED ( 16560 24480 ) N ; + - _079_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 17020 21760 ) S ; + - _080_ sky130_fd_sc_hd__or2_0 + PLACED ( 25760 19040 ) N ; + - _081_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 34500 54400 ) FS ; + - _082_ sky130_fd_sc_hd__or2_0 + PLACED ( 47840 24480 ) FN ; + - _083_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 43700 27200 ) S ; + - _084_ sky130_fd_sc_hd__or2_0 + PLACED ( 5980 40800 ) FN ; + - _085_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 47380 57120 ) N ; + - _086_ sky130_fd_sc_hd__or2_0 + PLACED ( 45540 10880 ) FS ; + - _087_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 37720 21760 ) FS ; + - _088_ sky130_fd_sc_hd__or2_0 + PLACED ( 5980 27200 ) FS ; + - _089_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 23000 29920 ) FN ; + - _090_ sky130_fd_sc_hd__or2_0 + PLACED ( 32200 24480 ) N ; + - _091_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 17020 16320 ) FS ; + - _092_ sky130_fd_sc_hd__or2_0 + PLACED ( 30820 27200 ) FS ; + - _093_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 33120 21760 ) FS ; + - _094__2 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 25760 13600 ) FN ; + - _095__3 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 41860 40800 ) N ; + - _096__4 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 5980 38080 ) S ; + - _097__5 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 8740 2720 ) N ; + - _098__6 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 5980 2720 ) N ; + - _099__7 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 7360 2720 ) N ; + - _100__8 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 36340 21760 ) S ; + - _101__9 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 5980 57120 ) N ; + - _102__10 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 43700 35360 ) N ; + - _103__11 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 17940 29920 ) FN ; + - _104__12 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 21620 10880 ) S ; + - _105__13 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 20240 10880 ) FS ; + - _106_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 29440 51680 ) N ; + - _107_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17480 54400 ) FS ; + - _108_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 30360 35360 ) FN ; + - _109_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 37720 38080 ) FS ; + - _110_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17940 46240 ) N ; + - _111_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17480 38080 ) FS ; + - _112_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17480 48960 ) FS ; + - _113_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 34960 24480 ) N ; + - _114_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 34960 48960 ) FS ; + - _115_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 37720 54400 ) FS ; + - _116_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 17480 32640 ) FS ; + - _117_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 20240 21760 ) FS ; + - _118_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 26220 29920 ) N ; + - _119_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 18860 51680 ) N ; + - _120_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 48960 ) FS ; + - _121_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 51680 ) N ; + - _122_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 46240 ) FN ; + - _123_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 13340 43520 ) FS ; + - _124_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 35360 ) FN ; + - _125_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 32640 ) FS ; + - _126_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19780 27200 ) FS ; + - _127_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19780 35360 ) FN ; + - _128_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 31280 40800 ) N ; + - _129_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 34040 32640 ) S ; + - _130_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 30820 43520 ) S ; + - _131_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 32660 46240 ) N ; + - _132_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 7820 54400 ) S ; + - _133_ sky130_fd_sc_hd__buf_2 + PLACED ( 46000 5440 ) S ; + - _134_ sky130_fd_sc_hd__buf_2 + PLACED ( 28520 19040 ) N ; + - clkbuf_0_serial_clock sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 8280 40800 ) FN ; + - clkbuf_0_serial_load sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 8280 29920 ) FN ; + - clkbuf_1_0__f_serial_clock sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 8280 27200 ) S ; + - clkbuf_1_0__f_serial_load sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 18860 24480 ) N ; + - clkbuf_1_1__f_serial_clock sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 41400 43520 ) FS ; + - clkbuf_1_1__f_serial_load sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 16560 13600 ) N ; + - const_source sky130_fd_sc_hd__conb_1 + PLACED ( 45540 2720 ) FN ; + - fanout23 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 30820 46240 ) FN ; + - fanout24 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 17940 35360 ) N ; + - fanout25 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 18400 10880 ) S ; + - fanout26 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 41400 10880 ) S ; + - fanout27 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 47840 5440 ) S ; + - fanout28 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 43700 10880 ) FS ; + - fanout29 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 5980 54400 ) S ; + - fanout30 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 48760 16320 ) FS ; - gpio_logic_high gpio_logic_high + FIXED ( 5980 8400 ) N ; - - hold1 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 43700 40800 ) FN ; - - hold10 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 39560 29920 ) FN ; - - hold11 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 17940 29920 ) N ; - - hold12 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 32200 38080 ) FS ; - - hold13 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 25760 38080 ) S ; - - hold2 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 30820 48960 ) FS ; - - hold3 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 30820 54400 ) S ; - - hold4 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 43700 57120 ) FN ; - - hold5 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 10120 43520 ) FS ; - - hold6 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 24380 43520 ) S ; - - hold7 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 43700 51680 ) FN ; - - hold8 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 28980 24480 ) FN ; - - hold9 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 23000 29920 ) FN ; - - input1 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 43700 5440 ) FS ; - - input2 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 48300 2720 ) FN ; - - input3 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 43700 27200 ) S ; - - input4 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 17940 27200 ) FS ; - - input5 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 45540 5440 ) S ; + - hold1 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 31280 48960 ) FS ; + - hold10 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 23920 43520 ) S ; + - hold11 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 28520 24480 ) FN ; + - hold12 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 19320 29920 ) N ; + - hold13 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 9660 43520 ) FS ; + - hold2 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 43700 51680 ) FN ; + - hold3 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 43700 46240 ) FN ; + - hold4 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 43700 40800 ) FN ; + - hold5 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 30820 54400 ) S ; + - hold6 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 5980 43520 ) FS ; + - hold7 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 30820 38080 ) FS ; + - hold8 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 43700 57120 ) FN ; + - hold9 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 39100 29920 ) N ; + - input1 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 44160 5440 ) FS ; + - input2 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 48760 2720 ) FN ; + - input3 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 48760 10880 ) S ; + - input4 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 16560 10880 ) S ; + - input5 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 17940 27200 ) S ; - one_buffer sky130_fd_sc_hd__buf_16 + PLACED ( 20700 5440 ) S ; - - output10 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 29900 13600 ) FN ; - - output11 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 40020 8160 ) FN ; - - output12 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 30820 16320 ) FS ; - - output13 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 29900 19040 ) N ; - - output14 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 40020 13600 ) N ; - - output15 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 33120 27200 ) S ; - - output16 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 40020 19040 ) N ; - - output17 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 33120 57120 ) FN ; - - output18 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 7360 40800 ) FN ; - - output19 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 20240 57120 ) N ; - - output20 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 7360 51680 ) FN ; - - output6 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 33120 2720 ) N ; - - output7 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 30820 5440 ) S ; - - output8 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 29900 8160 ) N ; - - output9 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 30820 10880 ) S ; - - serial_clock_out_buffer sky130_fd_sc_hd__clkbuf_16 + PLACED ( 16560 10880 ) FS ; - - serial_load_out_buffer sky130_fd_sc_hd__clkbuf_16 + PLACED ( 16560 13600 ) N ; + - output10 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 30820 10880 ) S ; + - output11 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 30360 13600 ) FN ; + - output12 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 40480 8160 ) FN ; + - output13 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 30820 16320 ) FS ; + - output14 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 30360 19040 ) N ; + - output15 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 40480 13600 ) N ; + - output16 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 33120 27200 ) S ; + - output17 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 40480 19040 ) N ; + - output18 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 21160 40800 ) FN ; + - output19 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 7360 38080 ) S ; + - output20 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 33120 57120 ) N ; + - output21 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 20240 57120 ) N ; + - output22 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 7360 57120 ) FN ; + - output6 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 33120 2720 ) FN ; + - output7 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 30820 5440 ) FS ; + - output8 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 30360 8160 ) FN ; + - output9 sky130_fd_sc_hd__buf_16 + SOURCE TIMING + PLACED ( 20700 16320 ) S ; + - serial_clock_out_buffer sky130_fd_sc_hd__clkbuf_16 + PLACED ( 41400 21760 ) FS ; + - serial_load_out_buffer sky130_fd_sc_hd__clkbuf_16 + PLACED ( 16560 19040 ) N ; - zero_buffer sky130_fd_sc_hd__buf_16 + PLACED ( 20240 2720 ) FN ; END COMPONENTS PINS 47 ; @@ -535,50 +541,49 @@ BLOCKAGES 9 ; - LAYER met1 RECT ( 120000 0 ) ( 170000 65000 ) ; END BLOCKAGES SPECIALNETS 4 ; - - vccd ( PIN vccd ) ( gpio_in_buf VPB ) ( const_source VPB ) ( _139_ VPB ) ( _136_ VPB ) ( _135_ VPB ) ( _134_ VPB ) - ( _133_ VPB ) ( _132_ VPB ) ( _131_ VPB ) ( _130_ VPB ) ( _129_ VPB ) ( _128_ VPB ) ( _127_ VPB ) ( _126_ VPB ) - ( _125_ VPB ) ( _124_ VPB ) ( _123_ VPB ) ( _122_ VPB ) ( _121_ VPB ) ( _120_ VPB ) ( _119_ VPB ) ( _118_ VPB ) - ( _117_ VPB ) ( _116_ VPB ) ( _115_ VPB ) ( _114_ VPB ) ( _113_ VPB ) ( _112_ VPB ) ( _111_ VPB ) ( _110_ VPB ) - ( _109_ VPB ) ( _096_ VPB ) ( _095_ VPB ) ( _094_ VPB ) ( _093_ VPB ) ( _092_ VPB ) ( _091_ VPB ) ( _090_ VPB ) - ( _089_ VPB ) ( _088_ VPB ) ( _087_ VPB ) ( _086_ VPB ) ( _085_ VPB ) ( _084_ VPB ) ( _083_ VPB ) ( _082_ VPB ) - ( _081_ VPB ) ( _080_ VPB ) ( _079_ VPB ) ( _078_ VPB ) ( _077_ VPB ) ( _076_ VPB ) ( _075_ VPB ) ( _074_ VPB ) - ( _073_ VPB ) ( _072_ VPB ) ( _071_ VPB ) ( _070_ VPB ) ( _069_ VPB ) ( _068_ VPB ) ( _067_ VPB ) ( _066_ VPB ) - ( _065_ VPB ) ( _064_ VPB ) ( _063_ VPB ) ( _060_ VPB ) ( PHY_9 VPB ) ( PHY_8 VPB ) ( PHY_7 VPB ) ( PHY_6 VPB ) - ( PHY_5 VPB ) ( PHY_41 VPB ) ( PHY_40 VPB ) ( PHY_4 VPB ) ( PHY_39 VPB ) ( PHY_38 VPB ) ( PHY_37 VPB ) ( PHY_36 VPB ) - ( PHY_35 VPB ) ( PHY_34 VPB ) ( PHY_33 VPB ) ( PHY_32 VPB ) ( PHY_31 VPB ) ( PHY_30 VPB ) ( PHY_3 VPB ) ( PHY_29 VPB ) - ( PHY_28 VPB ) ( PHY_27 VPB ) ( PHY_26 VPB ) ( PHY_25 VPB ) ( PHY_24 VPB ) ( PHY_23 VPB ) ( PHY_22 VPB ) ( PHY_21 VPB ) - ( PHY_20 VPB ) ( PHY_2 VPB ) ( PHY_19 VPB ) ( PHY_18 VPB ) ( PHY_17 VPB ) ( PHY_16 VPB ) ( PHY_15 VPB ) ( PHY_14 VPB ) - ( PHY_13 VPB ) ( PHY_12 VPB ) ( PHY_11 VPB ) ( PHY_10 VPB ) ( PHY_1 VPB ) ( PHY_0 VPB ) ( gpio_in_buf VPWR ) ( const_source VPWR ) - ( _139_ VPWR ) ( _136_ VPWR ) ( _135_ VPWR ) ( _134_ VPWR ) ( _133_ VPWR ) ( _132_ VPWR ) ( _131_ VPWR ) ( _130_ VPWR ) - ( _129_ VPWR ) ( _128_ VPWR ) ( _127_ VPWR ) ( _126_ VPWR ) ( _125_ VPWR ) ( _124_ VPWR ) ( _123_ VPWR ) ( _122_ VPWR ) - ( _121_ VPWR ) ( _120_ VPWR ) ( _119_ VPWR ) ( _118_ VPWR ) ( _117_ VPWR ) ( _116_ VPWR ) ( _115_ VPWR ) ( _114_ VPWR ) - ( _113_ VPWR ) ( _112_ VPWR ) ( _111_ VPWR ) ( _110_ VPWR ) ( _109_ VPWR ) ( _096_ VPWR ) ( _095_ VPWR ) ( _094_ VPWR ) - ( _093_ VPWR ) ( _092_ VPWR ) ( _091_ VPWR ) ( _090_ VPWR ) ( _089_ VPWR ) ( _088_ VPWR ) ( _087_ VPWR ) ( _086_ VPWR ) - ( _085_ VPWR ) ( _084_ VPWR ) ( _083_ VPWR ) ( _082_ VPWR ) ( _081_ VPWR ) ( _080_ VPWR ) ( _079_ VPWR ) ( _078_ VPWR ) - ( _077_ VPWR ) ( _076_ VPWR ) ( _075_ VPWR ) ( _074_ VPWR ) ( _073_ VPWR ) ( _072_ VPWR ) ( _071_ VPWR ) ( _070_ VPWR ) - ( _069_ VPWR ) ( _068_ VPWR ) ( _067_ VPWR ) ( _066_ VPWR ) ( _065_ VPWR ) ( _064_ VPWR ) ( _063_ VPWR ) ( _060_ VPWR ) - ( TAP_73 VPWR ) ( TAP_72 VPWR ) ( TAP_71 VPWR ) ( TAP_70 VPWR ) ( TAP_69 VPWR ) ( TAP_68 VPWR ) ( TAP_67 VPWR ) ( TAP_66 VPWR ) - ( TAP_65 VPWR ) ( TAP_64 VPWR ) ( TAP_63 VPWR ) ( TAP_62 VPWR ) ( TAP_61 VPWR ) ( TAP_60 VPWR ) ( TAP_59 VPWR ) ( TAP_58 VPWR ) - ( TAP_57 VPWR ) ( TAP_56 VPWR ) ( TAP_55 VPWR ) ( TAP_54 VPWR ) ( TAP_53 VPWR ) ( TAP_52 VPWR ) ( TAP_51 VPWR ) ( TAP_50 VPWR ) - ( TAP_49 VPWR ) ( TAP_48 VPWR ) ( TAP_47 VPWR ) ( TAP_46 VPWR ) ( TAP_45 VPWR ) ( TAP_44 VPWR ) ( TAP_43 VPWR ) ( TAP_42 VPWR ) - ( PHY_9 VPWR ) ( PHY_8 VPWR ) ( PHY_7 VPWR ) ( PHY_6 VPWR ) ( PHY_5 VPWR ) ( PHY_41 VPWR ) ( PHY_40 VPWR ) ( PHY_4 VPWR ) - ( PHY_39 VPWR ) ( PHY_38 VPWR ) ( PHY_37 VPWR ) ( PHY_36 VPWR ) ( PHY_35 VPWR ) ( PHY_34 VPWR ) ( PHY_33 VPWR ) ( PHY_32 VPWR ) - ( PHY_31 VPWR ) ( PHY_30 VPWR ) ( PHY_3 VPWR ) ( PHY_29 VPWR ) ( PHY_28 VPWR ) ( PHY_27 VPWR ) ( PHY_26 VPWR ) ( PHY_25 VPWR ) - ( PHY_24 VPWR ) ( PHY_23 VPWR ) ( PHY_22 VPWR ) ( PHY_21 VPWR ) ( PHY_20 VPWR ) ( PHY_2 VPWR ) ( PHY_19 VPWR ) ( PHY_18 VPWR ) - ( PHY_17 VPWR ) ( PHY_16 VPWR ) ( PHY_15 VPWR ) ( PHY_14 VPWR ) ( PHY_13 VPWR ) ( PHY_12 VPWR ) ( PHY_11 VPWR ) ( PHY_10 VPWR ) - ( PHY_1 VPWR ) ( PHY_0 VPWR ) + USE POWER + - vccd ( PIN vccd ) ( const_source VPB ) ( _134_ VPB ) ( _133_ VPB ) ( _132_ VPB ) ( _131_ VPB ) ( _130_ VPB ) + ( _129_ VPB ) ( _128_ VPB ) ( _127_ VPB ) ( _126_ VPB ) ( _125_ VPB ) ( _124_ VPB ) ( _123_ VPB ) ( _122_ VPB ) + ( _121_ VPB ) ( _120_ VPB ) ( _119_ VPB ) ( _118_ VPB ) ( _117_ VPB ) ( _116_ VPB ) ( _115_ VPB ) ( _114_ VPB ) + ( _113_ VPB ) ( _112_ VPB ) ( _111_ VPB ) ( _110_ VPB ) ( _109_ VPB ) ( _108_ VPB ) ( _107_ VPB ) ( _106_ VPB ) + ( _093_ VPB ) ( _092_ VPB ) ( _091_ VPB ) ( _090_ VPB ) ( _089_ VPB ) ( _088_ VPB ) ( _087_ VPB ) ( _086_ VPB ) + ( _085_ VPB ) ( _084_ VPB ) ( _083_ VPB ) ( _082_ VPB ) ( _081_ VPB ) ( _080_ VPB ) ( _079_ VPB ) ( _078_ VPB ) + ( _077_ VPB ) ( _076_ VPB ) ( _075_ VPB ) ( _074_ VPB ) ( _073_ VPB ) ( _072_ VPB ) ( _071_ VPB ) ( _070_ VPB ) + ( _069_ VPB ) ( _068_ VPB ) ( _067_ VPB ) ( _066_ VPB ) ( _065_ VPB ) ( _064_ VPB ) ( _063_ VPB ) ( _062_ VPB ) + ( _061_ VPB ) ( _060_ VPB ) ( PHY_9 VPB ) ( PHY_8 VPB ) ( PHY_7 VPB ) ( PHY_6 VPB ) ( PHY_5 VPB ) ( PHY_41 VPB ) + ( PHY_40 VPB ) ( PHY_4 VPB ) ( PHY_39 VPB ) ( PHY_38 VPB ) ( PHY_37 VPB ) ( PHY_36 VPB ) ( PHY_35 VPB ) ( PHY_34 VPB ) + ( PHY_33 VPB ) ( PHY_32 VPB ) ( PHY_31 VPB ) ( PHY_30 VPB ) ( PHY_3 VPB ) ( PHY_29 VPB ) ( PHY_28 VPB ) ( PHY_27 VPB ) + ( PHY_26 VPB ) ( PHY_25 VPB ) ( PHY_24 VPB ) ( PHY_23 VPB ) ( PHY_22 VPB ) ( PHY_21 VPB ) ( PHY_20 VPB ) ( PHY_2 VPB ) + ( PHY_19 VPB ) ( PHY_18 VPB ) ( PHY_17 VPB ) ( PHY_16 VPB ) ( PHY_15 VPB ) ( PHY_14 VPB ) ( PHY_13 VPB ) ( PHY_12 VPB ) + ( PHY_11 VPB ) ( PHY_10 VPB ) ( PHY_1 VPB ) ( PHY_0 VPB ) ( const_source VPWR ) ( _134_ VPWR ) ( _133_ VPWR ) ( _132_ VPWR ) + ( _131_ VPWR ) ( _130_ VPWR ) ( _129_ VPWR ) ( _128_ VPWR ) ( _127_ VPWR ) ( _126_ VPWR ) ( _125_ VPWR ) ( _124_ VPWR ) + ( _123_ VPWR ) ( _122_ VPWR ) ( _121_ VPWR ) ( _120_ VPWR ) ( _119_ VPWR ) ( _118_ VPWR ) ( _117_ VPWR ) ( _116_ VPWR ) + ( _115_ VPWR ) ( _114_ VPWR ) ( _113_ VPWR ) ( _112_ VPWR ) ( _111_ VPWR ) ( _110_ VPWR ) ( _109_ VPWR ) ( _108_ VPWR ) + ( _107_ VPWR ) ( _106_ VPWR ) ( _093_ VPWR ) ( _092_ VPWR ) ( _091_ VPWR ) ( _090_ VPWR ) ( _089_ VPWR ) ( _088_ VPWR ) + ( _087_ VPWR ) ( _086_ VPWR ) ( _085_ VPWR ) ( _084_ VPWR ) ( _083_ VPWR ) ( _082_ VPWR ) ( _081_ VPWR ) ( _080_ VPWR ) + ( _079_ VPWR ) ( _078_ VPWR ) ( _077_ VPWR ) ( _076_ VPWR ) ( _075_ VPWR ) ( _074_ VPWR ) ( _073_ VPWR ) ( _072_ VPWR ) + ( _071_ VPWR ) ( _070_ VPWR ) ( _069_ VPWR ) ( _068_ VPWR ) ( _067_ VPWR ) ( _066_ VPWR ) ( _065_ VPWR ) ( _064_ VPWR ) + ( _063_ VPWR ) ( _062_ VPWR ) ( _061_ VPWR ) ( _060_ VPWR ) ( TAP_73 VPWR ) ( TAP_72 VPWR ) ( TAP_71 VPWR ) ( TAP_70 VPWR ) + ( TAP_69 VPWR ) ( TAP_68 VPWR ) ( TAP_67 VPWR ) ( TAP_66 VPWR ) ( TAP_65 VPWR ) ( TAP_64 VPWR ) ( TAP_63 VPWR ) ( TAP_62 VPWR ) + ( TAP_61 VPWR ) ( TAP_60 VPWR ) ( TAP_59 VPWR ) ( TAP_58 VPWR ) ( TAP_57 VPWR ) ( TAP_56 VPWR ) ( TAP_55 VPWR ) ( TAP_54 VPWR ) + ( TAP_53 VPWR ) ( TAP_52 VPWR ) ( TAP_51 VPWR ) ( TAP_50 VPWR ) ( TAP_49 VPWR ) ( TAP_48 VPWR ) ( TAP_47 VPWR ) ( TAP_46 VPWR ) + ( TAP_45 VPWR ) ( TAP_44 VPWR ) ( TAP_43 VPWR ) ( TAP_42 VPWR ) ( PHY_9 VPWR ) ( PHY_8 VPWR ) ( PHY_7 VPWR ) ( PHY_6 VPWR ) + ( PHY_5 VPWR ) ( PHY_41 VPWR ) ( PHY_40 VPWR ) ( PHY_4 VPWR ) ( PHY_39 VPWR ) ( PHY_38 VPWR ) ( PHY_37 VPWR ) ( PHY_36 VPWR ) + ( PHY_35 VPWR ) ( PHY_34 VPWR ) ( PHY_33 VPWR ) ( PHY_32 VPWR ) ( PHY_31 VPWR ) ( PHY_30 VPWR ) ( PHY_3 VPWR ) ( PHY_29 VPWR ) + ( PHY_28 VPWR ) ( PHY_27 VPWR ) ( PHY_26 VPWR ) ( PHY_25 VPWR ) ( PHY_24 VPWR ) ( PHY_23 VPWR ) ( PHY_22 VPWR ) ( PHY_21 VPWR ) + ( PHY_20 VPWR ) ( PHY_2 VPWR ) ( PHY_19 VPWR ) ( PHY_18 VPWR ) ( PHY_17 VPWR ) ( PHY_16 VPWR ) ( PHY_15 VPWR ) ( PHY_14 VPWR ) + ( PHY_13 VPWR ) ( PHY_12 VPWR ) ( PHY_11 VPWR ) ( PHY_10 VPWR ) ( PHY_1 VPWR ) ( PHY_0 VPWR ) + USE POWER + ROUTED met4 0 + SHAPE STRIPE ( 13600 23600 ) via5_6_1600_1600_1_1_1600_1600 - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 21760 ) ( 51520 21760 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 16320 ) ( 51520 16320 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 10880 ) ( 51520 10880 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 59840 ) ( 51520 59840 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 54400 ) ( 51520 54400 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 48960 ) ( 51520 48960 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 43520 ) ( 51520 43520 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 38080 ) ( 51520 38080 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 32640 ) ( 51520 32640 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 27200 ) ( 51520 27200 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 5440 ) ( 51520 5440 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 21760 ) ( 51980 21760 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 16320 ) ( 51980 16320 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 10880 ) ( 51980 10880 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 59840 ) ( 51980 59840 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 54400 ) ( 51980 54400 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 48960 ) ( 51980 48960 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 43520 ) ( 51980 43520 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 38080 ) ( 51980 38080 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 32640 ) ( 51980 32640 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 27200 ) ( 51980 27200 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 5440 ) ( 51980 5440 ) NEW met5 1600 + SHAPE STRIPE ( 4360 57400 ) ( 50000 57400 ) NEW met5 1600 + SHAPE STRIPE ( 4360 40500 ) ( 50000 40500 ) NEW met5 1600 + SHAPE STRIPE ( 4360 23600 ) ( 50000 23600 ) @@ -701,49 +706,48 @@ SPECIALNETS 4 ; NEW met4 0 + SHAPE STRIPE ( 18600 45740 ) via5_6_1600_1600_1_1_1600_1600 NEW met4 0 + SHAPE STRIPE ( 18600 28840 ) via5_6_1600_1600_1_1_1600_1600 NEW met4 0 + SHAPE STRIPE ( 18600 11940 ) via5_6_1600_1600_1_1_1600_1600 ; - - vssd ( PIN vssd ) ( gpio_in_buf VNB ) ( const_source VNB ) ( _139_ VNB ) ( _136_ VNB ) ( _135_ VNB ) ( _134_ VNB ) - ( _133_ VNB ) ( _132_ VNB ) ( _131_ VNB ) ( _130_ VNB ) ( _129_ VNB ) ( _128_ VNB ) ( _127_ VNB ) ( _126_ VNB ) - ( _125_ VNB ) ( _124_ VNB ) ( _123_ VNB ) ( _122_ VNB ) ( _121_ VNB ) ( _120_ VNB ) ( _119_ VNB ) ( _118_ VNB ) - ( _117_ VNB ) ( _116_ VNB ) ( _115_ VNB ) ( _114_ VNB ) ( _113_ VNB ) ( _112_ VNB ) ( _111_ VNB ) ( _110_ VNB ) - ( _109_ VNB ) ( _096_ VNB ) ( _095_ VNB ) ( _094_ VNB ) ( _093_ VNB ) ( _092_ VNB ) ( _091_ VNB ) ( _090_ VNB ) - ( _089_ VNB ) ( _088_ VNB ) ( _087_ VNB ) ( _086_ VNB ) ( _085_ VNB ) ( _084_ VNB ) ( _083_ VNB ) ( _082_ VNB ) - ( _081_ VNB ) ( _080_ VNB ) ( _079_ VNB ) ( _078_ VNB ) ( _077_ VNB ) ( _076_ VNB ) ( _075_ VNB ) ( _074_ VNB ) - ( _073_ VNB ) ( _072_ VNB ) ( _071_ VNB ) ( _070_ VNB ) ( _069_ VNB ) ( _068_ VNB ) ( _067_ VNB ) ( _066_ VNB ) - ( _065_ VNB ) ( _064_ VNB ) ( _063_ VNB ) ( _060_ VNB ) ( PHY_9 VNB ) ( PHY_8 VNB ) ( PHY_7 VNB ) ( PHY_6 VNB ) - ( PHY_5 VNB ) ( PHY_41 VNB ) ( PHY_40 VNB ) ( PHY_4 VNB ) ( PHY_39 VNB ) ( PHY_38 VNB ) ( PHY_37 VNB ) ( PHY_36 VNB ) - ( PHY_35 VNB ) ( PHY_34 VNB ) ( PHY_33 VNB ) ( PHY_32 VNB ) ( PHY_31 VNB ) ( PHY_30 VNB ) ( PHY_3 VNB ) ( PHY_29 VNB ) - ( PHY_28 VNB ) ( PHY_27 VNB ) ( PHY_26 VNB ) ( PHY_25 VNB ) ( PHY_24 VNB ) ( PHY_23 VNB ) ( PHY_22 VNB ) ( PHY_21 VNB ) - ( PHY_20 VNB ) ( PHY_2 VNB ) ( PHY_19 VNB ) ( PHY_18 VNB ) ( PHY_17 VNB ) ( PHY_16 VNB ) ( PHY_15 VNB ) ( PHY_14 VNB ) - ( PHY_13 VNB ) ( PHY_12 VNB ) ( PHY_11 VNB ) ( PHY_10 VNB ) ( PHY_1 VNB ) ( PHY_0 VNB ) ( gpio_in_buf VGND ) ( const_source VGND ) - ( _139_ VGND ) ( _136_ VGND ) ( _135_ VGND ) ( _134_ VGND ) ( _133_ VGND ) ( _132_ VGND ) ( _131_ VGND ) ( _130_ VGND ) - ( _129_ VGND ) ( _128_ VGND ) ( _127_ VGND ) ( _126_ VGND ) ( _125_ VGND ) ( _124_ VGND ) ( _123_ VGND ) ( _122_ VGND ) - ( _121_ VGND ) ( _120_ VGND ) ( _119_ VGND ) ( _118_ VGND ) ( _117_ VGND ) ( _116_ VGND ) ( _115_ VGND ) ( _114_ VGND ) - ( _113_ VGND ) ( _112_ VGND ) ( _111_ VGND ) ( _110_ VGND ) ( _109_ VGND ) ( _096_ VGND ) ( _095_ VGND ) ( _094_ VGND ) - ( _093_ VGND ) ( _092_ VGND ) ( _091_ VGND ) ( _090_ VGND ) ( _089_ VGND ) ( _088_ VGND ) ( _087_ VGND ) ( _086_ VGND ) - ( _085_ VGND ) ( _084_ VGND ) ( _083_ VGND ) ( _082_ VGND ) ( _081_ VGND ) ( _080_ VGND ) ( _079_ VGND ) ( _078_ VGND ) - ( _077_ VGND ) ( _076_ VGND ) ( _075_ VGND ) ( _074_ VGND ) ( _073_ VGND ) ( _072_ VGND ) ( _071_ VGND ) ( _070_ VGND ) - ( _069_ VGND ) ( _068_ VGND ) ( _067_ VGND ) ( _066_ VGND ) ( _065_ VGND ) ( _064_ VGND ) ( _063_ VGND ) ( _060_ VGND ) - ( TAP_73 VGND ) ( TAP_72 VGND ) ( TAP_71 VGND ) ( TAP_70 VGND ) ( TAP_69 VGND ) ( TAP_68 VGND ) ( TAP_67 VGND ) ( TAP_66 VGND ) - ( TAP_65 VGND ) ( TAP_64 VGND ) ( TAP_63 VGND ) ( TAP_62 VGND ) ( TAP_61 VGND ) ( TAP_60 VGND ) ( TAP_59 VGND ) ( TAP_58 VGND ) - ( TAP_57 VGND ) ( TAP_56 VGND ) ( TAP_55 VGND ) ( TAP_54 VGND ) ( TAP_53 VGND ) ( TAP_52 VGND ) ( TAP_51 VGND ) ( TAP_50 VGND ) - ( TAP_49 VGND ) ( TAP_48 VGND ) ( TAP_47 VGND ) ( TAP_46 VGND ) ( TAP_45 VGND ) ( TAP_44 VGND ) ( TAP_43 VGND ) ( TAP_42 VGND ) - ( PHY_9 VGND ) ( PHY_8 VGND ) ( PHY_7 VGND ) ( PHY_6 VGND ) ( PHY_5 VGND ) ( PHY_41 VGND ) ( PHY_40 VGND ) ( PHY_4 VGND ) - ( PHY_39 VGND ) ( PHY_38 VGND ) ( PHY_37 VGND ) ( PHY_36 VGND ) ( PHY_35 VGND ) ( PHY_34 VGND ) ( PHY_33 VGND ) ( PHY_32 VGND ) - ( PHY_31 VGND ) ( PHY_30 VGND ) ( PHY_3 VGND ) ( PHY_29 VGND ) ( PHY_28 VGND ) ( PHY_27 VGND ) ( PHY_26 VGND ) ( PHY_25 VGND ) - ( PHY_24 VGND ) ( PHY_23 VGND ) ( PHY_22 VGND ) ( PHY_21 VGND ) ( PHY_20 VGND ) ( PHY_2 VGND ) ( PHY_19 VGND ) ( PHY_18 VGND ) - ( PHY_17 VGND ) ( PHY_16 VGND ) ( PHY_15 VGND ) ( PHY_14 VGND ) ( PHY_13 VGND ) ( PHY_12 VGND ) ( PHY_11 VGND ) ( PHY_10 VGND ) - ( PHY_1 VGND ) ( PHY_0 VGND ) + USE GROUND - + ROUTED met1 480 + SHAPE FOLLOWPIN ( 15180 24480 ) ( 51520 24480 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 19040 ) ( 51520 19040 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 13600 ) ( 51520 13600 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 8160 ) ( 51520 8160 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 57120 ) ( 51520 57120 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 51680 ) ( 51520 51680 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 46240 ) ( 51520 46240 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 40800 ) ( 51520 40800 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 35360 ) ( 51520 35360 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 29920 ) ( 51520 29920 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 4600 2720 ) ( 51520 2720 ) + - vssd ( PIN vssd ) ( const_source VNB ) ( _134_ VNB ) ( _133_ VNB ) ( _132_ VNB ) ( _131_ VNB ) ( _130_ VNB ) + ( _129_ VNB ) ( _128_ VNB ) ( _127_ VNB ) ( _126_ VNB ) ( _125_ VNB ) ( _124_ VNB ) ( _123_ VNB ) ( _122_ VNB ) + ( _121_ VNB ) ( _120_ VNB ) ( _119_ VNB ) ( _118_ VNB ) ( _117_ VNB ) ( _116_ VNB ) ( _115_ VNB ) ( _114_ VNB ) + ( _113_ VNB ) ( _112_ VNB ) ( _111_ VNB ) ( _110_ VNB ) ( _109_ VNB ) ( _108_ VNB ) ( _107_ VNB ) ( _106_ VNB ) + ( _093_ VNB ) ( _092_ VNB ) ( _091_ VNB ) ( _090_ VNB ) ( _089_ VNB ) ( _088_ VNB ) ( _087_ VNB ) ( _086_ VNB ) + ( _085_ VNB ) ( _084_ VNB ) ( _083_ VNB ) ( _082_ VNB ) ( _081_ VNB ) ( _080_ VNB ) ( _079_ VNB ) ( _078_ VNB ) + ( _077_ VNB ) ( _076_ VNB ) ( _075_ VNB ) ( _074_ VNB ) ( _073_ VNB ) ( _072_ VNB ) ( _071_ VNB ) ( _070_ VNB ) + ( _069_ VNB ) ( _068_ VNB ) ( _067_ VNB ) ( _066_ VNB ) ( _065_ VNB ) ( _064_ VNB ) ( _063_ VNB ) ( _062_ VNB ) + ( _061_ VNB ) ( _060_ VNB ) ( PHY_9 VNB ) ( PHY_8 VNB ) ( PHY_7 VNB ) ( PHY_6 VNB ) ( PHY_5 VNB ) ( PHY_41 VNB ) + ( PHY_40 VNB ) ( PHY_4 VNB ) ( PHY_39 VNB ) ( PHY_38 VNB ) ( PHY_37 VNB ) ( PHY_36 VNB ) ( PHY_35 VNB ) ( PHY_34 VNB ) + ( PHY_33 VNB ) ( PHY_32 VNB ) ( PHY_31 VNB ) ( PHY_30 VNB ) ( PHY_3 VNB ) ( PHY_29 VNB ) ( PHY_28 VNB ) ( PHY_27 VNB ) + ( PHY_26 VNB ) ( PHY_25 VNB ) ( PHY_24 VNB ) ( PHY_23 VNB ) ( PHY_22 VNB ) ( PHY_21 VNB ) ( PHY_20 VNB ) ( PHY_2 VNB ) + ( PHY_19 VNB ) ( PHY_18 VNB ) ( PHY_17 VNB ) ( PHY_16 VNB ) ( PHY_15 VNB ) ( PHY_14 VNB ) ( PHY_13 VNB ) ( PHY_12 VNB ) + ( PHY_11 VNB ) ( PHY_10 VNB ) ( PHY_1 VNB ) ( PHY_0 VNB ) ( const_source VGND ) ( _134_ VGND ) ( _133_ VGND ) ( _132_ VGND ) + ( _131_ VGND ) ( _130_ VGND ) ( _129_ VGND ) ( _128_ VGND ) ( _127_ VGND ) ( _126_ VGND ) ( _125_ VGND ) ( _124_ VGND ) + ( _123_ VGND ) ( _122_ VGND ) ( _121_ VGND ) ( _120_ VGND ) ( _119_ VGND ) ( _118_ VGND ) ( _117_ VGND ) ( _116_ VGND ) + ( _115_ VGND ) ( _114_ VGND ) ( _113_ VGND ) ( _112_ VGND ) ( _111_ VGND ) ( _110_ VGND ) ( _109_ VGND ) ( _108_ VGND ) + ( _107_ VGND ) ( _106_ VGND ) ( _093_ VGND ) ( _092_ VGND ) ( _091_ VGND ) ( _090_ VGND ) ( _089_ VGND ) ( _088_ VGND ) + ( _087_ VGND ) ( _086_ VGND ) ( _085_ VGND ) ( _084_ VGND ) ( _083_ VGND ) ( _082_ VGND ) ( _081_ VGND ) ( _080_ VGND ) + ( _079_ VGND ) ( _078_ VGND ) ( _077_ VGND ) ( _076_ VGND ) ( _075_ VGND ) ( _074_ VGND ) ( _073_ VGND ) ( _072_ VGND ) + ( _071_ VGND ) ( _070_ VGND ) ( _069_ VGND ) ( _068_ VGND ) ( _067_ VGND ) ( _066_ VGND ) ( _065_ VGND ) ( _064_ VGND ) + ( _063_ VGND ) ( _062_ VGND ) ( _061_ VGND ) ( _060_ VGND ) ( TAP_73 VGND ) ( TAP_72 VGND ) ( TAP_71 VGND ) ( TAP_70 VGND ) + ( TAP_69 VGND ) ( TAP_68 VGND ) ( TAP_67 VGND ) ( TAP_66 VGND ) ( TAP_65 VGND ) ( TAP_64 VGND ) ( TAP_63 VGND ) ( TAP_62 VGND ) + ( TAP_61 VGND ) ( TAP_60 VGND ) ( TAP_59 VGND ) ( TAP_58 VGND ) ( TAP_57 VGND ) ( TAP_56 VGND ) ( TAP_55 VGND ) ( TAP_54 VGND ) + ( TAP_53 VGND ) ( TAP_52 VGND ) ( TAP_51 VGND ) ( TAP_50 VGND ) ( TAP_49 VGND ) ( TAP_48 VGND ) ( TAP_47 VGND ) ( TAP_46 VGND ) + ( TAP_45 VGND ) ( TAP_44 VGND ) ( TAP_43 VGND ) ( TAP_42 VGND ) ( PHY_9 VGND ) ( PHY_8 VGND ) ( PHY_7 VGND ) ( PHY_6 VGND ) + ( PHY_5 VGND ) ( PHY_41 VGND ) ( PHY_40 VGND ) ( PHY_4 VGND ) ( PHY_39 VGND ) ( PHY_38 VGND ) ( PHY_37 VGND ) ( PHY_36 VGND ) + ( PHY_35 VGND ) ( PHY_34 VGND ) ( PHY_33 VGND ) ( PHY_32 VGND ) ( PHY_31 VGND ) ( PHY_30 VGND ) ( PHY_3 VGND ) ( PHY_29 VGND ) + ( PHY_28 VGND ) ( PHY_27 VGND ) ( PHY_26 VGND ) ( PHY_25 VGND ) ( PHY_24 VGND ) ( PHY_23 VGND ) ( PHY_22 VGND ) ( PHY_21 VGND ) + ( PHY_20 VGND ) ( PHY_2 VGND ) ( PHY_19 VGND ) ( PHY_18 VGND ) ( PHY_17 VGND ) ( PHY_16 VGND ) ( PHY_15 VGND ) ( PHY_14 VGND ) + ( PHY_13 VGND ) ( PHY_12 VGND ) ( PHY_11 VGND ) ( PHY_10 VGND ) ( PHY_1 VGND ) ( PHY_0 VGND ) + USE GROUND + + ROUTED met1 480 + SHAPE FOLLOWPIN ( 15180 24480 ) ( 51980 24480 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 19040 ) ( 51980 19040 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 13600 ) ( 51980 13600 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 8160 ) ( 51980 8160 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 57120 ) ( 51980 57120 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 51680 ) ( 51980 51680 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 46240 ) ( 51980 46240 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 40800 ) ( 51980 40800 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 35360 ) ( 51980 35360 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 29920 ) ( 51980 29920 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 2720 ) ( 51980 2720 ) NEW met5 1600 + SHAPE STRIPE ( 4360 48950 ) ( 50000 48950 ) NEW met5 1600 + SHAPE STRIPE ( 4360 32050 ) ( 50000 32050 ) NEW met5 1600 + SHAPE STRIPE ( 4360 15150 ) ( 50000 15150 ) @@ -817,2324 +821,2365 @@ SPECIALNETS 4 ; NEW met4 0 + SHAPE STRIPE ( 31100 20390 ) via5_6_1600_1600_1_1_1600_1600 ; END SPECIALNETS NETS 168 ; - - _000_ ( _116_ Q_N ) ( _068_ A_N ) + USE SIGNAL - + ROUTED met1 ( 44850 12750 ) ( 45310 * ) - NEW met2 ( 44850 12750 ) ( * 24990 ) - NEW li1 ( 45310 12750 ) L1M1_PR_MR - NEW met1 ( 44850 12750 ) M1M2_PR - NEW li1 ( 44850 24990 ) L1M1_PR_MR - NEW met1 ( 44850 24990 ) M1M2_PR - NEW met1 ( 44850 24990 ) RECT ( -355 -70 0 70 ) ; - - _001_ ( gpio_in_buf A ) ( _060_ Y ) + USE SIGNAL - + ROUTED met2 ( 47610 4930 ) ( * 23290 ) - NEW li1 ( 47610 4930 ) L1M1_PR_MR - NEW met1 ( 47610 4930 ) M1M2_PR - NEW li1 ( 47610 23290 ) L1M1_PR_MR - NEW met1 ( 47610 23290 ) M1M2_PR - NEW met1 ( 47610 4930 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 47610 23290 ) RECT ( -355 -70 0 70 ) ; - - _002_ ( _109_ RESET_B ) ( _071_ X ) + USE SIGNAL - + ROUTED met1 ( 36570 52870 ) ( 37950 * ) - NEW met2 ( 36570 52870 ) ( * 54910 ) - NEW li1 ( 37950 52870 ) L1M1_PR_MR - NEW met1 ( 36570 52870 ) M1M2_PR - NEW li1 ( 36570 54910 ) L1M1_PR_MR - NEW met1 ( 36570 54910 ) M1M2_PR - NEW met1 ( 36570 54910 ) RECT ( -355 -70 0 70 ) ; - - _003_ ( _109_ SET_B ) ( _072_ Y ) + USE SIGNAL - + ROUTED met1 ( 33810 22270 ) ( 36110 * ) - NEW met1 ( 36110 22270 ) ( * 22610 ) - NEW met1 ( 36110 22610 ) ( 37490 * ) - NEW met2 ( 33810 22270 ) ( * 52530 ) - NEW met1 ( 33810 52530 ) M1M2_PR - NEW met1 ( 33810 22270 ) M1M2_PR - NEW li1 ( 37490 22610 ) L1M1_PR_MR ; - - _004_ ( _110_ RESET_B ) ( _073_ X ) + USE SIGNAL - + ROUTED met1 ( 4370 59330 ) ( 6210 * ) - NEW met1 ( 4370 59330 ) ( * 60350 ) - NEW met2 ( 23690 55930 ) ( * 60350 ) - NEW met1 ( 23690 55930 ) ( 26450 * ) - NEW met1 ( 4370 60350 ) ( 23690 * ) - NEW li1 ( 6210 59330 ) L1M1_PR_MR - NEW met1 ( 23690 60350 ) M1M2_PR - NEW met1 ( 23690 55930 ) M1M2_PR - NEW li1 ( 26450 55930 ) L1M1_PR_MR ; - - _005_ ( _110_ SET_B ) ( _074_ Y ) + USE SIGNAL - + ROUTED met1 ( 13110 4590 ) ( 24150 * ) - NEW met2 ( 23690 35020 ) ( 24150 * ) - NEW met2 ( 23690 35020 ) ( * 51340 ) - NEW met2 ( 22770 51340 ) ( 23690 * ) - NEW met2 ( 22770 51340 ) ( * 56270 ) - NEW met2 ( 24150 4590 ) ( * 35020 ) - NEW met1 ( 24150 4590 ) M1M2_PR - NEW li1 ( 13110 4590 ) L1M1_PR_MR - NEW met1 ( 22770 56270 ) M1M2_PR ; - - _006_ ( _111_ RESET_B ) ( _075_ X ) + USE SIGNAL - + ROUTED met2 ( 42550 34170 ) ( * 42500 ) - NEW met3 ( 39330 42500 ) ( 42550 * ) - NEW met2 ( 39330 42500 ) ( * 43010 ) - NEW met1 ( 30590 43010 ) ( 39330 * ) - NEW li1 ( 42550 34170 ) L1M1_PR_MR - NEW met1 ( 42550 34170 ) M1M2_PR - NEW met2 ( 42550 42500 ) M2M3_PR - NEW met2 ( 39330 42500 ) M2M3_PR - NEW met1 ( 39330 43010 ) M1M2_PR - NEW li1 ( 30590 43010 ) L1M1_PR_MR - NEW met1 ( 42550 34170 ) RECT ( -355 -70 0 70 ) ; - - _007_ ( _111_ SET_B ) ( _076_ Y ) + USE SIGNAL - + ROUTED met1 ( 40710 34510 0 ) ( 42090 * ) - NEW met2 ( 42090 34510 ) ( * 44030 ) - NEW met1 ( 42090 34510 ) M1M2_PR - NEW li1 ( 42090 44030 ) L1M1_PR_MR - NEW met1 ( 42090 44030 ) M1M2_PR - NEW met1 ( 42090 44030 ) RECT ( -355 -70 0 70 ) ; - - _008_ ( _112_ RESET_B ) ( _077_ X ) + USE SIGNAL - + ROUTED met1 ( 46690 39610 ) ( 49450 * ) - NEW met2 ( 49450 39610 ) ( * 41650 ) - NEW li1 ( 46690 39610 ) L1M1_PR_MR - NEW met1 ( 49450 39610 ) M1M2_PR - NEW li1 ( 49450 41650 ) L1M1_PR_MR - NEW met1 ( 49450 41650 ) M1M2_PR - NEW met1 ( 49450 41650 ) RECT ( -355 -70 0 70 ) ; - - _009_ ( _112_ SET_B ) ( _078_ Y ) + USE SIGNAL - + ROUTED met2 ( 44390 39950 ) ( * 47770 ) - NEW met1 ( 44390 39950 ) M1M2_PR - NEW li1 ( 44390 47770 ) L1M1_PR_MR - NEW met1 ( 44390 47770 ) M1M2_PR - NEW met1 ( 44390 47770 ) RECT ( -355 -70 0 70 ) ; - - _010_ ( _113_ RESET_B ) ( _079_ X ) + USE SIGNAL - + ROUTED met2 ( 27370 47430 ) ( * 57970 ) - NEW met1 ( 27370 57970 ) ( 32890 * ) - NEW li1 ( 27370 47430 ) L1M1_PR_MR - NEW met1 ( 27370 47430 ) M1M2_PR - NEW met1 ( 27370 57970 ) M1M2_PR - NEW li1 ( 32890 57970 ) L1M1_PR_MR - NEW met1 ( 27370 47430 ) RECT ( -355 -70 0 70 ) ; - - _011_ ( _113_ SET_B ) ( _080_ Y ) + USE SIGNAL - + ROUTED met1 ( 8510 45390 ) ( * 45730 ) - NEW met2 ( 21850 45730 ) ( * 47090 ) - NEW met1 ( 8510 45730 ) ( 21850 * ) - NEW li1 ( 8510 45390 ) L1M1_PR_MR - NEW met1 ( 21850 45730 ) M1M2_PR - NEW met1 ( 21850 47090 ) M1M2_PR ; - - _012_ ( _114_ RESET_B ) ( _081_ X ) + USE SIGNAL - + ROUTED met1 ( 27370 36550 ) ( 27830 * ) - NEW met2 ( 27830 36550 ) ( * 44030 ) - NEW met1 ( 27830 44030 ) ( 28290 * ) - NEW li1 ( 27370 36550 ) L1M1_PR_MR - NEW met1 ( 27830 36550 ) M1M2_PR - NEW met1 ( 27830 44030 ) M1M2_PR - NEW li1 ( 28290 44030 ) L1M1_PR_MR ; - - _013_ ( _114_ SET_B ) ( _082_ Y ) + USE SIGNAL - + ROUTED met2 ( 9890 4930 ) ( * 7310 ) - NEW met1 ( 9890 7310 ) ( 22310 * ) - NEW met2 ( 22310 7310 ) ( * 36210 ) - NEW li1 ( 9890 4930 ) L1M1_PR_MR - NEW met1 ( 9890 4930 ) M1M2_PR - NEW met1 ( 9890 7310 ) M1M2_PR - NEW met1 ( 22310 7310 ) M1M2_PR - NEW met1 ( 22310 36210 ) M1M2_PR - NEW met1 ( 9890 4930 ) RECT ( -355 -70 0 70 ) ; - - _014_ ( _115_ RESET_B ) ( _083_ X ) + USE SIGNAL - + ROUTED met1 ( 24150 50490 ) ( 26910 * ) - NEW met2 ( 24150 50490 ) ( * 57970 ) - NEW met1 ( 20010 57970 ) ( 24150 * ) - NEW li1 ( 26910 50490 ) L1M1_PR_MR - NEW met1 ( 24150 50490 ) M1M2_PR - NEW met1 ( 24150 57970 ) M1M2_PR - NEW li1 ( 20010 57970 ) L1M1_PR_MR ; - - _015_ ( _115_ SET_B ) ( _084_ Y ) + USE SIGNAL - + ROUTED met1 ( 19090 10030 ) ( 23690 * ) - NEW met2 ( 23690 10030 ) ( * 13800 ) - NEW met2 ( 23230 13800 ) ( 23690 * ) - NEW met2 ( 23230 13800 ) ( * 50830 ) - NEW li1 ( 19090 10030 ) L1M1_PR_MR - NEW met1 ( 23690 10030 ) M1M2_PR - NEW met1 ( 23230 50830 ) M1M2_PR ; - - _016_ ( _116_ RESET_B ) ( _085_ X ) + USE SIGNAL - + ROUTED met1 ( 46690 6630 ) ( 47610 * ) - NEW met1 ( 43930 25670 ) ( 46690 * ) - NEW met2 ( 46690 6630 ) ( * 25670 ) - NEW li1 ( 47610 6630 ) L1M1_PR_MR - NEW met1 ( 46690 6630 ) M1M2_PR - NEW met1 ( 46690 25670 ) M1M2_PR - NEW li1 ( 43930 25670 ) L1M1_PR_MR ; - - _017_ ( _116_ SET_B ) ( _086_ Y ) + USE SIGNAL - + ROUTED met1 ( 42090 25330 0 ) ( 46230 * ) - NEW met2 ( 46230 25330 ) ( * 33150 ) - NEW met1 ( 46230 33150 ) ( 46690 * ) - NEW met1 ( 46230 25330 ) M1M2_PR - NEW met1 ( 46230 33150 ) M1M2_PR - NEW li1 ( 46690 33150 ) L1M1_PR_MR ; - - _018_ ( _117_ RESET_B ) ( _087_ X ) + USE SIGNAL - + ROUTED met1 ( 43930 50490 ) ( 48990 * ) - NEW met2 ( 48990 50490 ) ( * 57970 ) - NEW met1 ( 48990 57970 ) ( 49450 * ) - NEW li1 ( 43930 50490 ) L1M1_PR_MR - NEW met1 ( 48990 50490 ) M1M2_PR - NEW met1 ( 48990 57970 ) M1M2_PR - NEW li1 ( 49450 57970 ) L1M1_PR_MR ; - - _019_ ( _117_ SET_B ) ( _088_ Y ) + USE SIGNAL - + ROUTED met1 ( 42090 50830 0 ) ( 43470 * ) - NEW met2 ( 43470 17510 ) ( * 50830 ) - NEW met1 ( 43470 17510 ) ( 43930 * ) - NEW met1 ( 43470 50830 ) M1M2_PR - NEW met1 ( 43470 17510 ) M1M2_PR - NEW li1 ( 43930 17510 ) L1M1_PR_MR ; - - _020_ ( _118_ RESET_B ) ( _089_ X ) + USE SIGNAL - + ROUTED met2 ( 49450 50830 ) ( * 55930 ) - NEW met1 ( 46690 55930 ) ( 49450 * ) - NEW li1 ( 49450 50830 ) L1M1_PR_MR - NEW met1 ( 49450 50830 ) M1M2_PR - NEW met1 ( 49450 55930 ) M1M2_PR - NEW li1 ( 46690 55930 ) L1M1_PR_MR - NEW met1 ( 49450 50830 ) RECT ( -355 -70 0 70 ) ; - - _021_ ( _118_ SET_B ) ( _090_ Y ) + USE SIGNAL - + ROUTED met2 ( 43470 12750 ) ( * 13800 ) - NEW met2 ( 43470 13800 ) ( 43930 * ) - NEW met2 ( 43930 13800 ) ( * 56270 ) - NEW li1 ( 43470 12750 ) L1M1_PR_MR - NEW met1 ( 43470 12750 ) M1M2_PR - NEW met1 ( 43930 56270 ) M1M2_PR - NEW met1 ( 43470 12750 ) RECT ( -355 -70 0 70 ) ; - - _022_ ( _119_ RESET_B ) ( _091_ X ) + USE SIGNAL - + ROUTED met1 ( 26910 17850 ) ( 29670 * ) - NEW met2 ( 29670 17850 ) ( * 19890 ) - NEW met1 ( 27830 19890 ) ( 29670 * ) - NEW li1 ( 26910 17850 ) L1M1_PR_MR - NEW met1 ( 29670 17850 ) M1M2_PR - NEW met1 ( 29670 19890 ) M1M2_PR - NEW li1 ( 27830 19890 ) L1M1_PR_MR ; - - _023_ ( _119_ SET_B ) ( _092_ Y ) + USE SIGNAL - + ROUTED met1 ( 9890 33150 ) ( 13800 * ) - NEW met1 ( 13800 33150 ) ( * 33490 ) - NEW met1 ( 13800 33490 ) ( 18630 * ) - NEW met1 ( 18630 33150 ) ( * 33490 ) - NEW met1 ( 18630 33150 ) ( 23690 * ) - NEW met2 ( 23690 18530 ) ( * 33150 ) - NEW met1 ( 23690 18530 ) ( 25530 * ) - NEW met1 ( 25530 18190 ) ( * 18530 ) - NEW met1 ( 25070 18190 0 ) ( 25530 * ) - NEW li1 ( 9890 33150 ) L1M1_PR_MR - NEW met1 ( 23690 33150 ) M1M2_PR - NEW met1 ( 23690 18530 ) M1M2_PR ; - - _024_ ( _120_ RESET_B ) ( _093_ X ) + USE SIGNAL - + ROUTED met1 ( 30130 23290 ) ( 31510 * ) - NEW met2 ( 31510 23290 ) ( * 33150 ) - NEW met1 ( 31510 33150 ) ( 32890 * ) - NEW li1 ( 30130 23290 ) L1M1_PR_MR - NEW met1 ( 31510 23290 ) M1M2_PR - NEW met1 ( 31510 33150 ) M1M2_PR - NEW li1 ( 32890 33150 ) L1M1_PR_MR ; - - _025_ ( _120_ SET_B ) ( _094_ Y ) + USE SIGNAL - + ROUTED met1 ( 19090 23630 ) ( * 23970 ) - NEW met1 ( 19090 23970 ) ( 24150 * ) - NEW met1 ( 24150 23630 ) ( * 23970 ) - NEW met1 ( 24150 23630 ) ( 24610 * 0 ) - NEW li1 ( 19090 23630 ) L1M1_PR_MR ; - - _026_ ( _121_ RESET_B ) ( _095_ X ) + USE SIGNAL - + ROUTED met1 ( 32890 29070 ) ( 36110 * ) - NEW met2 ( 36110 29070 ) ( * 31110 ) - NEW li1 ( 32890 29070 ) L1M1_PR_MR - NEW met1 ( 36110 29070 ) M1M2_PR - NEW li1 ( 36110 31110 ) L1M1_PR_MR - NEW met1 ( 36110 31110 ) M1M2_PR - NEW met1 ( 36110 31110 ) RECT ( -355 -70 0 70 ) ; - - _027_ ( _121_ SET_B ) ( _096_ Y ) + USE SIGNAL - + ROUTED met1 ( 34270 30770 0 ) ( 35190 * ) - NEW met2 ( 35190 23630 ) ( * 30770 ) - NEW met1 ( 35190 30770 ) M1M2_PR - NEW li1 ( 35190 23630 ) L1M1_PR_MR - NEW met1 ( 35190 23630 ) M1M2_PR - NEW met1 ( 35190 23630 ) RECT ( -355 -70 0 70 ) ; - - _042_ ( _065_ A1 ) ( _064_ X ) + USE SIGNAL - + ROUTED met2 ( 47610 26010 ) ( * 26180 ) - NEW met3 ( 47380 26180 ) ( 47610 * ) - NEW met4 ( 47380 26180 ) ( * 29580 ) - NEW met3 ( 47380 29580 ) ( 47610 * ) - NEW met2 ( 47610 29580 ) ( * 36550 ) - NEW met1 ( 47610 36550 ) ( 48070 * ) - NEW li1 ( 47610 26010 ) L1M1_PR_MR - NEW met1 ( 47610 26010 ) M1M2_PR - NEW met2 ( 47610 26180 ) M2M3_PR - NEW met3 ( 47380 26180 ) M3M4_PR - NEW met3 ( 47380 29580 ) M3M4_PR - NEW met2 ( 47610 29580 ) M2M3_PR - NEW met1 ( 47610 36550 ) M1M2_PR - NEW li1 ( 48070 36550 ) L1M1_PR_MR - NEW met1 ( 47610 26010 ) RECT ( -355 -70 0 70 ) - NEW met3 ( 47610 26180 ) RECT ( 0 -150 390 150 ) - NEW met3 ( 47380 29580 ) RECT ( -390 -150 0 150 ) ; - - _043_ ( _070_ B1 ) ( _066_ Y ) + USE SIGNAL - + ROUTED met2 ( 45770 31110 ) ( * 44030 ) - NEW met1 ( 45770 44030 ) ( 46690 * ) - NEW li1 ( 45770 31110 ) L1M1_PR_MR - NEW met1 ( 45770 31110 ) M1M2_PR - NEW met1 ( 45770 44030 ) M1M2_PR - NEW li1 ( 46690 44030 ) L1M1_PR_MR - NEW met1 ( 45770 31110 ) RECT ( -355 -70 0 70 ) ; - - _044_ ( _069_ A2 ) ( _068_ B ) ( _067_ X ) + USE SIGNAL - + ROUTED met2 ( 48530 17850 ) ( * 28050 ) - NEW met2 ( 48530 11730 ) ( * 17850 ) - NEW met1 ( 47150 11730 ) ( 48530 * ) - NEW met1 ( 46230 28050 ) ( 48530 * ) - NEW met1 ( 48530 11730 ) M1M2_PR - NEW li1 ( 48530 17850 ) L1M1_PR_MR - NEW met1 ( 48530 17850 ) M1M2_PR - NEW met1 ( 48530 28050 ) M1M2_PR - NEW li1 ( 47150 11730 ) L1M1_PR_MR - NEW li1 ( 46230 28050 ) L1M1_PR_MR - NEW met1 ( 48530 17850 ) RECT ( -355 -70 0 70 ) ; - - _045_ ( _070_ A1 ) ( _068_ X ) + USE SIGNAL - + ROUTED met1 ( 47610 13090 ) ( 48070 * ) - NEW met2 ( 48070 13090 ) ( * 26860 ) - NEW met3 ( 48070 26860 ) ( 48300 * ) - NEW met3 ( 48300 26860 ) ( * 28220 ) - NEW met3 ( 48070 28220 ) ( 48300 * ) - NEW met2 ( 48070 28220 ) ( * 31110 ) - NEW met1 ( 48070 31110 ) ( 48990 * ) - NEW li1 ( 48990 31110 ) L1M1_PR_MR - NEW li1 ( 47610 13090 ) L1M1_PR_MR - NEW met1 ( 48070 13090 ) M1M2_PR - NEW met2 ( 48070 26860 ) M2M3_PR - NEW met2 ( 48070 28220 ) M2M3_PR - NEW met1 ( 48070 31110 ) M1M2_PR ; - - _046_ ( _070_ A2 ) ( _069_ Y ) + USE SIGNAL - + ROUTED met2 ( 47150 18190 ) ( * 18700 ) - NEW met3 ( 46460 18700 ) ( 47150 * ) - NEW met4 ( 46460 18700 ) ( * 30260 ) - NEW met3 ( 46460 30260 ) ( 47150 * ) - NEW met2 ( 47150 30260 ) ( * 31110 ) - NEW li1 ( 47150 18190 ) L1M1_PR_MR - NEW met1 ( 47150 18190 ) M1M2_PR - NEW met2 ( 47150 18700 ) M2M3_PR - NEW met3 ( 46460 18700 ) M3M4_PR - NEW met3 ( 46460 30260 ) M3M4_PR - NEW met2 ( 47150 30260 ) M2M3_PR - NEW li1 ( 47150 31110 ) L1M1_PR_MR - NEW met1 ( 47150 31110 ) M1M2_PR - NEW met1 ( 47150 18190 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 47150 31110 ) RECT ( -355 -70 0 70 ) ; - - _047_ ( _139_ TE_B ) ( _063_ Y ) + USE SIGNAL - + ROUTED met1 ( 26910 24990 ) ( * 25330 ) - NEW met1 ( 26910 24990 ) ( 31050 * ) - NEW met2 ( 31050 22950 ) ( * 24990 ) - NEW met1 ( 31050 22950 ) ( 34730 * ) - NEW met1 ( 34730 22950 ) ( * 23290 ) - NEW met1 ( 34730 23290 ) ( 35650 * ) - NEW met1 ( 35650 23290 ) ( * 23630 ) - NEW met1 ( 35650 23630 ) ( 39790 * ) - NEW met1 ( 39790 23290 ) ( * 23630 ) - NEW met1 ( 39790 23290 ) ( 46690 * ) - NEW met1 ( 46690 23290 ) ( * 23630 ) - NEW met1 ( 46690 23630 ) ( 50370 * ) - NEW met2 ( 50370 23630 ) ( * 47090 ) - NEW met1 ( 48530 47090 ) ( 50370 * ) - NEW li1 ( 26910 25330 ) L1M1_PR_MR - NEW met1 ( 31050 24990 ) M1M2_PR - NEW met1 ( 31050 22950 ) M1M2_PR - NEW met1 ( 50370 23630 ) M1M2_PR - NEW met1 ( 50370 47090 ) M1M2_PR - NEW li1 ( 48530 47090 ) L1M1_PR_MR ; - - _048_ ( _120_ Q_N ) + USE SIGNAL ; - - _049_ ( _119_ Q_N ) + USE SIGNAL ; - - _050_ ( _118_ Q_N ) + USE SIGNAL ; - - _051_ ( _117_ Q_N ) + USE SIGNAL ; - - _052_ ( _115_ Q_N ) + USE SIGNAL ; - - _053_ ( _114_ Q_N ) + USE SIGNAL ; - - _054_ ( _113_ Q_N ) + USE SIGNAL ; - - _055_ ( _112_ Q_N ) + USE SIGNAL ; - - _056_ ( _111_ Q_N ) + USE SIGNAL ; - - _057_ ( _110_ Q_N ) + USE SIGNAL ; - - _058_ ( _109_ Q_N ) + USE SIGNAL ; - - _059_ ( _121_ Q_N ) + USE SIGNAL ; - - clknet_0_serial_clock ( clkbuf_1_1__f_serial_clock A ) ( clkbuf_1_0__f_serial_clock A ) ( clkbuf_0_serial_clock X ) + USE CLOCK - + ROUTED met1 ( 10810 34170 ) ( 11270 * ) - NEW met2 ( 11270 34170 ) ( * 57630 ) - NEW met1 ( 10810 57630 ) ( 11270 * ) - NEW met1 ( 8510 29070 ) ( 11270 * ) - NEW met2 ( 11270 29070 ) ( * 34170 ) - NEW li1 ( 10810 34170 ) L1M1_PR_MR - NEW met1 ( 11270 34170 ) M1M2_PR - NEW met1 ( 11270 57630 ) M1M2_PR - NEW li1 ( 10810 57630 ) L1M1_PR_MR - NEW li1 ( 8510 29070 ) L1M1_PR_MR - NEW met1 ( 11270 29070 ) M1M2_PR ; - - clknet_0_serial_load ( clkbuf_1_1__f_serial_load A ) ( clkbuf_1_0__f_serial_load A ) ( clkbuf_0_serial_load X ) + USE CLOCK - + ROUTED met2 ( 10350 35870 ) ( * 38590 ) - NEW met1 ( 8510 38590 ) ( 10350 * ) - NEW met1 ( 16790 30770 ) ( 17250 * ) - NEW met2 ( 16790 20230 ) ( * 30770 ) - NEW met2 ( 16790 30770 ) ( * 35870 ) - NEW met1 ( 10350 35870 ) ( 16790 * ) - NEW met1 ( 10350 35870 ) M1M2_PR - NEW met1 ( 10350 38590 ) M1M2_PR - NEW li1 ( 8510 38590 ) L1M1_PR_MR - NEW li1 ( 17250 30770 ) L1M1_PR_MR - NEW met1 ( 16790 30770 ) M1M2_PR - NEW li1 ( 16790 20230 ) L1M1_PR_MR - NEW met1 ( 16790 20230 ) M1M2_PR - NEW met1 ( 16790 35870 ) M1M2_PR - NEW met1 ( 16790 20230 ) RECT ( -355 -70 0 70 ) ; - - clknet_1_0__leaf_serial_clock ( _123_ CLK ) ( _124_ CLK ) ( _125_ CLK ) ( _126_ CLK ) ( _127_ CLK ) ( _128_ CLK ) ( _129_ CLK ) - ( _130_ CLK ) ( _062__14 A ) ( clkbuf_1_0__f_serial_clock X ) + USE CLOCK - + ROUTED met1 ( 19550 9350 ) ( 20010 * ) - NEW met1 ( 7130 49470 ) ( * 50150 ) - NEW met1 ( 19550 28390 ) ( 20010 * ) - NEW met1 ( 17250 28390 ) ( 19550 * ) - NEW met1 ( 19550 33830 ) ( 20010 * ) - NEW met2 ( 19550 28390 ) ( * 33830 ) - NEW met2 ( 15410 34170 ) ( * 36890 ) - NEW met1 ( 15410 34170 ) ( 19550 * ) - NEW met1 ( 19550 33830 ) ( * 34170 ) - NEW met2 ( 15410 36890 ) ( * 39270 ) - NEW met1 ( 18170 41310 ) ( * 41990 ) - NEW met1 ( 15410 41310 ) ( 18170 * ) - NEW met2 ( 15410 39270 ) ( * 41310 ) - NEW met1 ( 14030 44030 ) ( * 44710 ) - NEW met1 ( 14030 44030 ) ( 15410 * ) - NEW met2 ( 15410 41310 ) ( * 44030 ) - NEW met2 ( 17250 44030 ) ( * 47430 ) - NEW met1 ( 15410 44030 ) ( 17250 * ) - NEW met2 ( 17250 47430 ) ( * 49470 ) - NEW met1 ( 7130 36890 ) ( 15410 * ) - NEW met1 ( 7130 49470 ) ( 17250 * ) - NEW met2 ( 19550 9350 ) ( * 28390 ) - NEW met1 ( 19550 9350 ) M1M2_PR - NEW li1 ( 20010 9350 ) L1M1_PR_MR - NEW li1 ( 7130 36890 ) L1M1_PR_MR - NEW li1 ( 7130 50150 ) L1M1_PR_MR - NEW li1 ( 20010 28390 ) L1M1_PR_MR - NEW met1 ( 19550 28390 ) M1M2_PR - NEW li1 ( 17250 28390 ) L1M1_PR_MR - NEW li1 ( 20010 33830 ) L1M1_PR_MR - NEW met1 ( 19550 33830 ) M1M2_PR - NEW met1 ( 15410 36890 ) M1M2_PR - NEW met1 ( 15410 34170 ) M1M2_PR - NEW li1 ( 15410 39270 ) L1M1_PR_MR - NEW met1 ( 15410 39270 ) M1M2_PR - NEW li1 ( 18170 41990 ) L1M1_PR_MR - NEW met1 ( 15410 41310 ) M1M2_PR - NEW li1 ( 14030 44710 ) L1M1_PR_MR - NEW met1 ( 15410 44030 ) M1M2_PR - NEW li1 ( 17250 47430 ) L1M1_PR_MR - NEW met1 ( 17250 47430 ) M1M2_PR - NEW met1 ( 17250 44030 ) M1M2_PR - NEW met1 ( 17250 49470 ) M1M2_PR - NEW met1 ( 15410 39270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 17250 47430 ) RECT ( 0 -70 355 70 ) ; - - clknet_1_0__leaf_serial_load ( _098__3 A ) ( _101__6 A ) ( _103__8 A ) ( _106__11 A ) ( _107__12 A ) ( _108__13 A ) ( clkbuf_1_0__f_serial_load X ) + USE CLOCK - + ROUTED met1 ( 7130 31110 ) ( * 31450 ) - NEW met2 ( 7130 28730 ) ( * 31110 ) - NEW met1 ( 21850 31110 ) ( * 32130 ) - NEW met1 ( 21850 32130 ) ( 31050 * ) - NEW met2 ( 31050 32130 ) ( * 39610 ) - NEW met1 ( 16790 31450 ) ( * 32130 ) - NEW met1 ( 16790 32130 ) ( 18630 * ) - NEW met1 ( 18630 31790 ) ( * 32130 ) - NEW met1 ( 18630 31790 ) ( 21850 * ) - NEW met1 ( 25530 20570 ) ( 28290 * ) - NEW met2 ( 28290 20570 ) ( * 32130 ) - NEW met1 ( 28750 20230 ) ( * 20570 ) - NEW met1 ( 28290 20570 ) ( 28750 * ) - NEW met1 ( 26910 14790 ) ( 28290 * ) - NEW met2 ( 28290 14790 ) ( * 20570 ) - NEW met1 ( 7130 31450 ) ( 16790 * ) - NEW li1 ( 7130 31110 ) L1M1_PR_MR - NEW li1 ( 7130 28730 ) L1M1_PR_MR - NEW met1 ( 7130 28730 ) M1M2_PR - NEW met1 ( 7130 31110 ) M1M2_PR - NEW li1 ( 21850 31110 ) L1M1_PR_MR - NEW met1 ( 31050 32130 ) M1M2_PR - NEW li1 ( 31050 39610 ) L1M1_PR_MR - NEW met1 ( 31050 39610 ) M1M2_PR - NEW li1 ( 25530 20570 ) L1M1_PR_MR - NEW met1 ( 28290 20570 ) M1M2_PR - NEW met1 ( 28290 32130 ) M1M2_PR - NEW li1 ( 28750 20230 ) L1M1_PR_MR - NEW li1 ( 26910 14790 ) L1M1_PR_MR - NEW met1 ( 28290 14790 ) M1M2_PR - NEW met1 ( 7130 28730 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 7130 31110 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 31050 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 28290 32130 ) RECT ( -595 -70 0 70 ) ; - - gpio_defaults[0] ( PIN gpio_defaults[0] ) ( ANTENNA__071__B DIODE ) ( ANTENNA__072__B DIODE ) ( _072_ B ) ( _071_ B ) + USE SIGNAL - + ROUTED met1 ( 35650 22950 ) ( 37950 * ) - NEW met2 ( 35650 22950 ) ( * 31620 ) - NEW met2 ( 35650 31620 ) ( 36110 * ) - NEW met2 ( 47610 27540 ) ( * 27710 ) - NEW met3 ( 41860 27540 ) ( 47610 * ) - NEW met3 ( 41860 27540 ) ( * 28220 ) - NEW met3 ( 35650 28220 ) ( 41860 * ) - NEW met1 ( 47610 27710 ) ( 49450 * ) - NEW met1 ( 4830 51170 ) ( 6210 * ) - NEW met2 ( 4830 51170 ) ( * 61540 0 ) - NEW met2 ( 34730 55420 ) ( * 55590 ) - NEW met3 ( 4830 55420 ) ( 34730 * ) - NEW met3 ( 34730 55420 ) ( 36110 * ) - NEW met2 ( 36110 31620 ) ( * 55420 ) - NEW li1 ( 49450 27710 ) L1M1_PR_MR - NEW li1 ( 37950 22950 ) L1M1_PR_MR - NEW met1 ( 35650 22950 ) M1M2_PR - NEW met1 ( 47610 27710 ) M1M2_PR - NEW met2 ( 47610 27540 ) M2M3_PR - NEW met2 ( 35650 28220 ) M2M3_PR - NEW li1 ( 6210 51170 ) L1M1_PR_MR - NEW met1 ( 4830 51170 ) M1M2_PR - NEW li1 ( 34730 55590 ) L1M1_PR_MR - NEW met1 ( 34730 55590 ) M1M2_PR - NEW met2 ( 34730 55420 ) M2M3_PR - NEW met2 ( 4830 55420 ) M2M3_PR - NEW met2 ( 36110 55420 ) M2M3_PR - NEW met2 ( 35650 28220 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 34730 55590 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 4830 55420 ) RECT ( -70 -485 70 0 ) ; - - gpio_defaults[10] ( PIN gpio_defaults[10] ) ( ANTENNA__085__B DIODE ) ( ANTENNA__086__B DIODE ) ( _086_ B ) ( _085_ B ) + USE SIGNAL - + ROUTED met2 ( 49450 3230 ) ( * 6630 ) - NEW met1 ( 49450 6630 ) ( 51750 * ) - NEW met1 ( 29670 48450 ) ( 31970 * ) - NEW met2 ( 27830 53380 ) ( 28750 * ) - NEW met2 ( 27830 53380 ) ( * 61540 0 ) - NEW met1 ( 49450 33830 ) ( 51750 * ) - NEW met2 ( 51750 6630 ) ( * 33830 ) - NEW met2 ( 39790 3230 ) ( * 4590 ) - NEW met1 ( 31970 4590 ) ( 39790 * ) - NEW met2 ( 47150 33830 ) ( * 44370 ) - NEW met1 ( 45370 44370 ) ( 47150 * ) - NEW met1 ( 45370 44030 ) ( * 44370 ) - NEW met1 ( 42550 44030 ) ( 45370 * ) - NEW met2 ( 42550 44030 ) ( * 47940 ) - NEW met3 ( 32430 47940 ) ( 42550 * ) - NEW met2 ( 32430 47940 ) ( * 48110 ) - NEW met1 ( 31970 48110 ) ( 32430 * ) - NEW met1 ( 28750 48110 ) ( 29670 * ) - NEW met2 ( 28750 48110 ) ( * 53380 ) - NEW met1 ( 29670 48110 ) ( * 48450 ) - NEW met1 ( 31970 48110 ) ( * 48450 ) - NEW met1 ( 39790 3230 ) ( 49450 * ) - NEW met1 ( 47150 33830 ) ( 49450 * ) - NEW li1 ( 49450 6630 ) L1M1_PR_MR - NEW met1 ( 49450 6630 ) M1M2_PR - NEW met1 ( 49450 3230 ) M1M2_PR - NEW met1 ( 51750 6630 ) M1M2_PR - NEW li1 ( 49450 33830 ) L1M1_PR_MR - NEW met1 ( 51750 33830 ) M1M2_PR - NEW met1 ( 39790 3230 ) M1M2_PR - NEW met1 ( 39790 4590 ) M1M2_PR - NEW li1 ( 31970 4590 ) L1M1_PR_MR - NEW li1 ( 47150 33830 ) L1M1_PR_MR - NEW met1 ( 47150 33830 ) M1M2_PR - NEW met1 ( 47150 44370 ) M1M2_PR - NEW met1 ( 42550 44030 ) M1M2_PR - NEW met2 ( 42550 47940 ) M2M3_PR - NEW met2 ( 32430 47940 ) M2M3_PR - NEW met1 ( 32430 48110 ) M1M2_PR - NEW met1 ( 28750 48110 ) M1M2_PR - NEW met1 ( 49450 6630 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 47150 33830 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[11] ( PIN gpio_defaults[11] ) ( ANTENNA__087__B DIODE ) ( ANTENNA__088__B DIODE ) ( _088_ B ) ( _087_ B ) + USE SIGNAL - + ROUTED met1 ( 29670 10370 ) ( 30590 * ) - NEW met2 ( 30590 3230 ) ( * 10370 ) - NEW met1 ( 30590 3230 ) ( 34500 * ) - NEW met1 ( 34500 3230 ) ( * 3570 ) - NEW met1 ( 34500 3570 ) ( 43930 * ) - NEW met2 ( 30590 10370 ) ( * 13800 ) - NEW met2 ( 47610 58650 ) ( * 60350 ) - NEW met1 ( 30130 60350 ) ( 47610 * ) - NEW met2 ( 30130 60350 ) ( * 61540 0 ) - NEW met1 ( 44390 17850 ) ( * 18190 ) - NEW met1 ( 30130 18190 ) ( 44390 * ) - NEW met2 ( 30130 18190 ) ( * 25500 ) - NEW met3 ( 30130 25500 ) ( 31050 * ) - NEW met2 ( 31050 25500 ) ( * 30770 ) - NEW met2 ( 30590 30770 ) ( 31050 * ) - NEW met2 ( 30590 30770 ) ( * 36380 ) - NEW met2 ( 30130 36380 ) ( 30590 * ) - NEW met2 ( 30130 36380 ) ( * 39270 ) - NEW met1 ( 30130 39270 ) ( 31510 * ) - NEW met2 ( 31510 39270 ) ( * 50660 ) - NEW met2 ( 30130 50660 ) ( 31510 * ) - NEW met2 ( 30130 50660 ) ( * 60350 ) - NEW met2 ( 30130 13800 ) ( 30590 * ) - NEW met2 ( 30130 13800 ) ( * 18190 ) - NEW li1 ( 29670 10370 ) L1M1_PR_MR - NEW met1 ( 30590 10370 ) M1M2_PR - NEW met1 ( 30590 3230 ) M1M2_PR - NEW li1 ( 43930 3570 ) L1M1_PR_MR - NEW li1 ( 47610 58650 ) L1M1_PR_MR - NEW met1 ( 47610 58650 ) M1M2_PR - NEW met1 ( 47610 60350 ) M1M2_PR - NEW met1 ( 30130 60350 ) M1M2_PR - NEW li1 ( 44390 17850 ) L1M1_PR_MR - NEW met1 ( 30130 18190 ) M1M2_PR - NEW met2 ( 30130 25500 ) M2M3_PR - NEW met2 ( 31050 25500 ) M2M3_PR - NEW met1 ( 30130 39270 ) M1M2_PR - NEW met1 ( 31510 39270 ) M1M2_PR - NEW met1 ( 47610 58650 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[12] ( PIN gpio_defaults[12] ) ( ANTENNA__089__B DIODE ) ( ANTENNA__090__B DIODE ) ( _090_ B ) ( _089_ B ) + USE SIGNAL - + ROUTED met1 ( 28750 11730 ) ( 32890 * ) - NEW met1 ( 27830 11730 ) ( 28750 * ) - NEW met1 ( 32890 11730 ) ( 34500 * ) - NEW met1 ( 34500 11730 ) ( * 12070 ) - NEW met1 ( 34500 12070 ) ( 43930 * ) - NEW met2 ( 32890 11730 ) ( * 13800 ) - NEW met2 ( 47610 48450 ) ( * 50150 ) - NEW met1 ( 32890 48450 ) ( 47610 * ) - NEW met2 ( 32890 33830 ) ( * 48450 ) - NEW met2 ( 32430 33830 ) ( 32890 * ) - NEW met2 ( 32430 13800 ) ( * 33830 ) - NEW met2 ( 32430 13800 ) ( 32890 * ) - NEW met2 ( 32430 48620 ) ( * 61540 0 ) - NEW met2 ( 32430 48620 ) ( 32890 * ) - NEW met2 ( 32890 48450 ) ( * 48620 ) - NEW met1 ( 32890 11730 ) M1M2_PR - NEW li1 ( 28750 11730 ) L1M1_PR_MR - NEW li1 ( 27830 11730 ) L1M1_PR_MR - NEW li1 ( 43930 12070 ) L1M1_PR_MR - NEW li1 ( 47610 50150 ) L1M1_PR_MR - NEW met1 ( 47610 50150 ) M1M2_PR - NEW met1 ( 47610 48450 ) M1M2_PR - NEW met1 ( 32890 48450 ) M1M2_PR - NEW met1 ( 47610 50150 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[1] ( PIN gpio_defaults[1] ) ( ANTENNA__083__B DIODE ) ( ANTENNA__084__B DIODE ) ( _084_ B ) ( _083_ B ) + USE SIGNAL - + ROUTED met2 ( 19090 7650 ) ( * 9350 ) - NEW met1 ( 18170 7650 ) ( 19090 * ) - NEW met2 ( 7130 58990 ) ( * 61540 0 ) - NEW met1 ( 18170 58310 ) ( 18630 * ) - NEW met2 ( 18630 43180 ) ( * 58310 ) - NEW met2 ( 18630 43180 ) ( 19090 * ) - NEW met2 ( 18630 58310 ) ( * 58990 ) - NEW met1 ( 7130 58990 ) ( 18630 * ) - NEW met2 ( 19090 9350 ) ( * 43180 ) - NEW li1 ( 19090 9350 ) L1M1_PR_MR - NEW met1 ( 19090 9350 ) M1M2_PR - NEW li1 ( 19090 7650 ) L1M1_PR_MR - NEW met1 ( 19090 7650 ) M1M2_PR - NEW li1 ( 18170 7650 ) L1M1_PR_MR - NEW met1 ( 7130 58990 ) M1M2_PR - NEW li1 ( 18170 58310 ) L1M1_PR_MR - NEW met1 ( 18630 58310 ) M1M2_PR - NEW met1 ( 18630 58990 ) M1M2_PR - NEW met1 ( 19090 9350 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 19090 7650 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[2] ( PIN gpio_defaults[2] ) ( ANTENNA__073__B DIODE ) ( ANTENNA__074__B DIODE ) ( _074_ B ) ( _073_ B ) + USE SIGNAL - + ROUTED met1 ( 8050 58310 ) ( 10810 * ) - NEW met2 ( 9430 61540 0 ) ( 10810 * ) - NEW met2 ( 10810 58310 ) ( * 61540 ) - NEW met1 ( 12650 4250 ) ( 14950 * ) - NEW met1 ( 12650 4250 ) ( * 4590 ) - NEW met1 ( 11730 4590 ) ( 12650 * ) - NEW met2 ( 11730 4590 ) ( * 22610 ) - NEW met2 ( 10810 22610 ) ( 11730 * ) - NEW met1 ( 14950 4250 ) ( 18170 * ) - NEW met1 ( 13570 3910 ) ( * 4250 ) - NEW met2 ( 10810 22610 ) ( * 58310 ) - NEW li1 ( 8050 58310 ) L1M1_PR_MR - NEW met1 ( 10810 58310 ) M1M2_PR - NEW li1 ( 14950 4250 ) L1M1_PR_MR - NEW met1 ( 11730 4590 ) M1M2_PR - NEW li1 ( 18170 4250 ) L1M1_PR_MR - NEW li1 ( 13570 3910 ) L1M1_PR_MR - NEW met1 ( 13570 4250 ) RECT ( 0 -70 255 70 ) ; - - gpio_defaults[3] ( PIN gpio_defaults[3] ) ( ANTENNA__079__B DIODE ) ( ANTENNA__080__B DIODE ) ( _080_ B ) ( _079_ B ) + USE SIGNAL - + ROUTED met1 ( 14950 6630 ) ( 16790 * ) - NEW met1 ( 14950 12410 ) ( 29210 * ) - NEW met2 ( 8970 22950 ) ( * 44710 ) - NEW met2 ( 8970 44710 ) ( * 59330 ) - NEW met2 ( 11730 59330 ) ( * 61540 0 ) - NEW met1 ( 31050 58650 ) ( * 59330 ) - NEW met1 ( 8970 22950 ) ( 14950 * ) - NEW met1 ( 8970 59330 ) ( 31050 * ) - NEW met2 ( 14950 6630 ) ( * 22950 ) - NEW li1 ( 16790 6630 ) L1M1_PR_MR - NEW met1 ( 14950 6630 ) M1M2_PR - NEW li1 ( 29210 12410 ) L1M1_PR_MR - NEW met1 ( 14950 12410 ) M1M2_PR - NEW li1 ( 8970 44710 ) L1M1_PR_MR - NEW met1 ( 8970 44710 ) M1M2_PR - NEW met1 ( 8970 22950 ) M1M2_PR - NEW met1 ( 8970 59330 ) M1M2_PR - NEW met1 ( 11730 59330 ) M1M2_PR - NEW li1 ( 31050 58650 ) L1M1_PR_MR - NEW met1 ( 14950 22950 ) M1M2_PR - NEW met2 ( 14950 12410 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 8970 44710 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 11730 59330 ) RECT ( -595 -70 0 70 ) ; - - gpio_defaults[4] ( PIN gpio_defaults[4] ) ( ANTENNA__081__B DIODE ) ( ANTENNA__082__B DIODE ) ( _082_ B ) ( _081_ B ) + USE SIGNAL - + ROUTED met1 ( 10350 4930 ) ( 16790 * ) - NEW met1 ( 10350 3910 ) ( * 4930 ) - NEW met2 ( 15410 26860 ) ( 16330 * ) - NEW met2 ( 15410 4930 ) ( * 26860 ) - NEW met1 ( 28290 45050 ) ( 30130 * ) - NEW met2 ( 28290 45050 ) ( * 45220 ) - NEW met3 ( 16330 45220 ) ( 28290 * ) - NEW met2 ( 45770 44540 ) ( * 44710 ) - NEW met3 ( 40940 44540 ) ( 45770 * ) - NEW met3 ( 40940 44540 ) ( * 45220 ) - NEW met3 ( 28290 45220 ) ( 40940 * ) - NEW met1 ( 45770 44710 ) ( 49450 * ) - NEW met2 ( 15870 56780 ) ( 16330 * ) - NEW met2 ( 15870 56780 ) ( * 61540 ) - NEW met2 ( 14030 61540 0 ) ( 15870 * ) - NEW met2 ( 16330 26860 ) ( * 56780 ) - NEW li1 ( 49450 44710 ) L1M1_PR_MR - NEW li1 ( 16790 4930 ) L1M1_PR_MR - NEW li1 ( 10350 3910 ) L1M1_PR_MR - NEW met1 ( 15410 4930 ) M1M2_PR - NEW li1 ( 30130 45050 ) L1M1_PR_MR - NEW met1 ( 28290 45050 ) M1M2_PR - NEW met2 ( 28290 45220 ) M2M3_PR - NEW met2 ( 16330 45220 ) M2M3_PR - NEW met1 ( 45770 44710 ) M1M2_PR - NEW met2 ( 45770 44540 ) M2M3_PR - NEW met1 ( 15410 4930 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 16330 45220 ) RECT ( -70 -485 70 0 ) ; - - gpio_defaults[5] ( PIN gpio_defaults[5] ) ( ANTENNA__091__B DIODE ) ( ANTENNA__092__B DIODE ) ( _092_ B ) ( _091_ B ) + USE SIGNAL - + ROUTED met2 ( 12190 30770 ) ( * 33830 ) - NEW met2 ( 17250 18530 ) ( * 27710 ) - NEW met1 ( 15870 27710 ) ( 17250 * ) - NEW met2 ( 15870 27710 ) ( * 30770 ) - NEW met1 ( 17250 20230 ) ( 25990 * ) - NEW met1 ( 17250 15470 ) ( 27370 * ) - NEW met2 ( 17250 15470 ) ( * 18530 ) - NEW met2 ( 18630 33830 ) ( * 37060 ) - NEW met2 ( 18170 37060 ) ( 18630 * ) - NEW met2 ( 18170 37060 ) ( * 61540 ) - NEW met2 ( 16330 61540 0 ) ( 18170 * ) - NEW met1 ( 12190 30770 ) ( 15870 * ) - NEW met1 ( 9430 33830 ) ( 18630 * ) - NEW li1 ( 9430 33830 ) L1M1_PR_MR - NEW met1 ( 12190 30770 ) M1M2_PR - NEW met1 ( 12190 33830 ) M1M2_PR - NEW li1 ( 17250 18530 ) L1M1_PR_MR - NEW met1 ( 17250 18530 ) M1M2_PR - NEW met1 ( 17250 27710 ) M1M2_PR - NEW met1 ( 15870 27710 ) M1M2_PR - NEW met1 ( 15870 30770 ) M1M2_PR - NEW li1 ( 25990 20230 ) L1M1_PR_MR - NEW met1 ( 17250 20230 ) M1M2_PR - NEW li1 ( 27370 15470 ) L1M1_PR_MR - NEW met1 ( 17250 15470 ) M1M2_PR - NEW met1 ( 18630 33830 ) M1M2_PR - NEW met1 ( 12190 33830 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 17250 18530 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 17250 20230 ) RECT ( -70 -485 70 0 ) ; - - gpio_defaults[6] ( PIN gpio_defaults[6] ) ( ANTENNA__093__B DIODE ) ( ANTENNA__094__B DIODE ) ( _094_ B ) ( _093_ B ) + USE SIGNAL - + ROUTED met1 ( 18630 9690 ) ( 21390 * ) - NEW met2 ( 29670 39610 ) ( * 39780 ) - NEW met3 ( 20010 39780 ) ( 29670 * ) - NEW met2 ( 20010 39780 ) ( * 61540 ) - NEW met2 ( 18630 61540 0 ) ( 20010 * ) - NEW met1 ( 29670 33830 ) ( 31050 * ) - NEW met2 ( 29670 33830 ) ( * 39610 ) - NEW met1 ( 19550 22950 ) ( 20010 * ) - NEW met2 ( 20010 22950 ) ( * 34340 ) - NEW met2 ( 19550 34340 ) ( 20010 * ) - NEW met2 ( 19550 34340 ) ( * 39780 ) - NEW met2 ( 19550 39780 ) ( 20010 * ) - NEW met1 ( 18630 22610 ) ( * 22950 ) - NEW met1 ( 18630 22950 ) ( 19550 * ) - NEW met2 ( 18630 9690 ) ( * 22610 ) - NEW li1 ( 21390 9690 ) L1M1_PR_MR - NEW met1 ( 18630 9690 ) M1M2_PR - NEW li1 ( 29670 39610 ) L1M1_PR_MR - NEW met1 ( 29670 39610 ) M1M2_PR - NEW met2 ( 29670 39780 ) M2M3_PR - NEW met2 ( 20010 39780 ) M2M3_PR - NEW li1 ( 31050 33830 ) L1M1_PR_MR - NEW met1 ( 29670 33830 ) M1M2_PR - NEW li1 ( 19550 22950 ) L1M1_PR_MR - NEW met1 ( 20010 22950 ) M1M2_PR - NEW met1 ( 18630 22610 ) M1M2_PR - NEW met1 ( 29670 39610 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[7] ( PIN gpio_defaults[7] ) ( ANTENNA__095__B DIODE ) ( ANTENNA__096__B DIODE ) ( _096_ B ) ( _095_ B ) + USE SIGNAL - + ROUTED met1 ( 17250 22270 ) ( 22770 * ) - NEW met2 ( 22770 22270 ) ( * 41310 ) - NEW met1 ( 20930 41310 ) ( 22770 * ) - NEW met1 ( 31050 28050 ) ( * 28390 ) - NEW met1 ( 26910 28050 ) ( 31050 * ) - NEW met2 ( 26910 26690 ) ( * 28050 ) - NEW met1 ( 22770 26690 ) ( 26910 * ) - NEW met2 ( 36570 23290 ) ( * 25500 ) - NEW met2 ( 36110 25500 ) ( 36570 * ) - NEW met2 ( 36110 25500 ) ( * 28050 ) - NEW met1 ( 31050 28050 ) ( 36110 * ) - NEW met1 ( 36570 22270 ) ( 40250 * ) - NEW met2 ( 36570 22270 ) ( * 23290 ) - NEW met2 ( 20930 41310 ) ( * 61540 0 ) - NEW li1 ( 17250 22270 ) L1M1_PR_MR - NEW met1 ( 22770 22270 ) M1M2_PR - NEW met1 ( 22770 41310 ) M1M2_PR - NEW met1 ( 20930 41310 ) M1M2_PR - NEW li1 ( 31050 28390 ) L1M1_PR_MR - NEW met1 ( 26910 28050 ) M1M2_PR - NEW met1 ( 26910 26690 ) M1M2_PR - NEW met1 ( 22770 26690 ) M1M2_PR - NEW li1 ( 36570 23290 ) L1M1_PR_MR - NEW met1 ( 36570 23290 ) M1M2_PR - NEW met1 ( 36110 28050 ) M1M2_PR - NEW li1 ( 40250 22270 ) L1M1_PR_MR - NEW met1 ( 36570 22270 ) M1M2_PR - NEW met2 ( 22770 26690 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 36570 23290 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[8] ( PIN gpio_defaults[8] ) ( ANTENNA__075__B DIODE ) ( ANTENNA__076__B DIODE ) ( _076_ B ) ( _075_ B ) + USE SIGNAL - + ROUTED met2 ( 22310 61540 ) ( 23230 * 0 ) - NEW met1 ( 48070 52190 ) ( 49450 * ) - NEW met1 ( 26910 42330 ) ( 28750 * ) - NEW met2 ( 26910 42330 ) ( * 44370 ) - NEW met1 ( 22310 44370 ) ( 26910 * ) - NEW met1 ( 42550 45050 ) ( 43010 * ) - NEW met2 ( 43010 45050 ) ( * 45900 ) - NEW met3 ( 27370 45900 ) ( 43010 * ) - NEW met2 ( 27370 45730 ) ( * 45900 ) - NEW met2 ( 26910 45730 ) ( 27370 * ) - NEW met2 ( 26910 44370 ) ( * 45730 ) - NEW met3 ( 43010 45900 ) ( 48070 * ) - NEW met1 ( 44390 37570 ) ( 46230 * ) - NEW met2 ( 46230 37570 ) ( * 42670 ) - NEW met1 ( 46230 42670 ) ( 48070 * ) - NEW met2 ( 48070 42670 ) ( * 45900 ) - NEW met2 ( 22310 44370 ) ( * 61540 ) - NEW met2 ( 48070 45900 ) ( * 52190 ) - NEW li1 ( 49450 52190 ) L1M1_PR_MR - NEW met1 ( 48070 52190 ) M1M2_PR - NEW li1 ( 28750 42330 ) L1M1_PR_MR - NEW met1 ( 26910 42330 ) M1M2_PR - NEW met1 ( 26910 44370 ) M1M2_PR - NEW met1 ( 22310 44370 ) M1M2_PR - NEW li1 ( 42550 45050 ) L1M1_PR_MR - NEW met1 ( 43010 45050 ) M1M2_PR - NEW met2 ( 43010 45900 ) M2M3_PR - NEW met2 ( 27370 45900 ) M2M3_PR - NEW met2 ( 48070 45900 ) M2M3_PR - NEW li1 ( 44390 37570 ) L1M1_PR_MR - NEW met1 ( 46230 37570 ) M1M2_PR - NEW met1 ( 46230 42670 ) M1M2_PR - NEW met1 ( 48070 42670 ) M1M2_PR ; - - gpio_defaults[9] ( PIN gpio_defaults[9] ) ( ANTENNA__077__B DIODE ) ( ANTENNA__078__B DIODE ) ( _078_ B ) ( _077_ B ) + USE SIGNAL - + ROUTED met1 ( 42090 6290 ) ( 42550 * ) - NEW met1 ( 42550 5950 ) ( * 6290 ) - NEW met1 ( 42550 5950 ) ( 46690 * ) - NEW met2 ( 46690 5780 ) ( * 5950 ) - NEW met2 ( 46230 5780 ) ( 46690 * ) - NEW met1 ( 47610 41990 ) ( 48070 * ) - NEW met2 ( 48070 33490 ) ( * 41990 ) - NEW met1 ( 44850 33490 ) ( 48070 * ) - NEW met2 ( 44850 25500 ) ( * 33490 ) - NEW met3 ( 44850 25500 ) ( 45540 * ) - NEW met3 ( 45540 22780 ) ( * 25500 ) - NEW met3 ( 45540 22780 ) ( 46230 * ) - NEW met1 ( 44850 47770 ) ( 48070 * ) - NEW met1 ( 48070 46750 ) ( * 47770 ) - NEW met1 ( 47610 46750 ) ( 48070 * ) - NEW met2 ( 47610 41820 ) ( * 46750 ) - NEW met2 ( 47610 41820 ) ( 48070 * ) - NEW met2 ( 14030 46580 ) ( * 46750 ) - NEW met3 ( 14030 46580 ) ( 15180 * ) - NEW met3 ( 15180 46580 ) ( * 47260 ) - NEW met3 ( 15180 47260 ) ( 43010 * ) - NEW met2 ( 43010 46750 ) ( * 47260 ) - NEW met1 ( 43010 46750 ) ( 47610 * ) - NEW met2 ( 24150 61540 ) ( 25530 * 0 ) - NEW met2 ( 24150 60690 ) ( * 61540 ) - NEW met1 ( 21850 60690 ) ( 24150 * ) - NEW met2 ( 21850 47940 ) ( * 60690 ) - NEW met3 ( 21620 47940 ) ( 21850 * ) - NEW met3 ( 21620 47260 ) ( * 47940 ) - NEW met1 ( 6670 46750 ) ( 14030 * ) - NEW met2 ( 46230 5780 ) ( * 22780 ) - NEW li1 ( 42090 6290 ) L1M1_PR_MR - NEW met1 ( 46690 5950 ) M1M2_PR - NEW li1 ( 6670 46750 ) L1M1_PR_MR - NEW li1 ( 47610 41990 ) L1M1_PR_MR - NEW met1 ( 48070 41990 ) M1M2_PR - NEW met1 ( 48070 33490 ) M1M2_PR - NEW met1 ( 44850 33490 ) M1M2_PR - NEW met2 ( 44850 25500 ) M2M3_PR - NEW met2 ( 46230 22780 ) M2M3_PR - NEW li1 ( 44850 47770 ) L1M1_PR_MR - NEW met1 ( 47610 46750 ) M1M2_PR - NEW met1 ( 14030 46750 ) M1M2_PR - NEW met2 ( 14030 46580 ) M2M3_PR - NEW met2 ( 43010 47260 ) M2M3_PR - NEW met1 ( 43010 46750 ) M1M2_PR - NEW met1 ( 24150 60690 ) M1M2_PR - NEW met1 ( 21850 60690 ) M1M2_PR - NEW met2 ( 21850 47940 ) M2M3_PR ; - - gpio_logic1 ( gpio_logic_high gpio_logic1 ) ( gpio_in_buf TE ) + USE SIGNAL - + ROUTED met2 ( 13570 17000 ) ( * 19550 ) - NEW met3 ( 12420 17000 0 ) ( 13570 * ) - NEW met2 ( 40250 19550 ) ( * 22950 ) - NEW met1 ( 40250 22950 ) ( 41630 * ) - NEW met1 ( 13570 19550 ) ( 40250 * ) - NEW met1 ( 13570 19550 ) M1M2_PR - NEW met2 ( 13570 17000 ) M2M3_PR - NEW met1 ( 40250 19550 ) M1M2_PR - NEW met1 ( 40250 22950 ) M1M2_PR - NEW li1 ( 41630 22950 ) L1M1_PR_MR ; - - gpio_outenb ( _115_ Q ) ( _064_ A ) ( _063_ B ) + USE SIGNAL - + ROUTED met2 ( 49450 47430 ) ( * 49470 ) - NEW met1 ( 49450 49470 ) ( * 49810 ) - NEW met1 ( 45770 49810 ) ( 49450 * ) - NEW met1 ( 45770 49470 ) ( * 49810 ) - NEW met1 ( 29730 49470 ) ( 45770 * ) - NEW met1 ( 49450 26350 ) ( 49910 * ) - NEW met2 ( 49910 26350 ) ( * 42330 ) - NEW met2 ( 49450 42330 ) ( 49910 * ) - NEW met2 ( 49450 42330 ) ( * 47430 ) - NEW li1 ( 49450 47430 ) L1M1_PR_MR - NEW met1 ( 49450 47430 ) M1M2_PR - NEW met1 ( 49450 49470 ) M1M2_PR - NEW li1 ( 29730 49470 ) L1M1_PR_MR - NEW li1 ( 49450 26350 ) L1M1_PR_MR - NEW met1 ( 49910 26350 ) M1M2_PR - NEW met1 ( 49450 47430 ) RECT ( -355 -70 0 70 ) ; - - mgmt_ena ( _109_ Q ) ( _069_ B1 ) ( _066_ A_N ) ( _065_ S ) + USE SIGNAL - + ROUTED met1 ( 47150 36210 ) ( * 36550 ) - NEW met1 ( 47150 36210 ) ( 48990 * ) - NEW met2 ( 48990 18190 ) ( * 36210 ) - NEW met1 ( 47610 18190 ) ( 48990 * ) - NEW met1 ( 47610 17850 ) ( * 18190 ) - NEW met1 ( 46690 17850 ) ( 47610 * ) - NEW met1 ( 48530 45050 ) ( 48990 * ) - NEW met2 ( 48990 36210 ) ( * 45050 ) - NEW met2 ( 49910 49980 ) ( * 53550 ) - NEW met2 ( 48990 49980 ) ( 49910 * ) - NEW met2 ( 48990 45050 ) ( * 49980 ) - NEW met1 ( 45310 52870 ) ( * 53550 ) - NEW met1 ( 44390 52870 ) ( 45310 * ) - NEW met2 ( 44390 52870 ) ( * 53550 ) - NEW met1 ( 40770 53550 ) ( 44390 * ) - NEW met1 ( 45310 53550 ) ( 49910 * ) - NEW li1 ( 47150 36550 ) L1M1_PR_MR - NEW met1 ( 48990 36210 ) M1M2_PR - NEW met1 ( 48990 18190 ) M1M2_PR - NEW li1 ( 46690 17850 ) L1M1_PR_MR - NEW li1 ( 48530 45050 ) L1M1_PR_MR - NEW met1 ( 48990 45050 ) M1M2_PR - NEW met1 ( 49910 53550 ) M1M2_PR - NEW met1 ( 44390 52870 ) M1M2_PR - NEW met1 ( 44390 53550 ) M1M2_PR - NEW li1 ( 40770 53550 ) L1M1_PR_MR ; - - mgmt_gpio_in ( PIN mgmt_gpio_in ) ( _139_ Z ) + USE SIGNAL - + ROUTED met3 ( 56350 4420 ) ( 70380 * 0 ) - NEW met1 ( 29670 23970 ) ( 56350 * ) - NEW met2 ( 29670 23970 ) ( * 25670 ) - NEW met1 ( 29670 25670 ) ( * 26010 ) - NEW met1 ( 25530 26010 ) ( 29670 * ) - NEW met2 ( 56350 4420 ) ( * 23970 ) - NEW met2 ( 56350 4420 ) M2M3_PR - NEW met1 ( 56350 23970 ) M1M2_PR - NEW met1 ( 29670 23970 ) M1M2_PR - NEW met1 ( 29670 25670 ) M1M2_PR - NEW li1 ( 25530 26010 ) L1M1_PR_MR ; - - mgmt_gpio_oeb ( PIN mgmt_gpio_oeb ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL - + ROUTED met2 ( 43930 6970 ) ( * 8500 ) - NEW met3 ( 43930 8500 ) ( 70380 * 0 ) - NEW met2 ( 43930 4930 ) ( * 6970 ) - NEW met1 ( 32890 4930 ) ( 43930 * ) - NEW li1 ( 32890 4930 ) L1M1_PR_MR - NEW li1 ( 43930 6970 ) L1M1_PR_MR - NEW met1 ( 43930 6970 ) M1M2_PR - NEW met2 ( 43930 8500 ) M2M3_PR - NEW met1 ( 43930 4930 ) M1M2_PR - NEW met1 ( 43930 6970 ) RECT ( -355 -70 0 70 ) ; - - mgmt_gpio_out ( PIN mgmt_gpio_out ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL - + ROUTED met2 ( 20010 2210 ) ( * 3230 ) - NEW met2 ( 83490 3910 ) ( * 10540 ) - NEW met3 ( 83260 10540 0 ) ( 83490 * ) - NEW met1 ( 51750 2210 ) ( * 3910 ) - NEW met1 ( 20010 2210 ) ( 51750 * ) - NEW met1 ( 49910 3910 ) ( 83490 * ) - NEW met1 ( 20010 2210 ) M1M2_PR - NEW li1 ( 20010 3230 ) L1M1_PR_MR - NEW met1 ( 20010 3230 ) M1M2_PR - NEW met1 ( 83490 3910 ) M1M2_PR - NEW met2 ( 83490 10540 ) M2M3_PR - NEW li1 ( 49910 3910 ) L1M1_PR_MR - NEW met1 ( 20010 3230 ) RECT ( -355 -70 0 70 ) ; - - net1 ( input1 X ) ( _067_ C ) ( _064_ B ) + USE SIGNAL - + ROUTED met1 ( 48530 25670 ) ( 50830 * ) - NEW met2 ( 50830 25670 ) ( * 28730 ) - NEW met2 ( 50830 7650 ) ( * 25670 ) - NEW met1 ( 48070 28730 ) ( * 29070 ) - NEW met1 ( 47105 29070 ) ( 48070 * ) - NEW met1 ( 44850 7650 ) ( 50830 * ) - NEW met1 ( 48070 28730 ) ( 50830 * ) - NEW met1 ( 50830 7650 ) M1M2_PR - NEW li1 ( 48530 25670 ) L1M1_PR_MR - NEW met1 ( 50830 25670 ) M1M2_PR - NEW met1 ( 50830 28730 ) M1M2_PR - NEW li1 ( 44850 7650 ) L1M1_PR_MR - NEW li1 ( 47105 29070 ) L1M1_PR_MR ; - - net10 ( output10 A ) ( _117_ Q ) ( _067_ B ) + USE SIGNAL - + ROUTED met1 ( 46690 49470 ) ( 46730 * ) - NEW met1 ( 44850 27710 ) ( 47150 * ) - NEW met1 ( 44850 27710 ) ( * 28050 ) - NEW met1 ( 43010 28050 ) ( 44850 * ) - NEW met2 ( 43010 28050 ) ( * 28220 ) - NEW met3 ( 43010 28220 ) ( 46690 * ) - NEW met1 ( 39790 14790 ) ( * 15130 ) - NEW met1 ( 39790 15130 ) ( 43010 * ) - NEW met2 ( 43010 15130 ) ( * 28050 ) - NEW met2 ( 46690 28220 ) ( * 49470 ) - NEW met1 ( 46690 49470 ) M1M2_PR - NEW li1 ( 46730 49470 ) L1M1_PR_MR - NEW li1 ( 47150 27710 ) L1M1_PR_MR - NEW met1 ( 43010 28050 ) M1M2_PR - NEW met2 ( 43010 28220 ) M2M3_PR - NEW met2 ( 46690 28220 ) M2M3_PR - NEW li1 ( 39790 14790 ) L1M1_PR_MR - NEW met1 ( 43010 15130 ) M1M2_PR - NEW met1 ( 46690 49470 ) RECT ( -315 -70 0 70 ) ; - - net11 ( output11 A ) ( _118_ Q ) ( _067_ A_N ) + USE SIGNAL - + ROUTED met1 ( 48530 29070 ) ( 48990 * ) - NEW met2 ( 48530 29070 ) ( * 55250 ) - NEW met1 ( 48530 55250 ) ( 49490 * ) - NEW met1 ( 48990 29070 ) ( 49450 * ) - NEW met2 ( 49450 9350 ) ( * 29070 ) - NEW li1 ( 49450 9350 ) L1M1_PR_MR - NEW met1 ( 49450 9350 ) M1M2_PR - NEW li1 ( 48990 29070 ) L1M1_PR_MR - NEW met1 ( 48530 29070 ) M1M2_PR - NEW met1 ( 48530 55250 ) M1M2_PR - NEW li1 ( 49490 55250 ) L1M1_PR_MR - NEW met1 ( 49450 29070 ) M1M2_PR - NEW met1 ( 49450 9350 ) RECT ( -355 -70 0 70 ) ; - - net12 ( output12 A ) ( _110_ Q ) + USE SIGNAL - + ROUTED met1 ( 29250 55250 ) ( 33350 * ) - NEW met2 ( 33350 17850 ) ( * 55250 ) - NEW met1 ( 32890 17850 ) ( 33350 * ) - NEW li1 ( 29250 55250 ) L1M1_PR_MR - NEW met1 ( 33350 55250 ) M1M2_PR - NEW met1 ( 33350 17850 ) M1M2_PR - NEW li1 ( 32890 17850 ) L1M1_PR_MR ; - - net13 ( output13 A ) ( _114_ Q ) + USE SIGNAL - + ROUTED met1 ( 30130 35870 ) ( 30170 * ) - NEW met2 ( 30130 30260 ) ( * 35870 ) - NEW met2 ( 30130 30260 ) ( 30590 * ) - NEW met2 ( 30590 20230 ) ( * 30260 ) - NEW li1 ( 30170 35870 ) L1M1_PR_MR - NEW met1 ( 30130 35870 ) M1M2_PR - NEW li1 ( 30590 20230 ) L1M1_PR_MR - NEW met1 ( 30590 20230 ) M1M2_PR - NEW met1 ( 30170 35870 ) RECT ( 0 -70 315 70 ) - NEW met1 ( 30590 20230 ) RECT ( -355 -70 0 70 ) ; - - net14 ( output14 A ) ( _113_ Q ) ( _063_ A_N ) + USE SIGNAL - + ROUTED met1 ( 47610 47090 ) ( * 47430 ) - NEW met1 ( 40710 47090 ) ( 47610 * ) - NEW met2 ( 40710 14790 ) ( * 47090 ) - NEW met1 ( 30190 47090 ) ( 33810 * ) - NEW met1 ( 33810 46750 ) ( * 47090 ) - NEW met1 ( 33810 46750 ) ( 40710 * ) - NEW met1 ( 40710 46750 ) ( * 47090 ) - NEW li1 ( 47610 47430 ) L1M1_PR_MR - NEW met1 ( 40710 47090 ) M1M2_PR - NEW li1 ( 40710 14790 ) L1M1_PR_MR - NEW met1 ( 40710 14790 ) M1M2_PR - NEW li1 ( 30190 47090 ) L1M1_PR_MR - NEW met1 ( 40710 14790 ) RECT ( -355 -70 0 70 ) ; - - net15 ( output15 A ) ( _070_ Y ) + USE SIGNAL - + ROUTED met2 ( 43010 28730 ) ( * 30770 ) - NEW met1 ( 43010 30770 ) ( 44390 * ) - NEW li1 ( 43010 28730 ) L1M1_PR_MR - NEW met1 ( 43010 28730 ) M1M2_PR - NEW met1 ( 43010 30770 ) M1M2_PR - NEW li1 ( 44390 30770 ) L1M1_PR_MR - NEW met1 ( 43010 28730 ) RECT ( -355 -70 0 70 ) ; - - net16 ( output16 A ) ( _065_ X ) + USE SIGNAL - + ROUTED met2 ( 41170 20230 ) ( * 36550 ) - NEW met1 ( 41170 36550 ) ( 44850 * ) - NEW li1 ( 41170 20230 ) L1M1_PR_MR - NEW met1 ( 41170 20230 ) M1M2_PR - NEW met1 ( 41170 36550 ) M1M2_PR - NEW li1 ( 44850 36550 ) L1M1_PR_MR - NEW met1 ( 41170 20230 ) RECT ( -355 -70 0 70 ) ; - - net17 ( output17 A ) ( _111_ Q ) + USE SIGNAL - + ROUTED met1 ( 44850 34170 ) ( 45370 * ) - NEW met2 ( 44850 34170 ) ( * 58310 ) - NEW met1 ( 43010 58310 ) ( 44850 * ) - NEW li1 ( 45370 34170 ) L1M1_PR_MR - NEW met1 ( 44850 34170 ) M1M2_PR - NEW met1 ( 44850 58310 ) M1M2_PR - NEW li1 ( 43010 58310 ) L1M1_PR_MR ; - - net18 ( output18 A ) ( _112_ Q ) + USE SIGNAL - + ROUTED met1 ( 41630 40290 ) ( 49490 * ) - NEW met2 ( 41630 40290 ) ( * 41310 ) - NEW met1 ( 34270 41310 ) ( 41630 * ) - NEW met1 ( 34270 41310 ) ( * 41650 ) - NEW met1 ( 30130 41650 ) ( 34270 * ) - NEW met1 ( 30130 41650 ) ( * 43010 ) - NEW met1 ( 15410 43010 ) ( 30130 * ) - NEW met1 ( 15410 41990 ) ( * 43010 ) - NEW li1 ( 49490 40290 ) L1M1_PR_MR - NEW met1 ( 41630 40290 ) M1M2_PR - NEW met1 ( 41630 41310 ) M1M2_PR - NEW li1 ( 15410 41990 ) L1M1_PR_MR ; - - net19 ( output19 A ) ( _136_ X ) + USE SIGNAL - + ROUTED met1 ( 17710 26690 ) ( 21390 * ) - NEW met2 ( 21390 26690 ) ( * 58310 ) - NEW li1 ( 17710 26690 ) L1M1_PR_MR - NEW met1 ( 21390 26690 ) M1M2_PR - NEW li1 ( 21390 58310 ) L1M1_PR_MR - NEW met1 ( 21390 58310 ) M1M2_PR - NEW met1 ( 21390 58310 ) RECT ( -355 -70 0 70 ) ; - - net2 ( input2 X ) ( _069_ A1 ) + USE SIGNAL - + ROUTED met2 ( 48990 4930 ) ( * 17510 ) - NEW li1 ( 48990 4930 ) L1M1_PR_MR - NEW met1 ( 48990 4930 ) M1M2_PR - NEW li1 ( 48990 17510 ) L1M1_PR_MR - NEW met1 ( 48990 17510 ) M1M2_PR - NEW met1 ( 48990 4930 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 48990 17510 ) RECT ( -355 -70 0 70 ) ; - - net20 ( output20 A ) ( _135_ Q ) + USE SIGNAL - + ROUTED met2 ( 8050 52870 ) ( * 54910 ) - NEW met1 ( 8050 52870 ) ( 15410 * ) - NEW met1 ( 8050 52870 ) M1M2_PR - NEW li1 ( 8050 54910 ) L1M1_PR_MR - NEW met1 ( 8050 54910 ) M1M2_PR - NEW li1 ( 15410 52870 ) L1M1_PR_MR - NEW met1 ( 8050 54910 ) RECT ( -355 -70 0 70 ) ; - - net21 ( fanout21 X ) ( _127_ RESET_B ) ( _126_ RESET_B ) ( _082_ A_N ) ( _081_ A ) ( _094_ A_N ) ( _092_ A_N ) - ( _091_ A ) + USE SIGNAL - + ROUTED met2 ( 11730 3570 ) ( * 3740 ) - NEW met2 ( 10810 3740 ) ( 11730 * ) - NEW met2 ( 10810 3570 ) ( * 3740 ) - NEW met1 ( 8050 3570 ) ( 10810 * ) - NEW met1 ( 8050 3570 ) ( * 3910 ) - NEW met1 ( 11730 3570 ) ( 17250 * ) - NEW met2 ( 11730 31790 ) ( * 36210 ) - NEW met1 ( 8050 33490 ) ( * 34170 ) - NEW met1 ( 8050 33490 ) ( 11730 * ) - NEW met1 ( 29210 44710 ) ( 29670 * ) - NEW met2 ( 29670 44710 ) ( * 45220 ) - NEW met2 ( 29670 45220 ) ( 30130 * ) - NEW met2 ( 30130 45220 ) ( * 48110 ) - NEW met1 ( 30130 48110 ) ( 31510 * ) - NEW met1 ( 25070 41990 0 ) ( * 42670 ) - NEW met1 ( 25070 42670 ) ( 29670 * ) - NEW met2 ( 29670 42670 ) ( * 44710 ) - NEW met1 ( 26910 20230 ) ( 27370 * ) - NEW met2 ( 27370 20230 ) ( * 42670 ) - NEW met1 ( 17710 23290 ) ( 18170 * ) - NEW met2 ( 17710 19890 ) ( * 23290 ) - NEW met1 ( 17710 19890 ) ( 26910 * ) - NEW met1 ( 26910 19890 ) ( * 20230 ) - NEW met2 ( 14030 28050 ) ( * 31790 ) - NEW met1 ( 14030 28050 ) ( 17710 * ) - NEW met2 ( 17710 23290 ) ( * 28050 ) - NEW met2 ( 16330 14620 ) ( 17250 * ) - NEW met2 ( 16330 14620 ) ( * 19890 ) - NEW met1 ( 16330 19890 ) ( 17710 * ) - NEW met1 ( 11730 31790 ) ( 14030 * ) - NEW met2 ( 17250 3570 ) ( * 14620 ) - NEW met1 ( 17250 3570 ) M1M2_PR - NEW met1 ( 11730 3570 ) M1M2_PR - NEW met1 ( 10810 3570 ) M1M2_PR - NEW li1 ( 8050 3910 ) L1M1_PR_MR - NEW met1 ( 11730 36210 ) M1M2_PR - NEW met1 ( 11730 31790 ) M1M2_PR - NEW li1 ( 8050 34170 ) L1M1_PR_MR - NEW met1 ( 11730 33490 ) M1M2_PR - NEW li1 ( 29210 44710 ) L1M1_PR_MR - NEW met1 ( 29670 44710 ) M1M2_PR - NEW met1 ( 30130 48110 ) M1M2_PR - NEW li1 ( 31510 48110 ) L1M1_PR_MR - NEW met1 ( 29670 42670 ) M1M2_PR - NEW li1 ( 26910 20230 ) L1M1_PR_MR - NEW met1 ( 27370 20230 ) M1M2_PR - NEW met1 ( 27370 42670 ) M1M2_PR - NEW li1 ( 18170 23290 ) L1M1_PR_MR - NEW met1 ( 17710 23290 ) M1M2_PR - NEW met1 ( 17710 19890 ) M1M2_PR - NEW met1 ( 14030 31790 ) M1M2_PR - NEW met1 ( 14030 28050 ) M1M2_PR - NEW met1 ( 17710 28050 ) M1M2_PR - NEW met1 ( 16330 19890 ) M1M2_PR - NEW met2 ( 11730 33490 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 27370 42670 ) RECT ( -595 -70 0 70 ) ; - - net22 ( fanout22 X ) ( _130_ RESET_B ) ( _096_ A_N ) ( _095_ A ) ( _093_ A ) ( _128_ RESET_B ) ( _129_ RESET_B ) - ( fanout21 A ) + USE SIGNAL - + ROUTED met1 ( 27370 34510 0 ) ( 28750 * ) - NEW met2 ( 28750 34510 ) ( * 40290 ) - NEW met1 ( 24150 40290 ) ( 28750 * ) - NEW met1 ( 24150 39950 ) ( * 40290 ) - NEW met1 ( 22770 39950 0 ) ( 24150 * ) - NEW met1 ( 28750 37230 ) ( 31510 * ) - NEW met1 ( 31970 33490 ) ( * 33830 ) - NEW met1 ( 29210 33490 ) ( 31970 * ) - NEW met1 ( 29210 33490 ) ( * 34170 ) - NEW met1 ( 28750 34170 ) ( 29210 * ) - NEW met1 ( 28750 34170 ) ( * 34510 ) - NEW met1 ( 27370 29070 0 ) ( 27830 * ) - NEW met2 ( 27830 29070 ) ( * 34510 ) - NEW met1 ( 31970 28730 ) ( * 29070 ) - NEW met1 ( 27830 29070 ) ( 31970 * ) - NEW met1 ( 28750 47430 ) ( 32430 * ) - NEW met2 ( 28750 40290 ) ( * 47430 ) - NEW met2 ( 34270 23290 ) ( * 28730 ) - NEW met1 ( 31970 28730 ) ( 34270 * ) - NEW met1 ( 28750 34510 ) M1M2_PR - NEW met1 ( 28750 40290 ) M1M2_PR - NEW li1 ( 31510 37230 ) L1M1_PR_MR - NEW met1 ( 28750 37230 ) M1M2_PR - NEW li1 ( 31970 33830 ) L1M1_PR_MR - NEW met1 ( 27830 29070 ) M1M2_PR - NEW met1 ( 27830 34510 ) M1M2_PR - NEW li1 ( 31970 28730 ) L1M1_PR_MR - NEW li1 ( 32430 47430 ) L1M1_PR_MR - NEW met1 ( 28750 47430 ) M1M2_PR - NEW li1 ( 34270 23290 ) L1M1_PR_MR - NEW met1 ( 34270 23290 ) M1M2_PR - NEW met1 ( 34270 28730 ) M1M2_PR - NEW met2 ( 28750 37230 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 27830 34510 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 34270 23290 ) RECT ( -355 -70 0 70 ) ; - - net23 ( ANTENNA__079__A DIODE ) ( ANTENNA__080__A_N DIODE ) ( ANTENNA__125__RESET_B DIODE ) ( ANTENNA__073__A DIODE ) ( ANTENNA__074__A_N DIODE ) ( ANTENNA__083__A DIODE ) ( ANTENNA__084__A_N DIODE ) - ( fanout23 X ) ( _084_ A_N ) ( _083_ A ) ( _074_ A_N ) ( _073_ A ) ( _125_ RESET_B ) ( _080_ A_N ) ( _079_ A ) + USE SIGNAL - + ROUTED met1 ( 5750 45730 ) ( 6210 * ) - NEW met1 ( 6210 45050 ) ( 7590 * ) - NEW met1 ( 6210 45050 ) ( * 45730 ) - NEW met1 ( 5750 4930 ) ( 6670 * ) - NEW met2 ( 5750 4930 ) ( * 45730 ) - NEW met1 ( 11270 3230 ) ( * 3910 ) - NEW met1 ( 5750 3230 ) ( 11270 * ) - NEW met2 ( 5750 3230 ) ( * 4930 ) - NEW met1 ( 11270 3230 ) ( 14030 * ) - NEW met1 ( 14030 3230 ) ( 15870 * ) - NEW met1 ( 15870 9350 ) ( 17250 * ) - NEW met2 ( 15870 3230 ) ( * 9350 ) - NEW met1 ( 17250 9010 ) ( 22310 * ) - NEW met1 ( 17250 9010 ) ( * 9350 ) - NEW met1 ( 22310 9010 ) ( 23230 * ) - NEW met1 ( 23230 11390 ) ( 30130 * ) - NEW met2 ( 23230 9010 ) ( * 11390 ) - NEW met1 ( 30590 45050 ) ( 31970 * ) - NEW met1 ( 30590 45050 ) ( * 45390 ) - NEW met1 ( 21390 45390 0 ) ( 30590 * ) - NEW met1 ( 5750 58310 ) ( 7130 * ) - NEW met1 ( 7130 58650 ) ( 19090 * ) - NEW met1 ( 7130 58310 ) ( * 58650 ) - NEW met2 ( 31970 58310 ) ( * 58990 ) - NEW met2 ( 30590 58990 ) ( 31970 * ) - NEW met1 ( 19090 58990 ) ( 30590 * ) - NEW met1 ( 19090 58650 ) ( * 58990 ) - NEW met2 ( 45770 52700 ) ( * 53890 ) - NEW met3 ( 31970 52700 ) ( 45770 * ) - NEW met2 ( 5750 45730 ) ( * 58310 ) - NEW met2 ( 31970 45050 ) ( * 58310 ) - NEW met1 ( 45770 53890 ) ( 48530 * ) - NEW li1 ( 48530 53890 ) L1M1_PR_MR - NEW li1 ( 6210 45730 ) L1M1_PR_MR - NEW met1 ( 5750 45730 ) M1M2_PR - NEW li1 ( 7590 45050 ) L1M1_PR_MR - NEW li1 ( 6670 4930 ) L1M1_PR_MR - NEW met1 ( 5750 4930 ) M1M2_PR - NEW li1 ( 11270 3910 ) L1M1_PR_MR - NEW met1 ( 5750 3230 ) M1M2_PR - NEW li1 ( 14030 3230 ) L1M1_PR_MR - NEW li1 ( 15870 3230 ) L1M1_PR_MR - NEW li1 ( 17250 9350 ) L1M1_PR_MR - NEW met1 ( 15870 9350 ) M1M2_PR - NEW met1 ( 15870 3230 ) M1M2_PR - NEW li1 ( 22310 9010 ) L1M1_PR_MR - NEW li1 ( 23230 9010 ) L1M1_PR_MR - NEW li1 ( 30130 11390 ) L1M1_PR_MR - NEW met1 ( 23230 11390 ) M1M2_PR - NEW met1 ( 23230 9010 ) M1M2_PR - NEW met1 ( 31970 45050 ) M1M2_PR - NEW li1 ( 7130 58310 ) L1M1_PR_MR - NEW met1 ( 5750 58310 ) M1M2_PR - NEW li1 ( 19090 58650 ) L1M1_PR_MR - NEW li1 ( 31970 58310 ) L1M1_PR_MR - NEW met1 ( 31970 58310 ) M1M2_PR - NEW met1 ( 30590 58990 ) M1M2_PR - NEW met1 ( 45770 53890 ) M1M2_PR - NEW met2 ( 45770 52700 ) M2M3_PR - NEW met2 ( 31970 52700 ) M2M3_PR - NEW met1 ( 15870 3230 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 23230 9010 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 31970 58310 ) RECT ( 0 -70 355 70 ) - NEW met2 ( 31970 52700 ) RECT ( -70 -485 70 0 ) ; - - net24 ( fanout24 X ) ( _122_ RESET_B ) ( _072_ A_N ) ( _123_ RESET_B ) ( _124_ RESET_B ) ( fanout23 A ) + USE SIGNAL - + ROUTED met1 ( 37030 38590 ) ( 41170 * ) - NEW met2 ( 37030 23290 ) ( * 38590 ) - NEW met1 ( 37030 23290 ) ( 39330 * ) - NEW met1 ( 13570 47090 0 ) ( 15410 * ) - NEW met1 ( 42090 52190 ) ( 47610 * ) - NEW met1 ( 47610 52190 ) ( * 52870 ) - NEW met1 ( 41170 52190 ) ( 42090 * ) - NEW met1 ( 25530 52530 0 ) ( 27830 * ) - NEW met2 ( 27830 52020 ) ( * 52530 ) - NEW met3 ( 27830 52020 ) ( 41170 * ) - NEW met1 ( 15410 48450 ) ( 27830 * ) - NEW met2 ( 27830 48450 ) ( * 52020 ) - NEW met1 ( 14490 50830 0 ) ( 15410 * ) - NEW met2 ( 15410 48450 ) ( * 50830 ) - NEW met2 ( 15410 47090 ) ( * 48450 ) - NEW met2 ( 41170 38590 ) ( * 52190 ) - NEW met1 ( 41170 38590 ) M1M2_PR - NEW met1 ( 37030 38590 ) M1M2_PR - NEW met1 ( 37030 23290 ) M1M2_PR - NEW li1 ( 39330 23290 ) L1M1_PR_MR - NEW met1 ( 15410 47090 ) M1M2_PR - NEW li1 ( 42090 52190 ) L1M1_PR_MR - NEW li1 ( 47610 52870 ) L1M1_PR_MR - NEW met1 ( 41170 52190 ) M1M2_PR - NEW met1 ( 27830 52530 ) M1M2_PR - NEW met2 ( 27830 52020 ) M2M3_PR - NEW met2 ( 41170 52020 ) M2M3_PR - NEW met1 ( 15410 48450 ) M1M2_PR - NEW met1 ( 27830 48450 ) M1M2_PR - NEW met1 ( 15410 50830 ) M1M2_PR - NEW met2 ( 41170 52020 ) RECT ( -70 -485 70 0 ) ; - - net25 ( fanout25 X ) ( _089_ A ) ( _087_ A ) ( _077_ A ) ( _134_ RESET_B ) ( _133_ RESET_B ) ( _088_ A_N ) - ( _071_ A ) + USE SIGNAL - + ROUTED met1 ( 49450 13090 ) ( 52210 * ) - NEW met1 ( 48530 41990 ) ( 52210 * ) - NEW met2 ( 52210 16830 ) ( * 41990 ) - NEW met1 ( 48530 50150 ) ( 52210 * ) - NEW met2 ( 52210 41990 ) ( * 50150 ) - NEW met1 ( 35650 55590 ) ( 38870 * ) - NEW met1 ( 38870 55590 ) ( * 55930 ) - NEW met1 ( 38870 55930 ) ( 39790 * ) - NEW met1 ( 48530 58310 ) ( 52210 * ) - NEW met2 ( 52210 50150 ) ( * 58310 ) - NEW met2 ( 52210 13090 ) ( * 16830 ) - NEW met2 ( 39790 48300 ) ( * 55930 ) - NEW met2 ( 47150 16830 ) ( * 17510 ) - NEW met1 ( 45770 17510 ) ( 47150 * ) - NEW met1 ( 45770 17510 ) ( * 17850 ) - NEW met1 ( 39790 47430 0 ) ( * 48110 ) - NEW met2 ( 39790 48110 ) ( * 48300 ) - NEW met1 ( 37490 45390 0 ) ( 38410 * ) - NEW met2 ( 38410 45390 ) ( * 48300 ) - NEW met2 ( 38410 48300 ) ( 39790 * ) - NEW met1 ( 47150 16830 ) ( 52210 * ) - NEW met1 ( 39790 48110 ) ( 52210 * ) - NEW li1 ( 49450 13090 ) L1M1_PR_MR - NEW met1 ( 52210 13090 ) M1M2_PR - NEW met1 ( 52210 16830 ) M1M2_PR - NEW li1 ( 48530 41990 ) L1M1_PR_MR - NEW met1 ( 52210 41990 ) M1M2_PR - NEW li1 ( 48530 50150 ) L1M1_PR_MR - NEW met1 ( 52210 50150 ) M1M2_PR - NEW met1 ( 52210 48110 ) M1M2_PR - NEW li1 ( 35650 55590 ) L1M1_PR_MR - NEW met1 ( 39790 55930 ) M1M2_PR - NEW li1 ( 48530 58310 ) L1M1_PR_MR - NEW met1 ( 52210 58310 ) M1M2_PR - NEW met1 ( 47150 16830 ) M1M2_PR - NEW met1 ( 47150 17510 ) M1M2_PR - NEW li1 ( 45770 17850 ) L1M1_PR_MR - NEW met1 ( 39790 48110 ) M1M2_PR - NEW met1 ( 38410 45390 ) M1M2_PR - NEW met2 ( 52210 48110 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 39790 48110 ) RECT ( 0 -70 595 70 ) ; - - net26 ( fanout26 X ) ( _136_ A ) ( _135_ RESET_B ) ( _090_ A_N ) + USE SIGNAL - + ROUTED met1 ( 14950 25670 ) ( 16790 * ) - NEW met2 ( 14950 25670 ) ( * 31620 ) - NEW met2 ( 14950 31620 ) ( 15870 * ) - NEW met2 ( 15870 31620 ) ( * 56270 ) - NEW met1 ( 33810 16830 ) ( 42090 * ) - NEW met1 ( 33810 16830 ) ( * 17170 ) - NEW met1 ( 28750 17170 ) ( 33810 * ) - NEW met1 ( 28750 16830 ) ( * 17170 ) - NEW met1 ( 15870 16830 ) ( 28750 * ) - NEW met2 ( 15870 16830 ) ( * 25670 ) - NEW met1 ( 42090 16830 ) ( 42550 * ) - NEW met1 ( 13110 56270 0 ) ( 15870 * ) - NEW met2 ( 42550 12410 ) ( * 16830 ) - NEW li1 ( 42550 12410 ) L1M1_PR_MR - NEW met1 ( 42550 12410 ) M1M2_PR - NEW li1 ( 16790 25670 ) L1M1_PR_MR - NEW met1 ( 14950 25670 ) M1M2_PR - NEW met1 ( 15870 56270 ) M1M2_PR - NEW li1 ( 42090 16830 ) L1M1_PR_MR - NEW met1 ( 15870 16830 ) M1M2_PR - NEW met1 ( 15870 25670 ) M1M2_PR - NEW met1 ( 42550 16830 ) M1M2_PR - NEW met1 ( 42550 12410 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 15870 25670 ) RECT ( -595 -70 0 70 ) ; - - net27 ( fanout27 X ) ( _132_ RESET_B ) ( _131_ RESET_B ) ( _086_ A_N ) ( _085_ A ) ( _078_ A_N ) ( _076_ A_N ) - ( _075_ A ) + USE SIGNAL - + ROUTED met1 ( 48530 6290 ) ( 49910 * ) - NEW met1 ( 48530 6290 ) ( * 6630 ) - NEW met1 ( 48530 34170 ) ( 51290 * ) - NEW met2 ( 51290 25330 ) ( * 34170 ) - NEW met1 ( 49910 25330 ) ( 51290 * ) - NEW met2 ( 49910 6290 ) ( * 25330 ) - NEW met1 ( 40250 36210 0 ) ( 40710 * ) - NEW met1 ( 40710 35870 ) ( * 36210 ) - NEW met1 ( 40710 35870 ) ( 46230 * ) - NEW met2 ( 46230 34170 ) ( * 35870 ) - NEW met1 ( 40250 42670 ) ( 42550 * ) - NEW met2 ( 40250 36890 ) ( * 42670 ) - NEW met1 ( 40250 36210 0 ) ( * 36890 ) - NEW met1 ( 37950 41990 0 ) ( 40250 * ) - NEW met1 ( 43930 45050 ) ( * 45730 ) - NEW met1 ( 40710 45730 ) ( 43930 * ) - NEW met1 ( 40710 45390 ) ( * 45730 ) - NEW met1 ( 40250 45390 ) ( 40710 * ) - NEW met2 ( 40250 42670 ) ( * 45390 ) - NEW met1 ( 45770 47430 ) ( 46230 * ) - NEW met2 ( 45770 45390 ) ( * 47430 ) - NEW met1 ( 43930 45390 ) ( 45770 * ) - NEW met2 ( 29670 41820 ) ( * 41990 ) - NEW met3 ( 29670 41820 ) ( 37950 * ) - NEW met2 ( 37950 41820 ) ( * 41990 ) - NEW met1 ( 46230 34170 ) ( 48530 * ) - NEW met1 ( 49910 6290 ) M1M2_PR - NEW li1 ( 48530 6630 ) L1M1_PR_MR - NEW li1 ( 48530 34170 ) L1M1_PR_MR - NEW met1 ( 51290 34170 ) M1M2_PR - NEW met1 ( 51290 25330 ) M1M2_PR - NEW met1 ( 49910 25330 ) M1M2_PR - NEW met1 ( 46230 35870 ) M1M2_PR - NEW met1 ( 46230 34170 ) M1M2_PR - NEW li1 ( 42550 42670 ) L1M1_PR_MR - NEW met1 ( 40250 42670 ) M1M2_PR - NEW met1 ( 40250 36890 ) M1M2_PR - NEW met1 ( 40250 41990 ) M1M2_PR - NEW li1 ( 43930 45050 ) L1M1_PR_MR - NEW met1 ( 40250 45390 ) M1M2_PR - NEW li1 ( 46230 47430 ) L1M1_PR_MR - NEW met1 ( 45770 47430 ) M1M2_PR - NEW met1 ( 45770 45390 ) M1M2_PR - NEW li1 ( 29670 41990 ) L1M1_PR_MR - NEW met1 ( 29670 41990 ) M1M2_PR - NEW met2 ( 29670 41820 ) M2M3_PR - NEW met2 ( 37950 41820 ) M2M3_PR - NEW met1 ( 37950 41990 ) M1M2_PR - NEW met2 ( 40250 41990 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 29670 41990 ) RECT ( -355 -70 0 70 ) ; - - net28 ( fanout28 X ) ( fanout26 A ) ( fanout25 A ) ( fanout27 A ) ( fanout22 A ) ( fanout24 A ) + USE SIGNAL - + ROUTED met1 ( 43010 12410 ) ( 48530 * ) - NEW met2 ( 41630 41990 ) ( * 44540 ) - NEW met2 ( 41630 44540 ) ( 42090 * ) - NEW met2 ( 42090 44540 ) ( * 52870 ) - NEW met1 ( 42090 52870 ) ( 43010 * ) - NEW met1 ( 32430 36550 ) ( * 37570 ) - NEW met1 ( 32430 37570 ) ( 43010 * ) - NEW met2 ( 43010 37570 ) ( * 41990 ) - NEW met1 ( 41630 41990 ) ( 43010 * ) - NEW met1 ( 33810 26350 ) ( 34730 * ) - NEW met2 ( 34730 26350 ) ( * 29580 ) - NEW met2 ( 34270 29580 ) ( 34730 * ) - NEW met2 ( 34270 29580 ) ( * 37570 ) - NEW met1 ( 42090 17850 ) ( 43010 * ) - NEW met2 ( 42090 17850 ) ( * 26860 ) - NEW met2 ( 41630 26860 ) ( 42090 * ) - NEW met2 ( 41630 26860 ) ( * 37570 ) - NEW met1 ( 42090 14450 ) ( 43010 * ) - NEW met2 ( 42090 14450 ) ( * 17850 ) - NEW met2 ( 43010 12410 ) ( * 14450 ) - NEW li1 ( 48530 12410 ) L1M1_PR_MR - NEW met1 ( 43010 12410 ) M1M2_PR - NEW li1 ( 41630 41990 ) L1M1_PR_MR - NEW met1 ( 41630 41990 ) M1M2_PR - NEW met1 ( 42090 52870 ) M1M2_PR - NEW li1 ( 43010 52870 ) L1M1_PR_MR - NEW li1 ( 32430 36550 ) L1M1_PR_MR - NEW met1 ( 43010 37570 ) M1M2_PR - NEW met1 ( 43010 41990 ) M1M2_PR - NEW li1 ( 33810 26350 ) L1M1_PR_MR - NEW met1 ( 34730 26350 ) M1M2_PR - NEW met1 ( 34270 37570 ) M1M2_PR - NEW li1 ( 43010 17850 ) L1M1_PR_MR - NEW met1 ( 42090 17850 ) M1M2_PR - NEW met1 ( 41630 37570 ) M1M2_PR - NEW met1 ( 43010 14450 ) M1M2_PR - NEW met1 ( 42090 14450 ) M1M2_PR - NEW met1 ( 41630 41990 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 34270 37570 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 41630 37570 ) RECT ( -595 -70 0 70 ) ; - - net29 ( _109_ CLK_N ) ( _061__1 Y ) + USE CLOCK - + ROUTED met2 ( 45310 45730 ) ( * 52530 ) - NEW met1 ( 40250 52530 ) ( 45310 * ) - NEW met1 ( 40250 52190 ) ( * 52530 ) - NEW met1 ( 28750 52190 ) ( 40250 * ) - NEW met1 ( 28750 52190 ) ( * 52870 ) - NEW li1 ( 45310 45730 ) L1M1_PR_MR - NEW met1 ( 45310 45730 ) M1M2_PR - NEW met1 ( 45310 52530 ) M1M2_PR - NEW li1 ( 28750 52870 ) L1M1_PR_MR - NEW met1 ( 45310 45730 ) RECT ( -355 -70 0 70 ) ; - - net3 ( input3 X ) ( _139_ A ) ( _060_ A ) + USE SIGNAL - + ROUTED met1 ( 44390 3910 ) ( 47150 * ) - NEW met1 ( 27830 25330 ) ( * 25670 ) - NEW met1 ( 27830 25330 ) ( 34270 * ) - NEW met1 ( 34270 24990 ) ( * 25330 ) - NEW met1 ( 34270 24990 ) ( 42550 * ) - NEW met2 ( 42550 24990 ) ( * 27710 ) - NEW met1 ( 42550 27710 ) ( 44390 * ) - NEW met2 ( 44390 3910 ) ( * 27710 ) - NEW met1 ( 44390 3910 ) M1M2_PR - NEW li1 ( 47150 3910 ) L1M1_PR_MR - NEW li1 ( 44390 27710 ) L1M1_PR_MR - NEW met1 ( 44390 27710 ) M1M2_PR - NEW li1 ( 27830 25670 ) L1M1_PR_MR - NEW met1 ( 42550 24990 ) M1M2_PR - NEW met1 ( 42550 27710 ) M1M2_PR - NEW met1 ( 44390 27710 ) RECT ( -355 -70 0 70 ) ; - - net30 ( _110_ CLK_N ) ( _097__2 Y ) + USE CLOCK - + ROUTED met1 ( 6670 43010 ) ( 7130 * ) - NEW met2 ( 7130 43010 ) ( * 56610 ) - NEW met1 ( 17250 55930 ) ( * 56610 ) - NEW met1 ( 7130 56610 ) ( 17250 * ) - NEW li1 ( 6670 43010 ) L1M1_PR_MR - NEW met1 ( 7130 43010 ) M1M2_PR - NEW met1 ( 7130 56610 ) M1M2_PR - NEW li1 ( 17250 55930 ) L1M1_PR_MR ; - - net31 ( _111_ CLK_N ) ( _098__3 Y ) + USE CLOCK - + ROUTED met1 ( 31510 34170 ) ( 33350 * ) - NEW met2 ( 31510 34170 ) ( * 38590 ) - NEW li1 ( 33350 34170 ) L1M1_PR_MR - NEW met1 ( 31510 34170 ) M1M2_PR - NEW li1 ( 31510 38590 ) L1M1_PR_MR - NEW met1 ( 31510 38590 ) M1M2_PR - NEW met1 ( 31510 38590 ) RECT ( -355 -70 0 70 ) ; - - net32 ( _112_ CLK_N ) ( _099__4 Y ) + USE CLOCK - + ROUTED met2 ( 24610 39950 ) ( * 53210 ) - NEW met1 ( 24610 39950 ) ( 37490 * ) - NEW met1 ( 37490 39610 ) ( * 39950 ) - NEW met1 ( 6670 53210 ) ( 24610 * ) - NEW li1 ( 6670 53210 ) L1M1_PR_MR - NEW met1 ( 24610 53210 ) M1M2_PR - NEW met1 ( 24610 39950 ) M1M2_PR - NEW li1 ( 37490 39610 ) L1M1_PR_MR ; - - net33 ( _113_ CLK_N ) ( _100__5 Y ) + USE CLOCK - + ROUTED met2 ( 6670 47770 ) ( * 55250 ) - NEW met1 ( 6670 47770 ) ( 18170 * ) - NEW met1 ( 6670 47770 ) M1M2_PR - NEW li1 ( 6670 55250 ) L1M1_PR_MR - NEW met1 ( 6670 55250 ) M1M2_PR - NEW li1 ( 18170 47770 ) L1M1_PR_MR - NEW met1 ( 6670 55250 ) RECT ( -355 -70 0 70 ) ; - - net34 ( _114_ CLK_N ) ( _101__6 Y ) + USE CLOCK - + ROUTED met2 ( 18170 29410 ) ( * 36550 ) - NEW met1 ( 7590 29410 ) ( 18170 * ) - NEW li1 ( 7590 29410 ) L1M1_PR_MR - NEW met1 ( 18170 29410 ) M1M2_PR - NEW li1 ( 18170 36550 ) L1M1_PR_MR - NEW met1 ( 18170 36550 ) M1M2_PR - NEW met1 ( 18170 36550 ) RECT ( -355 -70 0 70 ) ; - - net35 ( _115_ CLK_N ) ( _102__7 Y ) + USE CLOCK - + ROUTED met1 ( 6670 34170 ) ( 7590 * ) - NEW met2 ( 7590 34170 ) ( * 51170 ) - NEW met1 ( 15870 50490 ) ( * 51170 ) - NEW met1 ( 15870 50490 ) ( 17710 * ) - NEW met1 ( 7590 51170 ) ( 15870 * ) - NEW li1 ( 6670 34170 ) L1M1_PR_MR - NEW met1 ( 7590 34170 ) M1M2_PR - NEW met1 ( 7590 51170 ) M1M2_PR - NEW li1 ( 17710 50490 ) L1M1_PR_MR ; - - net36 ( _116_ CLK_N ) ( _103__8 Y ) + USE CLOCK - + ROUTED met1 ( 29210 20570 ) ( 34730 * ) - NEW met2 ( 34730 20570 ) ( * 25670 ) - NEW li1 ( 29210 20570 ) L1M1_PR_MR - NEW met1 ( 34730 20570 ) M1M2_PR - NEW li1 ( 34730 25670 ) L1M1_PR_MR - NEW met1 ( 34730 25670 ) M1M2_PR - NEW met1 ( 34730 25670 ) RECT ( -355 -70 0 70 ) ; - - net37 ( _117_ CLK_N ) ( _104__9 Y ) + USE CLOCK - + ROUTED met1 ( 34730 40290 ) ( 36570 * ) - NEW met2 ( 34730 40290 ) ( * 50150 ) - NEW li1 ( 36570 40290 ) L1M1_PR_MR - NEW met1 ( 34730 40290 ) M1M2_PR - NEW li1 ( 34730 50150 ) L1M1_PR_MR - NEW met1 ( 34730 50150 ) M1M2_PR - NEW met1 ( 34730 50150 ) RECT ( -355 -70 0 70 ) ; - - net38 ( _118_ CLK_N ) ( _105__10 Y ) + USE CLOCK - + ROUTED met2 ( 29210 15810 ) ( * 55930 ) - NEW met1 ( 29210 55930 ) ( 37490 * ) - NEW li1 ( 29210 15810 ) L1M1_PR_MR - NEW met1 ( 29210 15810 ) M1M2_PR - NEW met1 ( 29210 55930 ) M1M2_PR - NEW li1 ( 37490 55930 ) L1M1_PR_MR - NEW met1 ( 29210 15810 ) RECT ( -355 -70 0 70 ) ; - - net39 ( _119_ CLK_N ) ( _106__11 Y ) + USE CLOCK - + ROUTED met1 ( 17710 15810 ) ( 26450 * ) - NEW met2 ( 17710 15810 ) ( * 17510 ) - NEW li1 ( 26450 15810 ) L1M1_PR_MR - NEW met1 ( 17710 15810 ) M1M2_PR - NEW li1 ( 17710 17510 ) L1M1_PR_MR - NEW met1 ( 17710 17510 ) M1M2_PR - NEW met1 ( 17710 17510 ) RECT ( -355 -70 0 70 ) ; - - net4 ( fanout28 A ) ( input4 X ) + USE SIGNAL - + ROUTED met2 ( 32890 25670 ) ( * 27710 ) - NEW met1 ( 19090 27710 ) ( 32890 * ) - NEW li1 ( 32890 25670 ) L1M1_PR_MR - NEW met1 ( 32890 25670 ) M1M2_PR - NEW met1 ( 32890 27710 ) M1M2_PR - NEW li1 ( 19090 27710 ) L1M1_PR_MR - NEW met1 ( 32890 25670 ) RECT ( -355 -70 0 70 ) ; - - net40 ( _120_ CLK_N ) ( _107__12 Y ) + USE CLOCK - + ROUTED met2 ( 18630 23290 ) ( * 30430 ) - NEW met1 ( 18630 23290 ) ( 20930 * ) - NEW met1 ( 7590 30430 ) ( 18630 * ) - NEW li1 ( 7590 30430 ) L1M1_PR_MR - NEW met1 ( 18630 30430 ) M1M2_PR - NEW met1 ( 18630 23290 ) M1M2_PR - NEW li1 ( 20930 23290 ) L1M1_PR_MR ; - - net41 ( _121_ CLK_N ) ( _108__13 Y ) + USE CLOCK - + ROUTED met1 ( 22310 31450 ) ( 26910 * ) - NEW li1 ( 26910 31450 ) L1M1_PR_MR - NEW li1 ( 22310 31450 ) L1M1_PR_MR ; - - net42 ( _135_ CLK ) ( _062__14 Y ) + USE CLOCK - + ROUTED met1 ( 16790 55590 ) ( 20470 * ) - NEW met2 ( 20470 10370 ) ( * 55590 ) - NEW li1 ( 20470 10370 ) L1M1_PR_MR - NEW met1 ( 20470 10370 ) M1M2_PR - NEW met1 ( 20470 55590 ) M1M2_PR - NEW li1 ( 16790 55590 ) L1M1_PR_MR - NEW met1 ( 20470 10370 ) RECT ( -355 -70 0 70 ) ; - - net43 ( _132_ D ) ( _112_ D ) ( hold1 X ) + USE SIGNAL - + ROUTED met2 ( 39330 39610 ) ( * 41650 ) - NEW met1 ( 39330 41650 ) ( 43930 * ) - NEW met1 ( 34270 36890 ) ( 34730 * ) - NEW met2 ( 34730 36890 ) ( * 39270 ) - NEW met1 ( 34730 39270 ) ( 39330 * ) - NEW met1 ( 39330 39270 ) ( * 39610 ) - NEW li1 ( 39330 39610 ) L1M1_PR_MR - NEW met1 ( 39330 39610 ) M1M2_PR - NEW met1 ( 39330 41650 ) M1M2_PR - NEW li1 ( 43930 41650 ) L1M1_PR_MR - NEW li1 ( 34270 36890 ) L1M1_PR_MR - NEW met1 ( 34730 36890 ) M1M2_PR - NEW met1 ( 34730 39270 ) M1M2_PR - NEW met1 ( 39330 39610 ) RECT ( -355 -70 0 70 ) ; - - net44 ( _134_ D ) ( _117_ D ) ( hold2 X ) + USE SIGNAL - + ROUTED met1 ( 34270 50490 ) ( 36570 * ) - NEW met1 ( 34270 47090 ) ( 34305 * ) - NEW met2 ( 34270 47090 ) ( * 50490 ) - NEW li1 ( 34270 50490 ) L1M1_PR_MR - NEW li1 ( 36570 50490 ) L1M1_PR_MR - NEW li1 ( 34305 47090 ) L1M1_PR_MR - NEW met1 ( 34270 47090 ) M1M2_PR - NEW met1 ( 34270 50490 ) M1M2_PR - NEW met1 ( 34305 47090 ) RECT ( 0 -70 320 70 ) - NEW met1 ( 34270 50490 ) RECT ( -595 -70 0 70 ) ; - - net45 ( _123_ D ) ( _109_ D ) ( hold3 X ) + USE SIGNAL - + ROUTED met1 ( 29670 52870 ) ( 30590 * ) - NEW met2 ( 29670 46750 ) ( * 52870 ) - NEW met1 ( 15870 46750 ) ( 29670 * ) - NEW met1 ( 15870 46750 ) ( * 47090 ) - NEW met1 ( 29670 55590 ) ( 31050 * ) - NEW met2 ( 29670 52870 ) ( * 55590 ) - NEW li1 ( 30590 52870 ) L1M1_PR_MR - NEW met1 ( 29670 52870 ) M1M2_PR - NEW met1 ( 29670 46750 ) M1M2_PR - NEW li1 ( 15870 47090 ) L1M1_PR_MR - NEW li1 ( 31050 55590 ) L1M1_PR_MR - NEW met1 ( 29670 55590 ) M1M2_PR ; - - net46 ( _125_ D ) ( _110_ D ) ( hold4 X ) + USE SIGNAL - + ROUTED met1 ( 18985 55930 ) ( 19550 * ) - NEW met2 ( 19550 55930 ) ( * 58650 ) - NEW met1 ( 19550 58650 ) ( 25070 * ) - NEW met1 ( 25070 57630 ) ( * 58650 ) - NEW met1 ( 25070 57630 ) ( 43930 * ) - NEW met1 ( 15410 44710 ) ( 19090 * ) - NEW met2 ( 19090 44710 ) ( * 47940 ) - NEW met2 ( 19090 47940 ) ( 19550 * ) - NEW met2 ( 19550 47940 ) ( * 55930 ) - NEW li1 ( 18985 55930 ) L1M1_PR_MR - NEW met1 ( 19550 55930 ) M1M2_PR - NEW met1 ( 19550 58650 ) M1M2_PR - NEW li1 ( 43930 57630 ) L1M1_PR_MR - NEW li1 ( 15410 44710 ) L1M1_PR_MR - NEW met1 ( 19090 44710 ) M1M2_PR ; - - net47 ( _124_ D ) ( _115_ D ) ( hold5 X ) + USE SIGNAL - + ROUTED met1 ( 12190 45390 ) ( 13570 * ) - NEW met2 ( 12190 45390 ) ( * 50150 ) - NEW met1 ( 8510 50150 ) ( 13800 * ) - NEW met1 ( 13800 49810 ) ( * 50150 ) - NEW met1 ( 13800 49810 ) ( 17710 * ) - NEW met1 ( 17710 49810 ) ( * 50150 ) - NEW met1 ( 17710 50150 ) ( 19550 * ) - NEW li1 ( 8510 50150 ) L1M1_PR_MR - NEW li1 ( 13570 45390 ) L1M1_PR_MR - NEW met1 ( 12190 45390 ) M1M2_PR - NEW met1 ( 12190 50150 ) M1M2_PR - NEW li1 ( 19550 50150 ) L1M1_PR_MR - NEW met1 ( 12190 50150 ) RECT ( -595 -70 0 70 ) ; - - net48 ( _126_ D ) ( _113_ D ) ( hold6 X ) + USE SIGNAL - + ROUTED met2 ( 19550 41650 ) ( * 47430 ) - NEW met1 ( 19550 47430 ) ( 20010 * ) - NEW met1 ( 19090 44030 ) ( 24610 * ) - NEW met2 ( 19090 43860 ) ( * 44030 ) - NEW met2 ( 19090 43860 ) ( 19550 * ) - NEW li1 ( 19550 41650 ) L1M1_PR_MR - NEW met1 ( 19550 41650 ) M1M2_PR - NEW met1 ( 19550 47430 ) M1M2_PR - NEW li1 ( 20010 47430 ) L1M1_PR_MR - NEW li1 ( 24610 44030 ) L1M1_PR_MR - NEW met1 ( 19090 44030 ) M1M2_PR - NEW met1 ( 19550 41650 ) RECT ( -355 -70 0 70 ) ; - - net49 ( _118_ D ) ( hold7 X ) + USE SIGNAL - + ROUTED met1 ( 42550 53890 ) ( 43930 * ) - NEW met2 ( 42550 53890 ) ( * 55590 ) - NEW met1 ( 39330 55590 ) ( 42550 * ) - NEW li1 ( 43930 53890 ) L1M1_PR_MR - NEW met1 ( 42550 53890 ) M1M2_PR - NEW met1 ( 42550 55590 ) M1M2_PR - NEW li1 ( 39330 55590 ) L1M1_PR_MR ; - - net5 ( input5 X ) ( _122_ D ) + USE SIGNAL - + ROUTED met1 ( 43010 6290 ) ( 46230 * ) - NEW met1 ( 43010 6290 ) ( * 6970 ) - NEW met1 ( 41170 6970 ) ( 43010 * ) - NEW met2 ( 41170 6970 ) ( * 9350 ) - NEW met1 ( 37490 9350 ) ( 41170 * ) - NEW met1 ( 35190 33150 ) ( 37490 * ) - NEW met2 ( 35190 33150 ) ( * 49810 ) - NEW met1 ( 28290 49810 ) ( 35190 * ) - NEW met2 ( 28290 49810 ) ( * 52190 ) - NEW met1 ( 19550 52190 ) ( 28290 * ) - NEW met1 ( 19550 52190 ) ( * 52530 ) - NEW met2 ( 37490 9350 ) ( * 33150 ) - NEW li1 ( 46230 6290 ) L1M1_PR_MR - NEW met1 ( 41170 6970 ) M1M2_PR - NEW met1 ( 41170 9350 ) M1M2_PR - NEW met1 ( 37490 9350 ) M1M2_PR - NEW met1 ( 37490 33150 ) M1M2_PR - NEW met1 ( 35190 33150 ) M1M2_PR - NEW met1 ( 35190 49810 ) M1M2_PR - NEW met1 ( 28290 49810 ) M1M2_PR - NEW met1 ( 28290 52190 ) M1M2_PR - NEW li1 ( 19550 52530 ) L1M1_PR_MR ; - - net50 ( _130_ D ) ( _121_ D ) ( hold8 X ) + USE SIGNAL - + ROUTED met2 ( 28750 31450 ) ( * 33830 ) - NEW met1 ( 21390 33830 ) ( 28750 * ) - NEW met1 ( 29210 26350 ) ( 29670 * ) - NEW met2 ( 29670 26350 ) ( * 31450 ) - NEW met1 ( 28750 31450 ) ( 29670 * ) - NEW li1 ( 28750 31450 ) L1M1_PR_MR - NEW met1 ( 28750 31450 ) M1M2_PR + - _000_ ( _113_ Q_N ) ( _064_ A_N ) + USE SIGNAL + + ROUTED met1 ( 45310 18190 ) ( 45770 * ) + NEW met2 ( 45310 18190 ) ( * 24990 ) + NEW li1 ( 45770 18190 ) L1M1_PR_MR + NEW met1 ( 45310 18190 ) M1M2_PR + NEW li1 ( 45310 24990 ) L1M1_PR_MR + NEW met1 ( 45310 24990 ) M1M2_PR + NEW met1 ( 45310 24990 ) RECT ( -355 -70 0 70 ) ; + - _001_ ( _106_ RESET_B ) ( _068_ X ) + USE SIGNAL + + ROUTED met1 ( 37490 52870 ) ( 38870 * ) + NEW met2 ( 37490 52870 ) ( * 57970 ) + NEW met1 ( 32890 57970 ) ( 37490 * ) + NEW li1 ( 38870 52870 ) L1M1_PR_MR + NEW met1 ( 37490 52870 ) M1M2_PR + NEW met1 ( 37490 57970 ) M1M2_PR + NEW li1 ( 32890 57970 ) L1M1_PR_MR ; + - _002_ ( _106_ SET_B ) ( _069_ Y ) + USE SIGNAL + + ROUTED met1 ( 37030 52530 0 ) ( 48990 * ) + NEW li1 ( 48990 52530 ) L1M1_PR_MR ; + - _003_ ( _107_ RESET_B ) ( _070_ X ) + USE SIGNAL + + ROUTED met1 ( 24150 55930 ) ( 26910 * ) + NEW met2 ( 6210 32130 ) ( * 46580 ) + NEW met3 ( 6210 46580 ) ( 24150 * ) + NEW met2 ( 24150 46580 ) ( * 55930 ) + NEW met1 ( 24150 55930 ) M1M2_PR + NEW li1 ( 26910 55930 ) L1M1_PR_MR + NEW li1 ( 6210 32130 ) L1M1_PR_MR + NEW met1 ( 6210 32130 ) M1M2_PR + NEW met2 ( 6210 46580 ) M2M3_PR + NEW met2 ( 24150 46580 ) M2M3_PR + NEW met1 ( 6210 32130 ) RECT ( -355 -70 0 70 ) ; + - _004_ ( _107_ SET_B ) ( _071_ Y ) + USE SIGNAL + + ROUTED met1 ( 20010 56610 ) ( 20930 * ) + NEW met1 ( 20930 56270 ) ( * 56610 ) + NEW met1 ( 20930 56270 ) ( 21390 * 0 ) + NEW met2 ( 20010 48300 ) ( * 56610 ) + NEW met2 ( 19550 48300 ) ( 20010 * ) + NEW met2 ( 19550 43180 ) ( * 48300 ) + NEW met3 ( 19550 43180 ) ( 21390 * ) + NEW met2 ( 21390 41650 ) ( * 43180 ) + NEW met1 ( 19550 41650 ) ( 21390 * ) + NEW met1 ( 20010 56610 ) M1M2_PR + NEW met2 ( 19550 43180 ) M2M3_PR + NEW met2 ( 21390 43180 ) M2M3_PR + NEW met1 ( 21390 41650 ) M1M2_PR + NEW li1 ( 19550 41650 ) L1M1_PR_MR ; + - _005_ ( _108_ RESET_B ) ( _072_ X ) + USE SIGNAL + + ROUTED met2 ( 33810 36550 ) ( * 44030 ) + NEW met1 ( 30130 44030 ) ( 33810 * ) + NEW li1 ( 33810 36550 ) L1M1_PR_MR + NEW met1 ( 33810 36550 ) M1M2_PR + NEW met1 ( 33810 44030 ) M1M2_PR + NEW li1 ( 30130 44030 ) L1M1_PR_MR + NEW met1 ( 33810 36550 ) RECT ( -355 -70 0 70 ) ; + - _006_ ( _108_ SET_B ) ( _073_ Y ) + USE SIGNAL + + ROUTED met1 ( 36570 38930 ) ( 37030 * ) + NEW met2 ( 36570 36210 ) ( * 38930 ) + NEW li1 ( 37030 38930 ) L1M1_PR_MR + NEW met1 ( 36570 38930 ) M1M2_PR + NEW met1 ( 36570 36210 ) M1M2_PR ; + - _007_ ( _109_ RESET_B ) ( _074_ X ) + USE SIGNAL + + ROUTED met2 ( 47150 39610 ) ( * 50150 ) + NEW met1 ( 47150 50150 ) ( 48070 * ) + NEW li1 ( 47150 39610 ) L1M1_PR_MR + NEW met1 ( 47150 39610 ) M1M2_PR + NEW met1 ( 47150 50150 ) M1M2_PR + NEW li1 ( 48070 50150 ) L1M1_PR_MR + NEW met1 ( 47150 39610 ) RECT ( -355 -70 0 70 ) ; + - _008_ ( _109_ SET_B ) ( _075_ Y ) + USE SIGNAL + + ROUTED met1 ( 45310 39950 0 ) ( 48530 * ) + NEW met2 ( 48530 39950 ) ( * 43010 ) + NEW met1 ( 48530 43010 ) ( 49450 * ) + NEW met1 ( 48530 39950 ) M1M2_PR + NEW met1 ( 48530 43010 ) M1M2_PR + NEW li1 ( 49450 43010 ) L1M1_PR_MR ; + - _009_ ( _110_ RESET_B ) ( _076_ X ) + USE SIGNAL + + ROUTED met1 ( 20010 58310 ) ( 20470 * ) + NEW met1 ( 20470 46750 ) ( 25990 * ) + NEW met2 ( 25990 46750 ) ( * 47430 ) + NEW met1 ( 25990 47430 ) ( 27370 * ) + NEW met2 ( 20470 46750 ) ( * 58310 ) + NEW met1 ( 20470 58310 ) M1M2_PR + NEW li1 ( 20010 58310 ) L1M1_PR_MR + NEW met1 ( 20470 46750 ) M1M2_PR + NEW met1 ( 25990 46750 ) M1M2_PR + NEW met1 ( 25990 47430 ) M1M2_PR + NEW li1 ( 27370 47430 ) L1M1_PR_MR ; + - _010_ ( _110_ SET_B ) ( _077_ Y ) + USE SIGNAL + + ROUTED met2 ( 22770 43010 ) ( * 47090 ) + NEW met1 ( 22770 43010 ) ( 28750 * ) + NEW met2 ( 28750 33830 ) ( * 43010 ) + NEW met1 ( 28750 33830 ) ( 31510 * ) + NEW met1 ( 22770 47090 ) M1M2_PR + NEW met1 ( 22770 43010 ) M1M2_PR + NEW met1 ( 28750 43010 ) M1M2_PR NEW met1 ( 28750 33830 ) M1M2_PR - NEW li1 ( 21390 33830 ) L1M1_PR_MR - NEW li1 ( 29210 26350 ) L1M1_PR_MR - NEW met1 ( 29670 26350 ) M1M2_PR - NEW met1 ( 29670 31450 ) M1M2_PR - NEW met1 ( 28750 31450 ) RECT ( -355 -70 0 70 ) ; - - net51 ( _129_ D ) ( _120_ D ) ( hold9 X ) + USE SIGNAL - + ROUTED met1 ( 21390 29070 ) ( 21850 * ) - NEW met2 ( 21850 29070 ) ( * 30430 ) - NEW met1 ( 21850 30430 ) ( 23230 * ) - NEW met1 ( 21850 23290 ) ( 22770 * ) - NEW met2 ( 21850 23290 ) ( * 29070 ) - NEW li1 ( 21390 29070 ) L1M1_PR_MR - NEW met1 ( 21850 29070 ) M1M2_PR - NEW met1 ( 21850 30430 ) M1M2_PR - NEW li1 ( 23230 30430 ) L1M1_PR_MR - NEW li1 ( 22770 23290 ) L1M1_PR_MR - NEW met1 ( 21850 23290 ) M1M2_PR ; - - net52 ( _133_ D ) ( _116_ D ) ( hold10 X ) + USE SIGNAL - + ROUTED met2 ( 39790 32130 ) ( * 44030 ) - NEW met2 ( 38870 44030 ) ( 39790 * ) - NEW met2 ( 38870 44030 ) ( * 45730 ) - NEW met1 ( 38870 45390 ) ( * 45730 ) - NEW met1 ( 38870 45390 ) ( 39755 * ) - NEW met2 ( 36570 26010 ) ( * 32130 ) - NEW met1 ( 36570 32130 ) ( 39790 * ) - NEW li1 ( 39790 32130 ) L1M1_PR_MR - NEW met1 ( 39790 32130 ) M1M2_PR - NEW met1 ( 38870 45730 ) M1M2_PR - NEW li1 ( 39755 45390 ) L1M1_PR_MR - NEW li1 ( 36570 26010 ) L1M1_PR_MR - NEW met1 ( 36570 26010 ) M1M2_PR - NEW met1 ( 36570 32130 ) M1M2_PR - NEW met1 ( 39790 32130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 36570 26010 ) RECT ( -355 -70 0 70 ) ; - - net53 ( _128_ D ) ( _119_ D ) ( hold11 X ) + USE SIGNAL - + ROUTED met1 ( 20930 32130 ) ( 21390 * ) - NEW met2 ( 20930 32130 ) ( * 40290 ) - NEW met1 ( 16790 40290 ) ( 20930 * ) - NEW met1 ( 16790 39950 ) ( * 40290 ) - NEW met1 ( 19550 17510 ) ( 20930 * ) - NEW met2 ( 20930 17510 ) ( * 32130 ) - NEW li1 ( 21390 32130 ) L1M1_PR_MR - NEW met1 ( 20930 32130 ) M1M2_PR - NEW met1 ( 20930 40290 ) M1M2_PR - NEW li1 ( 16790 39950 ) L1M1_PR_MR + NEW li1 ( 31510 33830 ) L1M1_PR_MR ; + - _011_ ( _111_ RESET_B ) ( _078_ X ) + USE SIGNAL + + ROUTED met2 ( 18630 26690 ) ( * 37230 ) + NEW met1 ( 18630 37230 ) ( 23690 * ) + NEW met2 ( 23690 37230 ) ( * 37740 ) + NEW met2 ( 23690 37740 ) ( 24610 * ) + NEW met2 ( 24610 37740 ) ( * 39610 ) + NEW met1 ( 24610 39610 ) ( 26910 * ) + NEW li1 ( 18630 26690 ) L1M1_PR_MR + NEW met1 ( 18630 26690 ) M1M2_PR + NEW met1 ( 18630 37230 ) M1M2_PR + NEW met1 ( 23690 37230 ) M1M2_PR + NEW met1 ( 24610 39610 ) M1M2_PR + NEW li1 ( 26910 39610 ) L1M1_PR_MR + NEW met1 ( 18630 26690 ) RECT ( -355 -70 0 70 ) ; + - _012_ ( _111_ SET_B ) ( _079_ Y ) + USE SIGNAL + + ROUTED met1 ( 19090 22270 ) ( 23230 * ) + NEW met2 ( 23230 22270 ) ( * 39950 ) + NEW li1 ( 19090 22270 ) L1M1_PR_MR + NEW met1 ( 23230 22270 ) M1M2_PR + NEW met1 ( 23230 39950 ) M1M2_PR ; + - _013_ ( _112_ RESET_B ) ( _080_ X ) + USE SIGNAL + + ROUTED met1 ( 27830 50150 ) ( * 50490 ) + NEW met1 ( 26910 50490 ) ( 27830 * ) + NEW met2 ( 27830 20230 ) ( * 50150 ) + NEW li1 ( 27830 20230 ) L1M1_PR_MR + NEW met1 ( 27830 20230 ) M1M2_PR + NEW met1 ( 27830 50150 ) M1M2_PR + NEW li1 ( 26910 50490 ) L1M1_PR_MR + NEW met1 ( 27830 20230 ) RECT ( -355 -70 0 70 ) ; + - _014_ ( _112_ SET_B ) ( _081_ Y ) + USE SIGNAL + + ROUTED met1 ( 25070 50830 0 ) ( 28290 * ) + NEW met2 ( 28290 50830 ) ( * 55250 ) + NEW met1 ( 28290 55250 ) ( 36110 * ) + NEW met1 ( 28290 50830 ) M1M2_PR + NEW met1 ( 28290 55250 ) M1M2_PR + NEW li1 ( 36110 55250 ) L1M1_PR_MR ; + - _015_ ( _113_ RESET_B ) ( _082_ X ) + USE SIGNAL + + ROUTED met1 ( 44390 25670 ) ( 48070 * ) + NEW li1 ( 44390 25670 ) L1M1_PR_MR + NEW li1 ( 48070 25670 ) L1M1_PR_MR ; + - _016_ ( _113_ SET_B ) ( _083_ Y ) + USE SIGNAL + + ROUTED met2 ( 42550 25330 ) ( * 27710 ) + NEW met1 ( 42550 27710 ) ( 44390 * ) + NEW met1 ( 42550 25330 ) M1M2_PR_MR + NEW met1 ( 42550 27710 ) M1M2_PR + NEW li1 ( 44390 27710 ) L1M1_PR_MR ; + - _017_ ( _114_ RESET_B ) ( _084_ X ) + USE SIGNAL + + ROUTED met1 ( 43470 50490 ) ( 44390 * ) + NEW met1 ( 6210 41650 ) ( 6670 * ) + NEW met2 ( 6670 41140 ) ( * 41650 ) + NEW met3 ( 6670 41140 ) ( 22310 * ) + NEW met2 ( 22310 41140 ) ( * 42670 ) + NEW met1 ( 22310 42670 ) ( 29210 * ) + NEW met1 ( 29210 42670 ) ( * 43010 ) + NEW met1 ( 29210 43010 ) ( 43470 * ) + NEW met2 ( 43470 43010 ) ( * 50490 ) + NEW met1 ( 43470 50490 ) M1M2_PR + NEW li1 ( 44390 50490 ) L1M1_PR_MR + NEW li1 ( 6210 41650 ) L1M1_PR_MR + NEW met1 ( 6670 41650 ) M1M2_PR + NEW met2 ( 6670 41140 ) M2M3_PR + NEW met2 ( 22310 41140 ) M2M3_PR + NEW met1 ( 22310 42670 ) M1M2_PR + NEW met1 ( 43470 43010 ) M1M2_PR ; + - _018_ ( _114_ SET_B ) ( _085_ Y ) + USE SIGNAL + + ROUTED met2 ( 42550 50830 ) ( * 57970 ) + NEW met1 ( 48990 57970 ) ( * 58990 ) + NEW met1 ( 42550 57970 ) ( 48990 * ) + NEW met1 ( 42550 50830 ) M1M2_PR_MR + NEW met1 ( 42550 57970 ) M1M2_PR + NEW li1 ( 48990 58990 ) L1M1_PR_MR ; + - _019_ ( _115_ RESET_B ) ( _086_ X ) + USE SIGNAL + + ROUTED met1 ( 44390 12750 ) ( 47610 * ) + NEW met2 ( 44390 33660 ) ( 44850 * ) + NEW met2 ( 44850 33660 ) ( * 55930 ) + NEW met1 ( 44850 55930 ) ( 47150 * ) + NEW met2 ( 44390 12750 ) ( * 33660 ) + NEW li1 ( 47610 12750 ) L1M1_PR_MR + NEW met1 ( 44390 12750 ) M1M2_PR + NEW met1 ( 44850 55930 ) M1M2_PR + NEW li1 ( 47150 55930 ) L1M1_PR_MR ; + - _020_ ( _115_ SET_B ) ( _087_ Y ) + USE SIGNAL + + ROUTED met1 ( 40250 22950 ) ( 42090 * ) + NEW met2 ( 42090 22950 ) ( * 56270 ) + NEW li1 ( 40250 22950 ) L1M1_PR_MR + NEW met1 ( 42090 22950 ) M1M2_PR + NEW met1 ( 42090 56270 ) M1M2_PR ; + - _021_ ( _116_ RESET_B ) ( _088_ X ) + USE SIGNAL + + ROUTED met1 ( 20470 28050 ) ( * 28390 ) + NEW met1 ( 20470 28390 ) ( 24150 * ) + NEW met2 ( 24150 28390 ) ( * 34170 ) + NEW met1 ( 24150 34170 ) ( 26910 * ) + NEW met1 ( 8050 28050 ) ( 20470 * ) + NEW li1 ( 8050 28050 ) L1M1_PR_MR + NEW met1 ( 24150 28390 ) M1M2_PR + NEW met1 ( 24150 34170 ) M1M2_PR + NEW li1 ( 26910 34170 ) L1M1_PR_MR ; + - _022_ ( _116_ SET_B ) ( _089_ Y ) + USE SIGNAL + + ROUTED met2 ( 24610 31790 ) ( * 34510 ) + NEW li1 ( 24610 31790 ) L1M1_PR_MR + NEW met1 ( 24610 31790 ) M1M2_PR + NEW met1 ( 24610 34510 ) M1M2_PR + NEW met1 ( 24610 31790 ) RECT ( -355 -70 0 70 ) ; + - _023_ ( _117_ RESET_B ) ( _090_ X ) + USE SIGNAL + + ROUTED met1 ( 29670 23290 ) ( 30590 * ) + NEW met2 ( 30590 23290 ) ( * 25330 ) + NEW met1 ( 30590 25330 ) ( 34270 * ) + NEW li1 ( 29670 23290 ) L1M1_PR_MR + NEW met1 ( 30590 23290 ) M1M2_PR + NEW met1 ( 30590 25330 ) M1M2_PR + NEW li1 ( 34270 25330 ) L1M1_PR_MR ; + - _024_ ( _117_ SET_B ) ( _091_ Y ) + USE SIGNAL + + ROUTED met1 ( 19550 17510 ) ( 24150 * ) + NEW met2 ( 24150 17510 ) ( * 23630 ) NEW li1 ( 19550 17510 ) L1M1_PR_MR - NEW met1 ( 20930 17510 ) M1M2_PR ; - - net54 ( _131_ D ) ( _111_ D ) ( hold12 X ) + USE SIGNAL - + ROUTED met2 ( 35650 38590 ) ( * 42330 ) - NEW met1 ( 32430 42330 ) ( 35650 * ) - NEW met1 ( 35190 34170 ) ( 35650 * ) - NEW met2 ( 35650 34170 ) ( * 38590 ) - NEW li1 ( 35650 38590 ) L1M1_PR_MR - NEW met1 ( 35650 38590 ) M1M2_PR - NEW met1 ( 35650 42330 ) M1M2_PR - NEW li1 ( 32430 42330 ) L1M1_PR_MR - NEW li1 ( 35190 34170 ) L1M1_PR_MR - NEW met1 ( 35650 34170 ) M1M2_PR - NEW met1 ( 35650 38590 ) RECT ( -355 -70 0 70 ) ; - - net55 ( _127_ D ) ( _114_ D ) ( hold13 X ) + USE SIGNAL - + ROUTED met2 ( 20010 36890 ) ( * 38590 ) - NEW met1 ( 20010 38590 ) ( 25990 * ) - NEW met1 ( 8445 37570 ) ( 20010 * ) - NEW li1 ( 8445 37570 ) L1M1_PR_MR - NEW li1 ( 20010 36890 ) L1M1_PR_MR - NEW met1 ( 20010 36890 ) M1M2_PR - NEW met1 ( 20010 38590 ) M1M2_PR - NEW li1 ( 25990 38590 ) L1M1_PR_MR - NEW met1 ( 20010 37570 ) M1M2_PR - NEW met1 ( 20010 36890 ) RECT ( 0 -70 355 70 ) - NEW met2 ( 20010 37570 ) RECT ( -70 -485 70 0 ) ; - - net6 ( output6 A ) ( _119_ Q ) + USE SIGNAL - + ROUTED met1 ( 29730 16830 ) ( 33350 * ) - NEW met2 ( 33350 3910 ) ( * 16830 ) - NEW li1 ( 33350 3910 ) L1M1_PR_MR - NEW met1 ( 33350 3910 ) M1M2_PR - NEW met1 ( 33350 16830 ) M1M2_PR - NEW li1 ( 29730 16830 ) L1M1_PR_MR - NEW met1 ( 33350 3910 ) RECT ( -355 -70 0 70 ) ; - - net7 ( output7 A ) ( _121_ Q ) + USE SIGNAL - + ROUTED met1 ( 38930 31110 ) ( 39790 * ) - NEW met2 ( 39790 6970 ) ( * 31110 ) - NEW li1 ( 39790 6970 ) L1M1_PR_MR - NEW met1 ( 39790 6970 ) M1M2_PR - NEW met1 ( 39790 31110 ) M1M2_PR - NEW li1 ( 38930 31110 ) L1M1_PR_MR - NEW met1 ( 39790 6970 ) RECT ( -355 -70 0 70 ) ; - - net8 ( output8 A ) ( _120_ Q ) + USE SIGNAL - + ROUTED met1 ( 31970 22270 ) ( 32930 * ) - NEW met2 ( 31970 9350 ) ( * 22270 ) - NEW li1 ( 31970 9350 ) L1M1_PR_MR - NEW met1 ( 31970 9350 ) M1M2_PR - NEW met1 ( 31970 22270 ) M1M2_PR - NEW li1 ( 32930 22270 ) L1M1_PR_MR - NEW met1 ( 31970 9350 ) RECT ( -355 -70 0 70 ) ; - - net9 ( output9 A ) ( _116_ Q ) + USE SIGNAL + NEW met1 ( 24150 17510 ) M1M2_PR + NEW met1 ( 24150 23630 ) M1M2_PR ; + - _025_ ( _118_ RESET_B ) ( _092_ X ) + USE SIGNAL + + ROUTED met2 ( 32890 29070 ) ( * 31110 ) + NEW met1 ( 32890 31110 ) ( 35650 * ) + NEW li1 ( 32890 29070 ) L1M1_PR_MR + NEW met1 ( 32890 29070 ) M1M2_PR + NEW met1 ( 32890 31110 ) M1M2_PR + NEW li1 ( 35650 31110 ) L1M1_PR_MR + NEW met1 ( 32890 29070 ) RECT ( -355 -70 0 70 ) ; + - _026_ ( _118_ SET_B ) ( _093_ Y ) + USE SIGNAL + + ROUTED met1 ( 33810 30770 0 ) ( 34730 * ) + NEW met2 ( 34730 22610 ) ( * 30770 ) + NEW met1 ( 34730 30770 ) M1M2_PR + NEW li1 ( 34730 22610 ) L1M1_PR_MR + NEW met1 ( 34730 22610 ) M1M2_PR + NEW met1 ( 34730 22610 ) RECT ( -355 -70 0 70 ) ; + - _041_ ( _061_ A1 ) ( _060_ X ) + USE SIGNAL + + ROUTED met1 ( 45770 31450 ) ( 48530 * ) + NEW met2 ( 48530 31450 ) ( * 36550 ) + NEW li1 ( 45770 31450 ) L1M1_PR_MR + NEW met1 ( 48530 31450 ) M1M2_PR + NEW li1 ( 48530 36550 ) L1M1_PR_MR + NEW met1 ( 48530 36550 ) M1M2_PR + NEW met1 ( 48530 36550 ) RECT ( -355 -70 0 70 ) ; + - _042_ ( _066_ B1 ) ( _062_ Y ) + USE SIGNAL + + ROUTED met1 ( 46230 34170 ) ( 46690 * ) + NEW met2 ( 46690 29070 ) ( * 34170 ) + NEW met1 ( 46690 29070 ) ( 48530 * ) + NEW li1 ( 46230 34170 ) L1M1_PR_MR + NEW met1 ( 46690 34170 ) M1M2_PR + NEW met1 ( 46690 29070 ) M1M2_PR + NEW li1 ( 48530 29070 ) L1M1_PR_MR ; + - _043_ ( _065_ A2 ) ( _064_ B ) ( _063_ X ) + USE SIGNAL + + ROUTED met1 ( 46230 32130 ) ( 46690 * ) + NEW met2 ( 46230 32130 ) ( * 47430 ) + NEW met1 ( 46230 47430 ) ( 49450 * ) + NEW met1 ( 47150 16830 ) ( * 17170 ) + NEW met1 ( 46230 16830 ) ( 47150 * ) + NEW met2 ( 46230 16830 ) ( * 32130 ) + NEW li1 ( 46690 32130 ) L1M1_PR_MR + NEW met1 ( 46230 32130 ) M1M2_PR + NEW met1 ( 46230 47430 ) M1M2_PR + NEW li1 ( 49450 47430 ) L1M1_PR_MR + NEW li1 ( 47150 17170 ) L1M1_PR_MR + NEW met1 ( 46230 16830 ) M1M2_PR ; + - _044_ ( _066_ A1 ) ( _064_ X ) + USE SIGNAL + + ROUTED met1 ( 47610 18530 ) ( 48070 * ) + NEW met2 ( 47610 18530 ) ( * 33490 ) + NEW met1 ( 47610 33490 ) ( 49450 * ) + NEW met1 ( 49450 33490 ) ( * 33830 ) + NEW li1 ( 48070 18530 ) L1M1_PR_MR + NEW met1 ( 47610 18530 ) M1M2_PR + NEW met1 ( 47610 33490 ) M1M2_PR + NEW li1 ( 49450 33830 ) L1M1_PR_MR ; + - _045_ ( _066_ A2 ) ( _065_ Y ) + USE SIGNAL + + ROUTED met2 ( 47610 34170 ) ( * 47770 ) + NEW met1 ( 47610 47770 ) ( 48070 * ) + NEW li1 ( 47610 34170 ) L1M1_PR_MR + NEW met1 ( 47610 34170 ) M1M2_PR + NEW met1 ( 47610 47770 ) M1M2_PR + NEW li1 ( 48070 47770 ) L1M1_PR_MR + NEW met1 ( 47610 34170 ) RECT ( -355 -70 0 70 ) ; + - _046_ ( _117_ Q_N ) + USE SIGNAL ; + - _047_ ( _116_ Q_N ) + USE SIGNAL ; + - _048_ ( _115_ Q_N ) + USE SIGNAL ; + - _049_ ( _114_ Q_N ) + USE SIGNAL ; + - _050_ ( _112_ Q_N ) + USE SIGNAL ; + - _051_ ( _111_ Q_N ) + USE SIGNAL ; + - _052_ ( _110_ Q_N ) + USE SIGNAL ; + - _053_ ( _109_ Q_N ) + USE SIGNAL ; + - _054_ ( _108_ Q_N ) + USE SIGNAL ; + - _055_ ( _107_ Q_N ) + USE SIGNAL ; + - _056_ ( _106_ Q_N ) + USE SIGNAL ; + - _057_ ( _118_ Q_N ) + USE SIGNAL ; + - clknet_0_serial_clock ( clkbuf_1_1__f_serial_clock A ) ( clkbuf_1_0__f_serial_clock A ) ( clkbuf_0_serial_clock X ) + USE CLOCK + + ROUTED met2 ( 20010 43010 ) ( * 45730 ) + NEW met1 ( 20010 45730 ) ( 41630 * ) + NEW met1 ( 41630 45390 ) ( * 45730 ) + NEW met1 ( 17250 29070 ) ( 20930 * ) + NEW met2 ( 20930 29070 ) ( * 30430 ) + NEW met1 ( 20010 30430 ) ( 20930 * ) + NEW met2 ( 20010 30430 ) ( * 43010 ) + NEW met1 ( 10810 43010 ) ( 20010 * ) + NEW li1 ( 10810 43010 ) L1M1_PR_MR + NEW met1 ( 20010 43010 ) M1M2_PR + NEW met1 ( 20010 45730 ) M1M2_PR + NEW li1 ( 41630 45390 ) L1M1_PR_MR + NEW li1 ( 17250 29070 ) L1M1_PR_MR + NEW met1 ( 20930 29070 ) M1M2_PR + NEW met1 ( 20930 30430 ) M1M2_PR + NEW met1 ( 20010 30430 ) M1M2_PR ; + - clknet_0_serial_load ( clkbuf_1_1__f_serial_load A ) ( clkbuf_1_0__f_serial_load A ) ( clkbuf_0_serial_load X ) + USE CLOCK + + ROUTED met2 ( 19090 25670 ) ( * 30430 ) + NEW met1 ( 14490 14790 ) ( 16790 * ) + NEW met2 ( 14490 14790 ) ( * 25670 ) + NEW met1 ( 14490 25670 ) ( 19090 * ) + NEW met1 ( 10810 30430 ) ( 19090 * ) + NEW li1 ( 10810 30430 ) L1M1_PR_MR + NEW li1 ( 19090 25670 ) L1M1_PR_MR + NEW met1 ( 19090 25670 ) M1M2_PR + NEW met1 ( 19090 30430 ) M1M2_PR + NEW li1 ( 16790 14790 ) L1M1_PR_MR + NEW met1 ( 14490 14790 ) M1M2_PR + NEW met1 ( 14490 25670 ) M1M2_PR + NEW met1 ( 19090 25670 ) RECT ( -355 -70 0 70 ) ; + - clknet_1_0__leaf_serial_load ( _095__3 A ) ( _096__4 A ) ( _100__8 A ) ( _103__11 A ) ( _104__12 A ) ( _105__13 A ) ( clkbuf_1_0__f_serial_load X ) + USE CLOCK + + ROUTED met1 ( 37490 23290 ) ( * 23630 ) + NEW met1 ( 37490 23630 ) ( 39790 * ) + NEW met2 ( 39790 23630 ) ( * 41990 ) + NEW met1 ( 39790 41990 ) ( 42090 * ) + NEW met1 ( 27830 26010 ) ( 39790 * ) + NEW met1 ( 19090 31080 ) ( 19550 * ) + NEW met1 ( 19550 30770 ) ( * 31080 ) + NEW met1 ( 19550 30770 ) ( 22310 * ) + NEW met2 ( 22310 26010 ) ( * 30770 ) + NEW met1 ( 22310 26010 ) ( 27830 * ) + NEW met1 ( 20470 12410 ) ( * 12750 ) + NEW met1 ( 20470 12750 ) ( 22310 * ) + NEW met1 ( 22310 12750 ) ( * 13090 ) + NEW met2 ( 22310 13090 ) ( * 26010 ) + NEW met1 ( 22770 12410 ) ( * 12750 ) + NEW met1 ( 22310 12750 ) ( 22770 * ) + NEW met1 ( 7130 39610 ) ( 8970 * ) + NEW met2 ( 8970 30770 ) ( * 39610 ) + NEW met1 ( 8970 30770 ) ( 18630 * ) + NEW met1 ( 18630 30770 ) ( * 31080 ) + NEW met1 ( 18630 31080 ) ( 19090 * ) + NEW li1 ( 37490 23290 ) L1M1_PR_MR + NEW met1 ( 39790 23630 ) M1M2_PR + NEW met1 ( 39790 41990 ) M1M2_PR + NEW li1 ( 42090 41990 ) L1M1_PR_MR + NEW li1 ( 27830 26010 ) L1M1_PR_MR + NEW met1 ( 39790 26010 ) M1M2_PR + NEW li1 ( 19090 31080 ) L1M1_PR_MR + NEW met1 ( 22310 30770 ) M1M2_PR + NEW met1 ( 22310 26010 ) M1M2_PR + NEW li1 ( 20470 12410 ) L1M1_PR_MR + NEW met1 ( 22310 13090 ) M1M2_PR + NEW li1 ( 22770 12410 ) L1M1_PR_MR + NEW li1 ( 7130 39610 ) L1M1_PR_MR + NEW met1 ( 8970 39610 ) M1M2_PR + NEW met1 ( 8970 30770 ) M1M2_PR + NEW met2 ( 39790 26010 ) RECT ( -70 -485 70 0 ) ; + - clknet_1_1__leaf_serial_clock ( _127_ CLK ) ( _128_ CLK ) ( _129_ CLK ) ( _130_ CLK ) ( _131_ CLK ) ( clkbuf_1_1__f_serial_clock X ) + USE CLOCK + + ROUTED met2 ( 44390 34170 ) ( * 44370 ) + NEW met1 ( 41170 44370 ) ( * 44710 ) + NEW met1 ( 30130 42330 ) ( 31510 * ) + NEW met1 ( 32890 47090 ) ( * 47430 ) + NEW met1 ( 32890 47090 ) ( 33810 * ) + NEW met2 ( 33810 46580 ) ( * 47090 ) + NEW met3 ( 32890 46580 ) ( 33810 * ) + NEW met2 ( 32890 42330 ) ( * 46580 ) + NEW met2 ( 30130 36890 ) ( * 42330 ) + NEW met1 ( 31510 42330 ) ( 44390 * ) + NEW met1 ( 41170 44370 ) ( 48070 * ) + NEW li1 ( 48070 44370 ) L1M1_PR_MR + NEW li1 ( 44390 34170 ) L1M1_PR_MR + NEW met1 ( 44390 34170 ) M1M2_PR + NEW met1 ( 44390 44370 ) M1M2_PR + NEW met1 ( 44390 42330 ) M1M2_PR + NEW li1 ( 30130 36890 ) L1M1_PR_MR + NEW met1 ( 30130 36890 ) M1M2_PR + NEW li1 ( 41170 44710 ) L1M1_PR_MR + NEW li1 ( 31510 42330 ) L1M1_PR_MR + NEW met1 ( 30130 42330 ) M1M2_PR + NEW li1 ( 32890 47430 ) L1M1_PR_MR + NEW met1 ( 33810 47090 ) M1M2_PR + NEW met2 ( 33810 46580 ) M2M3_PR + NEW met2 ( 32890 46580 ) M2M3_PR + NEW met1 ( 32890 42330 ) M1M2_PR + NEW met1 ( 44390 34170 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 44390 44370 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 44390 42330 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 30130 36890 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 32890 42330 ) RECT ( -595 -70 0 70 ) ; + - gpio_defaults[0] ( PIN gpio_defaults[0] ) ( ANTENNA__068__B DIODE ) ( ANTENNA__069__B DIODE ) ( _069_ B ) ( _068_ B ) + USE SIGNAL + + ROUTED met2 ( 6210 61030 ) ( * 61540 ) + NEW met2 ( 4830 61540 0 ) ( 6210 * ) + NEW met1 ( 29670 11390 ) ( 30590 * ) + NEW met2 ( 30590 6630 ) ( * 11390 ) + NEW met1 ( 30590 6630 ) ( 42550 * ) + NEW met1 ( 29210 11390 ) ( 29670 * ) + NEW met2 ( 29210 11390 ) ( * 13800 ) + NEW met1 ( 30590 58310 ) ( 31050 * ) + NEW met2 ( 30590 45900 ) ( * 58310 ) + NEW met2 ( 30130 45900 ) ( 30590 * ) + NEW met2 ( 30130 43180 ) ( * 45900 ) + NEW met3 ( 28980 43180 ) ( 30130 * ) + NEW met4 ( 28980 32980 ) ( * 43180 ) + NEW met3 ( 28750 32980 ) ( 28980 * ) + NEW met2 ( 28750 13800 ) ( * 32980 ) + NEW met2 ( 28750 13800 ) ( 29210 * ) + NEW met2 ( 30590 58310 ) ( * 61030 ) + NEW met2 ( 43470 52020 ) ( * 52190 ) + NEW met3 ( 30590 52020 ) ( 43470 * ) + NEW met1 ( 6210 61030 ) ( 30590 * ) + NEW met1 ( 49910 52190 ) ( * 52870 ) + NEW met1 ( 43470 52190 ) ( 49910 * ) + NEW met1 ( 6210 61030 ) M1M2_PR + NEW li1 ( 29670 11390 ) L1M1_PR_MR + NEW met1 ( 30590 11390 ) M1M2_PR + NEW met1 ( 30590 6630 ) M1M2_PR + NEW li1 ( 42550 6630 ) L1M1_PR_MR + NEW met1 ( 29210 11390 ) M1M2_PR + NEW li1 ( 31050 58310 ) L1M1_PR_MR + NEW met1 ( 30590 58310 ) M1M2_PR + NEW met2 ( 30130 43180 ) M2M3_PR + NEW met3 ( 28980 43180 ) M3M4_PR + NEW met3 ( 28980 32980 ) M3M4_PR + NEW met2 ( 28750 32980 ) M2M3_PR + NEW met1 ( 30590 61030 ) M1M2_PR + NEW met1 ( 43470 52190 ) M1M2_PR + NEW met2 ( 43470 52020 ) M2M3_PR + NEW met2 ( 30590 52020 ) M2M3_PR + NEW li1 ( 49910 52870 ) L1M1_PR_MR + NEW met3 ( 28980 32980 ) RECT ( 0 -150 390 150 ) + NEW met2 ( 30590 52020 ) RECT ( -70 -485 70 0 ) ; + - gpio_defaults[10] ( PIN gpio_defaults[10] ) ( ANTENNA__082__B DIODE ) ( ANTENNA__083__B DIODE ) ( _083_ B ) ( _082_ B ) + USE SIGNAL + + ROUTED met1 ( 48530 11390 ) ( 48990 * ) + NEW met2 ( 48990 4250 ) ( * 11390 ) + NEW met1 ( 47610 4250 ) ( 48990 * ) + NEW met1 ( 48990 11390 ) ( 50830 * ) + NEW met1 ( 43930 28390 ) ( 44850 * ) + NEW met2 ( 43930 28390 ) ( * 41990 ) + NEW met1 ( 42550 41990 ) ( 43930 * ) + NEW met2 ( 42550 41990 ) ( * 47940 ) + NEW met3 ( 29210 47940 ) ( 42550 * ) + NEW met2 ( 29210 47940 ) ( * 61540 ) + NEW met2 ( 27830 61540 0 ) ( 29210 * ) + NEW met1 ( 49910 25330 ) ( * 25670 ) + NEW met1 ( 48990 25330 ) ( 49910 * ) + NEW met2 ( 48990 25330 ) ( * 30430 ) + NEW met1 ( 43930 30430 ) ( 48990 * ) + NEW met1 ( 49910 25330 ) ( 50830 * ) + NEW met2 ( 50830 11390 ) ( * 25330 ) + NEW li1 ( 48530 11390 ) L1M1_PR_MR + NEW met1 ( 48990 11390 ) M1M2_PR + NEW met1 ( 48990 4250 ) M1M2_PR + NEW li1 ( 47610 4250 ) L1M1_PR_MR + NEW met1 ( 50830 11390 ) M1M2_PR + NEW li1 ( 44850 28390 ) L1M1_PR_MR + NEW met1 ( 43930 28390 ) M1M2_PR + NEW met1 ( 43930 41990 ) M1M2_PR + NEW met1 ( 42550 41990 ) M1M2_PR + NEW met2 ( 42550 47940 ) M2M3_PR + NEW met2 ( 29210 47940 ) M2M3_PR + NEW li1 ( 49910 25670 ) L1M1_PR_MR + NEW met1 ( 48990 25330 ) M1M2_PR + NEW met1 ( 48990 30430 ) M1M2_PR + NEW met1 ( 43930 30430 ) M1M2_PR + NEW met1 ( 50830 25330 ) M1M2_PR + NEW met2 ( 43930 30430 ) RECT ( -70 -485 70 0 ) ; + - gpio_defaults[11] ( PIN gpio_defaults[11] ) ( ANTENNA__084__B DIODE ) ( ANTENNA__085__B DIODE ) ( _085_ B ) ( _084_ B ) + USE SIGNAL + + ROUTED met1 ( 11730 3230 ) ( 12650 * ) + NEW met2 ( 11730 3230 ) ( * 22610 ) + NEW met2 ( 10810 22610 ) ( 11730 * ) + NEW met1 ( 8050 41990 ) ( 10810 * ) + NEW met2 ( 10810 41990 ) ( * 42500 ) + NEW met2 ( 10810 22610 ) ( * 41990 ) + NEW met1 ( 11730 5950 ) ( 13800 * ) + NEW met1 ( 13800 5950 ) ( * 6290 ) + NEW met1 ( 13800 6290 ) ( 43930 * ) + NEW met2 ( 43930 4930 ) ( * 6290 ) + NEW met2 ( 44390 46750 ) ( * 57630 ) + NEW met1 ( 28290 46750 ) ( 44390 * ) + NEW met2 ( 28290 42500 ) ( * 46750 ) + NEW met2 ( 30130 46750 ) ( * 61540 0 ) + NEW met3 ( 10810 42500 ) ( 28290 * ) + NEW met1 ( 50370 57630 ) ( * 58310 ) + NEW met1 ( 44390 57630 ) ( 50370 * ) + NEW li1 ( 12650 3230 ) L1M1_PR_MR + NEW met1 ( 11730 3230 ) M1M2_PR + NEW met1 ( 11730 5950 ) M1M2_PR + NEW li1 ( 8050 41990 ) L1M1_PR_MR + NEW met1 ( 10810 41990 ) M1M2_PR + NEW met2 ( 10810 42500 ) M2M3_PR + NEW met1 ( 43930 6290 ) M1M2_PR + NEW li1 ( 43930 4930 ) L1M1_PR_MR + NEW met1 ( 43930 4930 ) M1M2_PR + NEW met1 ( 44390 57630 ) M1M2_PR + NEW met1 ( 44390 46750 ) M1M2_PR + NEW met1 ( 28290 46750 ) M1M2_PR + NEW met2 ( 28290 42500 ) M2M3_PR + NEW met1 ( 30130 46750 ) M1M2_PR + NEW li1 ( 50370 58310 ) L1M1_PR_MR + NEW met2 ( 11730 5950 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 43930 4930 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 30130 46750 ) RECT ( -595 -70 0 70 ) ; + - gpio_defaults[12] ( PIN gpio_defaults[12] ) ( ANTENNA__086__B DIODE ) ( ANTENNA__087__B DIODE ) ( _087_ B ) ( _086_ B ) + USE SIGNAL + + ROUTED met1 ( 45310 12070 ) ( 45770 * ) + NEW met2 ( 45310 4930 ) ( * 12070 ) + NEW met1 ( 45770 12070 ) ( 46230 * ) + NEW met1 ( 39790 22610 ) ( * 22950 ) + NEW met1 ( 36570 22610 ) ( 39790 * ) + NEW met2 ( 36570 22610 ) ( * 34340 ) + NEW met2 ( 36570 34340 ) ( 37030 * ) + NEW met2 ( 37030 34340 ) ( * 44030 ) + NEW met1 ( 34730 44030 ) ( 37030 * ) + NEW met1 ( 34730 44030 ) ( * 44370 ) + NEW met1 ( 31050 44370 ) ( 34730 * ) + NEW met1 ( 27830 15470 ) ( 36570 * ) + NEW met2 ( 36570 15470 ) ( * 22610 ) + NEW met1 ( 36570 15470 ) ( 46230 * ) + NEW met2 ( 46230 12070 ) ( * 15470 ) + NEW met2 ( 31050 60180 ) ( 32890 * ) + NEW met2 ( 32890 60180 ) ( * 61540 ) + NEW met2 ( 32430 61540 0 ) ( 32890 * ) + NEW met2 ( 31050 44370 ) ( * 60180 ) + NEW li1 ( 45770 12070 ) L1M1_PR_MR + NEW met1 ( 45310 12070 ) M1M2_PR + NEW li1 ( 45310 4930 ) L1M1_PR_MR + NEW met1 ( 45310 4930 ) M1M2_PR + NEW met1 ( 46230 12070 ) M1M2_PR + NEW li1 ( 39790 22950 ) L1M1_PR_MR + NEW met1 ( 36570 22610 ) M1M2_PR + NEW met1 ( 37030 44030 ) M1M2_PR + NEW met1 ( 31050 44370 ) M1M2_PR + NEW li1 ( 27830 15470 ) L1M1_PR_MR + NEW met1 ( 36570 15470 ) M1M2_PR + NEW met1 ( 46230 15470 ) M1M2_PR + NEW met1 ( 45310 4930 ) RECT ( -355 -70 0 70 ) ; + - gpio_defaults[1] ( PIN gpio_defaults[1] ) ( ANTENNA__080__B DIODE ) ( ANTENNA__081__B DIODE ) ( _081_ B ) ( _080_ B ) + USE SIGNAL + + ROUTED met2 ( 6670 53890 ) ( 7130 * ) + NEW met2 ( 7130 53890 ) ( * 61540 0 ) + NEW met1 ( 24610 12410 ) ( 25070 * ) + NEW met1 ( 36570 55930 ) ( * 56610 ) + NEW met1 ( 21850 56610 ) ( 36570 * ) + NEW met2 ( 21850 55420 ) ( * 56610 ) + NEW met1 ( 25990 19890 ) ( * 20230 ) + NEW met1 ( 25990 19890 ) ( 27370 * ) + NEW met2 ( 27370 19890 ) ( * 34850 ) + NEW met1 ( 21850 34850 ) ( 27370 * ) + NEW met2 ( 21850 34850 ) ( * 55420 ) + NEW met1 ( 24610 19890 ) ( 25990 * ) + NEW met3 ( 7130 55420 ) ( 21850 * ) + NEW met2 ( 24610 12410 ) ( * 19890 ) + NEW li1 ( 6670 53890 ) L1M1_PR_MR + NEW met1 ( 6670 53890 ) M1M2_PR + NEW met2 ( 7130 55420 ) M2M3_PR + NEW met1 ( 24610 12410 ) M1M2_PR + NEW li1 ( 25070 12410 ) L1M1_PR_MR + NEW li1 ( 36570 55930 ) L1M1_PR_MR + NEW met1 ( 21850 56610 ) M1M2_PR + NEW met2 ( 21850 55420 ) M2M3_PR + NEW li1 ( 25990 20230 ) L1M1_PR_MR + NEW met1 ( 27370 19890 ) M1M2_PR + NEW met1 ( 27370 34850 ) M1M2_PR + NEW met1 ( 21850 34850 ) M1M2_PR + NEW met1 ( 24610 19890 ) M1M2_PR + NEW met1 ( 6670 53890 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 7130 55420 ) RECT ( -70 -485 70 0 ) ; + - gpio_defaults[2] ( PIN gpio_defaults[2] ) ( ANTENNA__070__B DIODE ) ( ANTENNA__071__B DIODE ) ( _071_ B ) ( _070_ B ) + USE SIGNAL + + ROUTED met2 ( 7590 61540 ) ( 9430 * 0 ) + NEW met1 ( 17710 41990 ) ( 18170 * ) + NEW met1 ( 17710 41650 ) ( * 41990 ) + NEW met1 ( 7590 41650 ) ( 17710 * ) + NEW met1 ( 7590 31110 ) ( 8050 * ) + NEW met2 ( 7590 31110 ) ( * 41650 ) + NEW met1 ( 15410 10030 ) ( 18630 * ) + NEW met2 ( 15410 10030 ) ( * 31110 ) + NEW met1 ( 8050 31110 ) ( 15410 * ) + NEW met1 ( 12190 3570 ) ( 15410 * ) + NEW met2 ( 15410 3570 ) ( * 10030 ) + NEW met2 ( 7590 41650 ) ( * 61540 ) + NEW li1 ( 18170 41990 ) L1M1_PR_MR + NEW met1 ( 7590 41650 ) M1M2_PR + NEW li1 ( 8050 31110 ) L1M1_PR_MR + NEW met1 ( 7590 31110 ) M1M2_PR + NEW li1 ( 18630 10030 ) L1M1_PR_MR + NEW met1 ( 15410 10030 ) M1M2_PR + NEW met1 ( 15410 31110 ) M1M2_PR + NEW li1 ( 12190 3570 ) L1M1_PR_MR + NEW met1 ( 15410 3570 ) M1M2_PR ; + - gpio_defaults[3] ( PIN gpio_defaults[3] ) ( ANTENNA__076__B DIODE ) ( ANTENNA__077__B DIODE ) ( _077_ B ) ( _076_ B ) + USE SIGNAL + + ROUTED met2 ( 11730 61540 0 ) ( 13570 * ) + NEW met2 ( 13570 60350 ) ( * 61540 ) + NEW met1 ( 50370 32130 ) ( 52210 * ) + NEW met1 ( 52210 32130 ) ( * 33150 ) + NEW met2 ( 18170 56100 ) ( * 58310 ) + NEW met3 ( 18170 56100 ) ( 22540 * ) + NEW met2 ( 18170 58310 ) ( * 60350 ) + NEW met1 ( 13570 60350 ) ( 18170 * ) + NEW met1 ( 31970 33830 ) ( 32890 * ) + NEW met2 ( 32890 33660 ) ( * 33830 ) + NEW met3 ( 28060 33660 ) ( 32890 * ) + NEW met4 ( 28060 19380 ) ( * 33660 ) + NEW met3 ( 27830 19380 ) ( 28060 * ) + NEW met2 ( 27830 7650 ) ( * 19380 ) + NEW met1 ( 18170 7650 ) ( 27830 * ) + NEW met3 ( 22540 33660 ) ( 28060 * ) + NEW met1 ( 32890 33150 ) ( * 33830 ) + NEW met4 ( 22540 33660 ) ( * 56100 ) + NEW met1 ( 32890 33150 ) ( 52210 * ) + NEW met1 ( 13570 60350 ) M1M2_PR + NEW li1 ( 50370 32130 ) L1M1_PR_MR + NEW li1 ( 18170 58310 ) L1M1_PR_MR + NEW met1 ( 18170 58310 ) M1M2_PR + NEW met2 ( 18170 56100 ) M2M3_PR + NEW met3 ( 22540 56100 ) M3M4_PR + NEW met1 ( 18170 60350 ) M1M2_PR + NEW li1 ( 31970 33830 ) L1M1_PR_MR + NEW met1 ( 32890 33830 ) M1M2_PR + NEW met2 ( 32890 33660 ) M2M3_PR + NEW met3 ( 28060 33660 ) M3M4_PR + NEW met3 ( 28060 19380 ) M3M4_PR + NEW met2 ( 27830 19380 ) M2M3_PR + NEW met1 ( 27830 7650 ) M1M2_PR + NEW li1 ( 18170 7650 ) L1M1_PR_MR + NEW met3 ( 22540 33660 ) M3M4_PR + NEW met1 ( 18170 58310 ) RECT ( -355 -70 0 70 ) + NEW met3 ( 28060 19380 ) RECT ( 0 -150 390 150 ) ; + - gpio_defaults[4] ( PIN gpio_defaults[4] ) ( ANTENNA__078__B DIODE ) ( ANTENNA__079__B DIODE ) ( _079_ B ) ( _078_ B ) + USE SIGNAL + + ROUTED met1 ( 16330 10370 ) ( 16790 * ) + NEW met1 ( 16330 7650 ) ( 16790 * ) + NEW met2 ( 16330 7650 ) ( * 10370 ) + NEW met1 ( 14950 26010 ) ( 16790 * ) + NEW met2 ( 14950 26010 ) ( * 44030 ) + NEW met2 ( 14490 44030 ) ( 14950 * ) + NEW met2 ( 14490 44030 ) ( * 47260 ) + NEW met2 ( 14490 47260 ) ( 14950 * ) + NEW met1 ( 14950 23290 ) ( 17250 * ) + NEW met2 ( 14950 23290 ) ( * 26010 ) + NEW met2 ( 16330 10370 ) ( * 23290 ) + NEW met3 ( 14950 49300 ) ( 15180 * ) + NEW met3 ( 15180 49300 ) ( * 49980 ) + NEW met3 ( 14490 49980 ) ( 15180 * ) + NEW met2 ( 14490 49980 ) ( * 52700 ) + NEW met2 ( 14490 52700 ) ( 14950 * ) + NEW met2 ( 14950 52700 ) ( * 61540 ) + NEW met2 ( 14030 61540 0 ) ( 14950 * ) + NEW met2 ( 14950 47260 ) ( * 49300 ) + NEW li1 ( 16790 10370 ) L1M1_PR_MR + NEW met1 ( 16330 10370 ) M1M2_PR + NEW li1 ( 16790 7650 ) L1M1_PR_MR + NEW met1 ( 16330 7650 ) M1M2_PR + NEW li1 ( 16790 26010 ) L1M1_PR_MR + NEW met1 ( 14950 26010 ) M1M2_PR + NEW li1 ( 17250 23290 ) L1M1_PR_MR + NEW met1 ( 14950 23290 ) M1M2_PR + NEW met1 ( 16330 23290 ) M1M2_PR + NEW met2 ( 14950 49300 ) M2M3_PR + NEW met2 ( 14490 49980 ) M2M3_PR + NEW met1 ( 16330 23290 ) RECT ( -595 -70 0 70 ) ; + - gpio_defaults[5] ( PIN gpio_defaults[5] ) ( ANTENNA__088__B DIODE ) ( ANTENNA__089__B DIODE ) ( _089_ B ) ( _088_ B ) + USE SIGNAL + + ROUTED met2 ( 6670 31450 ) ( * 33150 ) + NEW met1 ( 6210 28730 ) ( 6670 * ) + NEW met2 ( 6670 28730 ) ( * 31450 ) + NEW met1 ( 22310 10370 ) ( 23230 * ) + NEW met2 ( 23230 10370 ) ( * 13800 ) + NEW met2 ( 14030 31450 ) ( * 31620 ) + NEW met3 ( 14030 31620 ) ( 15180 * ) + NEW met4 ( 15180 31620 ) ( * 52700 ) + NEW met3 ( 15180 52700 ) ( 15410 * ) + NEW met2 ( 15410 52700 ) ( * 61540 ) + NEW met2 ( 15410 61540 ) ( 16330 * 0 ) + NEW met1 ( 20930 31110 ) ( 23230 * ) + NEW met2 ( 20930 31110 ) ( * 31620 ) + NEW met3 ( 15180 31620 ) ( 20930 * ) + NEW met2 ( 23230 13800 ) ( 23690 * ) + NEW met2 ( 23690 13800 ) ( * 31110 ) + NEW met1 ( 23230 31110 ) ( 23690 * ) + NEW met1 ( 6670 31450 ) ( 14030 * ) + NEW li1 ( 6670 33150 ) L1M1_PR_MR + NEW met1 ( 6670 33150 ) M1M2_PR + NEW met1 ( 6670 31450 ) M1M2_PR + NEW li1 ( 6210 28730 ) L1M1_PR_MR + NEW met1 ( 6670 28730 ) M1M2_PR + NEW li1 ( 22310 10370 ) L1M1_PR_MR + NEW met1 ( 23230 10370 ) M1M2_PR + NEW met1 ( 14030 31450 ) M1M2_PR + NEW met2 ( 14030 31620 ) M2M3_PR + NEW met3 ( 15180 31620 ) M3M4_PR + NEW met3 ( 15180 52700 ) M3M4_PR + NEW met2 ( 15410 52700 ) M2M3_PR + NEW li1 ( 23230 31110 ) L1M1_PR_MR + NEW met1 ( 20930 31110 ) M1M2_PR + NEW met2 ( 20930 31620 ) M2M3_PR + NEW met1 ( 23690 31110 ) M1M2_PR + NEW met1 ( 6670 33150 ) RECT ( -355 -70 0 70 ) + NEW met3 ( 15180 52700 ) RECT ( -390 -150 0 150 ) ; + - gpio_defaults[6] ( PIN gpio_defaults[6] ) ( ANTENNA__090__B DIODE ) ( ANTENNA__091__B DIODE ) ( _091_ B ) ( _090_ B ) + USE SIGNAL + + ROUTED met2 ( 16330 53380 ) ( 16790 * ) + NEW met2 ( 16330 53380 ) ( * 55420 ) + NEW met2 ( 16330 55420 ) ( 16790 * ) + NEW met2 ( 16790 55420 ) ( * 61540 ) + NEW met2 ( 16790 61540 ) ( 18630 * 0 ) + NEW met1 ( 20010 17850 ) ( 20470 * ) + NEW met2 ( 20470 17850 ) ( * 33660 ) + NEW met3 ( 19550 33660 ) ( 20470 * ) + NEW met2 ( 19550 33660 ) ( * 41820 ) + NEW met3 ( 16790 41820 ) ( 19550 * ) + NEW met1 ( 20470 10370 ) ( 20930 * ) + NEW met2 ( 20470 10370 ) ( * 17850 ) + NEW met1 ( 20470 15130 ) ( 28750 * ) + NEW met2 ( 32430 15130 ) ( * 25670 ) + NEW met1 ( 28750 15130 ) ( 32430 * ) + NEW met2 ( 16790 41820 ) ( * 53380 ) + NEW li1 ( 20010 17850 ) L1M1_PR_MR + NEW met1 ( 20470 17850 ) M1M2_PR + NEW met2 ( 20470 33660 ) M2M3_PR + NEW met2 ( 19550 33660 ) M2M3_PR + NEW met2 ( 19550 41820 ) M2M3_PR + NEW met2 ( 16790 41820 ) M2M3_PR + NEW li1 ( 20930 10370 ) L1M1_PR_MR + NEW met1 ( 20470 10370 ) M1M2_PR + NEW li1 ( 28750 15130 ) L1M1_PR_MR + NEW met1 ( 20470 15130 ) M1M2_PR + NEW li1 ( 32430 25670 ) L1M1_PR_MR + NEW met1 ( 32430 25670 ) M1M2_PR + NEW met1 ( 32430 15130 ) M1M2_PR + NEW met2 ( 20470 15130 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 32430 25670 ) RECT ( -355 -70 0 70 ) ; + - gpio_defaults[7] ( PIN gpio_defaults[7] ) ( ANTENNA__092__B DIODE ) ( ANTENNA__093__B DIODE ) ( _093_ B ) ( _092_ B ) + USE SIGNAL + + ROUTED met1 ( 30590 28390 ) ( 31050 * ) + NEW met2 ( 30590 28390 ) ( * 44370 ) + NEW met1 ( 22310 44370 ) ( 30590 * ) + NEW met2 ( 22310 44370 ) ( * 62220 ) + NEW met2 ( 20930 62220 0 ) ( 22310 * ) + NEW met1 ( 34270 23290 ) ( 35190 * ) + NEW met2 ( 34270 23290 ) ( * 28050 ) + NEW met1 ( 31050 28050 ) ( 34270 * ) + NEW met1 ( 31050 28050 ) ( * 28390 ) + NEW met1 ( 30130 15810 ) ( 33810 * ) + NEW met2 ( 33810 15810 ) ( * 21420 ) + NEW met2 ( 33810 21420 ) ( 34270 * ) + NEW met2 ( 34270 21420 ) ( * 23290 ) + NEW met2 ( 30130 13090 ) ( * 15810 ) + NEW li1 ( 30130 13090 ) L1M1_PR_MR + NEW met1 ( 30130 13090 ) M1M2_PR + NEW li1 ( 31050 28390 ) L1M1_PR_MR + NEW met1 ( 30590 28390 ) M1M2_PR + NEW met1 ( 30590 44370 ) M1M2_PR + NEW met1 ( 22310 44370 ) M1M2_PR + NEW li1 ( 35190 23290 ) L1M1_PR_MR + NEW met1 ( 34270 23290 ) M1M2_PR + NEW met1 ( 34270 28050 ) M1M2_PR + NEW li1 ( 30130 15810 ) L1M1_PR_MR + NEW met1 ( 33810 15810 ) M1M2_PR + NEW met1 ( 30130 15810 ) M1M2_PR + NEW met1 ( 30130 13090 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 30130 15810 ) RECT ( -595 -70 0 70 ) ; + - gpio_defaults[8] ( PIN gpio_defaults[8] ) ( ANTENNA__072__B DIODE ) ( ANTENNA__073__B DIODE ) ( _073_ B ) ( _072_ B ) + USE SIGNAL + + ROUTED met1 ( 18630 52190 ) ( 23690 * ) + NEW met2 ( 23690 52190 ) ( * 61540 ) + NEW met2 ( 23230 61540 0 ) ( 23690 * ) + NEW met1 ( 23230 45050 ) ( 28290 * ) + NEW met2 ( 23230 45050 ) ( * 45220 ) + NEW met2 ( 23230 45220 ) ( 23690 * ) + NEW met2 ( 23690 45220 ) ( * 52190 ) + NEW met2 ( 36570 39610 ) ( * 44710 ) + NEW met1 ( 33810 44710 ) ( 36570 * ) + NEW met1 ( 33810 44710 ) ( * 45050 ) + NEW met1 ( 28290 45050 ) ( 33810 * ) + NEW met1 ( 38870 53210 ) ( 42550 * ) + NEW met1 ( 38870 53210 ) ( * 53550 ) + NEW met1 ( 37030 53550 ) ( 38870 * ) + NEW met2 ( 37030 46580 ) ( * 53550 ) + NEW met2 ( 36570 46580 ) ( 37030 * ) + NEW met2 ( 36570 44710 ) ( * 46580 ) + NEW li1 ( 18630 52190 ) L1M1_PR_MR + NEW met1 ( 23690 52190 ) M1M2_PR + NEW li1 ( 28290 45050 ) L1M1_PR_MR + NEW met1 ( 23230 45050 ) M1M2_PR + NEW li1 ( 36570 39610 ) L1M1_PR_MR + NEW met1 ( 36570 39610 ) M1M2_PR + NEW met1 ( 36570 44710 ) M1M2_PR + NEW li1 ( 42550 53210 ) L1M1_PR_MR + NEW met1 ( 37030 53550 ) M1M2_PR + NEW met1 ( 36570 39610 ) RECT ( -355 -70 0 70 ) ; + - gpio_defaults[9] ( PIN gpio_defaults[9] ) ( ANTENNA__074__B DIODE ) ( ANTENNA__075__B DIODE ) ( _075_ B ) ( _074_ B ) + USE SIGNAL + + ROUTED met1 ( 43470 5950 ) ( 45770 * ) + NEW met1 ( 45770 5950 ) ( * 6290 ) + NEW met1 ( 45770 6290 ) ( 51290 * ) + NEW met1 ( 48530 42330 ) ( * 42670 ) + NEW met1 ( 48530 42670 ) ( 51290 * ) + NEW met1 ( 49910 50150 ) ( 51290 * ) + NEW met2 ( 51290 42670 ) ( * 50150 ) + NEW met2 ( 14030 46750 ) ( * 47260 ) + NEW met3 ( 14030 47260 ) ( 37950 * ) + NEW met2 ( 37950 47260 ) ( * 47770 ) + NEW met1 ( 37950 47770 ) ( 40250 * ) + NEW met1 ( 40250 47430 ) ( * 47770 ) + NEW met1 ( 40250 47430 ) ( 45310 * ) + NEW met1 ( 45310 46750 ) ( * 47430 ) + NEW met1 ( 45310 46750 ) ( 51290 * ) + NEW met2 ( 25530 57630 ) ( * 61540 0 ) + NEW met2 ( 24610 57630 ) ( 25530 * ) + NEW met2 ( 24610 47260 ) ( * 57630 ) + NEW met1 ( 6210 46750 ) ( 14030 * ) + NEW met2 ( 51290 6290 ) ( * 42670 ) + NEW li1 ( 6210 46750 ) L1M1_PR_MR + NEW li1 ( 43470 5950 ) L1M1_PR_MR + NEW met1 ( 51290 6290 ) M1M2_PR + NEW li1 ( 48530 42330 ) L1M1_PR_MR + NEW met1 ( 51290 42670 ) M1M2_PR + NEW li1 ( 49910 50150 ) L1M1_PR_MR + NEW met1 ( 51290 50150 ) M1M2_PR + NEW met1 ( 14030 46750 ) M1M2_PR + NEW met2 ( 14030 47260 ) M2M3_PR + NEW met2 ( 37950 47260 ) M2M3_PR + NEW met1 ( 37950 47770 ) M1M2_PR + NEW met1 ( 51290 46750 ) M1M2_PR + NEW met2 ( 24610 47260 ) M2M3_PR + NEW met2 ( 51290 46750 ) RECT ( -70 -485 70 0 ) + NEW met3 ( 24610 47260 ) RECT ( -800 -150 0 150 ) ; + - gpio_logic1 ( gpio_logic_high gpio_logic1 ) ( _067_ A ) + USE SIGNAL + + ROUTED met2 ( 13570 17000 ) ( * 17170 ) + NEW met3 ( 12420 17000 0 ) ( 13570 * ) + NEW met1 ( 25990 17170 ) ( * 17510 ) + NEW met1 ( 25990 17510 ) ( 43010 * ) + NEW met1 ( 13570 17170 ) ( 25990 * ) + NEW met1 ( 13570 17170 ) M1M2_PR + NEW met2 ( 13570 17000 ) M2M3_PR + NEW li1 ( 43010 17510 ) L1M1_PR_MR ; + - gpio_outenb ( _112_ Q ) ( _060_ A ) + USE SIGNAL + + ROUTED met1 ( 40710 31790 ) ( 43930 * ) + NEW met2 ( 40710 31790 ) ( * 51170 ) + NEW met1 ( 35190 51170 ) ( 40710 * ) + NEW met1 ( 35190 50830 ) ( * 51170 ) + NEW met1 ( 29730 50830 ) ( 35190 * ) + NEW li1 ( 43930 31790 ) L1M1_PR_MR + NEW met1 ( 40710 31790 ) M1M2_PR + NEW met1 ( 40710 51170 ) M1M2_PR + NEW li1 ( 29730 50830 ) L1M1_PR_MR ; + - mgmt_ena ( _106_ Q ) ( _065_ B1 ) ( _062_ A_N ) ( _061_ S ) + USE SIGNAL + + ROUTED met1 ( 41690 52870 ) ( 45770 * ) + NEW met1 ( 45770 36550 ) ( 47610 * ) + NEW met2 ( 45310 36550 ) ( 45770 * ) + NEW met2 ( 45310 28220 ) ( * 36550 ) + NEW met3 ( 45310 28220 ) ( 46690 * ) + NEW met2 ( 46690 28220 ) ( * 28390 ) + NEW met1 ( 46690 28390 ) ( 47610 * ) + NEW met1 ( 47610 28390 ) ( * 28730 ) + NEW met1 ( 45770 47090 ) ( 47610 * ) + NEW met2 ( 45770 36550 ) ( * 47090 ) + NEW met2 ( 45770 47090 ) ( * 52870 ) + NEW met1 ( 45770 52870 ) M1M2_PR + NEW li1 ( 41690 52870 ) L1M1_PR_MR + NEW li1 ( 47610 36550 ) L1M1_PR_MR + NEW met1 ( 45770 36550 ) M1M2_PR + NEW met2 ( 45310 28220 ) M2M3_PR + NEW met2 ( 46690 28220 ) M2M3_PR + NEW met1 ( 46690 28390 ) M1M2_PR + NEW li1 ( 47610 28730 ) L1M1_PR_MR + NEW li1 ( 47610 47090 ) L1M1_PR_MR + NEW met1 ( 45770 47090 ) M1M2_PR ; + - mgmt_gpio_in ( PIN mgmt_gpio_in ) ( output6 X ) + USE SIGNAL + + ROUTED met2 ( 69230 4420 ) ( * 4590 ) + NEW met3 ( 69230 4420 ) ( 70380 * 0 ) + NEW met1 ( 38410 4590 ) ( 69230 * ) + NEW met1 ( 69230 4590 ) M1M2_PR + NEW met2 ( 69230 4420 ) M2M3_PR + NEW li1 ( 38410 4590 ) L1M1_PR_MR ; + - mgmt_gpio_oeb ( PIN mgmt_gpio_oeb ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL + + ROUTED met2 ( 44390 6970 ) ( * 8500 ) + NEW met1 ( 32890 3570 ) ( 44390 * ) + NEW met2 ( 44390 3570 ) ( * 6970 ) + NEW met3 ( 44390 8500 ) ( 70380 * 0 ) + NEW li1 ( 44390 6970 ) L1M1_PR_MR + NEW met1 ( 44390 6970 ) M1M2_PR + NEW met2 ( 44390 8500 ) M2M3_PR + NEW li1 ( 32890 3570 ) L1M1_PR_MR + NEW met1 ( 44390 3570 ) M1M2_PR + NEW met1 ( 44390 6970 ) RECT ( -355 -70 0 70 ) ; + - mgmt_gpio_out ( PIN mgmt_gpio_out ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL + + ROUTED met2 ( 50370 3910 ) ( * 8670 ) + NEW met1 ( 29210 8670 ) ( 50370 * ) + NEW met2 ( 50830 9860 ) ( * 10540 ) + NEW met2 ( 50370 9860 ) ( 50830 * ) + NEW met2 ( 50370 8670 ) ( * 9860 ) + NEW met3 ( 50830 10540 ) ( 70380 * 0 ) + NEW li1 ( 50370 3910 ) L1M1_PR_MR + NEW met1 ( 50370 3910 ) M1M2_PR + NEW met1 ( 50370 8670 ) M1M2_PR + NEW li1 ( 29210 8670 ) L1M1_PR_MR + NEW met2 ( 50830 10540 ) M2M3_PR + NEW met1 ( 50370 3910 ) RECT ( -355 -70 0 70 ) ; + - net1 ( input1 X ) ( _063_ C ) ( _060_ B ) + USE SIGNAL + + ROUTED met1 ( 44850 7650 ) ( 45310 * ) + NEW met1 ( 44850 30770 ) ( 47380 * ) + NEW met1 ( 44850 30770 ) ( * 31110 ) + NEW met2 ( 44850 7650 ) ( * 31110 ) + NEW li1 ( 45310 7650 ) L1M1_PR_MR + NEW met1 ( 44850 7650 ) M1M2_PR + NEW li1 ( 44850 31110 ) L1M1_PR_MR + NEW met1 ( 44850 31110 ) M1M2_PR + NEW li1 ( 47380 30770 ) L1M1_PR_MR + NEW met1 ( 44850 31110 ) RECT ( -355 -70 0 70 ) ; + - net10 ( output10 A ) ( _113_ Q ) + USE SIGNAL + ROUTED met1 ( 40710 12410 ) ( 41630 * ) - NEW met1 ( 41630 26350 ) ( 46730 * ) + NEW met1 ( 41630 26350 ) ( 47190 * ) NEW met2 ( 41630 12410 ) ( * 26350 ) NEW li1 ( 40710 12410 ) L1M1_PR_MR NEW met1 ( 41630 12410 ) M1M2_PR NEW met1 ( 41630 26350 ) M1M2_PR - NEW li1 ( 46730 26350 ) L1M1_PR_MR ; + NEW li1 ( 47190 26350 ) L1M1_PR_MR ; + - net11 ( output11 A ) ( _114_ Q ) ( _063_ B ) + USE SIGNAL + + ROUTED met1 ( 47610 31790 ) ( * 32130 ) + NEW met1 ( 45310 31790 ) ( 47610 * ) + NEW met1 ( 45310 31450 ) ( * 31790 ) + NEW met1 ( 43470 31450 ) ( 45310 * ) + NEW met2 ( 43470 14450 ) ( * 31450 ) + NEW met1 ( 40250 14450 ) ( 43470 * ) + NEW met1 ( 40250 14450 ) ( * 14790 ) + NEW met1 ( 43930 49470 ) ( 47190 * ) + NEW met2 ( 43930 42500 ) ( * 49470 ) + NEW met2 ( 43470 42500 ) ( 43930 * ) + NEW met2 ( 43470 31450 ) ( * 42500 ) + NEW li1 ( 47610 32130 ) L1M1_PR_MR + NEW met1 ( 43470 31450 ) M1M2_PR + NEW met1 ( 43470 14450 ) M1M2_PR + NEW li1 ( 40250 14790 ) L1M1_PR_MR + NEW li1 ( 47190 49470 ) L1M1_PR_MR + NEW met1 ( 43930 49470 ) M1M2_PR ; + - net12 ( output12 A ) ( _115_ Q ) ( _063_ A_N ) + USE SIGNAL + + ROUTED met2 ( 49450 31110 ) ( * 55250 ) + NEW met1 ( 49450 55250 ) ( 49950 * ) + NEW met2 ( 49450 9350 ) ( * 31110 ) + NEW li1 ( 49450 9350 ) L1M1_PR_MR + NEW met1 ( 49450 9350 ) M1M2_PR + NEW li1 ( 49450 31110 ) L1M1_PR_MR + NEW met1 ( 49450 31110 ) M1M2_PR + NEW met1 ( 49450 55250 ) M1M2_PR + NEW li1 ( 49950 55250 ) L1M1_PR_MR + NEW met1 ( 49450 9350 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 49450 31110 ) RECT ( -355 -70 0 70 ) ; + - net13 ( output13 A ) ( _107_ Q ) + USE SIGNAL + + ROUTED met1 ( 29730 55590 ) ( 31970 * ) + NEW met2 ( 31970 17850 ) ( * 55590 ) + NEW li1 ( 29730 55590 ) L1M1_PR_MR + NEW met1 ( 31970 55590 ) M1M2_PR + NEW li1 ( 31970 17850 ) L1M1_PR_MR + NEW met1 ( 31970 17850 ) M1M2_PR + NEW met1 ( 31970 17850 ) RECT ( -355 -70 0 70 ) ; + - net14 ( output14 A ) ( _111_ Q ) + USE SIGNAL + + ROUTED met1 ( 29730 38590 ) ( 31510 * ) + NEW met2 ( 31510 20230 ) ( * 38590 ) + NEW li1 ( 29730 38590 ) L1M1_PR_MR + NEW met1 ( 31510 38590 ) M1M2_PR + NEW li1 ( 31510 20230 ) L1M1_PR_MR + NEW met1 ( 31510 20230 ) M1M2_PR + NEW met1 ( 31510 20230 ) RECT ( -355 -70 0 70 ) ; + - net15 ( output15 A ) ( _110_ Q ) + USE SIGNAL + + ROUTED met1 ( 36110 15130 ) ( 40710 * ) + NEW met1 ( 40710 14790 ) ( * 15130 ) + NEW met3 ( 28750 43860 ) ( 36110 * ) + NEW met2 ( 28750 43860 ) ( * 47770 ) + NEW met1 ( 28750 47770 ) ( 30170 * ) + NEW met2 ( 36110 15130 ) ( * 43860 ) + NEW met1 ( 36110 15130 ) M1M2_PR + NEW li1 ( 40710 14790 ) L1M1_PR_MR + NEW met2 ( 36110 43860 ) M2M3_PR + NEW met2 ( 28750 43860 ) M2M3_PR + NEW met1 ( 28750 47770 ) M1M2_PR + NEW li1 ( 30170 47770 ) L1M1_PR_MR ; + - net16 ( output16 A ) ( _066_ Y ) + USE SIGNAL + + ROUTED met1 ( 43010 28730 ) ( 45770 * ) + NEW met2 ( 45770 28730 ) ( * 33490 ) + NEW li1 ( 43010 28730 ) L1M1_PR_MR + NEW met1 ( 45770 28730 ) M1M2_PR + NEW li1 ( 45770 33490 ) L1M1_PR_MR + NEW met1 ( 45770 33490 ) M1M2_PR + NEW met1 ( 45770 33490 ) RECT ( -355 -70 0 70 ) ; + - net17 ( output17 A ) ( _061_ X ) + USE SIGNAL + + ROUTED met1 ( 42550 20230 ) ( 43010 * ) + NEW met2 ( 43010 20230 ) ( * 36210 ) + NEW met1 ( 43010 36210 ) ( 45310 * ) + NEW li1 ( 42550 20230 ) L1M1_PR_MR + NEW met1 ( 43010 20230 ) M1M2_PR + NEW met1 ( 43010 36210 ) M1M2_PR + NEW li1 ( 45310 36210 ) L1M1_PR_MR ; + - net18 ( output18 A ) ( _108_ Q ) + USE SIGNAL + + ROUTED met1 ( 31010 37230 ) ( 31050 * ) + NEW met2 ( 31050 37230 ) ( * 41990 ) + NEW li1 ( 31010 37230 ) L1M1_PR_MR + NEW met1 ( 31050 37230 ) M1M2_PR + NEW li1 ( 31050 41990 ) L1M1_PR_MR + NEW met1 ( 31050 41990 ) M1M2_PR + NEW met1 ( 31010 37230 ) RECT ( -315 -70 0 70 ) + NEW met1 ( 31050 41990 ) RECT ( -355 -70 0 70 ) ; + - net19 ( output19 A ) ( _109_ Q ) + USE SIGNAL + + ROUTED met2 ( 16790 39610 ) ( * 41310 ) + NEW met1 ( 16790 41310 ) ( 25990 * ) + NEW met1 ( 25990 41310 ) ( * 41650 ) + NEW met1 ( 25990 41650 ) ( 26910 * ) + NEW met1 ( 26910 41310 ) ( * 41650 ) + NEW met1 ( 26910 41310 ) ( 39330 * ) + NEW met2 ( 39330 40290 ) ( * 41310 ) + NEW met1 ( 39330 40290 ) ( 49950 * ) + NEW li1 ( 16790 39610 ) L1M1_PR_MR + NEW met1 ( 16790 39610 ) M1M2_PR + NEW met1 ( 16790 41310 ) M1M2_PR + NEW met1 ( 39330 41310 ) M1M2_PR + NEW met1 ( 39330 40290 ) M1M2_PR + NEW li1 ( 49950 40290 ) L1M1_PR_MR + NEW met1 ( 16790 39610 ) RECT ( -355 -70 0 70 ) ; + - net2 ( input2 X ) ( _065_ A1 ) + USE SIGNAL + + ROUTED met1 ( 49450 4930 ) ( 49910 * ) + NEW met2 ( 49910 4930 ) ( * 47770 ) + NEW li1 ( 49450 4930 ) L1M1_PR_MR + NEW met1 ( 49910 4930 ) M1M2_PR + NEW li1 ( 49910 47770 ) L1M1_PR_MR + NEW met1 ( 49910 47770 ) M1M2_PR + NEW met1 ( 49910 47770 ) RECT ( -355 -70 0 70 ) ; + - net20 ( output20 A ) ( _134_ X ) + USE SIGNAL + + ROUTED met1 ( 29670 19550 ) ( 30130 * ) + NEW met2 ( 30130 19550 ) ( * 22610 ) + NEW met1 ( 30130 22610 ) ( 33350 * ) + NEW met2 ( 33350 22610 ) ( * 58310 ) + NEW li1 ( 29670 19550 ) L1M1_PR_MR + NEW met1 ( 30130 19550 ) M1M2_PR + NEW met1 ( 30130 22610 ) M1M2_PR + NEW met1 ( 33350 22610 ) M1M2_PR + NEW li1 ( 33350 58310 ) L1M1_PR_MR + NEW met1 ( 33350 58310 ) M1M2_PR + NEW met1 ( 33350 58310 ) RECT ( -355 -70 0 70 ) ; + - net21 ( output21 A ) ( _132_ Q ) + USE SIGNAL + + ROUTED met2 ( 19550 56610 ) ( * 58820 ) + NEW met2 ( 19550 58820 ) ( 21390 * ) + NEW met2 ( 21390 58310 ) ( * 58820 ) + NEW met1 ( 8510 56610 ) ( 19550 * ) + NEW li1 ( 8510 56610 ) L1M1_PR_MR + NEW met1 ( 19550 56610 ) M1M2_PR + NEW li1 ( 21390 58310 ) L1M1_PR_MR + NEW met1 ( 21390 58310 ) M1M2_PR + NEW met1 ( 21390 58310 ) RECT ( 0 -70 355 70 ) ; + - net22 ( output22 A ) ( _067_ X ) + USE SIGNAL + + ROUTED met1 ( 33350 18530 ) ( 44850 * ) + NEW met2 ( 33350 18530 ) ( * 22100 ) + NEW met2 ( 33350 22100 ) ( 33810 * ) + NEW met2 ( 33810 22100 ) ( * 28900 ) + NEW met2 ( 33810 28900 ) ( 34270 * ) + NEW met2 ( 34270 28900 ) ( * 57630 ) + NEW met1 ( 32430 57630 ) ( 34270 * ) + NEW met1 ( 32430 57630 ) ( * 57970 ) + NEW met1 ( 17250 57970 ) ( 32430 * ) + NEW met1 ( 17250 57970 ) ( * 58310 ) + NEW li1 ( 44850 18530 ) L1M1_PR_MR + NEW met1 ( 33350 18530 ) M1M2_PR + NEW met1 ( 34270 57630 ) M1M2_PR + NEW li1 ( 17250 58310 ) L1M1_PR_MR ; + - net23 ( fanout23 X ) ( _079_ A_N ) ( _078_ A ) ( _126_ RESET_B ) ( _125_ RESET_B ) ( _091_ A_N ) ( _089_ A_N ) + ( _088_ A ) + USE SIGNAL + + ROUTED met1 ( 7130 28390 ) ( 7590 * ) + NEW met1 ( 7590 27710 ) ( * 28390 ) + NEW met1 ( 25070 31110 ) ( 25530 * ) + NEW met2 ( 25070 31110 ) ( * 48110 ) + NEW met1 ( 25070 48110 ) ( 31510 * ) + NEW met2 ( 25070 29070 ) ( * 31110 ) + NEW met1 ( 17710 26010 ) ( 19550 * ) + NEW met2 ( 19550 26010 ) ( * 27710 ) + NEW met1 ( 19550 27710 ) ( 25070 * ) + NEW met2 ( 25070 27710 ) ( * 29070 ) + NEW met2 ( 19550 23290 ) ( * 26010 ) + NEW met1 ( 14030 34170 0 ) ( 15870 * ) + NEW met2 ( 15870 32130 ) ( * 34170 ) + NEW met1 ( 14490 32130 ) ( 15870 * ) + NEW met2 ( 14490 27710 ) ( * 32130 ) + NEW met1 ( 17710 17850 ) ( 19550 * ) + NEW met1 ( 19550 17850 ) ( * 18190 ) + NEW met2 ( 19550 18190 ) ( * 23290 ) + NEW met1 ( 7590 27710 ) ( 19550 * ) + NEW li1 ( 7130 28390 ) L1M1_PR_MR + NEW li1 ( 25530 31110 ) L1M1_PR_MR + NEW met1 ( 25070 31110 ) M1M2_PR + NEW met1 ( 25070 48110 ) M1M2_PR + NEW li1 ( 31510 48110 ) L1M1_PR_MR + NEW met1 ( 25070 29070 ) M1M2_PR + NEW li1 ( 17710 26010 ) L1M1_PR_MR + NEW met1 ( 19550 26010 ) M1M2_PR + NEW met1 ( 19550 27710 ) M1M2_PR + NEW met1 ( 25070 27710 ) M1M2_PR + NEW li1 ( 19550 23290 ) L1M1_PR_MR + NEW met1 ( 19550 23290 ) M1M2_PR + NEW met1 ( 15870 34170 ) M1M2_PR + NEW met1 ( 15870 32130 ) M1M2_PR + NEW met1 ( 14490 32130 ) M1M2_PR + NEW met1 ( 14490 27710 ) M1M2_PR + NEW li1 ( 17710 17850 ) L1M1_PR_MR + NEW met1 ( 19550 18190 ) M1M2_PR + NEW met1 ( 19550 23290 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 14490 27710 ) RECT ( -595 -70 0 70 ) ; + - net24 ( fanout24 X ) ( _127_ RESET_B ) ( _093_ A_N ) ( _092_ A ) ( _090_ A ) ( _123_ RESET_B ) ( _124_ RESET_B ) + ( fanout23 A ) + USE SIGNAL + + ROUTED met1 ( 32890 25670 ) ( 33350 * ) + NEW met2 ( 32890 23290 ) ( * 25670 ) + NEW met1 ( 32890 23290 ) ( 33810 * ) + NEW met1 ( 31970 28390 ) ( 32890 * ) + NEW met2 ( 32890 25670 ) ( * 28390 ) + NEW met1 ( 26450 36210 0 ) ( 27370 * ) + NEW met2 ( 27370 35700 ) ( * 36210 ) + NEW met3 ( 27370 35700 ) ( 29210 * ) + NEW met2 ( 29210 28730 ) ( * 35700 ) + NEW met1 ( 29210 28730 ) ( 31970 * ) + NEW met1 ( 31970 28390 ) ( * 28730 ) + NEW met1 ( 19090 37570 ) ( 20470 * ) + NEW met2 ( 20470 36380 ) ( * 37570 ) + NEW met3 ( 20470 36380 ) ( 27370 * ) + NEW met3 ( 27370 35700 ) ( * 36380 ) + NEW met2 ( 13570 36210 ) ( * 37570 ) + NEW met1 ( 13570 37570 ) ( 19090 * ) + NEW met1 ( 29210 47430 ) ( 32395 * ) + NEW met2 ( 20470 37570 ) ( * 45050 ) + NEW met2 ( 29210 35700 ) ( * 47430 ) + NEW li1 ( 33350 25670 ) L1M1_PR_MR + NEW met1 ( 32890 25670 ) M1M2_PR + NEW met1 ( 32890 23290 ) M1M2_PR + NEW li1 ( 33810 23290 ) L1M1_PR_MR + NEW li1 ( 31970 28390 ) L1M1_PR_MR + NEW met1 ( 32890 28390 ) M1M2_PR + NEW met1 ( 27370 36210 ) M1M2_PR + NEW met2 ( 27370 35700 ) M2M3_PR + NEW met2 ( 29210 35700 ) M2M3_PR + NEW met1 ( 29210 28730 ) M1M2_PR + NEW li1 ( 19090 37570 ) L1M1_PR_MR + NEW met1 ( 20470 37570 ) M1M2_PR + NEW met2 ( 20470 36380 ) M2M3_PR + NEW met1 ( 13570 36210 ) M1M2_PR + NEW met1 ( 13570 37570 ) M1M2_PR + NEW met1 ( 29210 47430 ) M1M2_PR + NEW li1 ( 32395 47430 ) L1M1_PR_MR + NEW met1 ( 20470 45050 ) M1M2_PR ; + - net25 ( fanout25 X ) ( _120_ RESET_B ) ( _081_ A_N ) ( _077_ A_N ) ( _076_ A ) ( _071_ A_N ) ( _070_ A ) + ( _122_ RESET_B ) + USE SIGNAL + + ROUTED met2 ( 19090 54910 ) ( * 58310 ) + NEW met1 ( 19090 54910 ) ( 24150 * ) + NEW met1 ( 24150 54910 ) ( * 55250 ) + NEW met1 ( 24150 55250 ) ( 27370 * ) + NEW met1 ( 27370 55250 ) ( * 55930 ) + NEW met1 ( 27370 55930 ) ( 35190 * ) + NEW met1 ( 14490 50830 0 ) ( 17710 * ) + NEW met2 ( 17710 50830 ) ( * 54910 ) + NEW met1 ( 17710 54910 ) ( 19090 * ) + NEW met1 ( 14490 50150 ) ( * 50830 0 ) + NEW met1 ( 10810 50150 ) ( 14490 * ) + NEW met1 ( 7130 30770 ) ( * 31110 ) + NEW met1 ( 7130 30770 ) ( 8510 * ) + NEW met2 ( 8510 26690 ) ( * 30770 ) + NEW met1 ( 8510 26690 ) ( 14030 * ) + NEW met2 ( 14030 13090 ) ( * 26690 ) + NEW met1 ( 14030 13090 ) ( 19090 * ) + NEW met1 ( 19090 41990 ) ( 20470 * ) + NEW met2 ( 19090 34850 ) ( * 41990 ) + NEW met1 ( 8510 34850 ) ( 19090 * ) + NEW met2 ( 8510 30770 ) ( * 34850 ) + NEW met2 ( 10350 34850 ) ( * 47430 ) + NEW met2 ( 10350 47430 ) ( 10810 * ) + NEW met1 ( 27830 34170 ) ( 33350 * ) + NEW met1 ( 27830 33150 ) ( * 34170 ) + NEW met1 ( 22770 33150 ) ( 27830 * ) + NEW met2 ( 22770 33150 ) ( * 33830 ) + NEW met1 ( 19090 33830 ) ( 22770 * ) + NEW met2 ( 19090 33830 ) ( * 34850 ) + NEW met2 ( 10810 47430 ) ( * 50150 ) + NEW met1 ( 10810 50150 ) M1M2_PR + NEW li1 ( 19090 58310 ) L1M1_PR_MR + NEW met1 ( 19090 58310 ) M1M2_PR + NEW met1 ( 19090 54910 ) M1M2_PR + NEW li1 ( 35190 55930 ) L1M1_PR_MR + NEW met1 ( 17710 50830 ) M1M2_PR + NEW met1 ( 17710 54910 ) M1M2_PR + NEW li1 ( 7130 31110 ) L1M1_PR_MR + NEW met1 ( 8510 30770 ) M1M2_PR + NEW met1 ( 8510 26690 ) M1M2_PR + NEW met1 ( 14030 26690 ) M1M2_PR + NEW met1 ( 14030 13090 ) M1M2_PR + NEW li1 ( 19090 13090 ) L1M1_PR_MR + NEW li1 ( 20470 41990 ) L1M1_PR_MR + NEW met1 ( 19090 41990 ) M1M2_PR + NEW met1 ( 19090 34850 ) M1M2_PR + NEW met1 ( 8510 34850 ) M1M2_PR + NEW met1 ( 10350 47430 ) M1M2_PR + NEW met1 ( 10350 34850 ) M1M2_PR + NEW li1 ( 33350 34170 ) L1M1_PR_MR + NEW met1 ( 22770 33150 ) M1M2_PR + NEW met1 ( 22770 33830 ) M1M2_PR + NEW met1 ( 19090 33830 ) M1M2_PR + NEW met1 ( 19090 58310 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 10350 34850 ) RECT ( -595 -70 0 70 ) ; + - net26 ( fanout26 X ) ( _119_ RESET_B ) ( _080_ A ) ( _069_ A_N ) ( _121_ RESET_B ) ( fanout25 A ) + USE SIGNAL + + ROUTED met2 ( 26910 20230 ) ( * 20740 ) + NEW met3 ( 24380 20740 ) ( 26910 * ) + NEW met4 ( 24380 20740 ) ( * 47940 ) + NEW met3 ( 24380 47940 ) ( 26910 * ) + NEW met1 ( 19090 12410 ) ( 20010 * ) + NEW met2 ( 19090 12410 ) ( * 12580 ) + NEW met3 ( 19090 12580 ) ( 24380 * ) + NEW met4 ( 24380 12580 ) ( * 20740 ) + NEW met1 ( 40710 11730 ) ( 42090 * ) + NEW met2 ( 40710 11730 ) ( * 12580 ) + NEW met3 ( 24380 12580 ) ( 40710 * ) + NEW met1 ( 26450 52530 0 ) ( 26910 * ) + NEW met2 ( 26910 52530 ) ( * 52700 ) + NEW met3 ( 26910 52700 ) ( 48070 * ) + NEW met2 ( 48070 52700 ) ( * 52870 ) + NEW met2 ( 26910 50830 ) ( 27830 * ) + NEW met2 ( 27830 50830 ) ( * 52700 ) + NEW met2 ( 14030 52870 ) ( * 53380 ) + NEW met3 ( 14030 53380 ) ( 26910 * ) + NEW met3 ( 26910 52700 ) ( * 53380 ) + NEW met2 ( 26910 47940 ) ( * 50830 ) + NEW li1 ( 26910 20230 ) L1M1_PR_MR + NEW met1 ( 26910 20230 ) M1M2_PR + NEW met2 ( 26910 20740 ) M2M3_PR + NEW met3 ( 24380 20740 ) M3M4_PR + NEW met3 ( 24380 47940 ) M3M4_PR + NEW met2 ( 26910 47940 ) M2M3_PR + NEW li1 ( 20010 12410 ) L1M1_PR_MR + NEW met1 ( 19090 12410 ) M1M2_PR + NEW met2 ( 19090 12580 ) M2M3_PR + NEW met3 ( 24380 12580 ) M3M4_PR + NEW li1 ( 42090 11730 ) L1M1_PR_MR + NEW met1 ( 40710 11730 ) M1M2_PR + NEW met2 ( 40710 12580 ) M2M3_PR + NEW met1 ( 26910 52530 ) M1M2_PR + NEW met2 ( 26910 52700 ) M2M3_PR + NEW met2 ( 48070 52700 ) M2M3_PR + NEW li1 ( 48070 52870 ) L1M1_PR_MR + NEW met1 ( 48070 52870 ) M1M2_PR + NEW met2 ( 27830 52700 ) M2M3_PR + NEW met1 ( 14030 52870 ) M1M2_PR + NEW met2 ( 14030 53380 ) M2M3_PR + NEW met1 ( 26910 20230 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 48070 52870 ) RECT ( -355 -70 0 70 ) + NEW met3 ( 27830 52700 ) RECT ( -800 -150 0 150 ) ; + - net27 ( fanout27 X ) ( _075_ A_N ) ( _074_ A ) ( _129_ RESET_B ) ( _128_ RESET_B ) ( _083_ A_N ) ( _073_ A_N ) + ( _072_ A ) + USE SIGNAL + + ROUTED met1 ( 48990 41990 ) ( 49910 * ) + NEW met2 ( 48990 41990 ) ( * 44030 ) + NEW met2 ( 48990 44030 ) ( * 50150 ) + NEW met1 ( 46230 27710 ) ( * 28730 ) + NEW met1 ( 45770 27710 ) ( 46230 * ) + NEW met2 ( 45770 7650 ) ( * 27710 ) + NEW met1 ( 40710 34510 0 ) ( 41170 * ) + NEW met1 ( 41170 34510 ) ( * 34850 ) + NEW met2 ( 41170 29070 ) ( * 34850 ) + NEW met1 ( 41170 29070 ) ( 46230 * ) + NEW met1 ( 46230 28730 ) ( * 29070 ) + NEW met2 ( 37490 41650 ) ( 37950 * ) + NEW met2 ( 37490 34850 ) ( * 41650 ) + NEW met2 ( 37490 34850 ) ( 37950 * ) + NEW met1 ( 37950 34850 ) ( 41170 * ) + NEW met1 ( 35190 39610 ) ( * 39950 ) + NEW met1 ( 35190 39950 ) ( 37490 * ) + NEW met2 ( 37490 41650 ) ( * 44030 ) + NEW met1 ( 29210 44710 ) ( 31510 * ) + NEW met2 ( 31510 39950 ) ( * 44710 ) + NEW met1 ( 31510 39950 ) ( 35190 * ) + NEW met1 ( 45770 7650 ) ( 48530 * ) + NEW met1 ( 37490 44030 ) ( 48990 * ) + NEW li1 ( 48530 7650 ) L1M1_PR_MR + NEW li1 ( 49910 41990 ) L1M1_PR_MR + NEW met1 ( 48990 41990 ) M1M2_PR + NEW met1 ( 48990 44030 ) M1M2_PR + NEW li1 ( 48990 50150 ) L1M1_PR_MR + NEW met1 ( 48990 50150 ) M1M2_PR + NEW li1 ( 46230 28730 ) L1M1_PR_MR + NEW met1 ( 45770 27710 ) M1M2_PR + NEW met1 ( 45770 7650 ) M1M2_PR + NEW met1 ( 41170 34850 ) M1M2_PR + NEW met1 ( 41170 29070 ) M1M2_PR + NEW met1 ( 37950 41650 ) M1M2_PR + NEW met1 ( 37950 34850 ) M1M2_PR + NEW li1 ( 35190 39610 ) L1M1_PR_MR + NEW met1 ( 37490 39950 ) M1M2_PR + NEW met1 ( 37490 44030 ) M1M2_PR + NEW li1 ( 29210 44710 ) L1M1_PR_MR + NEW met1 ( 31510 44710 ) M1M2_PR + NEW met1 ( 31510 39950 ) M1M2_PR + NEW met1 ( 48990 50150 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 37490 39950 ) RECT ( -70 -485 70 0 ) ; + - net28 ( fanout28 X ) ( _087_ A_N ) ( _086_ A ) ( _084_ A ) ( _131_ RESET_B ) ( _130_ RESET_B ) ( _085_ A_N ) + ( _068_ A ) + USE SIGNAL + + ROUTED met1 ( 36570 13090 ) ( 44850 * ) + NEW met1 ( 44850 12410 ) ( 46690 * ) + NEW met1 ( 44850 12070 ) ( * 12410 ) + NEW met1 ( 43470 12070 ) ( 44850 * ) + NEW met1 ( 43470 12070 ) ( * 13090 ) + NEW met2 ( 36570 13090 ) ( * 13800 ) + NEW met1 ( 31970 58310 ) ( 32430 * ) + NEW met2 ( 32430 58310 ) ( * 59330 ) + NEW met1 ( 32430 59330 ) ( 48070 * ) + NEW met1 ( 48070 58310 ) ( * 59330 ) + NEW met2 ( 36570 47090 ) ( * 59330 ) + NEW met2 ( 37490 45390 ) ( * 47770 ) + NEW met1 ( 36570 47770 ) ( 37490 * ) + NEW met2 ( 15870 42330 ) ( * 44030 ) + NEW met1 ( 15870 44030 ) ( 21390 * ) + NEW met2 ( 21390 44030 ) ( * 44540 ) + NEW met3 ( 21390 44540 ) ( 37490 * ) + NEW met2 ( 37490 44540 ) ( * 45390 ) + NEW met2 ( 38410 23290 ) ( * 26690 ) + NEW met1 ( 35190 26690 ) ( 38410 * ) + NEW met2 ( 35190 26690 ) ( * 35020 ) + NEW met2 ( 35190 35020 ) ( 35650 * ) + NEW met2 ( 35650 35020 ) ( * 44540 ) + NEW met2 ( 36570 13800 ) ( 37030 * ) + NEW met2 ( 37030 13800 ) ( * 22610 ) + NEW met2 ( 37030 22610 ) ( 38410 * ) + NEW met2 ( 38410 22610 ) ( * 23290 ) + NEW met1 ( 7130 42330 ) ( 15870 * ) + NEW li1 ( 7130 42330 ) L1M1_PR_MR + NEW li1 ( 44850 13090 ) L1M1_PR_MR + NEW met1 ( 36570 13090 ) M1M2_PR + NEW li1 ( 46690 12410 ) L1M1_PR_MR + NEW li1 ( 31970 58310 ) L1M1_PR_MR + NEW met1 ( 32430 58310 ) M1M2_PR + NEW met1 ( 32430 59330 ) M1M2_PR + NEW li1 ( 48070 58310 ) L1M1_PR_MR + NEW met1 ( 36570 47090 ) M1M2_PR + NEW met1 ( 36570 59330 ) M1M2_PR + NEW met1 ( 37490 45390 ) M1M2_PR + NEW met1 ( 37490 47770 ) M1M2_PR + NEW met1 ( 36570 47770 ) M1M2_PR + NEW met1 ( 15870 42330 ) M1M2_PR + NEW met1 ( 15870 44030 ) M1M2_PR + NEW met1 ( 21390 44030 ) M1M2_PR + NEW met2 ( 21390 44540 ) M2M3_PR + NEW met2 ( 37490 44540 ) M2M3_PR + NEW li1 ( 38410 23290 ) L1M1_PR_MR + NEW met1 ( 38410 23290 ) M1M2_PR + NEW met1 ( 38410 26690 ) M1M2_PR + NEW met1 ( 35190 26690 ) M1M2_PR + NEW met2 ( 35650 44540 ) M2M3_PR + NEW met1 ( 36570 59330 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 36570 47770 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 38410 23290 ) RECT ( -355 -70 0 70 ) + NEW met3 ( 35650 44540 ) RECT ( -800 -150 0 150 ) ; + - net29 ( ANTENNA_fanout27_A DIODE ) ( ANTENNA__082__A DIODE ) ( ANTENNA_fanout28_A DIODE ) ( ANTENNA__134__A DIODE ) ( ANTENNA__132__RESET_B DIODE ) ( fanout29 X ) ( _132_ RESET_B ) + ( _134_ A ) ( fanout28 A ) ( _082_ A ) ( fanout27 A ) + USE SIGNAL + + ROUTED met2 ( 13570 56270 ) ( * 58990 ) + NEW met1 ( 6670 55250 ) ( 10810 * ) + NEW met1 ( 10810 54910 ) ( * 55250 ) + NEW met1 ( 10810 54910 ) ( 13570 * ) + NEW met2 ( 13570 54910 ) ( * 56270 ) + NEW met1 ( 25070 3570 ) ( 31510 * ) + NEW met1 ( 25070 3230 ) ( * 3570 ) + NEW met1 ( 14030 3230 ) ( 25070 * ) + NEW met2 ( 28290 3570 ) ( * 11390 ) + NEW met1 ( 28290 5950 ) ( 41630 * ) + NEW met2 ( 43930 11220 ) ( * 12410 ) + NEW met2 ( 43010 11220 ) ( 43930 * ) + NEW met2 ( 43010 5950 ) ( * 11220 ) + NEW met1 ( 41630 5950 ) ( 43010 * ) + NEW met1 ( 49450 6630 ) ( * 6970 ) + NEW met1 ( 46690 6630 ) ( 49450 * ) + NEW met2 ( 46690 6630 ) ( * 9010 ) + NEW met1 ( 43010 9010 ) ( 46690 * ) + NEW met1 ( 49450 6970 ) ( 49910 * ) + NEW met1 ( 49910 6970 ) ( 51750 * ) + NEW met1 ( 13570 58990 ) ( 13800 * ) + NEW met1 ( 48990 26010 ) ( 51750 * ) + NEW met1 ( 28750 20230 ) ( 29210 * ) + NEW met2 ( 29210 20230 ) ( * 26350 ) + NEW met1 ( 29210 26350 ) ( 32430 * ) + NEW met2 ( 32430 26350 ) ( * 56100 ) + NEW met2 ( 31970 56100 ) ( 32430 * ) + NEW met2 ( 31970 56100 ) ( * 59330 ) + NEW met1 ( 13800 59330 ) ( 31970 * ) + NEW met1 ( 13800 58990 ) ( * 59330 ) + NEW met1 ( 28290 20230 ) ( 28750 * ) + NEW met2 ( 28290 11390 ) ( * 20230 ) + NEW met2 ( 51750 6970 ) ( * 26010 ) + NEW met1 ( 13570 56270 ) M1M2_PR + NEW met1 ( 13570 58990 ) M1M2_PR + NEW li1 ( 6670 55250 ) L1M1_PR_MR + NEW met1 ( 13570 54910 ) M1M2_PR + NEW li1 ( 31510 3570 ) L1M1_PR_MR + NEW li1 ( 14030 3230 ) L1M1_PR_MR + NEW li1 ( 28290 11390 ) L1M1_PR_MR + NEW met1 ( 28290 11390 ) M1M2_PR + NEW met1 ( 28290 3570 ) M1M2_PR + NEW li1 ( 41630 5950 ) L1M1_PR_MR + NEW met1 ( 28290 5950 ) M1M2_PR + NEW li1 ( 43930 12410 ) L1M1_PR_MR + NEW met1 ( 43930 12410 ) M1M2_PR + NEW met1 ( 43010 5950 ) M1M2_PR + NEW li1 ( 49450 6970 ) L1M1_PR_MR + NEW met1 ( 46690 6630 ) M1M2_PR + NEW met1 ( 46690 9010 ) M1M2_PR + NEW met1 ( 43010 9010 ) M1M2_PR + NEW li1 ( 49910 6970 ) L1M1_PR_MR + NEW met1 ( 51750 6970 ) M1M2_PR + NEW met1 ( 51750 26010 ) M1M2_PR + NEW li1 ( 48990 26010 ) L1M1_PR_MR + NEW li1 ( 28750 20230 ) L1M1_PR_MR + NEW met1 ( 29210 20230 ) M1M2_PR + NEW met1 ( 29210 26350 ) M1M2_PR + NEW met1 ( 32430 26350 ) M1M2_PR + NEW met1 ( 31970 59330 ) M1M2_PR + NEW met1 ( 28290 20230 ) M1M2_PR + NEW met1 ( 28290 11390 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 28290 3570 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 28290 5950 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 43930 12410 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 43010 9010 ) RECT ( -70 -485 70 0 ) ; + - net3 ( input3 X ) ( _133_ A ) ( _067_ B ) + USE SIGNAL + + ROUTED met1 ( 48070 13090 ) ( 49450 * ) + NEW met1 ( 47610 6970 ) ( 48070 * ) + NEW met2 ( 48070 6970 ) ( * 13090 ) + NEW met1 ( 43930 17850 ) ( 48070 * ) + NEW met2 ( 48070 13090 ) ( * 17850 ) + NEW li1 ( 49450 13090 ) L1M1_PR_MR + NEW met1 ( 48070 13090 ) M1M2_PR + NEW li1 ( 47610 6970 ) L1M1_PR_MR + NEW met1 ( 48070 6970 ) M1M2_PR + NEW met1 ( 48070 17850 ) M1M2_PR + NEW li1 ( 43930 17850 ) L1M1_PR_MR ; + - net30 ( fanout30 X ) ( fanout29 A ) ( fanout24 A ) ( fanout26 A ) + USE SIGNAL + + ROUTED met2 ( 10810 52700 ) ( 11270 * ) + NEW met2 ( 10810 52700 ) ( * 55590 ) + NEW met1 ( 7590 55590 ) ( 10810 * ) + NEW met1 ( 7590 55590 ) ( * 55930 ) + NEW met1 ( 18170 36550 ) ( 21390 * ) + NEW met2 ( 21390 36550 ) ( * 39270 ) + NEW met1 ( 20010 39270 ) ( 21390 * ) + NEW met1 ( 20010 39270 ) ( * 39610 ) + NEW met1 ( 17710 39610 ) ( 20010 * ) + NEW met1 ( 17710 39610 ) ( * 39950 ) + NEW met1 ( 11270 39950 ) ( 17710 * ) + NEW met2 ( 47610 16830 ) ( * 18020 ) + NEW met3 ( 35650 18020 ) ( 47610 * ) + NEW met2 ( 35650 18020 ) ( * 34340 ) + NEW met3 ( 21390 34340 ) ( 35650 * ) + NEW met2 ( 21390 34340 ) ( * 36550 ) + NEW met1 ( 43010 12400 ) ( * 12410 ) + NEW met1 ( 42090 12400 ) ( 43010 * ) + NEW met1 ( 42090 12400 ) ( * 12410 ) + NEW met2 ( 42090 12410 ) ( * 18020 ) + NEW met2 ( 11270 39950 ) ( * 52700 ) + NEW met1 ( 47610 16830 ) ( 49910 * ) + NEW met1 ( 10810 55590 ) M1M2_PR + NEW li1 ( 7590 55930 ) L1M1_PR_MR + NEW li1 ( 49910 16830 ) L1M1_PR_MR + NEW li1 ( 18170 36550 ) L1M1_PR_MR + NEW met1 ( 21390 36550 ) M1M2_PR + NEW met1 ( 21390 39270 ) M1M2_PR + NEW met1 ( 11270 39950 ) M1M2_PR + NEW met1 ( 47610 16830 ) M1M2_PR + NEW met2 ( 47610 18020 ) M2M3_PR + NEW met2 ( 35650 18020 ) M2M3_PR + NEW met2 ( 35650 34340 ) M2M3_PR + NEW met2 ( 21390 34340 ) M2M3_PR + NEW li1 ( 43010 12410 ) L1M1_PR_MR + NEW met1 ( 42090 12410 ) M1M2_PR + NEW met2 ( 42090 18020 ) M2M3_PR + NEW met3 ( 42090 18020 ) RECT ( -800 -150 0 150 ) ; + - net31 ( _106_ CLK_N ) ( _058__1 Y ) + USE CLOCK + + ROUTED met1 ( 10810 4250 ) ( 29670 * ) + NEW met2 ( 29670 4250 ) ( * 52870 ) + NEW li1 ( 10810 4250 ) L1M1_PR_MR + NEW met1 ( 29670 4250 ) M1M2_PR + NEW li1 ( 29670 52870 ) L1M1_PR_MR + NEW met1 ( 29670 52870 ) M1M2_PR + NEW met1 ( 29670 52870 ) RECT ( -355 -70 0 70 ) ; + - net32 ( _107_ CLK_N ) ( _094__2 Y ) + USE CLOCK + + ROUTED met1 ( 18170 15810 ) ( 26450 * ) + NEW met2 ( 18170 15810 ) ( * 55590 ) + NEW met1 ( 17710 55590 ) ( 18170 * ) + NEW li1 ( 26450 15810 ) L1M1_PR_MR + NEW met1 ( 18170 15810 ) M1M2_PR + NEW met1 ( 18170 55590 ) M1M2_PR + NEW li1 ( 17710 55590 ) L1M1_PR_MR ; + - net33 ( _108_ CLK_N ) ( _095__3 Y ) + USE CLOCK + + ROUTED met1 ( 42550 36890 ) ( 43010 * ) + NEW met2 ( 42550 36890 ) ( * 41310 ) + NEW li1 ( 43010 36890 ) L1M1_PR_MR + NEW met1 ( 42550 36890 ) M1M2_PR + NEW li1 ( 42550 41310 ) L1M1_PR_MR + NEW met1 ( 42550 41310 ) M1M2_PR + NEW met1 ( 42550 41310 ) RECT ( -355 -70 0 70 ) ; + - net34 ( _109_ CLK_N ) ( _096__4 Y ) + USE CLOCK + + ROUTED met1 ( 37950 39610 ) ( * 40290 ) + NEW met1 ( 6670 40290 ) ( 37950 * ) + NEW li1 ( 6670 40290 ) L1M1_PR_MR + NEW li1 ( 37950 39610 ) L1M1_PR_MR ; + - net35 ( _110_ CLK_N ) ( _097__5 Y ) + USE CLOCK + + ROUTED met1 ( 9430 4930 ) ( 12190 * ) + NEW met2 ( 12190 4930 ) ( * 47770 ) + NEW met1 ( 12190 47770 ) ( 18170 * ) + NEW li1 ( 9430 4930 ) L1M1_PR_MR + NEW met1 ( 12190 4930 ) M1M2_PR + NEW met1 ( 12190 47770 ) M1M2_PR + NEW li1 ( 18170 47770 ) L1M1_PR_MR ; + - net36 ( _111_ CLK_N ) ( _098__6 Y ) + USE CLOCK + + ROUTED met1 ( 5750 4930 ) ( 6670 * ) + NEW met2 ( 5750 4930 ) ( * 39270 ) + NEW met1 ( 5750 39270 ) ( 17710 * ) + NEW li1 ( 6670 4930 ) L1M1_PR_MR + NEW met1 ( 5750 4930 ) M1M2_PR + NEW met1 ( 5750 39270 ) M1M2_PR + NEW li1 ( 17710 39270 ) L1M1_PR_MR ; + - net37 ( _112_ CLK_N ) ( _099__7 Y ) + USE CLOCK + + ROUTED met1 ( 8050 4590 ) ( 17250 * ) + NEW met2 ( 17250 34340 ) ( 17710 * ) + NEW met2 ( 17710 34340 ) ( * 50150 ) + NEW met2 ( 17250 4590 ) ( * 34340 ) + NEW li1 ( 8050 4590 ) L1M1_PR_MR + NEW met1 ( 17250 4590 ) M1M2_PR + NEW li1 ( 17710 50150 ) L1M1_PR_MR + NEW met1 ( 17710 50150 ) M1M2_PR + NEW met1 ( 17710 50150 ) RECT ( -355 -70 0 70 ) ; + - net38 ( _113_ CLK_N ) ( _100__8 Y ) + USE CLOCK + + ROUTED met1 ( 35190 23970 ) ( 37030 * ) + NEW met2 ( 35190 23970 ) ( * 25670 ) + NEW li1 ( 37030 23970 ) L1M1_PR_MR + NEW met1 ( 35190 23970 ) M1M2_PR + NEW li1 ( 35190 25670 ) L1M1_PR_MR + NEW met1 ( 35190 25670 ) M1M2_PR + NEW met1 ( 35190 25670 ) RECT ( -355 -70 0 70 ) ; + - net39 ( _114_ CLK_N ) ( _101__9 Y ) + USE CLOCK + + ROUTED met2 ( 35190 50490 ) ( * 58650 ) + NEW met1 ( 6670 58650 ) ( 35190 * ) + NEW li1 ( 6670 58650 ) L1M1_PR_MR + NEW met1 ( 35190 58650 ) M1M2_PR + NEW li1 ( 35190 50490 ) L1M1_PR_MR + NEW met1 ( 35190 50490 ) M1M2_PR + NEW met1 ( 35190 50490 ) RECT ( -355 -70 0 70 ) ; + - net4 ( fanout30 A ) ( input4 X ) + USE SIGNAL + + ROUTED met1 ( 17250 11390 ) ( 26910 * ) + NEW met1 ( 26910 11390 ) ( * 12070 ) + NEW met1 ( 26910 12070 ) ( 32890 * ) + NEW met1 ( 32890 11390 ) ( * 12070 ) + NEW met1 ( 32890 11390 ) ( 48070 * ) + NEW met1 ( 48070 11390 ) ( * 11730 ) + NEW met1 ( 48070 11730 ) ( 48990 * ) + NEW met1 ( 48990 11730 ) ( * 12070 ) + NEW met2 ( 48990 12070 ) ( * 17850 ) + NEW li1 ( 17250 11390 ) L1M1_PR_MR + NEW met1 ( 48990 12070 ) M1M2_PR + NEW li1 ( 48990 17850 ) L1M1_PR_MR + NEW met1 ( 48990 17850 ) M1M2_PR + NEW met1 ( 48990 17850 ) RECT ( -355 -70 0 70 ) ; + - net40 ( _115_ CLK_N ) ( _102__10 Y ) + USE CLOCK + + ROUTED met1 ( 43010 37570 ) ( 44390 * ) + NEW met2 ( 43010 37570 ) ( * 55590 ) + NEW met1 ( 40250 55590 ) ( 43010 * ) + NEW met1 ( 40250 55590 ) ( * 55930 ) + NEW met1 ( 37950 55930 ) ( 40250 * ) + NEW li1 ( 44390 37570 ) L1M1_PR_MR + NEW met1 ( 43010 37570 ) M1M2_PR + NEW met1 ( 43010 55590 ) M1M2_PR + NEW li1 ( 37950 55930 ) L1M1_PR_MR ; + - net41 ( _116_ CLK_N ) ( _103__11 Y ) + USE CLOCK + + ROUTED met1 ( 17710 31790 ) ( 18630 * ) + NEW met2 ( 17710 31790 ) ( * 33830 ) + NEW li1 ( 18630 31790 ) L1M1_PR_MR + NEW met1 ( 17710 31790 ) M1M2_PR + NEW li1 ( 17710 33830 ) L1M1_PR_MR + NEW met1 ( 17710 33830 ) M1M2_PR + NEW met1 ( 17710 33830 ) RECT ( 0 -70 355 70 ) ; + - net42 ( _117_ CLK_N ) ( _104__12 Y ) + USE CLOCK + + ROUTED met1 ( 20930 12410 ) ( 22310 * ) + NEW met1 ( 20470 22950 ) ( 20930 * ) + NEW met2 ( 20930 12410 ) ( * 22950 ) + NEW li1 ( 22310 12410 ) L1M1_PR_MR + NEW met1 ( 20930 12410 ) M1M2_PR + NEW met1 ( 20930 22950 ) M1M2_PR + NEW li1 ( 20470 22950 ) L1M1_PR_MR ; + - net43 ( _118_ CLK_N ) ( _105__13 Y ) + USE CLOCK + + ROUTED met1 ( 20930 13090 ) ( 21390 * ) + NEW met1 ( 21390 31450 ) ( 26450 * ) + NEW met2 ( 21390 13090 ) ( * 31450 ) + NEW li1 ( 20930 13090 ) L1M1_PR_MR + NEW met1 ( 21390 13090 ) M1M2_PR + NEW met1 ( 21390 31450 ) M1M2_PR + NEW li1 ( 26450 31450 ) L1M1_PR_MR ; + - net44 ( _132_ CLK ) ( _059__14 Y ) + USE CLOCK + + ROUTED met1 ( 16790 12070 ) ( 23690 * ) + NEW met2 ( 16790 35020 ) ( 17250 * ) + NEW met2 ( 17250 35020 ) ( * 55590 ) + NEW met2 ( 16790 12070 ) ( * 35020 ) + NEW li1 ( 23690 12070 ) L1M1_PR_MR + NEW met1 ( 16790 12070 ) M1M2_PR + NEW li1 ( 17250 55590 ) L1M1_PR_MR + NEW met1 ( 17250 55590 ) M1M2_PR + NEW met1 ( 17250 55590 ) RECT ( -355 -70 0 70 ) ; + - net45 ( _131_ D ) ( _114_ D ) ( hold1 X ) + USE SIGNAL + + ROUTED met1 ( 34730 50150 ) ( 37030 * ) + NEW met1 ( 34270 47090 ) ( 35650 * ) + NEW met2 ( 35650 47090 ) ( * 50150 ) + NEW li1 ( 34730 50150 ) L1M1_PR_MR + NEW li1 ( 37030 50150 ) L1M1_PR_MR + NEW li1 ( 34270 47090 ) L1M1_PR_MR + NEW met1 ( 35650 47090 ) M1M2_PR + NEW met1 ( 35650 50150 ) M1M2_PR + NEW met1 ( 35650 50150 ) RECT ( -595 -70 0 70 ) ; + - net46 ( _115_ D ) ( hold2 X ) + USE SIGNAL + + ROUTED met1 ( 39790 53890 ) ( 43930 * ) + NEW met2 ( 39790 53890 ) ( * 55590 ) + NEW li1 ( 43930 53890 ) L1M1_PR_MR + NEW met1 ( 39790 53890 ) M1M2_PR + NEW li1 ( 39790 55590 ) L1M1_PR_MR + NEW met1 ( 39790 55590 ) M1M2_PR + NEW met1 ( 39790 55590 ) RECT ( -355 -70 0 70 ) ; + - net47 ( _121_ D ) ( _112_ D ) ( hold3 X ) + USE SIGNAL + + ROUTED met1 ( 8510 52190 ) ( * 52530 ) + NEW met2 ( 19550 49470 ) ( * 50150 ) + NEW met1 ( 19550 49470 ) ( 24150 * ) + NEW met1 ( 24150 49470 ) ( * 49810 ) + NEW met1 ( 24150 49810 ) ( 30130 * ) + NEW met1 ( 30130 49470 ) ( * 49810 ) + NEW met1 ( 30130 49470 ) ( 39790 * ) + NEW met2 ( 39790 48110 ) ( * 49470 ) + NEW met1 ( 39790 48110 ) ( 43930 * ) + NEW met2 ( 14950 49810 ) ( * 52190 ) + NEW met1 ( 14950 49810 ) ( 18170 * ) + NEW met1 ( 18170 49810 ) ( * 50150 ) + NEW met1 ( 18170 50150 ) ( 19550 * ) + NEW met1 ( 8510 52190 ) ( 14950 * ) + NEW li1 ( 8510 52530 ) L1M1_PR_MR + NEW li1 ( 19550 50150 ) L1M1_PR_MR + NEW met1 ( 19550 50150 ) M1M2_PR + NEW met1 ( 19550 49470 ) M1M2_PR + NEW met1 ( 39790 49470 ) M1M2_PR + NEW met1 ( 39790 48110 ) M1M2_PR + NEW li1 ( 43930 48110 ) L1M1_PR_MR + NEW met1 ( 14950 52190 ) M1M2_PR + NEW met1 ( 14950 49810 ) M1M2_PR + NEW met1 ( 19550 50150 ) RECT ( -355 -70 0 70 ) ; + - net48 ( _129_ D ) ( _109_ D ) ( hold4 X ) + USE SIGNAL + + ROUTED met1 ( 39790 39270 ) ( 41630 * ) + NEW met2 ( 41630 39270 ) ( * 42670 ) + NEW met1 ( 41630 42670 ) ( 43930 * ) + NEW met1 ( 41630 34510 ) ( 43010 * ) + NEW met2 ( 41630 34510 ) ( * 39270 ) + NEW li1 ( 39790 39270 ) L1M1_PR_MR + NEW met1 ( 41630 39270 ) M1M2_PR + NEW met1 ( 41630 42670 ) M1M2_PR + NEW li1 ( 43930 42670 ) L1M1_PR_MR + NEW li1 ( 43010 34510 ) L1M1_PR_MR + NEW met1 ( 41630 34510 ) M1M2_PR ; + - net49 ( _120_ D ) ( _106_ D ) ( hold5 X ) + USE SIGNAL + + ROUTED met1 ( 8510 50830 ) ( * 51170 ) + NEW met2 ( 31510 51170 ) ( * 52870 ) + NEW met1 ( 31050 56270 ) ( 31510 * ) + NEW met2 ( 31510 52870 ) ( * 56270 ) + NEW met1 ( 8510 51170 ) ( 31510 * ) + NEW li1 ( 8510 50830 ) L1M1_PR_MR + NEW li1 ( 31510 52870 ) L1M1_PR_MR + NEW met1 ( 31510 52870 ) M1M2_PR + NEW met1 ( 31510 51170 ) M1M2_PR + NEW li1 ( 31050 56270 ) L1M1_PR_MR + NEW met1 ( 31510 56270 ) M1M2_PR + NEW met1 ( 31510 52870 ) RECT ( -355 -70 0 70 ) ; + - net5 ( input5 X ) ( _119_ D ) + USE SIGNAL + + ROUTED met1 ( 15870 29410 ) ( 18630 * ) + NEW met2 ( 15870 29410 ) ( * 31620 ) + NEW met2 ( 15410 31620 ) ( 15870 * ) + NEW met2 ( 15410 31620 ) ( * 52190 ) + NEW met1 ( 15410 52190 ) ( 17710 * ) + NEW met1 ( 17710 52190 ) ( * 52530 ) + NEW met1 ( 17710 52530 ) ( 20470 * ) + NEW li1 ( 18630 29410 ) L1M1_PR_MR + NEW met1 ( 15870 29410 ) M1M2_PR + NEW met1 ( 15410 52190 ) M1M2_PR + NEW li1 ( 20470 52530 ) L1M1_PR_MR ; + - net50 ( _123_ D ) ( _110_ D ) ( hold6 X ) + USE SIGNAL + + ROUTED met1 ( 9430 45050 ) ( 13110 * ) + NEW met1 ( 13110 44710 ) ( * 45050 ) + NEW met2 ( 14950 44710 ) ( * 46750 ) + NEW met1 ( 14950 46750 ) ( 17710 * ) + NEW met1 ( 17710 46750 ) ( * 47430 ) + NEW met1 ( 17710 47430 ) ( 20010 * ) + NEW met1 ( 13110 44710 ) ( 14950 * ) + NEW li1 ( 9430 45050 ) L1M1_PR_MR + NEW li1 ( 14950 44710 ) L1M1_PR_MR + NEW met1 ( 14950 44710 ) M1M2_PR + NEW met1 ( 14950 46750 ) M1M2_PR + NEW li1 ( 20010 47430 ) L1M1_PR_MR + NEW met1 ( 14950 44710 ) RECT ( -355 -70 0 70 ) ; + - net51 ( _128_ D ) ( _108_ D ) ( hold7 X ) + USE SIGNAL + + ROUTED met1 ( 34270 38930 ) ( 36110 * ) + NEW met1 ( 36110 38590 ) ( * 38930 ) + NEW met1 ( 36110 38590 ) ( 41170 * ) + NEW met2 ( 41170 36890 ) ( * 38590 ) + NEW met2 ( 32890 38930 ) ( * 41650 ) + NEW met1 ( 32890 38930 ) ( 34270 * ) + NEW li1 ( 34270 38930 ) L1M1_PR_MR + NEW met1 ( 41170 38590 ) M1M2_PR + NEW li1 ( 41170 36890 ) L1M1_PR_MR + NEW met1 ( 41170 36890 ) M1M2_PR + NEW li1 ( 32890 41650 ) L1M1_PR_MR + NEW met1 ( 32890 41650 ) M1M2_PR + NEW met1 ( 32890 38930 ) M1M2_PR + NEW met1 ( 41170 36890 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 32890 41650 ) RECT ( -355 -70 0 70 ) ; + - net52 ( _122_ D ) ( _107_ D ) ( hold8 X ) + USE SIGNAL + + ROUTED met1 ( 18630 55930 ) ( 19550 * ) + NEW met2 ( 18630 55930 ) ( * 58990 ) + NEW met1 ( 18630 58990 ) ( 43930 * ) + NEW met2 ( 15870 47090 ) ( * 56270 ) + NEW met1 ( 15870 56270 ) ( 17250 * ) + NEW met1 ( 17250 55930 ) ( * 56270 ) + NEW met1 ( 17250 55930 ) ( 18630 * ) + NEW li1 ( 19550 55930 ) L1M1_PR_MR + NEW met1 ( 18630 55930 ) M1M2_PR + NEW met1 ( 18630 58990 ) M1M2_PR + NEW li1 ( 43930 58990 ) L1M1_PR_MR + NEW li1 ( 15870 47090 ) L1M1_PR_MR + NEW met1 ( 15870 47090 ) M1M2_PR + NEW met1 ( 15870 56270 ) M1M2_PR + NEW met1 ( 15870 47090 ) RECT ( -355 -70 0 70 ) ; + - net53 ( _130_ D ) ( _113_ D ) ( hold9 X ) + USE SIGNAL + + ROUTED met1 ( 40250 32130 ) ( 42550 * ) + NEW met2 ( 40250 32130 ) ( * 45390 ) + NEW met1 ( 39790 45390 ) ( 40250 * ) + NEW met1 ( 37030 25670 ) ( 37490 * ) + NEW met2 ( 37490 25670 ) ( * 32130 ) + NEW met1 ( 37490 32130 ) ( 40250 * ) + NEW li1 ( 42550 32130 ) L1M1_PR_MR + NEW met1 ( 40250 32130 ) M1M2_PR + NEW met1 ( 40250 45390 ) M1M2_PR + NEW li1 ( 39790 45390 ) L1M1_PR_MR + NEW li1 ( 37030 25670 ) L1M1_PR_MR + NEW met1 ( 37490 25670 ) M1M2_PR + NEW met1 ( 37490 32130 ) M1M2_PR ; + - net54 ( _124_ D ) ( _111_ D ) ( hold10 X ) + USE SIGNAL + + ROUTED met1 ( 18170 39270 ) ( 19550 * ) + NEW met1 ( 18170 38590 ) ( * 39270 ) + NEW met1 ( 18170 38590 ) ( 24150 * ) + NEW met2 ( 24150 38590 ) ( * 44030 ) + NEW met2 ( 15870 36890 ) ( * 38590 ) + NEW met1 ( 15870 38590 ) ( 18170 * ) + NEW li1 ( 19550 39270 ) L1M1_PR_MR + NEW met1 ( 24150 38590 ) M1M2_PR + NEW li1 ( 24150 44030 ) L1M1_PR_MR + NEW met1 ( 24150 44030 ) M1M2_PR + NEW li1 ( 15870 36890 ) L1M1_PR_MR + NEW met1 ( 15870 36890 ) M1M2_PR + NEW met1 ( 15870 38590 ) M1M2_PR + NEW met1 ( 24150 44030 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 15870 36890 ) RECT ( -355 -70 0 70 ) ; + - net55 ( _127_ D ) ( _118_ D ) ( hold11 X ) + USE SIGNAL + + ROUTED met2 ( 28290 31450 ) ( * 36210 ) + NEW met1 ( 28290 36210 ) ( 28750 * ) + NEW met1 ( 28290 26690 ) ( 28750 * ) + NEW met2 ( 28290 26690 ) ( * 31450 ) + NEW li1 ( 28290 31450 ) L1M1_PR_MR + NEW met1 ( 28290 31450 ) M1M2_PR + NEW met1 ( 28290 36210 ) M1M2_PR + NEW li1 ( 28750 36210 ) L1M1_PR_MR + NEW li1 ( 28750 26690 ) L1M1_PR_MR + NEW met1 ( 28290 26690 ) M1M2_PR + NEW met1 ( 28290 31450 ) RECT ( -355 -70 0 70 ) ; + - net56 ( _126_ D ) ( _117_ D ) ( hold12 X ) + USE SIGNAL + + ROUTED met1 ( 21390 29070 ) ( 22770 * ) + NEW met2 ( 22770 29070 ) ( * 30430 ) + NEW met1 ( 22310 23290 ) ( 22770 * ) + NEW met2 ( 22770 23290 ) ( * 29070 ) + NEW li1 ( 21390 29070 ) L1M1_PR_MR + NEW met1 ( 22770 29070 ) M1M2_PR + NEW li1 ( 22770 30430 ) L1M1_PR_MR + NEW met1 ( 22770 30430 ) M1M2_PR + NEW li1 ( 22310 23290 ) L1M1_PR_MR + NEW met1 ( 22770 23290 ) M1M2_PR + NEW met1 ( 22770 30430 ) RECT ( -355 -70 0 70 ) ; + - net57 ( _125_ D ) ( _116_ D ) ( hold13 X ) + USE SIGNAL + + ROUTED met1 ( 8510 33830 ) ( 13570 * ) + NEW met1 ( 13570 33490 ) ( * 33830 ) + NEW met1 ( 11730 44030 ) ( 13110 * ) + NEW met2 ( 11730 33830 ) ( * 44030 ) + NEW met1 ( 16790 33490 ) ( * 34170 ) + NEW met1 ( 16790 34170 ) ( 19550 * ) + NEW met1 ( 13570 33490 ) ( 16790 * ) + NEW li1 ( 8510 33830 ) L1M1_PR_MR + NEW met1 ( 11730 33830 ) M1M2_PR + NEW met1 ( 11730 44030 ) M1M2_PR + NEW li1 ( 13110 44030 ) L1M1_PR_MR + NEW li1 ( 19550 34170 ) L1M1_PR_MR + NEW met1 ( 11730 33830 ) RECT ( -595 -70 0 70 ) ; + - net6 ( output6 A ) ( _133_ X ) + USE SIGNAL + + ROUTED met1 ( 43010 3910 ) ( 46690 * ) + NEW met2 ( 46690 3910 ) ( * 5950 ) + NEW li1 ( 43010 3910 ) L1M1_PR_MR + NEW met1 ( 46690 3910 ) M1M2_PR + NEW li1 ( 46690 5950 ) L1M1_PR_MR + NEW met1 ( 46690 5950 ) M1M2_PR + NEW met1 ( 46690 5950 ) RECT ( -355 -70 0 70 ) ; + - net7 ( output7 A ) ( _116_ Q ) + USE SIGNAL + + ROUTED met1 ( 29730 33150 ) ( 31050 * ) + NEW met2 ( 31050 6970 ) ( * 33150 ) + NEW li1 ( 31050 6970 ) L1M1_PR_MR + NEW met1 ( 31050 6970 ) M1M2_PR + NEW met1 ( 31050 33150 ) M1M2_PR + NEW li1 ( 29730 33150 ) L1M1_PR_MR + NEW met1 ( 31050 6970 ) RECT ( -355 -70 0 70 ) ; + - net8 ( output8 A ) ( _118_ Q ) + USE SIGNAL + + ROUTED met1 ( 38470 30430 ) ( 40250 * ) + NEW met2 ( 40250 9350 ) ( * 30430 ) + NEW li1 ( 40250 9350 ) L1M1_PR_MR + NEW met1 ( 40250 9350 ) M1M2_PR + NEW met1 ( 40250 30430 ) M1M2_PR + NEW li1 ( 38470 30430 ) L1M1_PR_MR + NEW met1 ( 40250 9350 ) RECT ( -355 -70 0 70 ) ; + - net9 ( output9 A ) ( _117_ Q ) + USE SIGNAL + + ROUTED met2 ( 30590 17850 ) ( * 22270 ) + NEW met1 ( 30590 22270 ) ( 32470 * ) + NEW li1 ( 30590 17850 ) L1M1_PR_MR + NEW met1 ( 30590 17850 ) M1M2_PR + NEW met1 ( 30590 22270 ) M1M2_PR + NEW li1 ( 32470 22270 ) L1M1_PR_MR + NEW met1 ( 30590 17850 ) RECT ( -355 -70 0 70 ) ; - one ( PIN one ) ( one_buffer X ) + USE SIGNAL - + ROUTED met2 ( 25070 340 ) ( * 5950 ) - NEW met2 ( 52210 340 ) ( * 6460 ) - NEW met3 ( 52210 6460 ) ( 70380 * 0 ) - NEW met3 ( 25070 340 ) ( 52210 * ) - NEW met2 ( 25070 340 ) M2M3_PR - NEW li1 ( 25070 5950 ) L1M1_PR_MR - NEW met1 ( 25070 5950 ) M1M2_PR - NEW met2 ( 52210 340 ) M2M3_PR - NEW met2 ( 52210 6460 ) M2M3_PR - NEW met1 ( 25070 5950 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met2 ( 27370 6460 ) ( * 6630 ) + NEW met3 ( 27370 6460 ) ( 70380 * 0 ) + NEW met2 ( 27370 6460 ) M2M3_PR + NEW li1 ( 27370 6630 ) L1M1_PR_MR + NEW met1 ( 27370 6630 ) M1M2_PR + NEW met1 ( 27370 6630 ) RECT ( -355 -70 0 70 ) ; - one_buffered ( one_buffer A ) ( const_source HI ) + USE SIGNAL - + ROUTED met1 ( 30590 6970 ) ( * 7310 ) - NEW met1 ( 30590 7310 ) ( 43470 * ) - NEW li1 ( 30590 6970 ) L1M1_PR_MR - NEW li1 ( 43470 7310 ) L1M1_PR_MR ; - - pad_gpio_ana_en ( PIN pad_gpio_ana_en ) ( output6 X ) + USE SIGNAL - + ROUTED met2 ( 83950 4590 ) ( * 12580 ) - NEW met3 ( 83950 12580 ) ( 84180 * 0 ) - NEW met1 ( 43010 4590 ) ( 83950 * ) - NEW met1 ( 83950 4590 ) M1M2_PR - NEW met2 ( 83950 12580 ) M2M3_PR - NEW li1 ( 43010 4590 ) L1M1_PR_MR ; - - pad_gpio_ana_pol ( PIN pad_gpio_ana_pol ) ( output7 X ) + USE SIGNAL - + ROUTED met1 ( 36110 7650 ) ( 44390 * ) - NEW met1 ( 44390 7310 ) ( * 7650 ) - NEW met1 ( 44390 7310 ) ( 55890 * ) - NEW met2 ( 55890 7310 ) ( * 14620 ) - NEW met3 ( 55890 14620 ) ( 70380 * 0 ) - NEW li1 ( 36110 7650 ) L1M1_PR_MR - NEW met1 ( 55890 7310 ) M1M2_PR - NEW met2 ( 55890 14620 ) M2M3_PR ; - - pad_gpio_ana_sel ( PIN pad_gpio_ana_sel ) ( output8 X ) + USE SIGNAL - + ROUTED met1 ( 58650 8670 ) ( * 9010 ) - NEW met1 ( 39790 9010 ) ( 58650 * ) - NEW met1 ( 58650 8670 ) ( 83030 * ) - NEW met1 ( 69230 15130 ) ( 83030 * ) - NEW met2 ( 69230 15130 ) ( * 16660 ) - NEW met3 ( 69230 16660 ) ( 70380 * 0 ) - NEW met2 ( 83030 8670 ) ( * 15130 ) - NEW met1 ( 83030 8670 ) M1M2_PR - NEW li1 ( 39790 9010 ) L1M1_PR_MR - NEW met1 ( 83030 15130 ) M1M2_PR - NEW met1 ( 69230 15130 ) M1M2_PR - NEW met2 ( 69230 16660 ) M2M3_PR ; - - pad_gpio_dm[0] ( PIN pad_gpio_dm[0] ) ( output9 X ) + USE SIGNAL - + ROUTED met1 ( 36110 13090 ) ( 47150 * ) - NEW met1 ( 47150 12750 ) ( * 13090 ) - NEW met1 ( 47150 12750 ) ( 55430 * ) - NEW met2 ( 55430 12750 ) ( * 18700 ) - NEW met3 ( 55430 18700 ) ( 70380 * 0 ) - NEW li1 ( 36110 13090 ) L1M1_PR_MR - NEW met1 ( 55430 12750 ) M1M2_PR - NEW met2 ( 55430 18700 ) M2M3_PR ; - - pad_gpio_dm[1] ( PIN pad_gpio_dm[1] ) ( output10 X ) + USE SIGNAL - + ROUTED met2 ( 34270 15810 ) ( * 20740 ) + + ROUTED met1 ( 46230 3230 ) ( 46690 * ) + NEW met2 ( 46230 3230 ) ( * 7310 ) + NEW met1 ( 30130 7310 ) ( 46230 * ) + NEW met1 ( 30130 6970 ) ( * 7310 ) + NEW li1 ( 46690 3230 ) L1M1_PR_MR + NEW met1 ( 46230 3230 ) M1M2_PR + NEW met1 ( 46230 7310 ) M1M2_PR + NEW li1 ( 30130 6970 ) L1M1_PR_MR ; + - pad_gpio_ana_en ( PIN pad_gpio_ana_en ) ( output7 X ) + USE SIGNAL + + ROUTED met2 ( 83030 10030 ) ( * 12580 ) + NEW met3 ( 83030 12580 ) ( 83260 * 0 ) + NEW met2 ( 40710 7650 ) ( * 10030 ) + NEW met1 ( 40710 10030 ) ( 83030 * ) + NEW met1 ( 83030 10030 ) M1M2_PR + NEW met2 ( 83030 12580 ) M2M3_PR + NEW met1 ( 40710 10030 ) M1M2_PR + NEW li1 ( 40710 7650 ) L1M1_PR_MR + NEW met1 ( 40710 7650 ) M1M2_PR + NEW met1 ( 40710 7650 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_ana_pol ( PIN pad_gpio_ana_pol ) ( output8 X ) + USE SIGNAL + + ROUTED met2 ( 34270 9690 ) ( * 14620 ) + NEW met3 ( 34270 14620 ) ( 70380 * 0 ) + NEW li1 ( 34270 9690 ) L1M1_PR_MR + NEW met1 ( 34270 9690 ) M1M2_PR + NEW met2 ( 34270 14620 ) M2M3_PR + NEW met1 ( 34270 9690 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_ana_sel ( PIN pad_gpio_ana_sel ) ( output9 X ) + USE SIGNAL + + ROUTED met3 ( 62100 16660 ) ( 70380 * 0 ) + NEW met3 ( 62100 16660 ) ( * 17340 ) + NEW met3 ( 25530 17340 ) ( 62100 * ) + NEW met2 ( 25530 17340 ) ( * 17510 ) + NEW met2 ( 25530 17340 ) M2M3_PR + NEW li1 ( 25530 17510 ) L1M1_PR_MR + NEW met1 ( 25530 17510 ) M1M2_PR + NEW met1 ( 25530 17510 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_dm[0] ( PIN pad_gpio_dm[0] ) ( output10 X ) + USE SIGNAL + + ROUTED met1 ( 37490 12070 ) ( 42550 * ) + NEW met1 ( 42550 11730 ) ( * 12070 ) + NEW met1 ( 42550 11730 ) ( 46690 * ) + NEW met1 ( 46690 11730 ) ( * 12070 ) + NEW met1 ( 46690 12070 ) ( 48070 * ) + NEW met1 ( 48070 12070 ) ( * 12750 ) + NEW met1 ( 48070 12750 ) ( 50370 * ) + NEW met1 ( 50370 12750 ) ( * 13090 ) + NEW met2 ( 50370 13090 ) ( * 18700 ) + NEW met3 ( 50370 18700 ) ( 70380 * 0 ) + NEW li1 ( 37490 12070 ) L1M1_PR_MR + NEW met1 ( 50370 13090 ) M1M2_PR + NEW met2 ( 50370 18700 ) M2M3_PR ; + - pad_gpio_dm[1] ( PIN pad_gpio_dm[1] ) ( output11 X ) + USE SIGNAL + + ROUTED met2 ( 34270 15130 ) ( * 20740 ) NEW met3 ( 34270 20740 ) ( 70380 * 0 ) NEW met2 ( 34270 20740 ) M2M3_PR - NEW li1 ( 34270 15810 ) L1M1_PR_MR - NEW met1 ( 34270 15810 ) M1M2_PR - NEW met1 ( 34270 15810 ) RECT ( -355 -70 0 70 ) ; - - pad_gpio_dm[2] ( PIN pad_gpio_dm[2] ) ( output11 X ) + USE SIGNAL - + ROUTED met1 ( 82800 9010 ) ( 84410 * ) - NEW met1 ( 82800 9010 ) ( * 9690 ) - NEW met1 ( 46690 9690 ) ( 82800 * ) - NEW met1 ( 68310 15810 ) ( 84410 * ) - NEW met2 ( 68310 15810 ) ( * 22780 ) - NEW met3 ( 68310 22780 ) ( 70380 * 0 ) - NEW met2 ( 84410 9010 ) ( * 15810 ) - NEW met1 ( 84410 9010 ) M1M2_PR - NEW li1 ( 46690 9690 ) L1M1_PR_MR - NEW met1 ( 84410 15810 ) M1M2_PR - NEW met1 ( 68310 15810 ) M1M2_PR - NEW met2 ( 68310 22780 ) M2M3_PR ; - - pad_gpio_holdover ( PIN pad_gpio_holdover ) ( output12 X ) + USE SIGNAL - + ROUTED met2 ( 67850 16830 ) ( * 24820 ) - NEW met3 ( 67850 24820 ) ( 70380 * 0 ) - NEW met1 ( 62100 16830 ) ( 67850 * ) - NEW met1 ( 62100 16830 ) ( * 17170 ) - NEW met1 ( 40710 17170 ) ( 62100 * ) - NEW met1 ( 67850 16830 ) M1M2_PR - NEW met2 ( 67850 24820 ) M2M3_PR + NEW li1 ( 34270 15130 ) L1M1_PR_MR + NEW met1 ( 34270 15130 ) M1M2_PR + NEW met1 ( 34270 15130 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_dm[2] ( PIN pad_gpio_dm[2] ) ( output12 X ) + USE SIGNAL + + ROUTED met2 ( 83950 9010 ) ( * 22780 ) + NEW met3 ( 83950 22780 ) ( 84180 * 0 ) + NEW met1 ( 48530 9010 ) ( * 9350 ) + NEW met1 ( 40710 9350 ) ( 48530 * ) + NEW met1 ( 48530 9010 ) ( 83950 * ) + NEW met1 ( 83950 9010 ) M1M2_PR + NEW met2 ( 83950 22780 ) M2M3_PR + NEW li1 ( 40710 9350 ) L1M1_PR_MR ; + - pad_gpio_holdover ( PIN pad_gpio_holdover ) ( output13 X ) + USE SIGNAL + + ROUTED met2 ( 83490 17510 ) ( * 24820 ) + NEW met3 ( 83260 24820 0 ) ( 83490 * ) + NEW met1 ( 46690 17170 ) ( * 17510 ) + NEW met1 ( 40710 17170 ) ( 46690 * ) + NEW met1 ( 46690 17510 ) ( 83490 * ) + NEW met1 ( 83490 17510 ) M1M2_PR + NEW met2 ( 83490 24820 ) M2M3_PR NEW li1 ( 40710 17170 ) L1M1_PR_MR ; - - pad_gpio_ib_mode_sel ( PIN pad_gpio_ib_mode_sel ) ( output13 X ) + USE SIGNAL - + ROUTED met2 ( 68770 20570 ) ( * 26860 ) - NEW met3 ( 68770 26860 ) ( 70380 * 0 ) - NEW met1 ( 39790 20570 ) ( 68770 * ) - NEW met1 ( 68770 20570 ) M1M2_PR - NEW met2 ( 68770 26860 ) M2M3_PR - NEW li1 ( 39790 20570 ) L1M1_PR_MR ; + - pad_gpio_ib_mode_sel ( PIN pad_gpio_ib_mode_sel ) ( output14 X ) + USE SIGNAL + + ROUTED met2 ( 83030 19890 ) ( * 26860 ) + NEW met3 ( 83030 26860 ) ( 83260 * 0 ) + NEW met1 ( 82800 19890 ) ( 83030 * ) + NEW met1 ( 82800 19890 ) ( * 20230 ) + NEW met1 ( 62100 20230 ) ( 82800 * ) + NEW met1 ( 62100 19890 ) ( * 20230 ) + NEW met1 ( 40250 19890 ) ( 62100 * ) + NEW met1 ( 83030 19890 ) M1M2_PR + NEW met2 ( 83030 26860 ) M2M3_PR + NEW li1 ( 40250 19890 ) L1M1_PR_MR ; - pad_gpio_in ( PIN pad_gpio_in ) ( ANTENNA_input3_A DIODE ) ( input3 A ) + USE SIGNAL - + ROUTED met2 ( 45310 28730 ) ( * 28900 ) - NEW met2 ( 45310 24140 ) ( 45770 * ) - NEW met2 ( 45310 24140 ) ( * 28730 ) - NEW met2 ( 45770 4930 ) ( * 24140 ) - NEW met3 ( 45310 28900 ) ( 70380 * 0 ) - NEW li1 ( 45770 4930 ) L1M1_PR_MR - NEW met1 ( 45770 4930 ) M1M2_PR - NEW li1 ( 45310 28730 ) L1M1_PR_MR - NEW met1 ( 45310 28730 ) M1M2_PR - NEW met2 ( 45310 28900 ) M2M3_PR - NEW met1 ( 45770 4930 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 45310 28730 ) RECT ( -355 -70 0 70 ) ; - - pad_gpio_inenb ( PIN pad_gpio_inenb ) ( output14 X ) + USE SIGNAL - + ROUTED met2 ( 56810 15810 ) ( * 30940 ) - NEW met1 ( 49910 15810 ) ( 56810 * ) - NEW met3 ( 56810 30940 ) ( 70380 * 0 ) - NEW met2 ( 56810 30940 ) M2M3_PR - NEW met1 ( 56810 15810 ) M1M2_PR - NEW li1 ( 49910 15810 ) L1M1_PR_MR ; - - pad_gpio_out ( PIN pad_gpio_out ) ( output15 X ) + USE SIGNAL - + ROUTED met2 ( 68770 28390 ) ( * 32980 ) - NEW met3 ( 68770 32980 ) ( 70380 * 0 ) - NEW met1 ( 47150 28390 ) ( * 28680 ) - NEW met1 ( 46690 28680 ) ( 47150 * ) - NEW met1 ( 46690 28390 ) ( * 28680 ) - NEW met1 ( 39790 28390 ) ( 46690 * ) - NEW met1 ( 47150 28390 ) ( 68770 * ) - NEW met1 ( 68770 28390 ) M1M2_PR - NEW met2 ( 68770 32980 ) M2M3_PR + + ROUTED met2 ( 84870 12410 ) ( * 28900 ) + NEW met3 ( 84870 28900 ) ( 85100 * 0 ) + NEW met2 ( 50370 10370 ) ( * 12410 ) + NEW met1 ( 30130 10370 ) ( 50370 * ) + NEW met1 ( 50370 12410 ) ( 84870 * ) + NEW met1 ( 84870 12410 ) M1M2_PR + NEW met2 ( 84870 28900 ) M2M3_PR + NEW li1 ( 50370 12410 ) L1M1_PR_MR + NEW met1 ( 50370 12410 ) M1M2_PR + NEW met1 ( 50370 10370 ) M1M2_PR + NEW li1 ( 30130 10370 ) L1M1_PR_MR + NEW met1 ( 50370 12410 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_inenb ( PIN pad_gpio_inenb ) ( output15 X ) + USE SIGNAL + + ROUTED met2 ( 84410 14450 ) ( * 30940 ) + NEW met3 ( 84180 30940 0 ) ( 84410 * ) + NEW met1 ( 50370 14450 ) ( 84410 * ) + NEW met1 ( 84410 14450 ) M1M2_PR + NEW met2 ( 84410 30940 ) M2M3_PR + NEW li1 ( 50370 14450 ) L1M1_PR_MR ; + - pad_gpio_out ( PIN pad_gpio_out ) ( output16 X ) + USE SIGNAL + + ROUTED met2 ( 83030 27710 ) ( * 32980 ) + NEW met3 ( 83030 32980 ) ( 83260 * 0 ) + NEW met1 ( 82800 27710 ) ( 83030 * ) + NEW met1 ( 82800 27710 ) ( * 28050 ) + NEW met1 ( 48300 28050 ) ( 82800 * ) + NEW met1 ( 48300 27710 ) ( * 28050 ) + NEW met1 ( 46690 27710 ) ( 48300 * ) + NEW met2 ( 46690 26690 ) ( * 27710 ) + NEW met1 ( 40710 26690 ) ( 46690 * ) + NEW met2 ( 40710 26690 ) ( * 28390 ) + NEW met1 ( 39790 28390 ) ( 40710 * ) + NEW met1 ( 83030 27710 ) M1M2_PR + NEW met2 ( 83030 32980 ) M2M3_PR + NEW met1 ( 46690 27710 ) M1M2_PR + NEW met1 ( 46690 26690 ) M1M2_PR + NEW met1 ( 40710 26690 ) M1M2_PR + NEW met1 ( 40710 28390 ) M1M2_PR NEW li1 ( 39790 28390 ) L1M1_PR_MR ; - - pad_gpio_outenb ( PIN pad_gpio_outenb ) ( output16 X ) + USE SIGNAL - + ROUTED met2 ( 55890 20230 ) ( * 35020 ) - NEW met1 ( 49910 20230 ) ( 55890 * ) - NEW met3 ( 55890 35020 ) ( 70380 * 0 ) - NEW met2 ( 55890 35020 ) M2M3_PR - NEW met1 ( 55890 20230 ) M1M2_PR - NEW li1 ( 49910 20230 ) L1M1_PR_MR ; - - pad_gpio_slow_sel ( PIN pad_gpio_slow_sel ) ( output17 X ) + USE SIGNAL - + ROUTED met2 ( 55890 37060 ) ( * 58990 ) - NEW met1 ( 38410 58990 ) ( 55890 * ) - NEW met3 ( 55890 37060 ) ( 70380 * 0 ) - NEW met2 ( 55890 37060 ) M2M3_PR - NEW met1 ( 55890 58990 ) M1M2_PR - NEW li1 ( 38410 58990 ) L1M1_PR_MR ; - - pad_gpio_vtrip_sel ( PIN pad_gpio_vtrip_sel ) ( output18 X ) + USE SIGNAL - + ROUTED met2 ( 13570 39100 ) ( * 42330 ) - NEW met3 ( 13570 39100 ) ( 70380 * 0 ) - NEW met2 ( 13570 39100 ) M2M3_PR - NEW li1 ( 13570 42330 ) L1M1_PR_MR - NEW met1 ( 13570 42330 ) M1M2_PR - NEW met1 ( 13570 42330 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_outenb ( PIN pad_gpio_outenb ) ( output17 X ) + USE SIGNAL + + ROUTED met2 ( 47150 20570 ) ( * 35020 ) + NEW met3 ( 47150 35020 ) ( 70380 * 0 ) + NEW met2 ( 47150 35020 ) M2M3_PR + NEW li1 ( 47150 20570 ) L1M1_PR_MR + NEW met1 ( 47150 20570 ) M1M2_PR + NEW met1 ( 47150 20570 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_slow_sel ( PIN pad_gpio_slow_sel ) ( output18 X ) + USE SIGNAL + + ROUTED met2 ( 27370 37060 ) ( * 42330 ) + NEW met3 ( 27370 37060 ) ( 70380 * 0 ) + NEW met2 ( 27370 37060 ) M2M3_PR + NEW li1 ( 27370 42330 ) L1M1_PR_MR + NEW met1 ( 27370 42330 ) M1M2_PR + NEW met1 ( 27370 42330 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_vtrip_sel ( PIN pad_gpio_vtrip_sel ) ( output19 X ) + USE SIGNAL + + ROUTED met2 ( 12650 38930 ) ( * 39100 ) + NEW met3 ( 12650 39100 ) ( 70380 * 0 ) + NEW met2 ( 12650 39100 ) M2M3_PR + NEW li1 ( 12650 38930 ) L1M1_PR_MR + NEW met1 ( 12650 38930 ) M1M2_PR + NEW met1 ( 12650 38930 ) RECT ( -355 -70 0 70 ) ; - resetn ( PIN resetn ) ( ANTENNA_input4_A DIODE ) ( input4 A ) + USE SIGNAL - + ROUTED met2 ( 68310 29410 ) ( * 41140 ) - NEW met3 ( 68310 41140 ) ( 70380 * 0 ) - NEW met1 ( 6670 28390 ) ( 13800 * ) - NEW met1 ( 18170 28730 ) ( 20010 * ) - NEW met1 ( 20010 28730 ) ( * 29410 ) - NEW met1 ( 13800 28390 ) ( * 28730 ) - NEW met1 ( 13800 28730 ) ( 18170 * ) - NEW met1 ( 20010 29410 ) ( 68310 * ) - NEW li1 ( 6670 28390 ) L1M1_PR_MR - NEW met1 ( 68310 29410 ) M1M2_PR - NEW met2 ( 68310 41140 ) M2M3_PR - NEW li1 ( 18170 28730 ) L1M1_PR_MR ; - - resetn_out ( PIN resetn_out ) ( output19 X ) + USE SIGNAL - + ROUTED met2 ( 46230 43180 ) ( * 57970 ) - NEW met1 ( 34270 57970 ) ( 46230 * ) - NEW met1 ( 34270 57970 ) ( * 58650 ) - NEW met1 ( 31510 58650 ) ( 34270 * ) - NEW met1 ( 31510 58310 ) ( * 58650 ) - NEW met1 ( 30130 58310 ) ( 31510 * ) - NEW met3 ( 46230 43180 ) ( 70380 * 0 ) - NEW met2 ( 46230 43180 ) M2M3_PR - NEW met1 ( 46230 57970 ) M1M2_PR - NEW li1 ( 30130 58310 ) L1M1_PR_MR ; + + ROUTED met3 ( 111550 41140 ) ( 111780 * 0 ) + NEW met2 ( 111550 9690 ) ( * 41140 ) + NEW met1 ( 23230 9350 ) ( 34730 * ) + NEW met1 ( 34730 9350 ) ( * 9690 ) + NEW met2 ( 18170 9350 ) ( * 12410 ) + NEW met1 ( 18170 9350 ) ( 23230 * ) + NEW met1 ( 34730 9690 ) ( 111550 * ) + NEW met1 ( 111550 9690 ) M1M2_PR + NEW met2 ( 111550 41140 ) M2M3_PR + NEW li1 ( 23230 9350 ) L1M1_PR_MR + NEW li1 ( 18170 12410 ) L1M1_PR_MR + NEW met1 ( 18170 12410 ) M1M2_PR + NEW met1 ( 18170 9350 ) M1M2_PR + NEW met1 ( 18170 12410 ) RECT ( -355 -70 0 70 ) ; + - resetn_out ( PIN resetn_out ) ( output20 X ) + USE SIGNAL + + ROUTED met2 ( 41170 43180 ) ( * 58650 ) + NEW met3 ( 41170 43180 ) ( 70380 * 0 ) + NEW met2 ( 41170 43180 ) M2M3_PR + NEW li1 ( 41170 58650 ) L1M1_PR_MR + NEW met1 ( 41170 58650 ) M1M2_PR + NEW met1 ( 41170 58650 ) RECT ( -355 -70 0 70 ) ; - serial_clock ( PIN serial_clock ) ( ANTENNA_clkbuf_0_serial_clock_A DIODE ) ( clkbuf_0_serial_clock A ) + USE CLOCK - + ROUTED met1 ( 25070 13090 ) ( 25990 * ) - NEW met2 ( 24610 54060 ) ( 25070 * ) - NEW met2 ( 24610 54060 ) ( * 57630 ) - NEW met1 ( 17250 57630 ) ( 24610 * ) - NEW met1 ( 17250 57630 ) ( * 57970 ) - NEW met2 ( 41630 45220 ) ( * 56610 ) - NEW met1 ( 25070 56610 ) ( 41630 * ) - NEW met2 ( 25070 56610 ) ( * 56780 ) - NEW met2 ( 24610 56780 ) ( 25070 * ) - NEW met2 ( 25070 13090 ) ( * 54060 ) - NEW met3 ( 41630 45220 ) ( 70380 * 0 ) - NEW met1 ( 25070 13090 ) M1M2_PR - NEW li1 ( 25990 13090 ) L1M1_PR_MR - NEW met1 ( 24610 57630 ) M1M2_PR - NEW li1 ( 17250 57970 ) L1M1_PR_MR - NEW met2 ( 41630 45220 ) M2M3_PR - NEW met1 ( 41630 56610 ) M1M2_PR - NEW met1 ( 25070 56610 ) M1M2_PR ; + + ROUTED met1 ( 6670 49470 ) ( 19090 * ) + NEW met1 ( 17250 41990 ) ( * 42330 ) + NEW met1 ( 17250 42330 ) ( 18170 * ) + NEW met1 ( 18170 42330 ) ( * 42670 ) + NEW met1 ( 18170 42670 ) ( 19090 * ) + NEW met2 ( 19090 42670 ) ( * 45220 ) + NEW met2 ( 19090 45220 ) ( * 49470 ) + NEW met3 ( 19090 45220 ) ( 70380 * 0 ) + NEW li1 ( 6670 49470 ) L1M1_PR_MR + NEW met1 ( 19090 49470 ) M1M2_PR + NEW met2 ( 19090 45220 ) M2M3_PR + NEW li1 ( 17250 41990 ) L1M1_PR_MR + NEW met1 ( 19090 42670 ) M1M2_PR ; - serial_clock_out ( PIN serial_clock_out ) ( serial_clock_out_buffer X ) + USE CLOCK - + ROUTED met1 ( 25530 12750 ) ( 27370 * ) - NEW met2 ( 69230 18530 ) ( * 41140 ) - NEW met2 ( 68770 41140 ) ( 69230 * ) - NEW met2 ( 68770 41140 ) ( * 47260 ) - NEW met3 ( 68770 47260 ) ( 70380 * 0 ) - NEW met2 ( 27370 12750 ) ( * 18530 ) - NEW met1 ( 27370 18530 ) ( 69230 * ) - NEW li1 ( 25530 12750 ) L1M1_PR_MR - NEW met1 ( 27370 12750 ) M1M2_PR - NEW met1 ( 69230 18530 ) M1M2_PR - NEW met2 ( 68770 47260 ) M2M3_PR - NEW met1 ( 27370 18530 ) M1M2_PR ; - - serial_clock_out_buffered ( _134_ CLK ) ( _133_ CLK ) ( _132_ CLK ) ( _131_ CLK ) ( _122_ CLK ) ( clkbuf_1_1__f_serial_clock X ) ( serial_clock_out_buffer A ) + USE CLOCK - + ROUTED met1 ( 17710 52870 ) ( 18170 * ) - NEW met1 ( 17710 33150 ) ( 18170 * ) - NEW met2 ( 17710 28900 ) ( * 33150 ) - NEW met2 ( 17710 28900 ) ( 18170 * ) - NEW met2 ( 18170 12750 ) ( * 28900 ) - NEW met1 ( 16790 12750 ) ( 18170 * ) - NEW met2 ( 31050 42330 ) ( * 42500 ) - NEW met3 ( 17710 42500 ) ( 31050 * ) - NEW met1 ( 32890 36210 ) ( * 36550 ) - NEW met1 ( 30590 36210 ) ( 32890 * ) - NEW met1 ( 30590 36210 ) ( * 36890 ) - NEW met2 ( 30590 36890 ) ( * 42330 ) - NEW met2 ( 30590 42330 ) ( 31050 * ) - NEW met1 ( 30590 47770 ) ( 32890 * ) - NEW met2 ( 30590 42330 ) ( * 47770 ) - NEW met1 ( 39790 44710 ) ( 41170 * ) - NEW met2 ( 39330 44710 ) ( 39790 * ) - NEW met2 ( 39330 44710 ) ( * 47770 ) - NEW met1 ( 32890 47770 ) ( 39330 * ) - NEW met2 ( 17710 33150 ) ( * 52870 ) - NEW li1 ( 18170 52870 ) L1M1_PR_MR - NEW met1 ( 17710 52870 ) M1M2_PR - NEW li1 ( 18170 33150 ) L1M1_PR_MR - NEW met1 ( 17710 33150 ) M1M2_PR - NEW met1 ( 18170 12750 ) M1M2_PR - NEW li1 ( 16790 12750 ) L1M1_PR_MR - NEW li1 ( 31050 42330 ) L1M1_PR_MR - NEW met1 ( 31050 42330 ) M1M2_PR - NEW met2 ( 31050 42500 ) M2M3_PR - NEW met2 ( 17710 42500 ) M2M3_PR - NEW li1 ( 32890 36550 ) L1M1_PR_MR - NEW met1 ( 30590 36890 ) M1M2_PR - NEW li1 ( 32890 47770 ) L1M1_PR_MR - NEW met1 ( 30590 47770 ) M1M2_PR - NEW li1 ( 41170 44710 ) L1M1_PR_MR - NEW met1 ( 39790 44710 ) M1M2_PR - NEW met1 ( 39330 47770 ) M1M2_PR - NEW met1 ( 31050 42330 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 17710 42500 ) RECT ( -70 -485 70 0 ) ; + + ROUTED met2 ( 48070 23970 ) ( * 47260 ) + NEW met3 ( 48070 47260 ) ( 70380 * 0 ) + NEW li1 ( 48070 23970 ) L1M1_PR_MR + NEW met1 ( 48070 23970 ) M1M2_PR + NEW met2 ( 48070 47260 ) M2M3_PR + NEW met1 ( 48070 23970 ) RECT ( -355 -70 0 70 ) ; + - serial_clock_out_buffered ( _059__14 A ) ( _126_ CLK ) ( _125_ CLK ) ( _124_ CLK ) ( _123_ CLK ) ( _122_ CLK ) ( _121_ CLK ) + ( _120_ CLK ) ( _119_ CLK ) ( clkbuf_1_0__f_serial_clock X ) ( serial_clock_out_buffer A ) + USE CLOCK + + ROUTED met1 ( 7130 29070 ) ( 8970 * ) + NEW met2 ( 7130 29070 ) ( * 33830 ) + NEW met1 ( 8970 28390 ) ( * 29070 ) + NEW met1 ( 13570 45050 ) ( * 45390 ) + NEW met2 ( 7130 50490 ) ( * 53210 ) + NEW met1 ( 20010 11730 ) ( 24150 * ) + NEW met1 ( 24150 11730 ) ( * 12410 ) + NEW met1 ( 7130 53210 ) ( 13800 * ) + NEW met2 ( 20010 23970 ) ( * 28390 ) + NEW met1 ( 20010 23970 ) ( 31050 * ) + NEW met1 ( 31050 22950 ) ( * 23970 ) + NEW met1 ( 31050 22950 ) ( 38870 * ) + NEW met1 ( 38870 22950 ) ( * 23290 ) + NEW met1 ( 38870 23290 ) ( 41630 * ) + NEW met1 ( 17250 36210 ) ( * 36550 ) + NEW met1 ( 16330 36210 ) ( 17250 * ) + NEW met2 ( 16330 28390 ) ( * 36210 ) + NEW met2 ( 16330 36210 ) ( * 45390 ) + NEW met1 ( 17250 47090 ) ( * 47430 ) + NEW met1 ( 16330 47090 ) ( 17250 * ) + NEW met2 ( 16330 45390 ) ( * 47090 ) + NEW met1 ( 16330 52870 ) ( 19090 * ) + NEW met2 ( 16330 47090 ) ( * 52870 ) + NEW met1 ( 13800 53210 ) ( * 53890 ) + NEW met1 ( 13800 53890 ) ( 16330 * ) + NEW met1 ( 16330 52870 ) ( * 53890 ) + NEW met1 ( 8970 28390 ) ( 20010 * ) + NEW met1 ( 13570 45390 ) ( 16330 * ) + NEW met2 ( 20010 11730 ) ( * 23970 ) + NEW li1 ( 8970 29070 ) L1M1_PR_MR + NEW met1 ( 7130 29070 ) M1M2_PR + NEW li1 ( 7130 33830 ) L1M1_PR_MR + NEW met1 ( 7130 33830 ) M1M2_PR + NEW li1 ( 13570 45050 ) L1M1_PR_MR + NEW li1 ( 7130 53210 ) L1M1_PR_MR + NEW li1 ( 7130 50490 ) L1M1_PR_MR + NEW met1 ( 7130 50490 ) M1M2_PR + NEW met1 ( 7130 53210 ) M1M2_PR + NEW met1 ( 20010 11730 ) M1M2_PR + NEW li1 ( 24150 12410 ) L1M1_PR_MR + NEW li1 ( 20010 28390 ) L1M1_PR_MR + NEW met1 ( 20010 28390 ) M1M2_PR + NEW met1 ( 20010 23970 ) M1M2_PR + NEW li1 ( 41630 23290 ) L1M1_PR_MR + NEW li1 ( 17250 36550 ) L1M1_PR_MR + NEW met1 ( 16330 36210 ) M1M2_PR + NEW met1 ( 16330 28390 ) M1M2_PR + NEW met1 ( 16330 45390 ) M1M2_PR + NEW li1 ( 17250 47430 ) L1M1_PR_MR + NEW met1 ( 16330 47090 ) M1M2_PR + NEW li1 ( 19090 52870 ) L1M1_PR_MR + NEW met1 ( 16330 52870 ) M1M2_PR + NEW met1 ( 7130 33830 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 7130 50490 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 7130 53210 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 20010 28390 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 16330 28390 ) RECT ( -595 -70 0 70 ) ; - serial_data_in ( PIN serial_data_in ) ( ANTENNA_input5_A DIODE ) ( input5 A ) + USE SIGNAL - + ROUTED met3 ( 110860 49300 0 ) ( 111090 * ) - NEW met2 ( 111090 6970 ) ( * 49300 ) - NEW met1 ( 27830 9010 ) ( 34500 * ) - NEW met1 ( 34500 8670 ) ( * 9010 ) - NEW met1 ( 34500 8670 ) ( 47150 * ) - NEW met2 ( 47150 6970 ) ( * 8670 ) - NEW met1 ( 47150 6970 ) ( 111090 * ) - NEW li1 ( 27830 9010 ) L1M1_PR_MR - NEW met1 ( 111090 6970 ) M1M2_PR - NEW met2 ( 111090 49300 ) M2M3_PR - NEW li1 ( 47150 6970 ) L1M1_PR_MR - NEW met1 ( 47150 8670 ) M1M2_PR - NEW met1 ( 47150 6970 ) M1M2_PR - NEW met1 ( 47150 6970 ) RECT ( -595 -70 0 70 ) ; - - serial_data_out ( PIN serial_data_out ) ( output20 X ) + USE SIGNAL - + ROUTED met2 ( 12650 50660 ) ( * 52190 ) - NEW met3 ( 62100 51340 ) ( 70380 * 0 ) - NEW met3 ( 62100 50660 ) ( * 51340 ) - NEW met3 ( 12650 50660 ) ( 62100 * ) - NEW met2 ( 12650 50660 ) M2M3_PR - NEW li1 ( 12650 52190 ) L1M1_PR_MR - NEW met1 ( 12650 52190 ) M1M2_PR - NEW met1 ( 12650 52190 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met2 ( 55430 29410 ) ( * 49300 ) + NEW met3 ( 55430 49300 ) ( 70380 * 0 ) + NEW met2 ( 19550 28730 ) ( * 28900 ) + NEW met2 ( 19550 28900 ) ( 20010 * ) + NEW met2 ( 20010 28900 ) ( * 29410 ) + NEW met1 ( 19550 10370 ) ( 20010 * ) + NEW met2 ( 19550 10370 ) ( * 17510 ) + NEW met2 ( 19090 17510 ) ( 19550 * ) + NEW met2 ( 19090 17510 ) ( * 20570 ) + NEW met1 ( 19090 20570 ) ( 24610 * ) + NEW met2 ( 24610 20570 ) ( * 29410 ) + NEW met1 ( 20010 29410 ) ( 55430 * ) + NEW met1 ( 55430 29410 ) M1M2_PR + NEW met2 ( 55430 49300 ) M2M3_PR + NEW li1 ( 19550 28730 ) L1M1_PR_MR + NEW met1 ( 19550 28730 ) M1M2_PR + NEW met1 ( 20010 29410 ) M1M2_PR + NEW li1 ( 20010 10370 ) L1M1_PR_MR + NEW met1 ( 19550 10370 ) M1M2_PR + NEW met1 ( 19090 20570 ) M1M2_PR + NEW met1 ( 24610 20570 ) M1M2_PR + NEW met1 ( 24610 29410 ) M1M2_PR + NEW met1 ( 19550 28730 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 24610 29410 ) RECT ( -595 -70 0 70 ) ; + - serial_data_out ( PIN serial_data_out ) ( output21 X ) + USE SIGNAL + + ROUTED met2 ( 27370 51340 ) ( * 57630 ) + NEW met1 ( 25990 57630 ) ( 27370 * ) + NEW met3 ( 27370 51340 ) ( 70380 * 0 ) + NEW met2 ( 27370 51340 ) M2M3_PR + NEW met1 ( 27370 57630 ) M1M2_PR + NEW li1 ( 25990 57630 ) L1M1_PR_MR ; - serial_load ( PIN serial_load ) ( ANTENNA_clkbuf_0_serial_load_A DIODE ) ( clkbuf_0_serial_load A ) + USE CLOCK - + ROUTED met2 ( 14950 39950 ) ( * 53380 ) - NEW met2 ( 14950 32130 ) ( * 39950 ) - NEW met1 ( 6670 32130 ) ( 14950 * ) - NEW met3 ( 14950 53380 ) ( 70380 * 0 ) - NEW li1 ( 6670 32130 ) L1M1_PR_MR - NEW li1 ( 14950 39950 ) L1M1_PR_MR - NEW met1 ( 14950 39950 ) M1M2_PR - NEW met2 ( 14950 53380 ) M2M3_PR - NEW met1 ( 14950 32130 ) M1M2_PR - NEW met1 ( 14950 39950 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met3 ( 34730 53380 ) ( 70380 * 0 ) + NEW met1 ( 17250 31110 ) ( * 31450 ) + NEW met1 ( 17250 31450 ) ( 19090 * ) + NEW met1 ( 19090 31450 ) ( * 32130 ) + NEW met1 ( 19090 32130 ) ( 34730 * ) + NEW met2 ( 17710 10370 ) ( * 31110 ) + NEW met1 ( 17250 31110 ) ( 17710 * ) + NEW met2 ( 34730 32130 ) ( * 53380 ) + NEW met2 ( 34730 53380 ) M2M3_PR + NEW li1 ( 17250 31110 ) L1M1_PR_MR + NEW met1 ( 34730 32130 ) M1M2_PR + NEW li1 ( 17710 10370 ) L1M1_PR_MR + NEW met1 ( 17710 10370 ) M1M2_PR + NEW met1 ( 17710 31110 ) M1M2_PR + NEW met1 ( 17710 10370 ) RECT ( -355 -70 0 70 ) ; - serial_load_out ( PIN serial_load_out ) ( serial_load_out_buffer X ) + USE CLOCK - + ROUTED met2 ( 69230 41650 ) ( * 55420 ) - NEW met3 ( 69230 55420 ) ( 70380 * 0 ) - NEW met2 ( 55430 26690 ) ( * 41650 ) - NEW met1 ( 55430 41650 ) ( 69230 * ) - NEW met1 ( 48300 26690 ) ( 55430 * ) - NEW met1 ( 25530 15130 ) ( 27830 * ) - NEW met2 ( 27830 15130 ) ( * 26690 ) - NEW met1 ( 27830 26690 ) ( 47610 * ) - NEW met1 ( 47610 26350 ) ( * 26690 ) - NEW met1 ( 47610 26350 ) ( 48300 * ) - NEW met1 ( 48300 26350 ) ( * 26690 ) - NEW met1 ( 69230 41650 ) M1M2_PR - NEW met2 ( 69230 55420 ) M2M3_PR - NEW met1 ( 55430 26690 ) M1M2_PR - NEW met1 ( 55430 41650 ) M1M2_PR - NEW li1 ( 25530 15130 ) L1M1_PR_MR - NEW met1 ( 27830 15130 ) M1M2_PR - NEW met1 ( 27830 26690 ) M1M2_PR ; - - serial_load_out_buffered ( _105__10 A ) ( _104__9 A ) ( _102__7 A ) ( _100__5 A ) ( _099__4 A ) ( _097__2 A ) ( _061__1 A ) + + ROUTED met3 ( 110630 55420 ) ( 110860 * 0 ) + NEW met2 ( 110630 19550 ) ( * 55420 ) + NEW met1 ( 39790 19550 ) ( * 20570 ) + NEW met1 ( 25530 20570 ) ( 39790 * ) + NEW met1 ( 39790 19550 ) ( 110630 * ) + NEW met1 ( 110630 19550 ) M1M2_PR + NEW met2 ( 110630 55420 ) M2M3_PR + NEW li1 ( 25530 20570 ) L1M1_PR_MR ; + - serial_load_out_buffered ( ANTENNA_serial_load_out_buffer_A DIODE ) ( ANTENNA__058__1_A DIODE ) ( ANTENNA__094__2_A DIODE ) ( ANTENNA__097__5_A DIODE ) ( ANTENNA__098__6_A DIODE ) ( ANTENNA__099__7_A DIODE ) ( ANTENNA__101__9_A DIODE ) + ( ANTENNA__102__10_A DIODE ) ( _102__10 A ) ( _101__9 A ) ( _099__7 A ) ( _098__6 A ) ( _097__5 A ) ( _094__2 A ) ( _058__1 A ) ( clkbuf_1_1__f_serial_load X ) ( serial_load_out_buffer A ) + USE CLOCK - + ROUTED met2 ( 6210 30770 ) ( * 34170 ) - NEW met1 ( 6210 30770 ) ( 9430 * ) - NEW met1 ( 9430 30770 ) ( * 31110 ) - NEW met2 ( 6210 34170 ) ( * 41990 ) - NEW met2 ( 6210 41990 ) ( * 52870 ) - NEW met2 ( 6210 52870 ) ( * 55930 ) - NEW met2 ( 37030 39610 ) ( * 44030 ) - NEW met1 ( 37030 44030 ) ( 40710 * ) - NEW met1 ( 40710 44030 ) ( * 44370 ) - NEW met1 ( 40710 44370 ) ( 44850 * ) - NEW met1 ( 44850 44370 ) ( * 45050 ) - NEW met1 ( 17710 30770 ) ( * 31110 ) - NEW met1 ( 17710 30770 ) ( 23690 * ) - NEW met1 ( 23690 30430 ) ( * 30770 ) - NEW met1 ( 23690 30430 ) ( 31970 * ) - NEW met2 ( 31970 30430 ) ( * 39610 ) - NEW met1 ( 31970 39610 ) ( 37030 * ) - NEW met2 ( 28750 14790 ) ( * 30430 ) - NEW met1 ( 16790 14450 ) ( 28750 * ) - NEW met1 ( 28750 14450 ) ( * 14790 ) - NEW met1 ( 9430 31110 ) ( 17710 * ) - NEW li1 ( 9430 31110 ) L1M1_PR_MR - NEW li1 ( 6210 34170 ) L1M1_PR_MR - NEW met1 ( 6210 34170 ) M1M2_PR - NEW met1 ( 6210 30770 ) M1M2_PR - NEW li1 ( 6210 41990 ) L1M1_PR_MR - NEW met1 ( 6210 41990 ) M1M2_PR - NEW li1 ( 6210 52870 ) L1M1_PR_MR - NEW met1 ( 6210 52870 ) M1M2_PR - NEW li1 ( 6210 55930 ) L1M1_PR_MR - NEW met1 ( 6210 55930 ) M1M2_PR - NEW li1 ( 37030 39610 ) L1M1_PR_MR - NEW met1 ( 37030 39610 ) M1M2_PR - NEW met1 ( 37030 44030 ) M1M2_PR - NEW li1 ( 44850 45050 ) L1M1_PR_MR - NEW met1 ( 31970 30430 ) M1M2_PR - NEW met1 ( 31970 39610 ) M1M2_PR - NEW li1 ( 28750 14790 ) L1M1_PR_MR - NEW met1 ( 28750 14790 ) M1M2_PR - NEW met1 ( 28750 30430 ) M1M2_PR - NEW li1 ( 16790 14450 ) L1M1_PR_MR - NEW met1 ( 6210 34170 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 6210 41990 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 6210 52870 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 6210 55930 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 37030 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 28750 14790 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 28750 30430 ) RECT ( -595 -70 0 70 ) ; - - shift_register\[0\] ( hold3 A ) ( _122_ Q ) + USE SIGNAL - + ROUTED met1 ( 28290 53210 ) ( 33810 * ) + + ROUTED met1 ( 8970 3910 ) ( 10350 * ) + NEW met1 ( 7590 3910 ) ( 8970 * ) + NEW met1 ( 6210 3910 ) ( 7590 * ) + NEW met1 ( 6210 57970 ) ( * 58310 ) + NEW met1 ( 25070 13090 ) ( 25990 * ) + NEW met1 ( 25990 13090 ) ( 26910 * ) + NEW met1 ( 19550 4930 ) ( 25070 * ) + NEW met2 ( 25070 4930 ) ( * 13090 ) + NEW met1 ( 18630 4930 ) ( 19550 * ) + NEW met1 ( 16790 4930 ) ( 18630 * ) + NEW met1 ( 15870 4930 ) ( 16790 * ) + NEW met1 ( 14950 4930 ) ( 15870 * ) + NEW met2 ( 14950 3910 ) ( * 4930 ) + NEW met1 ( 10350 3910 ) ( 14950 * ) + NEW met1 ( 6210 57970 ) ( 13800 * ) + NEW met1 ( 16790 20230 ) ( 21850 * ) + NEW met2 ( 21850 20230 ) ( * 32300 ) + NEW met2 ( 20930 32300 ) ( 21850 * ) + NEW met2 ( 20930 32300 ) ( * 57630 ) + NEW met1 ( 13800 57630 ) ( 20930 * ) + NEW met1 ( 13800 57630 ) ( * 57970 ) + NEW met1 ( 21850 15470 ) ( 23230 * ) + NEW met2 ( 21850 15470 ) ( * 20230 ) + NEW met1 ( 23230 15470 ) ( 25070 * ) + NEW met1 ( 25070 14790 ) ( 26910 * ) + NEW met1 ( 25070 16830 ) ( 42090 * ) + NEW met2 ( 25070 15470 ) ( * 16830 ) + NEW met1 ( 43470 36550 ) ( 43930 * ) + NEW met1 ( 43470 36550 ) ( * 37230 ) + NEW met1 ( 42550 37230 ) ( 43470 * ) + NEW met1 ( 42550 37230 ) ( * 37570 ) + NEW met1 ( 20930 37570 ) ( 42550 * ) + NEW met2 ( 25070 13090 ) ( * 15470 ) + NEW li1 ( 10350 3910 ) L1M1_PR_MR + NEW li1 ( 8970 3910 ) L1M1_PR_MR + NEW li1 ( 7590 3910 ) L1M1_PR_MR + NEW li1 ( 6210 3910 ) L1M1_PR_MR + NEW li1 ( 6210 58310 ) L1M1_PR_MR + NEW li1 ( 25990 13090 ) L1M1_PR_MR + NEW met1 ( 25070 13090 ) M1M2_PR + NEW li1 ( 26910 13090 ) L1M1_PR_MR + NEW li1 ( 19550 4930 ) L1M1_PR_MR + NEW met1 ( 25070 4930 ) M1M2_PR + NEW li1 ( 18630 4930 ) L1M1_PR_MR + NEW li1 ( 16790 4930 ) L1M1_PR_MR + NEW li1 ( 15870 4930 ) L1M1_PR_MR + NEW li1 ( 14950 4930 ) L1M1_PR_MR + NEW met1 ( 14950 3910 ) M1M2_PR + NEW met1 ( 14950 4930 ) M1M2_PR + NEW li1 ( 16790 20230 ) L1M1_PR_MR + NEW met1 ( 21850 20230 ) M1M2_PR + NEW met1 ( 20930 57630 ) M1M2_PR + NEW li1 ( 23230 15470 ) L1M1_PR_MR + NEW met1 ( 21850 15470 ) M1M2_PR + NEW met1 ( 25070 15470 ) M1M2_PR + NEW li1 ( 26910 14790 ) L1M1_PR_MR + NEW met1 ( 25070 14790 ) M1M2_PR + NEW li1 ( 42090 16830 ) L1M1_PR_MR + NEW met1 ( 25070 16830 ) M1M2_PR + NEW li1 ( 43930 36550 ) L1M1_PR_MR + NEW met1 ( 20930 37570 ) M1M2_PR + NEW met1 ( 14950 4930 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 25070 14790 ) RECT ( -70 -485 70 0 ) + NEW met2 ( 20930 37570 ) RECT ( -70 -485 70 0 ) ; + - shift_register\[0\] ( hold5 A ) ( _119_ Q ) + USE SIGNAL + + ROUTED met1 ( 29210 53210 ) ( 33810 * ) NEW met2 ( 33810 53210 ) ( * 55590 ) - NEW li1 ( 28290 53210 ) L1M1_PR_MR + NEW li1 ( 29210 53210 ) L1M1_PR_MR NEW met1 ( 33810 53210 ) M1M2_PR NEW li1 ( 33810 55590 ) L1M1_PR_MR NEW met1 ( 33810 55590 ) M1M2_PR NEW met1 ( 33810 55590 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[10\] ( hold10 A ) ( _132_ Q ) + USE SIGNAL - + ROUTED met2 ( 43010 31450 ) ( * 36210 ) - NEW li1 ( 43010 31450 ) L1M1_PR_MR - NEW met1 ( 43010 31450 ) M1M2_PR - NEW li1 ( 43010 36210 ) L1M1_PR_MR - NEW met1 ( 43010 36210 ) M1M2_PR - NEW met1 ( 43010 31450 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43010 36210 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[11\] ( hold2 A ) ( _133_ Q ) + USE SIGNAL - + ROUTED met1 ( 31050 45390 ) ( 32430 * ) - NEW met2 ( 32430 45390 ) ( * 46750 ) - NEW met1 ( 31050 46750 ) ( 32430 * ) - NEW met2 ( 31050 46750 ) ( * 50150 ) + - shift_register\[10\] ( hold9 A ) ( _129_ Q ) + USE SIGNAL + + ROUTED met1 ( 37030 31450 ) ( 39330 * ) + NEW met2 ( 37030 31450 ) ( * 33830 ) + NEW met1 ( 34270 33830 ) ( 37030 * ) + NEW li1 ( 39330 31450 ) L1M1_PR_MR + NEW met1 ( 37030 31450 ) M1M2_PR + NEW met1 ( 37030 33830 ) M1M2_PR + NEW li1 ( 34270 33830 ) L1M1_PR_MR ; + - shift_register\[11\] ( hold1 A ) ( _130_ Q ) + USE SIGNAL + + ROUTED met1 ( 31050 45390 ) ( 31510 * ) + NEW met2 ( 31510 45390 ) ( * 50150 ) NEW li1 ( 31050 45390 ) L1M1_PR_MR - NEW met1 ( 32430 45390 ) M1M2_PR - NEW met1 ( 32430 46750 ) M1M2_PR - NEW met1 ( 31050 46750 ) M1M2_PR - NEW li1 ( 31050 50150 ) L1M1_PR_MR - NEW met1 ( 31050 50150 ) M1M2_PR - NEW met1 ( 31050 50150 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[12\] ( hold7 A ) ( _135_ D ) ( _134_ Q ) + USE SIGNAL - + ROUTED met2 ( 46690 53210 ) ( * 54910 ) - NEW met1 ( 37030 54910 ) ( 46690 * ) - NEW met1 ( 37030 54910 ) ( * 55250 ) - NEW met1 ( 35650 55250 ) ( 37030 * ) - NEW met1 ( 35650 54910 ) ( * 55250 ) - NEW met1 ( 15500 54910 ) ( 35650 * ) - NEW met2 ( 43010 47770 ) ( * 54910 ) - NEW li1 ( 46690 53210 ) L1M1_PR_MR - NEW met1 ( 46690 53210 ) M1M2_PR - NEW met1 ( 46690 54910 ) M1M2_PR - NEW li1 ( 15500 54910 ) L1M1_PR_MR + NEW met1 ( 31510 45390 ) M1M2_PR + NEW li1 ( 31510 50150 ) L1M1_PR_MR + NEW met1 ( 31510 50150 ) M1M2_PR + NEW met1 ( 31510 50150 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[12\] ( hold2 A ) ( _132_ D ) ( _131_ Q ) + USE SIGNAL + + ROUTED met1 ( 41630 47770 ) ( 43010 * ) + NEW met1 ( 46690 53210 ) ( * 53550 ) + NEW met1 ( 39330 53550 ) ( 46690 * ) + NEW met1 ( 39330 53550 ) ( * 53890 ) + NEW met1 ( 36110 53890 ) ( 39330 * ) + NEW met2 ( 36110 53890 ) ( * 54060 ) + NEW met3 ( 16790 54060 ) ( 36110 * ) + NEW met2 ( 16790 54060 ) ( * 54910 ) + NEW met1 ( 15960 54910 ) ( 16790 * ) + NEW met2 ( 41630 47770 ) ( * 53550 ) NEW li1 ( 43010 47770 ) L1M1_PR_MR - NEW met1 ( 43010 47770 ) M1M2_PR - NEW met1 ( 43010 54910 ) M1M2_PR - NEW met1 ( 46690 53210 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43010 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43010 54910 ) RECT ( -595 -70 0 70 ) ; - - shift_register\[1\] ( hold5 A ) ( _123_ Q ) + USE SIGNAL - + ROUTED met1 ( 9430 45050 ) ( 10350 * ) - NEW met2 ( 9430 45050 ) ( * 47090 ) - NEW met1 ( 7130 47090 ) ( 9430 * ) - NEW li1 ( 10350 45050 ) L1M1_PR_MR - NEW met1 ( 9430 45050 ) M1M2_PR - NEW met1 ( 9430 47090 ) M1M2_PR - NEW li1 ( 7130 47090 ) L1M1_PR_MR ; - - shift_register\[2\] ( hold4 A ) ( _124_ Q ) + USE SIGNAL - + ROUTED met1 ( 17250 50830 ) ( * 51170 ) - NEW met1 ( 17250 51170 ) ( 32890 * ) - NEW met2 ( 32890 51170 ) ( * 56100 ) - NEW met2 ( 32890 56100 ) ( 34730 * ) - NEW met2 ( 34730 56100 ) ( * 58650 ) - NEW met1 ( 34730 58650 ) ( 46690 * ) - NEW li1 ( 17250 50830 ) L1M1_PR_MR - NEW met1 ( 32890 51170 ) M1M2_PR - NEW met1 ( 34730 58650 ) M1M2_PR - NEW li1 ( 46690 58650 ) L1M1_PR_MR ; - - shift_register\[3\] ( hold6 A ) ( _125_ Q ) + USE SIGNAL - + ROUTED met1 ( 24150 44710 ) ( 27370 * ) - NEW li1 ( 24150 44710 ) L1M1_PR_MR - NEW li1 ( 27370 44710 ) L1M1_PR_MR ; - - shift_register\[4\] ( hold13 A ) ( _126_ Q ) + USE SIGNAL - + ROUTED met1 ( 28290 39610 ) ( 28750 * ) - NEW met2 ( 28290 39610 ) ( * 41650 ) - NEW li1 ( 28750 39610 ) L1M1_PR_MR - NEW met1 ( 28290 39610 ) M1M2_PR - NEW li1 ( 28290 41650 ) L1M1_PR_MR - NEW met1 ( 28290 41650 ) M1M2_PR - NEW met1 ( 28290 41650 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[5\] ( hold11 A ) ( _127_ Q ) + USE SIGNAL - + ROUTED met1 ( 18170 31110 ) ( * 31790 ) - NEW met1 ( 17250 31790 ) ( 18170 * ) - NEW met2 ( 17250 31790 ) ( * 36210 ) - NEW li1 ( 18170 31110 ) L1M1_PR_MR - NEW met1 ( 17250 31790 ) M1M2_PR - NEW li1 ( 17250 36210 ) L1M1_PR_MR - NEW met1 ( 17250 36210 ) M1M2_PR - NEW met1 ( 17250 36210 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[6\] ( hold9 A ) ( _128_ Q ) + USE SIGNAL - + ROUTED met1 ( 24610 31110 ) ( 25990 * ) - NEW met2 ( 24610 31110 ) ( * 39270 ) - NEW met1 ( 24610 39270 ) ( 25530 * ) - NEW li1 ( 25990 31110 ) L1M1_PR_MR - NEW met1 ( 24610 31110 ) M1M2_PR - NEW met1 ( 24610 39270 ) M1M2_PR - NEW li1 ( 25530 39270 ) L1M1_PR_MR ; - - shift_register\[7\] ( hold8 A ) ( _129_ Q ) + USE SIGNAL - + ROUTED met1 ( 30130 26010 ) ( 31970 * ) - NEW met2 ( 30130 26010 ) ( * 28390 ) - NEW li1 ( 31970 26010 ) L1M1_PR_MR - NEW met1 ( 30130 26010 ) M1M2_PR + NEW met1 ( 41630 47770 ) M1M2_PR + NEW li1 ( 46690 53210 ) L1M1_PR_MR + NEW met1 ( 36110 53890 ) M1M2_PR + NEW met2 ( 36110 54060 ) M2M3_PR + NEW met2 ( 16790 54060 ) M2M3_PR + NEW met1 ( 16790 54910 ) M1M2_PR + NEW li1 ( 15960 54910 ) L1M1_PR_MR + NEW met1 ( 41630 53550 ) M1M2_PR + NEW met1 ( 41630 53550 ) RECT ( -595 -70 0 70 ) ; + - shift_register\[1\] ( hold3 A ) ( _120_ Q ) + USE SIGNAL + + ROUTED met1 ( 46690 47770 ) ( * 48450 ) + NEW met1 ( 18630 48450 ) ( 46690 * ) + NEW met2 ( 18630 48450 ) ( * 50490 ) + NEW met1 ( 17250 50490 ) ( 18630 * ) + NEW li1 ( 46690 47770 ) L1M1_PR_MR + NEW met1 ( 18630 48450 ) M1M2_PR + NEW met1 ( 18630 50490 ) M1M2_PR + NEW li1 ( 17250 50490 ) L1M1_PR_MR ; + - shift_register\[2\] ( hold8 A ) ( _121_ Q ) + USE SIGNAL + + ROUTED met1 ( 17250 53210 ) ( 26910 * ) + NEW met1 ( 26910 53210 ) ( * 53890 ) + NEW met1 ( 26910 53890 ) ( 34730 * ) + NEW met2 ( 34730 53890 ) ( * 58310 ) + NEW met1 ( 34730 58310 ) ( 46690 * ) + NEW li1 ( 17250 53210 ) L1M1_PR_MR + NEW met1 ( 34730 53890 ) M1M2_PR + NEW met1 ( 34730 58310 ) M1M2_PR + NEW li1 ( 46690 58310 ) L1M1_PR_MR ; + - shift_register\[3\] ( hold6 A ) ( _122_ Q ) + USE SIGNAL + + ROUTED met2 ( 6670 45050 ) ( * 47090 ) + NEW met1 ( 6670 47090 ) ( 7130 * ) + NEW li1 ( 6670 45050 ) L1M1_PR_MR + NEW met1 ( 6670 45050 ) M1M2_PR + NEW met1 ( 6670 47090 ) M1M2_PR + NEW li1 ( 7130 47090 ) L1M1_PR_MR + NEW met1 ( 6670 45050 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[4\] ( hold10 A ) ( _123_ Q ) + USE SIGNAL + + ROUTED met1 ( 23690 44710 ) ( 26910 * ) + NEW li1 ( 23690 44710 ) L1M1_PR_MR + NEW li1 ( 26910 44710 ) L1M1_PR_MR ; + - shift_register\[5\] ( hold13 A ) ( _124_ Q ) + USE SIGNAL + + ROUTED met1 ( 7130 36890 ) ( 9890 * ) + NEW met2 ( 9890 36890 ) ( * 44710 ) + NEW li1 ( 7130 36890 ) L1M1_PR_MR + NEW met1 ( 9890 36890 ) M1M2_PR + NEW li1 ( 9890 44710 ) L1M1_PR_MR + NEW met1 ( 9890 44710 ) M1M2_PR + NEW met1 ( 9890 44710 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[6\] ( hold12 A ) ( _125_ Q ) + USE SIGNAL + + ROUTED met2 ( 19550 31450 ) ( * 33150 ) + NEW met1 ( 17250 33150 ) ( 19550 * ) + NEW met1 ( 17250 33150 ) ( * 33830 ) + NEW li1 ( 19550 31450 ) L1M1_PR_MR + NEW met1 ( 19550 31450 ) M1M2_PR + NEW met1 ( 19550 33150 ) M1M2_PR + NEW li1 ( 17250 33830 ) L1M1_PR_MR + NEW met1 ( 19550 31450 ) RECT ( 0 -70 355 70 ) ; + - shift_register\[7\] ( hold11 A ) ( _126_ Q ) + USE SIGNAL + + ROUTED met1 ( 30130 25670 ) ( 31510 * ) + NEW met2 ( 30130 25670 ) ( * 28390 ) + NEW li1 ( 31510 25670 ) L1M1_PR_MR + NEW met1 ( 30130 25670 ) M1M2_PR NEW li1 ( 30130 28390 ) L1M1_PR_MR NEW met1 ( 30130 28390 ) M1M2_PR NEW met1 ( 30130 28390 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[8\] ( hold12 A ) ( _130_ Q ) + USE SIGNAL - + ROUTED met1 ( 30130 34510 ) ( 32430 * ) - NEW met2 ( 32430 34510 ) ( * 39270 ) - NEW li1 ( 30130 34510 ) L1M1_PR_MR - NEW met1 ( 32430 34510 ) M1M2_PR - NEW li1 ( 32430 39270 ) L1M1_PR_MR - NEW met1 ( 32430 39270 ) M1M2_PR - NEW met1 ( 32430 39270 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[9\] ( hold1 A ) ( _131_ Q ) + USE SIGNAL - + ROUTED met1 ( 41170 42330 ) ( 46690 * ) - NEW li1 ( 41170 42330 ) L1M1_PR_MR - NEW li1 ( 46690 42330 ) L1M1_PR_MR ; - - user_gpio_in ( PIN user_gpio_in ) ( gpio_in_buf Z ) + USE SIGNAL - + ROUTED met2 ( 67390 22610 ) ( * 57460 ) - NEW met3 ( 67390 57460 ) ( 70380 * 0 ) - NEW met1 ( 48990 22610 ) ( 67390 * ) - NEW met1 ( 67390 22610 ) M1M2_PR - NEW met2 ( 67390 57460 ) M2M3_PR - NEW li1 ( 48990 22610 ) L1M1_PR_MR ; - - user_gpio_oeb ( PIN user_gpio_oeb ) ( ANTENNA__065__A0 DIODE ) ( _065_ A0 ) + USE SIGNAL - + ROUTED met2 ( 67850 36550 ) ( * 59500 ) - NEW met3 ( 67850 59500 ) ( 70380 * 0 ) - NEW met1 ( 62100 36550 ) ( 67850 * ) - NEW met1 ( 62100 36550 ) ( * 36890 ) - NEW met1 ( 48530 36890 ) ( 62100 * ) - NEW met2 ( 43010 36890 ) ( * 37060 ) - NEW met3 ( 6670 37060 ) ( 43010 * ) - NEW met2 ( 6670 37060 ) ( * 37230 ) - NEW met1 ( 43010 36890 ) ( 48530 * ) - NEW met1 ( 67850 36550 ) M1M2_PR - NEW met2 ( 67850 59500 ) M2M3_PR - NEW li1 ( 48530 36890 ) L1M1_PR_MR - NEW met1 ( 43010 36890 ) M1M2_PR - NEW met2 ( 43010 37060 ) M2M3_PR - NEW met2 ( 6670 37060 ) M2M3_PR - NEW li1 ( 6670 37230 ) L1M1_PR_MR - NEW met1 ( 6670 37230 ) M1M2_PR - NEW met1 ( 6670 37230 ) RECT ( -355 -70 0 70 ) ; - - user_gpio_out ( PIN user_gpio_out ) ( ANTENNA__066__B DIODE ) ( _066_ B ) + USE SIGNAL - + ROUTED met2 ( 47150 45050 ) ( * 61540 ) - NEW met1 ( 45310 23630 ) ( 46230 * ) - NEW met2 ( 46230 23630 ) ( * 24820 ) - NEW met2 ( 45770 24820 ) ( 46230 * ) - NEW met2 ( 45770 24820 ) ( * 29580 ) - NEW met2 ( 45310 29580 ) ( 45770 * ) - NEW met2 ( 45310 29580 ) ( * 45050 ) - NEW met1 ( 45310 45050 ) ( 47150 * ) - NEW met2 ( 45310 4930 ) ( * 23630 ) - NEW met3 ( 47150 61540 ) ( 70380 * 0 ) - NEW li1 ( 45310 4930 ) L1M1_PR_MR - NEW met1 ( 45310 4930 ) M1M2_PR - NEW li1 ( 47150 45050 ) L1M1_PR_MR - NEW met1 ( 47150 45050 ) M1M2_PR - NEW met2 ( 47150 61540 ) M2M3_PR - NEW met1 ( 45310 23630 ) M1M2_PR - NEW met1 ( 46230 23630 ) M1M2_PR - NEW met1 ( 45310 45050 ) M1M2_PR - NEW met1 ( 45310 4930 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 47150 45050 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[8\] ( hold7 A ) ( _127_ Q ) + USE SIGNAL + + ROUTED met1 ( 20010 36890 ) ( 28290 * ) + NEW met2 ( 28290 36890 ) ( * 39270 ) + NEW met1 ( 28290 39270 ) ( 31050 * ) + NEW li1 ( 20010 36890 ) L1M1_PR_MR + NEW met1 ( 28290 36890 ) M1M2_PR + NEW met1 ( 28290 39270 ) M1M2_PR + NEW li1 ( 31050 39270 ) L1M1_PR_MR ; + - shift_register\[9\] ( hold4 A ) ( _128_ Q ) + USE SIGNAL + + ROUTED met1 ( 41630 41650 ) ( 46690 * ) + NEW met1 ( 46690 41650 ) ( * 41990 ) + NEW li1 ( 41630 41650 ) L1M1_PR_MR + NEW li1 ( 46690 41990 ) L1M1_PR_MR ; + - user_gpio_in ( PIN user_gpio_in ) ( output22 X ) + USE SIGNAL + + ROUTED met2 ( 12650 57630 ) ( * 58140 ) + NEW met3 ( 62100 57460 ) ( 70380 * 0 ) + NEW met3 ( 62100 57460 ) ( * 58140 ) + NEW met3 ( 12650 58140 ) ( 62100 * ) + NEW met2 ( 12650 58140 ) M2M3_PR + NEW li1 ( 12650 57630 ) L1M1_PR_MR + NEW met1 ( 12650 57630 ) M1M2_PR + NEW met1 ( 12650 57630 ) RECT ( -355 -70 0 70 ) ; + - user_gpio_oeb ( PIN user_gpio_oeb ) ( ANTENNA__061__A0 DIODE ) ( _061_ A0 ) + USE SIGNAL + + ROUTED met2 ( 89930 35870 ) ( * 59330 ) + NEW met1 ( 82800 35870 ) ( 89930 * ) + NEW met1 ( 82800 35870 ) ( * 36550 ) + NEW met3 ( 69230 59500 ) ( 70380 * 0 ) + NEW met2 ( 69230 59330 ) ( * 59500 ) + NEW met1 ( 69230 59330 ) ( 89930 * ) + NEW met1 ( 48990 35870 ) ( * 36550 ) + NEW met1 ( 48990 36550 ) ( 82800 * ) + NEW met1 ( 6670 35870 ) ( 48990 * ) + NEW met1 ( 89930 35870 ) M1M2_PR + NEW met1 ( 89930 59330 ) M1M2_PR + NEW met2 ( 69230 59500 ) M2M3_PR + NEW met1 ( 69230 59330 ) M1M2_PR + NEW li1 ( 48990 36550 ) L1M1_PR_MR + NEW li1 ( 6670 35870 ) L1M1_PR_MR ; + - user_gpio_out ( PIN user_gpio_out ) ( ANTENNA__062__B DIODE ) ( _062_ B ) + USE SIGNAL + + ROUTED met1 ( 49910 28730 ) ( 50370 * ) + NEW met2 ( 50370 28730 ) ( * 61540 ) + NEW met1 ( 48530 28390 ) ( * 28730 ) + NEW met1 ( 48530 28730 ) ( 49910 * ) + NEW met2 ( 48530 4930 ) ( * 28390 ) + NEW met3 ( 50370 61540 ) ( 70380 * 0 ) + NEW li1 ( 48530 4930 ) L1M1_PR_MR + NEW met1 ( 48530 4930 ) M1M2_PR + NEW li1 ( 49910 28730 ) L1M1_PR_MR + NEW met1 ( 50370 28730 ) M1M2_PR + NEW met2 ( 50370 61540 ) M2M3_PR + NEW met1 ( 48530 28390 ) M1M2_PR + NEW met1 ( 48530 4930 ) RECT ( -355 -70 0 70 ) ; - zero ( PIN zero ) ( zero_buffer X ) + USE SIGNAL - + ROUTED met2 ( 27370 1700 ) ( * 4250 ) - NEW met1 ( 26910 4250 ) ( 27370 * ) - NEW met3 ( 27370 1700 ) ( 34500 * ) - NEW met3 ( 34500 1700 ) ( * 2380 ) - NEW met3 ( 34500 2380 ) ( 70380 * 0 ) - NEW met2 ( 27370 1700 ) M2M3_PR - NEW met1 ( 27370 4250 ) M1M2_PR - NEW li1 ( 26910 4250 ) L1M1_PR_MR ; + + ROUTED met2 ( 27370 2380 ) ( * 3230 ) + NEW met1 ( 25530 3230 ) ( 27370 * ) + NEW met3 ( 27370 2380 ) ( 70380 * 0 ) + NEW met2 ( 27370 2380 ) M2M3_PR + NEW met1 ( 27370 3230 ) M1M2_PR + NEW li1 ( 25530 3230 ) L1M1_PR_MR ; - zero_buffered ( zero_buffer A ) ( const_source LO ) + USE SIGNAL + ROUTED met1 ( 30130 3910 ) ( * 4250 ) - NEW met2 ( 42550 4250 ) ( * 6630 ) - NEW met1 ( 30130 4250 ) ( 42550 * ) + NEW met1 ( 30130 4250 ) ( 45770 * ) NEW li1 ( 30130 3910 ) L1M1_PR_MR - NEW met1 ( 42550 4250 ) M1M2_PR - NEW li1 ( 42550 6630 ) L1M1_PR_MR - NEW met1 ( 42550 6630 ) M1M2_PR - NEW met1 ( 42550 6630 ) RECT ( -355 -70 0 70 ) ; + NEW li1 ( 45770 4250 ) L1M1_PR_MR ; END NETS END DESIGN diff --git a/gds/gpio_control_block.gds.gz b/gds/gpio_control_block.gds.gz index 579e41ea9e96049af76f4f9f692a09fc57dbd096..c448c95341cf744afd49e69508541cb4785051a2 100644 GIT binary patch literal 106097 zcmZU)2|Uw(96$c;tI|oRq#Q*eib`^ft)fIVxhuy)NOH5eW{F}dOUThia^;BJ_f|QZ zEB9?VW`-Ga%*?j`XMKP7zen}h$9q2ed_H@>U$5u&mU+GBAO4?z1r~98>nttSnQ8kI zLEoKH6HKmMHVg|+ej$3~fPneV{cZp5I`TdOYi4w=eeaRp_QP@e65=I$_8k#3>+QMR zMl{{ezU?{>Q@(q<4Z#}-Sn?V`F0Jt&ZL6 zF5JSrjCnbK-N`=0-fsgXzEzg59mIO?v?{Iw+K8C`a2|(h>~Y$UIlK0hG$|0ArWV?a zDMSWN_1z6Ftewj6-7la$Lvp%m(ZA9X;_S!y!ZJ9Yg4+JcdM)0OMwn}T8L65ENx%2N zOwrum!zv*CUVoNt`n_YrUyHrh$Ugo8LxuI^`+keD*e|^~%r>7<-3YHc8RXz@$b?1C#mpz8$&XIz? zgWoP}?TBB9pxEyoW9OfJ+ki8^zB{ zs^_JY8QFUa7wO9%pcq`j+HZYN?fv0@OB{YDLE|>+%COak?XSFm=?%=N;&wUeEiFk& z!I?(6%~p?_@5l!*7~za?+E4Dy_evtugIGr*FLMX&@ncp) zTsbNphpl==oIJ$R9USHO^y-BwDJNN!GgS8F>YjhRnHOK_vpXlhkA2#?chsQg3Y*#vCHWw=hJC$}1ex^%`t=QT%RHSt)bEVcurG*jW_dOXX=|#Kdpw`V zw{_cgNNupbl-=-89;hrtB?z@{LaEtVZ|9niXnbDSeD2GRDx}HP!~}zGOLYH$vX-Q} z4bMNFj)KmQ)jI1ZBCU)hy8X94m&S`o^;mewbi4okTkfuJ)>x?`+5J7{!C2I8-Aixo zM4f;0MBA^!%QLX(rL$FY)T<@s##`CbD^R5t!T6@PPrgJx_;JozUyuA1`-7^q4tAI5 z?!%MN8^RgFPG{wg4=H&Ik6E!$j{0V`Z-OUI22Dw^K^D^Mt?35ZCwpGvOvzufFsaH> zspl5sl|0?`*FB_T(}kwA^Ioq8UQRa;d<;{6S9Hp*OHHtOUoT~3aItMr(WK{q9-2o7HmfE8X=?JTP@*BG*=szJWpRl7g+J zsKK?lQvdRJX=FdN(;;}R9JMU1+hdVew;@a)lctT1XQ(3dO@G!(Zz#TgvhY({ele+O zU2(tXuLIW{*uFF1>uZwU5c!$8BWL?ninG|5KDHI}QBTNNEHpu(qE8PyY>Mei^9-u! zlFz_sy zB1iwK6tskA0qm?rYLXkw`_r2N;Td@GlngYXQZt%9?`}gL{z)g!!2&CQmDCMPm?=cH zyLzho7Q+&DJo<#P1;)oiM!6iho;7dXX=(|3lyeTTPNqrZ^Q*H#fGK4{-LZVJ)|7bv z>lO-^Re(QfOWx>Dq~)ugFTh7QHpz}`c@^vdImhM;-+p|=-mooS_z2Ubsb zylHpvp2MA&_?Y*Wq8omBww+HK+do*!eK`;@*Y^8d$u~|%Po?P5Qtj@^K^^!%-OM8G zhFnG?qJl6``PlzaOo7lI3+Y+dcGkWrRg2=^xs2bW`J9qV%Vx>_5G{VqAY**~HSthH zWDzqM;nL)tpT{2Mn6prwq%Y}f{b3m&&e^8eNwDS7n<-*g|ya(}xXh$<+7FUy~}lbB>NQiwVI(dl+#T5OvN`d{X6nh1YG< zTpKyx+SjI?;>s4#0}or{yMO)cQ!>Y5Cm;6`K+0uiA2?(PT`G+0YLXdafz1o4EFO}%a;otGUG+z^qsng%S<5#Mp ziS$Ef2~V)`fm|9~oclhl)*I0qxnYs!V99jiuSh+1itwH^C(yLu9oR(TCj(wy^WDJx zzHgGZ&F|P(q&2bb<>_23%h8H#9NG0O2k7>JtG(;I^RQG}tw70YTY|CH$4*soHNruV zQ!k5#=vsPSV0F(``qn5C{V*-9b4&Dy8pL*K`)T!RExA)Q5k%ndYE?y8pRsb!>_d-{ zU7r1@ytTMWiOdg#il1{1t;(ef4MZdar8T~%L{brZTnnr6OK-t4y|_mgI*CNcisU!Z zRVocNeSqSrhPS(n*|uz3?W)3vrmo#5SmxQjUhUfA!i|^@8=f)24+O;d7he?g@&QM^ z!i@jAMMp6z&}8VWg>(m|0&;VHm!v%YJ$D_RS7(THT~zk{v^^l;WVZ>dWP{a)%e=aq zvpFP%)!yp~24sU(Qc>&n=HTN{n=gzn+as4CFz&V&lDgW^*8Bz>@@xBI)4Jw@iucj} zX`Az&3r}6^Th3ZcL>n|db$w}hdqGzPfG}Nj?}~A5-=$SjRZBo@2u*b=)xnluCfEK= z88+)WoRJ{L$9wN}WK&go<))}UI36>_@3#-kZ+~& zEceX(kjPF?9)v7Xtb4s{^h7#^r;dr2#g|V;3BcPe4J1F{-(J}G0M|>B2rKJrfQ^_6 z6UMtc;d+7DHw<-IR=9T=b@6LsswNdR>__T7^v$D~&TBeFDd(a3*TN#JMH$i7SPR}C zJ3>j8$RS-}r}u+z{h!vLt83++RQ`k{4f#L61tF$jytIz>`no~7bKz)KEeL8a?0Bfu zL_Jtr*-WtbX|$M~(h&$yRUDS~6mINaoZKM`q48QCsJ%9LN}Tu1SpZWT>5BnTX7r4S zrSrPR550qL!*6DSjXONC%NMQ%Dtkk81m$TF)xU?Au5~89htG{sA|%(Z=2CCg8jhSN zGfq1ctM5~nm|8$_hWxCzxtWKiRW7fZy>HndLr1bM5p&^MayCl5 zjoz%qoK-asQs?kw{W+_iTTBGBRhhSR+PI(}%D`{c#x1cyIoTiMAWuD?wDgLe>2F$x zW?HYiDD-@_qeftL?!Nq%Kk=uJeUlsx-!*6g3P;jq;0}ob+g9=K5P5#G<2AO}TjD7l69)HpM@m1WsXYFC@P*38s{w!t>9*0a0HTM)Ig51nbxxE{)U^f-+W`^6EQn6~ZfA4optF}uhTS(KsN8o)b zmJe2_bzT8rGWmjZVpxAJ?n}r}F5_rRtVD38UPw6YO(`%lNs~@cLH!zwL;zuC!RnKX z1njMRboA(YB zr^4)uE+1Mr7oMZpJ+(31mOH4vV&~>ZI3TCk{Ct zvngsEYhLbz)~mG0xxQ?!`ssgi$t*bdm9OSj>BV2c2M`XsDh73-HiJcoSJavxk=p7w z?DlVZKKMHRnL(@J@qUSk_@PcdJCU|pzH%C)Tp-N@Zn5#R4*p3y%hBbcG|a!t8+>g$ zMmkc(7~$VVLWs(B8b#D zwgx&lBNh6{zvdHTLBdYfhdfS=TuQKi&#aegI3Bxy9gnW2I@X2b&v=KuVa2OP*+f-~ zG=j~qPcVrG#G`B;crrbwjb?%VB3i%SvZ*M===c`-Nw~#qZQMdXi7PbxBTx{cdVFxN zMe3?eE1rH1FBD-*a01|(Gln6!QU^ehz_?;3fV$SUO43xG8Tcjx6@LRHJHp-7F0?BY z1rV!{!2pAKUqRo2yc5F<{&(m1n~>cfEKHEiU6kF%*X)EtUksLAYo{}-M~6?9FX#q? zPSL-mmCm4#tk3tbvDQuO0Kx^E%A6$uWi9a@?WW|7?@%{_l>hq`oZZw8(!%*HKn!3XAoIll zu&^^A22^h#yC#_j8iNOmCUO~boN#H{ftCLj6`u2Y8^p%95^70S@k$sJiZ{B65bdQ; z*xUay&e;%AGrS-^_P+-! zRH#?;%`va8oT~tf3_Y{>oBkL$*yy^LFIc9YyfdA(qvf&Y58z%JI{%Qg77uX88xU4`I$X}T2Ks3{aiduxTXn>$ zI4W@dx0MLIeIVqBa;x}Pjad+(qhRb*`6xoGcsiRM4%H8!!M}(N_H)|zrm05n`E+N{ zzp8ck8MA-FP~%EN|CE_Rk@Ip9!l!1P;%TRi5=`l%jfpuXTg#(gj&(GSAUo*TTY@HK3ClkkkH?k- zAvO~4O^rp5h+mR)XLhZ3=tB|)gu08QPPv&}-&UFD?KAOQxB}`gY}(LA!aS!#4w>P# zWyp<5g-fH*?ZOxh?Uh;(su9h?q{{d?R9a$`aaG2N9?;twn;cLUy!`VAbWl}qJ+uIQ zy|93WYn~L>>M#6!g~xJ`66|icws?GzC9aU_OOL~C;x;`LS8Rf9Ckt*Nd+dswhkBRN z7EX+w=%RR>k$t|dJA@9k;9Z02D=x6J#bSSMEiM5{Ohx>};{^z|xe=Ls{@^_CxfmFaT)--}NX7WdZVi9xmxwu3{jH&s3U<*_ zAD4t#gOMA^sag*H1G3ar+H`LkeRs}?e1#t`axQq=V#D>{Q6z-yTPDU80>R-G5S;`H z9=fT2P`hm}iTGn08?{g4(d*JH^=1MPYJnl`&!4Z*&4{J4a4~RtxD4@I7=<05LO+AQ z|CU&Edl!2w?3OsKTofEQ-sOLNBI8Fcd_I3LC_d+dr3k=VY8<*9^y4waN=*?J#UmfF zN?pS_k9=X9&dSBFZ9_xLu7`(@{kDvwU1G6K-#~ZnBk6PA357;f52i|>$ zD$ttzc-77FcGW>(7E`Rd>*y(=ZcKt!13rI<$&#-$IXSP`9AcC}DYJb{T6NrpzPN9& zux^$^^quHGDmQhn{!~ScaRf)yEsiU^7#?{=y6Y{Vd4{san^t2^&PoOQ`{mileJ^`V zTJKq77e1cy8~3orDHT;;B8Bdmz+Akum?*LJ*^K=1B5MOA^v7p+?9y{E03FB}_O$gb zcwKz21%MO=rQM&}d%8NB1=lp({(Bz?$XiF97n|d{OTNH3inM$F_v14xu`Zvyx(iwr z2Bf`9UIJ2?C&bw+c*j8KSq@$Ozen(~k$m9uSR}?jPe%zgXJUMHEyteU8@76% zkea^N2Jm)7t?gFvvdp1e@cJsYN(^t-JSF2-AO;T?@}5U#AjOAUg=nE|ZmC8gav~a>aV8O9o2$;8USK*BK8&dxs}?OlW-WW6xw7fNx5HTEX!`3?%D) zDI@%xm8`7w_&o)j7Z0hhE%00IUJp1&8Jhw%$47B@^Q;cJYrIX5C<|pg7h^!>a8DDH zf>E0qax!JGosLD**f~eXJJ}I+OP6y-$TMkMOXHc`7mAfjF#jp=c%=4v%@Y~(t{;GO z=vd~69a8?MT9keGVzbF-Y!C+S(3ws_;DShV%(W#zX;e%E%OHC0JJ*{Py`G@s8QkY{ zaqBGWSGf~wT7&2irqq;v{X(shUMtG9!4TC?(Z((`qgypRA6+| z?GEkmYzL9GeEMFk2YBZ>sNJ%l;W#b{S%j52F`W1+1G@Wfu$w}%Q#`>REn zfX>(ZcB4BT@}_$4H>Gux8ay!n#ZXH(H*68Jepfd${pve%83R2P9hng`!oJ{F$}^*K zz+B=Epe~#0vTn7DaBqjm$NK`qjuY>iKbAVxoiz_E6VCqz-B|dAD4o)i0AMpprn`Pj zLmq#IZJ1Dc2jDlp2?}k9qog$j9590`QOX=VJ4v(jSnUPA5S%7MOx{#Y7PIWUh?GQA zAEFyCThD%Yse~aCwrqwy;f0G3H?KUMkx0u`t5i98ht2@^R~dKHc-tF)LS6vUo5g+$ zX|ha?!l|I+xSxGDW|brO9|DP|Tty=oI`zdl04u=o`*dVbk$K=6*FTT!Bm0xW{BXeF zBbdaE+}1tq07bgK3QhqJ;@zyI2g8q1GR7_Q>V5?fia9b)Havq=vxSQ0T*jGc4l-ry z;U8Ujd^29O`2nAyV5MiDqKj6rsoo!`t2CJzcuLX;O2Z&6{|jS|9me{!k<(_g?SVGdw3y(oeGfejahbyDbUj{l-LeTz5e|+(2USjE z04P6CdcLG1Et`F@|2gQ=;^VCl_WA8pQCOV10Qnqnjl$ca7*N#xj3n&j9{we#zM9R# zkV(2#X~1RBbIN1ml9Ti#bVhO+(SKONtP?o`vg7Gi+5mSD)*Y~$tC@6AFb`aJE!Le- zo630RB5A*6!%lCjPP-WrJ?Qu5FXJ0=>4^E+2O9~bA3$72KEasfU45g+uV)TVFq9i1 z?`UuI0A7M&>BoylmABdhALfKqk;qg z(I{g}501HD;t8CJg*q+z<=MIOPhoz#9Q9>gI5dNq;`s=+wkY~F5E76(P$yGoz7UN{ ze5&guGr~(FF8y)!&F;VvjQ29XY`vRBJ>5Gn6rNPzwq->F`QAitBn-xTU_@_ibQpbV zLQ)fn-1XZ|5cc65Vgr))Kab)MxBv?S#>)3eA?0ILw*CN!mKs;o_-yjTqMIw$ed{;= zblTlw67`HnQB*Exgq0xy+{zPSlFcFfX~3z_85tDPWetrrl5fKK%8sCJv)jpLPrirI z;w|5DN`>**dF?^Ms4-q~YUZ`SsAqr_9k#>)beaau#)Zd(HSLMC2{s9ukQj7nbrivP z+4uR%(nNwbvv7Dc889!NhS4qBEFJp} zG1hNLBeH=PcH{^wk#>m1DMroe9j`p+4kTf$r)+dS<1x<)Q_e#pN)SDvewIFmN{1oP(M5k(caGnS)EVgJH8x9b0i#;Cm!Jn z8^s5A?Si%jAM}x}xI7}3H7mzpW>;wjUpSk}4d-`d_I`RtmtbSajiORO{do3^H@%CI zxcy=CeCX|MS;#^J>A;Lp^!b{^xT#=Nqv6F{Fu&_UBpLZ8CjO@(BlxcZ!{|>BkDEdf z=1!wpF`B0g@bO!1XsnuG?o3JuM->3CXOjWMADJ;EC+GWJJt=+pjr;Qn=K z`Ze#AV?Bq?o@zlRypyw)XTk6@5t0CPq(5C@x}m=9N8P@L)$MkV0~%1e?uf*pg(hIi z))}&UheA&jsfnZ+5f*;(MKA)F@Bp9qF>w5IiwQI#()+i}y8x>2t?jjGpj&lFld4~Y zi2nkl=O>(9L0ep%lf?}f04gaFei}D*&F4%Z{C)U}5fgSo>0;BX5~+bsemSXx7*2gn zJH0X(sc9kIY!5*XR-+LmIG`o0auCq?0IGryep2m8fd(>Ea@F3);m-xFYs>aPb*2dG zri$IlSqB4Mb#435LhMu)@BUPdOqzW?b#?j^&jvWQnaU{w`qG;WuCEGEPM^5|)ii`x zn$UxK@QZVFbKwLNSii=_8&*b(Tqf}O2{HpoCeX&50NOAhLJgs4s74emWCbS1*NzOd zMCgw}jzA+AZCfo$ppaHJ`A>yT*gT!6b}=D1N7cD1k*XIQuNLJK33z-aEJx|csVo^H zt2rd5cmn7({uCdra7AY4K|b6R)+HtfD2z({?P;ZDGPo?x+$GJ1pk7K5uWJTqn}|dL zAnA|^`=Ks`wBe;*YVdeBP_@7F(id)EjU9-HN<)4p-ww^d{nPF7rxsw$eW8EY(LQG; zevxeX*U2W`o#E5b;BDi-Pd<%(nj)r;4_^1l(;-6E<|xnSnBM_i_zO=!cS7tC{UBgR zVkXj3ePV7JYZ+t={*U`p2!+-+(Q}!?fT4?r{dNSuD0EW*DxAWrjeyQZ&~WEa?;0=N z_we!PRoNj<`?ZhG5Z(>EL0a6JFS-IEtgaih6%Twj(8dUCGTwo(^)0^G;aWkhUL;|DmT|D zn{@7l*4N)@P0AZX)Li}M_(;$jP@F|!VQ`9Ogkvd=#uCW zbxchK`1h-Y`ZeFouE(&I)L~l6)6!19`fVncMIP*lbxJ7v85AZa5}>4nOFET-V_dKI zgvM(Hd~uIGmFCpk+5H0AJ*D6aac29XD0qf z7cOad@d6+-TELP}1R-9$8C2Bm41;O?K)D-|5MzA7>i^Yj?m?mkXxkwEUm zx?j()DFOnh#;#U*wliAq-j>~A#WgFHL^-?ud1b5V{hQ$*?)$aY0) zG|5dpR0w=lN6m~IB+O(6ZP_X;p0om}9pmf74d{zRv5^(LB6Vid7CU27GMo$VrppP} z$=`VzDeU{crNacus0!@e8%S2beakQKnMcZX?F@0b_hGF*F46Sa)!3HSumC;cBM|MR(JMl!HpAQ!B+1o&vh zifN&uFlDwabrC2kA)&1bE3oY`zzt7J3k~jc!Ra5#qKDrjn{b1l#UIIh%dq8o@oNe! zx&O$c2O>8Q8v*z&p+1Gwx^K->(>5gWKd89x(AHi+xOZ&*3-q=!PU@KQMbVjLQ?m~0 zA+s8F4Hv$qANv5@Nb){J3dDW^zR@^(up>LxN0fa_tD0`buW_VO2N}M|t$EfYm!Zfp zS~>9LaMyEU3s8_!AzNQ(!hFI4GVip0n^@!Zx0=s{fg;mDH!?-@bb`2#nNj)`TW|C$ zfTO3p-^NY!(?2tw*a6p$k#oeIi!FX-go1rxC|+t9l}gTCEx$BT`=RY?+cTiUFcy5` z-pF`N`7CU81C?GTl<-7nOB90G^4JS_5~#ASO{fU(2d!e|>fh{2{H7w$V;TV6l@Na& zuB`0wAIwWw0H1foyH15&dfWE3_cs4t2-`|c0>!2}N*?^{wPyj2i456CBjy5fC^RGW z`C*ee*BoM7O#AO*RKmG;6|A}-eZ?vN^}v@s1%Xa==5BTps!hj>$2DnM^7rM8@(ZY# zr?u*xPDdBIv$D=h@5o?S^<9*UuuO4|>aiYJNCR4p^44!hGH!GCs04s-%UXz#jyqDV ze7RP7hr8A^{s!hn$CTE$2ReIWh+Y-cRY$G5c0fzE?I%kBX2BEVi!yc}Bwn`Rlm2s2X4}o&hZN;($A?Jz4p;cqV28IG8BEoD``NgV7s>fW=wV6chND@LSs6I zRfmwr$@5O+OenO3hfUu=g;{;ShLsP$-)4kGM%;g56maDa-l+Vwj^v*mVeD>+Rnn{k zG>iBVYuno{O-So3;eop@C|TI`uSx|r*VPM`Yy8UN91j)d^U_5#B=wAEM;k+0!~z;J z*l68aA($0#*H3EZS(Dq;w-0q^4jG%H?^7-doN1gp;3Hp{L6JY#-1d3QV#LSlSDS5? z>fr59HmWau>Q58bdlUz6XWFPH_|zXJGT#RT*Gm%DMT1Us+6h|?zndH7FrMY4yXq>&IQn%|4VdcA`()vm`N6^VH$ zUz;cLGZtw+vJ>K#`U%IrBC5I{_+IGZD!anAj_1tQD&0RqD|=`4@tU^S>TU%GFd}6p zv%fJA8XZacg;qOr3aAynmc_0|O1CO~vZp+qt}u5hbi8r*oA=jp5$?&mypcPpeMq^) zA_J#M5~Dm<%GX#1KbHRrKidH0iaU}S5}00C&p~T`vPcKTe*1L9519#5ND?* zlzGz5DNLLg5kJpYiHbaawVh@Q2j?jt)jpNa$rNKQ1?0!!*)*)C+L12Xy;|k_BU{Fxiqyq04lXE7u|9)xKVV#5BP$_^rsLLoP7!}Owc|0p2zVT^3P;N^97za*!n@8DaIJTql zyh#t9tBjqy?RT7(*}qzkEi2+BLLc5BwHfBqGjJ&$W&5my6B}0uuU!bxk&StK{#l<%I=wmqJ&*{K3p=_2YV%lv^m$YO+Q|5rRgWwuu!;)j{g1pXn9nSr!B zNAtzRPbl$qZ!7y4D1m;!8HD}?RI?_D6(ymmq~52P#Wj;WooG+Hs=FJpq%&-yxHAAU z1CF?)EXzNrH-V}lxk_V~h6U^I;FURQ0N~|vXDc&#D<2B9L)!pP>;liCWW@Y@zA>fTmS_zeX9w6SETnm|#Avh9fdPlZ!oACNh{rYRwfOFa}vlh9W`l4(LFzx`e z;$>>5hIMPc=QlM#$a#X{MD&qBDSwk#u$OtWe9XyD?Fn10P)9@^Gv31({*{zMNwKsk7(A{ zCtO_uGL95{82DFPcv4+p>I53{i(g>|uOIb2aO#k|zpXHkQNS7l3!Nh}<@U@4>$J69 z9#0}_Ojp*uil77jb@xNjwLVqu`JDZrX+Yj+A>(gNdBRSwR4F(CpwWIyQGrO2`~^%u z6P5{T8+K>g1IUh6bGbbOKF#ei7BfrcjMIlbFv?Pe+U7D4Fsy5?h5OifCI4mH(xq4=!sr3>yQbbSu|}{X&hNQ6 z*Zt0$z|n(VviP0c_%dlmwi!*dl740!|8Wgh^6@g(MRjWQaA#?pxNdQOAUs8b>UFj& zF)TUIJGAJ-8Czk6*{)I8Y^K^SReuMMnwm2W^<>?{Q}}nv>f>it{oc+Re(ZFt;H8RD zejzjm49VQ=lK#M3DV2HK7wE?YT)Er3T{@^CvtcD!{{IBo8MTwT{DH~<&-nT~Ff2Os z&eq*k>|xanFNIlw(MsQ*n8F2YRQcYbM^2!{H(RA3N|#DB;gG`Gna<`j3>C zC6=96EP!BE9q*Q{Rc<`)^?lM+Ex^l9awCOiEIu1m>^9*p0aI+rLpVUFr!c;exOPx! zY+fHL-I`F=Mt+hra)VOQAQJ(1`mT2Z)Y0iZ1->tS?>dwvZn-gw(930_drCL+l9+Y( z;nsU|rLmMuTV*}mWrX?&Ff@D72u$fw>=Wee#MUL3+%3^skNn=dC;!dmEiqnNMY{y;LV9#RDvF&x2N z2?tCAfH4mTXtX+Pq?`d0edmDSgX-vshr4IwZ)`p7y<-W@EJ7N6Yfg&lsv505)H3{8 zBC*zK_iWMumt-#CC}qFg!GK-Q*T~oz^FY7r$CfY>GE*n8g?ze$Lr)6qd#y9+R$1Li zvn&7F)ws63FF0!LuX1ck^z}{0u_v?WE4sPM?I0!()&;LjPq06@=Z=` zdazXQ+<}Xb5l-qb7k@pSy(Ez>GWXm~?G7W1;Punvdq?Mnzk@;_`^;=M$@OILljn2t zJ?+^H(O6}=GyNaO|I3@taJkj}`o}KG>Bh|$tuODRBM`}=47`c6XgGc*Pp4$i zFQw0_wrK9P-T44xVHf56U43>!p)U;dPS%-ijnNuiZvlq>*(;r)P;6)fM82nq&NuY8 z#q05c!ZScl+GCmXU)Y^<%O)}u$mJ?wTpA*8ol|izLd-P*LmbdAxB6HPltkvgo5K3< z-K<9cRt@0Io=)nNJ<@t2q(Asqz(gVy=WAV#TM=Hl%*kH!jZpIRepZcCpOX@`j$e>i zIhgDUR00l2^UB%(!_Vh2hqA}BSDu0Q(2r|(7=2+Jt$$O|a&tU1Pic!zSq|PkRli_G z=WF)YFz{FZ17ni7H@G+?Y=$&WS2jv$ArN@(+aNR*LH~B)e_Q;B`(FTyAKWZ{D>A7^ z>YEEd+|%43e{O^tU#qJWAWZSkxfF1QB1Sz)x|IlI@Oc6*e-lCiq*frq`M=}*6AyWD zMJHs7-MarX*GaQxVITV-TwJ47HCF<#>RO=dIMa)UzC6X z8FbD!wM~Q&C>+IH9!~&*0FcFH5W1+O-uo4x;v;BX)Wwms-tl#5ez?Fs(l6?N4J9{G z7iEj%5P^4ri$e~blA6kY<_V-PKGz3E-zV*<4}8?}tm9+Oi@F^_!ZTkEpM+~~)U^$B zHAFMa_s~CjL~486j(1_pPOOPZfLlP433uyX{vr2zJbRUIwmU~N-%EC;p>w|Prhm#* zqd&4z^AsJ@yqEP|7rT5}s;m?3=ZaO)$L|*EyigwrT1uFVq2eJO%fvpg&0L?)o%V63 zv0aYQdPzg)N8N!`KHB>nMK3vO=Zlr}l80-=?Bdk3Ds(>u2NAPp8tD@n7d4R4(^pa+ zaBfe~a`d$imzwM;$`~odE&ux&=kjyk;^UB04c%~_ji=mNZ?}pmL3$;)MRTQgegyQt zOn7s|u8C&W$?On+F!90Ji|-RQZKXW@dR)h3ydAhX6hWM3brLl0$Q0PNM(g}|H;ar} z*9y4%oW{Jn{aUOmo%stoBS2D@C)N)hfd<&G1*tcr!g*t64Z#`POU|;+0i#MhA2b*@c4Mz%4`1`~ra{uW3GlDb#^?_+tHpmjF2SyH~N~2aC4*KGT{!Zt;m%$Z%`u?~@xg0-$WT+ph5G51k&s- zALUQ8g8+_q&Oxc+_mNul!i`h156t_|aAdA>H>RrjxocHIZ2SZ=_tI%4CQRC zYr+P(eie>+*d#Z?YEj^u9kRB^r}yXE0yW9u0!+|Und9hEz7W2~($&)*GQs#ID`7|k zk#LrFrT{3j%{)+zeKYp#V z5%U=T&$baZ=(q&?oW&tnC*_dk+i72&cunOOos|1_eJshoNLND6qW-M{Z1SRz7kpE( zE#a0p*9zdv@C%Oy6>+^Q(qQ`G>HYFL3=wxrw9laL)h8|@TkF<;*-|T|I0L)zZx54p z8yZlgSGf2NFXyj^J1jEGhHBe~;>4KOP9Mw47E4h5obn@Frm-@-8GrpDGx)niFKo~uKcIWHmhvMcsY-v}>N8`VO8`+=Ljhs3fAme53D@FkP;;YG){*?WTgyaN%s(3lXnXMU1L(3 z4aUw!MAYA zAl`8R{K1z`{X9K8i#GP!X*vC*mjWT^J|0|^#(F-K12!EHA+!&$)3LoZts$6uKoWT) zk}(Eefl52_J&yujK-bke#1|&OX6dk;hbjuP8yCjjPXcEd<-H?N^b5%6I=lcABduj1 zfpX(&d)%jSE5Ps%F?3`@h;^+pfraIx#{v4-p19cC*Rq#>c13-|gzqhL1A{1x<2zT> zQy0{MLp&#Z3@YX=L_6v>zriXvFeJLUApC4q}CXScD=w>6iiE(O2CF z3H$N*HNpRi**P{-hoci1UK2 z)!0WLW|jVxRq?3=u|{(jc#u*W+*~Ti@Ll zAC;gafy)d)<#CIIaK69AR$uc|ad9XacCGxIk%v6n3Ah9B2I&q!*qq$l#m_ul^Z+yu zAoCO}v~m{6UGw!ve!!700M`Xi+-ZEX+vIpemSH8( z46NhUZ|N?HMqj>*x3%4d&dd)xIU;QgA6z*u0*9jqBn2`LFNCr0olA}9h39l6UqNue z@?!$UHkyKFx6#dPod<(!MI(sWH=;DH6i?R;#jwYuf}IcF-0(TuH5?XYZ+a1kj$Zii zD@zo)VT!&9l5ZH!?t+|Jw$R!O{F4B7tr9cVehIq+0q~7deq#Fx>^%WLgxM5;3I6mL z?Ef1uCheUe`4cuWg*&KjQzAAD&yp_BuF(0eCSO|pX zbr35SX!UI+K!M33s=pwPO8v;(ynoEJZS)vIZvstoIemr1fs|yaiH-)%+E>@+ke1X0 zfPZ3b)@dpta0u-y>ELAw3m-%aScRV&d5s1FTN9&pJ~kjM80WsO<(7cfY)fSaNVQ$ z!xAey6lkAEW*b#L5>+*e7Y+gyU4yYfKUw>)cB@K69tby=;P?S%xPqed_n&co#qBBG zUnSH?|E#v>rJvo5D>V97NU<1UKe-^Yv~vT|^T62}w>kdJ>}gFmy$F<8Bp^~*wd4s6 z(7#@&kFW9b$+OKb&Jb+VSikC#2yEZ%UcJsR$ZzwRI3DVjO}e7OpJs(~3H>+9?P$kFQv(kYtp?%2_a~7tk6n@Ty`q4^u{gm)i-<{7r4Z?wL3N zI2#`zmYQgcPxT3)khMc_N7gT6{)BrCbOlZ5v4ud9;Iz>7v>OLE5hq-dfuavw z!12LgK*~$h@EyxQSxmcTRHP}Mp(Hy^X;xBoO7^c^E)A5=?(ijKW!r9@^`ha;I_aqS^$GL*Tu@mHedoswTZ=*hA@j?Efu#2$uraHn7^Bttpih#Wb%|SR zJ}Z~4XfZ+L9|_f&&+29K_oK6X7kNyY@%dF|q8kBG0VCWN=qeXWQ#t(VuJ?dsr;q$> zGig;lXSiI)pv$Fo`=O;2>b&Ek<0WiEDz5o7ealzj28s^EW%S(+G=Lii!>WWCIgJ5F zf%t~M7BgcTQ6Iy;cXD9oJG?0QTQ3Y-8vTLy2~gR^)YCGY*QP_lHph_~+9puY zwp_zn?e6;dMt_hod>-i&Dx=F|+BJ5GG(Kpn_4FH%{^jGih08!K0xkf>xJ!U}4SaP* zY*2Yc(n}`GPP}k9UP7I4-h@rEH(5CQ^ah(?R7N8(uv&1nIVe==sHS7wtZbgoR3+3CxMZ z9d-|W9rqc<`(bGEz4CDq<31Ct(9?uWh{E2c?o)@E^l>MOy!v(?qWhA-64_ULb4meC z30K5lP19eWx#+YlrJqatf-Ogb3*f6!)ckaG%@%)<&|0>n!@a0aW;Vc za}s4j6|V@ZK%?8eK@IM^8?;OHHYDtekHduaW2c#9(L%k74X!S%Pun?ktLO@>aA&r4Rzdgx!n>kG$Y`~(fzYskYh>lx@lG~SoN{Uob$6W|A-se4(B?De=G3s~ zZy4rm$(Q+s`=@l*SCiCgG??KxNq{F?2i*x;8~tBW0&^^_ci0yNMRXAW%|1sM1nllf zpuOb_BD{$%A8k74TuyDvp8uZ$K}hZ$<~TzUGeGhh(;F$(bLzkC0A1xI_Y*m#qgS9z zU2&!V>x=|lBQof+(UWSE4Ma_1OZ&;@-yoR<^T5}>dwJ<*{}SJtS}&d5PflgrTRJO7 zzR9}r_(;1}SW@`$J|KIdXyj3C65aOpy^Tja#V6xV;t6uinBr&@_f~fuDZW)~@G+pt zr|aGjLz#Vk4Fh(V9doK{SL`6)L@%jDk4hY(LDNV8t}KU;Z@Q{#7==`u%mXKbdBk&l zjQ1)s<6rm~8(#hAV43g98-j$+T*hYnD+Uw|3`F~I%7`1iAC*Pk%=%ROZ&mW4Y{CM? zsv>Rrp$Fw^S+Eq-m+$Wq*W*HJIr=0I$gmIRurl)xWoHld76zzSY46Qb)4Yd6Qw^4b zuXi^WB~+{PGn_d#knYOK_;0_vN~6|+|HXj4jTvjbAt2fQQ#Cq6=c2*zf85)>=zifx zB&Il9qyuqvjs^;!$q0$rh`I%cT8Q>K1`G%^0*P9uNP&j0j)@nxdwC{PL}W?f9&LxZ z*NnZV5V9pAcOClCyy>o7G^RLvUoiiRQw7`lI04y?#;rGCTD>CP zh`(HZa02{2muc9(?knl1!&ZJ#=F5dD4E&5OEE(sX#R);nUvDcSP!T|HN_LrPfNs)h zE6NJn?2|wu!H;LVAK3TB>$Da2k?oP4G1_!lvZV5TJyi=x$39j?WkBAKQ=RXj>YArD zK3}>Ku!sOb45l(94WZ~gCph5g2-T$+5<+%s`<)gv+Ah@R72(f9{D=RKu=kE@DqGuz z&x|u7Hl(Nn2#AOQ5m1p50z?r(5CVvb^Z}$dsi9|P1ZmPidQdtdAkqyXDpgvPUK8mx zL`ni71m3loIp;j@^M1eI_eV4dJA1Fa?zQ(`>$0%-JAhJabbj#Gj>VGNMdcS` zNy!?Q{GrXbeL z>6zcv@Ko7Wps=I`NYV%|*F0e=mxHra)vRv)A|krPdnbe|2P@ng&qaG{l5!lA9&8cK z-MBEJZo7SqUTa{i;<&NQhUG5h_n!7x-O-0tHw^(P#--(Z(W{0C?Z8uE?gX}FFD*rU z?)Uy>k0t8;iKe@Sp@E5H&p>99J==N11SIncY?M>2_F>Igq!5^2%=5%V`)esKoz8lX z-MM*tZ#IO;{1^*g!yP`in%BqSuc%L46VOvtnQB5+jfo6`B_;L!w+OH2q?P`_JG3Ao z+|=#X?y9n(;x(b4>bYj+9CHjP#%0vgGA84Oy?`7vMHilmy%ciJB{ZQm4ZvOE4V|*6 z^fuoS&G=-}e4gJ2>&$nz33oI%7QB1t_gA&r(PJ~tb8ZPUR8|8wrB#sZp{lZk$(%X6 z_MF>eNki}g>(f*6@f7LxZdz>MVaF8kS7L==3|Y8Z8nlP|BmiUO8a z;M$DqW3dsy!%&AAuq}1FtodALoJ^ME**gkC+ujks?0fedYZTF13F7S^(2Sog_nG=| zYZ~O07q(Wm-1tIsy_XRhDnUx`h3#R+5 z%mv~mf?Pawhe#m)3*^`H?x~$Le1s*&cO>)F?*_wA8A}@ifD7TTqWluDJ6E6WHFB}E zHa(LU410ZV76InZC-kAAKZ{b#=udM5n~<6y;>aau#l0b>8leysEFaGF!mc)ZQg;nu z(YFf_15%^4Lu>O~#ryN`vzG!;c?O8S2>*VE3U10-`mDIao?nFl6aL9VIGr1p`z1Y8;v;G%{aG8jOY9P|}>4odcGg>qJ zM0IvQn(aTFrSP4A_J~90ce<&p!o;~dJ)RdPl*1H-g{lj=*Y&sH?qvM{MR$u~aoLFl z)_KeyqT0JXuZ7$%WWAPFToYVXB1LS)U0|@&RP(mIXyUAP|UU3pmrxsc8 z!qH@ZRra|LuMK<@?$B>$tz)J`xMJ#%RzF*?^(r__eihgx|59isxg5QG;|ou z>&YC49`Wh*q=XAY*)v^T@-rGAF?Uhx9~Xn|U^o$gZ_t0Ddi)kky~UUE5D?2&tA+)q z1x_)ApvhtthsUscFZGxG&l`=c9O)p1_i2w3^9seeglud6@>95J`2#;E*pVd9pFh59 z*$uj6W!|Xq9B4LFkh_mgS)-KX0n++HgHPl4mfc;5oYl|((TM1&m_yg3{s8MvojF7P zF^)O^qdBD2O>3|q+(FDRuS;(RNo~9ivr}jT6Vzvf7Aid4Cw>kWdf9D_Wyr)T)v5>T z%+)7VYG(`mxh)uRBQW-D29)9w`+4o-hM&rBdG6c3U|&c251A)25{Bx=uVL>4e(d=m zA&obHHoAdo#X^+a%=!6Sibih%BUt|z*KIVQmiSZ!pMT(IPmbRz;qD6<+8;a)mI}zf zwqtErGi^$-6Z;3donxtdi5~<2iDDQ4NH#=Xk%bFSk%i+sV*${*!@^@kmroE9yq_oY zuG#|Og19NF`GG5b|3Ips@o_(|tL=;U2fRN(J?_Mb;xtlu{0J!qvzEW?adawv4XdA6 zdI;FOjs$zwjJ^@_R`C9JfS>KLA5Ljk>=7MzrETAQ?x~%P`MC)OvX$#P%c9^W!)W`! zGbiVewUqH*3P@MQ@j%Ayx0)92_m%%T zL}F05)YhR1_wW!YwE$ePe2ajyg!yI-MwK4K4;#av1`i?ID7I~8&|!4n7QW89SWr_& zpF9q9B*>G;2Ag`~Xf+e{J%IM)uI?Nge5tgp`z1{QF#Win)~suaG$Yp{lv}sJ#xWfx zra&4G-@yUZ$?X7RqHh$l6v8u>2rmQv>KP0A>tQ`C9KWR=!+vM znBDf?BPuZMA)8E_126|5Nq>J`#u5~%$8u#`A?1LrfG1_LT(2_sYIHSy7f4fqz`y)M zb_CoGcyd6kX=XpQTn_rBVJae!sbB7{{Hwm324(_qpZ8Q_{k8sXj6N$=ke1|oY>d|l z_!s12|0=45x)NYUx!6-ez|7=G-##1a)%RBlF33Zc!!<97H|-|{TUO-U_*`=8JkKda z8fhrDS?>K5+PnI7GJAIX^|CO}G0BP7{gqeg4JIP#-BRDuMFNr?yRUt*O~ z^;=%Gg$pzn9fD|l`k!946@_l|0e)W^&?gU>!NKUqFB8GwTIWz+XTLy(&H@m=0jEDCX8?U5}9KoVaGZ8Z+d99)F3Ot{oR>n_cylLbrL>BU(ErD$GB}FrT(LbCP$S zwq03CEyce042#-*X($&Sdjlz+-=qk8q*oIAR24;uJLR)r!jBtcdFqnvKO8E!N@kb# z+N32{;oM^?Cmzsi3;?;Qs?uyJcaSohH}4Vgejw3M3{$5&z;|isc6SS^>n?gbw{qlU zjOP=!HaG*Ju;3?Q_f#c0-PLL}Mms&8+LqU6|5DMx@M|=xL1gCJ#kYGw9rGHQ$(_A~ za)oa~4utXpwobX0@3xm^O`>8>b5Bp6fGD@1W_XV>@>Sn_rGbvZ@fR&RP&DwE~ zm{OjAxbn+HD=hhmlGbFgCX9Y$F(hFl(@XV>p4|%PWzJ}u!e8#vrhbO48o;|WX$!ij zw|O~)(tak-GUo~1y_3kNy}Wr$_+oPsENeic5R;oJpdw9M+Gq(-8w#Jl9-j59ETDR; zWiT^mzU*Ct%{;Du)GJSsg!#RbcAsj5V7B-+7)eucQq9Nf6_yvEQ32<$B7$MGDR(h& zdvCC+dpw#g$Th19JdJiw51pO8SbUa|C+vs;1O}nE+uxXtk4G~E$4>&)Kz{5(oov`O zr;4cDT#!CwNUY{E@V{{0;-zT<+X1p+b@pfCZ_TdLZ;&8QnM%F(l-)u@KQ_^MCDl5fSrz>^SIrZe0gYH!mdRV)-}buku3RQ(}`?9%@B{C8*`%f zdnW`P6)nFp0DxuOz{p-hL+xUJdTU|6^c8t3g+oe;`ialTh=5-kk=c*-n;La$?p)%- zlBvFW&%tEC$zmXsIdp!<;USQ04|zX-G#7!kx5WC6xLeP~UUq$1H>WdC-@uz7$v7*i z+IQz0eUdHEQ^z9f##yOst?t5;@~fOXKgiW4qqkS?I$#NT6lW{tvbCu!Msg`#BV?-o*;Ij>!Larky@ zIbyi??Kzg<>~U=TePFSK|G6GBa5E<3&;|2TkL;3uJS*@N59M)lNCpJED3#a`KXhTe z#oLvMOEhEH%&>KN-aJH(Gx~OVXhca+0K8cKJL=^7tSS)BRK$%8)%Ctq|I< zXQTW9&0@Cv8ahBKq=i}y9f?Ra*p>Q&GPd?24f6jXx*gp|O?i&@@B*=uU*$;wrs3L; z5{k<6;br|DzlvdTy`AEcV0EfW=su~qcmY1CIK@By40-}IVn!y$r=C?cy1xK+G8n;C z@~xcE*ZJxnw31WYmtX}M_BF++@#r?T%$DeevGD+D^voWL*Uyu>e70flQ160o758#o zD$ek;Zq%Sbh-1ohd5cBcNe^RNHWDp@d71MBug7#mphf;D9=BUwbV=oVb=meBzJCJU zeOH-TmVEs_b@Ps$xQKUN$ral?b9%(#G2M&40pNwx<=MupsQq!FF?mw^rN6z-{)p6kC2lMk$_ta?VJTF?r*cmDof29GBG<9S&Pz zV5k~(Wdq=Bwb%7A3cxuCHQD=e-O}Ah0)Zm~e9I1#ZM@c5oGiOrk~w21Gp?!fGg{$` zg>79T=~)TT)O^i89G~E7nd9OzGz9KHU$GZrf+CkMf}~gZo}rS=Et&HYGg)JzkXnRz z#cST{X5{0iVPLku6VCOgSGaD({>%4ZB*`42&fbO3RBrJWZ$RsHuOdl=i{k$JhdgQV2@+Sj>S_#vr14lfh=TqC51cOtvyH{^r zKi&>hf#Q?UhQ?(AZ#qqMXFGq#OpQq)k52{o?a2$16w{fuTc1UsT z4hX(i?b;V;Dzhxd5R!dQPix+va~5oT3NjJTH*J$Eb@nZ?PUOjGtj>|7)KftAV!yom zlBzDwnEKMJtPRZV{Wx#w;vB)J8j$IL@J%J#TPy33i|3>(8RwVvqAWuM();4_^^xr+ zT0_K3@Q3ED&P)3DUP^WM6v$z_O_S7rseQIc)~F9_egMCQk@I42+qeW_wXx|c;8XRB zM<25<;oNxzL1Jb^FHg;$Xr<=JykUnk*Gg))U7{m@Y>!NJ<5RQXQt6&Ii~NsJy7&2p z?vKrhpp+c<7ToWYc||LX8JS-EOO84tEuZiSA#bPNVMvNC}Y&lqdrf1B_s_e?^jKUmt|{71+dc&ySK(DGR3 zVeKO?!=8S?lm6oY{Eau)4T9Opc4AN)=zjl$l=!y^F!?MTkoi0YvpoJM&rq;1wo)|i z809Z*1E}&(UPm|_21YzxD|CD-DUL-W&Ut|^_Zy| z`K~f0u|Wvyd7m(M1vXQ4DDbVu_cbcA6BpR3Np5LGwd2T?JZftoYzFU?6}N>SWcMSC zpd7c%JyaW~f#n|uA2lTKYafcQHgh%PoZaEe!a_a-?)AxRA5|1>?v&r&n$ZBiH%z6w znmqj8j(tF5_Bcwz2#=V*tqI6ysP;8Pl2~0?sE?4L; zCg!)uo`*}s_c)h7nNnWf_JggARii&!vvcMoRSnq#gf#P6QC@XXR94)O3edk_xO1d} zeq$w!cQDHIt~pW{9`6>pEqqbBN*V!ayyHMZku?z^nWT%WzM~W9FWwT}d_-Xg}|< z!-H$NJd&coD+9brzzfHrl9+Hg zGv8p@^0zM%(~O9%BO5iXFO`*PEB*O8BJQ%$RiQGvi_YG{l$&)#b~wf3RBun1C}=7+ zAt8t4<)a$2!Iv~6jIIRTmYNiYTfY?x9`c|L7X4-2Lda7BW z+#b8GHgnE?DjAJ`b?Wc}-<88m2-7nTNGj3})l6&2p3OX_%A+hHQrk~v}n+&v@0Xf|3!4^+dNdz#?1k@E4 zwHZL$N!@;x*i(lbXNUJZd7!FN^bEB1VKEqy7MF$FjMB9fEB#(_dng`yaD;)vxT`j9 zn4sFjf<{2+yTSF{K#!ZR?1Bx(4cQqeAy0r8ii5x>$8qd|FLzx5>U|cx%sm#fk@x~bzbEv1_X(w1qNgmmq-6S(V3!Jt#aCS(h`Iu>mBGj1V>8S$AVb`(l%h^~ zTq^LOR$qi3`=i$9eu{l?O7P8Zc97osO|S3Fje6I*VE|Y8y-@{>l5t?;s0nB(%T=&_ zl-14zp4tVbqCloS>ZyE4pSlw`27xEHV*S*Jic<*;y?z zy)!Mf1Mjv45r#02R;x{kH~VqHl>n+(5{gdIOXv&L3Z6Pcz3h-MZ ziQBS}*6QtaS)jn0I2H{dP~_mlLk6d4HTxw16QP~;?>G3TOO~WJiwghS79KS`^t^`f z9b&}ayM+u&f|7u4pBa<&cmLiq)xFhk(zOA)W56PvLs6%UUkZAz)6Q-@*v@KpQHr$z z{dhIVRAan#kq-tzEp1d!IA?akUJ5i@vWvFpqJbj`YzISd?J?ygaWg~7zxM!IHYMq7 z_ZBP*V9k2klQ;Q!Q!367X zJd4ZC5@B5mAj5vpfoBfP`_B3+!KUPDWKa-5q&Kp5PkUBna}J7>8%7c8=QA6d0B)kC z%x(NPP@(?`6fhB*o`Mij1R7Gd0`e}k3mOGuj6x_n9RB?>|BjB(W|7^0qx1hcQV8(} z-7)+cBC74~zhjXIqR-4f8C5nRsng(MD-hX5$&kEw9|3v#f2YzXn>fX^xF zKm9V>|IkX`hyQ?vQ?)9iS*J4?mXxRsg26FlFlErt^8_>xP!x;xd(L+VEDwXw%<3*r z6n^n;Y60_W=&;bD&<9M(!{}oFj_mN>Ypfo^P?Ca$F0(%cX(9i`JO3z>KfpVYU;BW= z>NdKm=fIfNP4jJ2?M3=zEkqd|4(^ND!#@ezhx(1i#zg4%R1qtUQOT>`BPiXT31d@)CRPmxyan_wMgu)Gp)%s$F>S;0a(Nz1#o8*?b{j7gg z<-fa1kSZR&b#M(*r8mXwrsURQq1^?uCJrtF{6-MC2pU&drSET5Q}n&iS73*wTM{Hk z{8QYjt{H2}EVq8sGL9UHD|S{Z=X!z<8dfREdqz~IfAF`RpY zV5nS^d8MJ)EDyL&W1B52POA?edT*|acFswg`D`YHZk&~d^RW@UwdIu4sc3Vrna@or z#PT77u&Oc|_I}YQ-fWT;8Y5D2GCjB`>&8Hb*?aHmcvjIcR?a2%<>u^L!y4-K_l^XX zT~DUZk6rzZwzKhUaF^nyoXmj!F=ZdeNkQ1T#@)PG81Qua)+VJj@*3f7^mTivYl8WT zk*jg~A>aM%K3t#^-HbGuJU44%Ax4jp@LV0PYSuVwIF&X}+8}8+elSXl#v=W___4vY z@o-UOMRw2GPV)jnWz_T-}*9wvYZj{7IlB@&AwDMa5w4KKS2C7ImlFZ!F zN$$?CljJW3ob|u0em1_`T_>9!@JLSL^-d1fr;^^edXeC0`g&ZxW>KK64Q*PtGAuH~ za$JnT4?S5Oc6xG*;%(ySdu(>_>mVO#N_q5glSzXHzmspD2UgGLgqwd`gy_JJ6|aGPA3AjftS~Il>9J3}y4m%3_%Ap2IW>&g=VYA2uo4L4b_xc0> zS^z%#lh~qnz&b@Ba0lq6 zRuF+NAr&-7pGNHeKF6iA#Y+mYbO zDDQuUd}? z-&6>nBvNk|tb2k--L*i-Z$|+5cYzpUIiMKM7eFzb?_1WirP6lC|8^SuAAfiTf;$Px z*hg{$5hz=qH!~h!6@2Xq#+2>z$yuPSe-gXi0!F3YD!8H1C(aboEhSTArWA6=(=Njf z&jOcS5CV^b=zvCsc{~@0hDTg>vn5#M(mkIz3ZblH@UcUBfW)gHNp4*Juo)EaS3QCr zNAma2jZVOGgP9QLo8LWx&E-E$HCK$OTvB9jjNFx)$ zCc)4qo?w$~Xp?cU$^8GbN$@*&X4~{HRh~CoAx1*wS`%AFHD;oY^-N$C35LQ5HH9YH zUbC-5!03$aD^^kuF%?TOxA~7w?AQ(FtDiM)cdNaQajUJ_Cn;8L?bcvw^oA`@G+3jI z>z;mFP~A&bK3ORdizJmfw`5!C9dn=8}U5{*^ z3sZW97I2e@bGlnjwMil=&lCtpha@;}HL~UqA@0ToL60b!^UXM>LM#9h_C~B?*3MXt+B!Cc+>r zUtomAKaJXc3H}AC2T3leYW~lUdYlW|1CqHFJ`3HolOR6rHOeHyh0Dh}*>N$th_N6G zpLKRxBRu{PEW^%4nfn{n@ezD-G7{Jx`UKtEGASW)+Zq0)(8-0xYpGpn8TqQEmehRm zk&SFaZ?^ZKR=PS028z~`G4UcDj}iqWbPQs}9`xsz;g zheDU+#2yFAyff0wr{DatpWZN`$bIM-EtYzMDtY*McE7< zzAXMov@BOe#oRgAg;mS>Ke*Oo+~A8h8o`p@2|3r#tT{IlPkg05(-wIsZ2*(F@hQ}+ zh9;l2tsEzDQ0}LEaNxxvjWeuK&3B;1~EEGd$Y3r0T5rF&JUHmzs-6$QV|S%I461g zhK0!nV*2-h{$B{Y_QK~S=gy%q+*)Tt%`eMrI zI&jf^N|wwL-xq-st?NNVj5b_`YfU~Y56j~MkU>W2m= z3`n+2gU(&n>}VK_i#pypGRPev-eD#^kD#bcS@|5*$BWLb@*G89{$xJlRd_#R@cG_w z$EaRCJuy;h|InTRz2&Wr%~=xXL}IhwrB)YHocPCd#Yw?hYjlIi+U)xc ztfVPQA&(m}e|x{yATq4IIK4S(^=s7Mmim3X7Yf^FNy> zDI#BXo=y7Qcv6YPHT1Vv%0S3UQ7Op2m#VRe8oL#=_R z$(lea=$BD2^Lc&Nz(&(U*LgANfw@W0OP1ixZPVi)1|q71+LMO|jG2FNFQ4@`0ALyx zV6lR2z%i~AtxB5KfzA9jC?QO(1b|s%B|z2)qk!!Q5k}vA25xjs29G}7W7@+gqsnan zx_Zr37;sX#HNxW=0I>ib1_$Je#R9N3;Lr(E5uN{mAlC(@6&E*qX#j{_)l$sz`T*#o z@}gNi%)bnl?^x|ICpLM0bRi>)n0H{&%;5RFv}vTm;wE(q4n$|vKNDI{q=27OJG1)B z_ev5``+K;=oh&vWdao!?+_4#ggTIwz_PZ57?Oi0h0b%CaG^+jENpbM?SY}+VfPreG zfg5b*0X1ZE!q>vM!Rfu%JX{7@cHDgy}sxxADY z61Wh7QjD%n*gmhJJDwpu+%sj1K}|Y(ZcA4nTLeB(KX${@@KY z$!m0joE0~Xf4CGd@!rk&*X~2PS+DxihJKX66+ambPj2*Lk9;9|oCO4rXNJ8F!?EDO z8u&?5#U~p*Wic>|Qallml!(f09FGXpH&#`Q-uV?abJtLlFnb8q9`BaFx4O_jf>!O{ zJ{ob-LipPhNb`ZmM<(;QAsq{!NF%gpDdVPYb z72FPZfe9x(K}@eg95dZhjOP$wxK|Q;MyMjU#qKT6VD>e2?3>4j-fyM=+TTg-byT9IZ$Oy7VwZlE}#JfuDhMqW^y zL~~76jvnM;$H%vKfYLeIuPX7;-0;k9vG)`8V3 zdNd3J<1CbIjGMgJ7q$qfao|=T-3R=}fqmV-5u^#H8Lu~d@X{s3LG1Q!l)=s~>BLSR zfJXj`6H7OwIbyQ++tgs`300O@IL_GxthQCS0x zmxpR>DHE{rYt)Mc79|q)6QR|RKqElno)DT$ z;aP!FDPt%1d!RrR`eb)PZd<%x0T=ZF=9PxB@IQ#|m|I-mC;4`VNj9jU(XK%y892Hq zPK4+sHpHvIoRGUlt$!D&4kf|`e1SoESG*FB&8jZuY}eum23 zJrDi}!BP-8BV!y#mkpS(TPHf`dkPU>-u|7k=|K2 zuq%q10RGhcFM;asZvWIA^J~{6P&QIb{{Z-9<0qfi5fFRvgx#Ax@}{Z!g`-Q|t81wk5QMGHPEr zHKXM_`Zcm7ZgjvPi|5yQPFPMW@NZppjpVujEJ|{2eXGs&NL7pGYb|NzxuySjAa+(O za%OvoU-4qi8A`a)u^wwgTK&(G z*E30p2S)VMW+EJwlS*7|0oLHc+l#E}5_{0`LUnc;+!17sel=vp8R3&4yN=@+Bwk3O zCGop5FEFV~Rmma#L5Z330`)<=EI9Y!kgowuiU*iMtJK3C$_fk!p>zEh=EKZe1^?XDIFiD8vE7o2R_EkMfW zN?#a5+CjsI-SbdsSI2RC%SX1~5^SPkuK1W2uxxtvHF6zx?Q)HN-&#@Dj6(rB#>KmaMqNr@#svH(UBZ<(d$@VNJqq3U z<(;)$Hmt}+si(7l=d(AXx>2E|!0j<9_5FE7lLNY^5tS^@n<&59r~L8T_(%GWw}>8y zF4SoC>PK*m%*h);!P#EY&|QLp1(*o9OXS^d$fmqFq+{U|qR3LH!MpXZBKk}2v3iS{ zLJHo-5$Lj^o#h#Tbt zet7e@Mt?nSQgZ@mPSwkZ@>3A}Qx4Gi_@MgS!{gaGr-My%&H}3*hrYvgoj>W{lL)k29LRcGGiR~zmqckrfpFn%4I zd~jU!PP`Ei{LW==eh$8u<=1dDCpw>$5VxD4h;RsGass)M6R|rd8kpr5$+j0JkTQQ8GPn_ zoC;~or zdD*~82EL;3-f@-0*6sG&**O#}R}@{>c?~JS(|R%cI5GPhJD zhMM4Ev(;y+BUaP3j%^M*(=M>wo2alBG7H0At>}hlDB|@LUTgYAyW}^-nEGz7Gic7S ziSw0oHXWqbUaGz=vPi?LR}B?ppHvtu;;q|X#Ap-p8&7CT0R@d$e%yKa`J`mtyOp|Y z8yd3{LG2B#a4gbsw}>ICtS^C)tkrj~7w~T8Y?CXqWAl;VnJe#{vDsW$%byhuINQ;g z`e@x_oV{~i{>jF5C&xq&US(TT2|uH%|KUb4)X=4nzh-tu+T?@%W@=7H=t`{d=#8_v zuqPhhdi&;LfA8sYP0CgrcY6@zAFUB4+fQsD);m?2#-v}Zpb%Y}DkU2Nrkma?I)3TR z|B^{57l^CSrOX90iJ5T9_swJTxsHxj*8=LoiP)oI`^KK(n~uk z%8?iLzp&n!R3ZjzJv3a>JXW`VH#_Dsuuv1opH5n9JduX5C2;4q#%3ci*{UXEhpGbS zPdYqcwslJ{N*PMpd!>9UCFSb}eC<8^!M(Bdh1+aQY2b#gK=PN|AcDHCSfkm{OX{F^ z^yvDv{N$ln{#v*wX?82R!@hs}0#%jHq&cpesK*LEoB>txSn_hr)7(*k>U7EXyJOrA z6xI*_zWdU%%Ngl=1v@oCPBOD&RVVK|!Cz;NPh71uDwV1@?Ur8do*TJsWrXuvjZ-HV zURz}L&taQeHqsk6a^Dm3i^|Wf0_uInxLyDDPH)G|n@jjycNfN`*($zTnq#0vU_Reb zmt_~Zl9Xp}!{CZ6yFLBUZqs&=d@C|RU6OC8V9H zNGVO*#Ft2K9UEPVvF_})uIXQhnFjw?B2ZW4V@DnxN5737YthZ}?MQuaEhqSP?n}G= zy1~Y;2Ssc(gG~lM3$#_!SZ<40ozcH4T$oaFc(%$UI~amDoUGNusQhj=?O(q?i2dg9edep^<++eYZRKkUc>Q`= z!NMVVzQWo`_>F+<tld8*kXAhvtQpEgSu#Ed@~EDm;#ce@kiW_P(FVwM;p+u65W zGgtftp$NdU++?ymuStIj>q-Wx!qUrRz6I@@KXT>M@Vc?cc*X3XShc5UmAZ}{wn&z5 zqDD5;cJ{`b%n`gge4Xy1U6DK47$#ezi!Xa{ojb~u&A!;@#Bi^3pt9@U?(^#!ciH5H zgrl(*y&Fz)3A}wZ^Fz+fh}V*CF{FH>PWd-gD%@C$zP+(JE~Z73ygXrOVQ1a&x6)=G z`^L}h2FTfl>1bPP{w;-8o{H;V-=22-?JMvTDhLjK-SF^ht1Mde_F8TjE4_Mex~-ZL zl_y&^;@q2BQ#O!WmW9Y`8T7KsP3Xzyd`C{oib!6=+!lB9tn^!oa0$rBNY=UyFOlyy zOm4lAwEwG9eOFt)k3UsEzdyuQa=5OB&OTY~zWiY>=yOGBX;7PAJuOn^7~)d z=FV2Ql<;;NFI<})d~vC?BX!;B`9y^~PQHfbQ$Cyi?QP*G2yNNgLO@qhO%Geu0~x*n z1(sa&<(l22rL+@aevInv(955=ix-Vy1E1JkN}hFN+v@IP9V;96LZ;?iO)gj8D&gNc zn@_<$R#WEX#?zwhWR}{hY?Nz46%FUE9NzhtfJtC&Z!K;BEz!8OZ6dQpdL7?pvQ&Of z$)%^NUbF$9Xe&o=&Go_81*YR?-{{iM#%9I6e_6U;N-Fs#-9?IOJ2Mhc-ODtpDyyIx zUf$Qwr|lr*7<@Ngh?AIh*{7rNmu8*hk9OMKp~sXKDiZRmzv`z|>v_~muvpGZCN(p| zn?DJdpb(5trD^E{+X$I5NvbL-BioZ2afh6-y7{Nw`VzHy4LpHds`qS7NH0nG&*f%p zI&|s@j;i&g8o7lP2789b2EEDW@FxVKLVnUJj(V?JGc zx<=#|Y?dVDH%cm}eGicRFg}PjHzVO;NfYmh%ED98S0cV`6zU=1n`)*N=9ci7}Zaq4hv8!hr zls}t(zeC~o;0^51_Wib>HZnGK)a8@r;|HAO&$dRnT-mbq<1<`&tCrh4*?*5->g;a# zdysf#pw8CV6CdBI4<3g0E^fb*obOl@DLFm~uWCo0Xbgqa1ms^a$=nDML=<$Ft`_pI zk7l`-ZY>!bW$%y1X=gV-x^by$5zD~oX$+g4- zA`ll+gAes_2wbdpsHqL~azK==s2qB$(cYPwCS|#3jq(*DS`67&rSAN~t(98dET7}9 z>u41u9^4fTgz1rM8)H{DenBpr*}-vtzoEB#@~|&fKH{35MMI%(A6+*2&KHD&$v9P| z<{a^bI(qYiN?Akj?pC4v^0C2MymWX&_3+uuuU}gqoeEGhNv#&M#y9Abc4MYT2RmN4 z%uT}mo$L2Lbhl>F@|ks?G#b7z4#RD|p!*%i2~JOkgoN zxNWRfZdJa(Hm^~MoRN|Jh2jz`ffo3szPo-a)q~1<#D1*k7|qLhItV^Jmoj_e8DEmj zz8U!?U(!}X#?Gx5(oGyc>lUU7sRfltu5m_>=_OevzN~w_i`VJ)m&@%emC(88YQ@h? z_I*DRf1wsfvtg85^^-kZ(jNDsI@K$Fq9M#II+ScSICr++8$Vh(PBgO=G?>x0LW#?z zANoO}6?v>jC3JPW1+6iR&>DtC7E7ox2UR`uu1B>Afd7a05oiDqS3a8}y7m7E;z=TOkGK zUI6lOL%}6_snrj*{a^nWBHPyW19pSqim_PN3e41^sBTe%mo5W1pj*8Pzb~DdY*lRu zMs__72fw50l=p|kf7S_&UZ zPz$ra=FyKF*`Hf{9=EysdTJ1qHv}h!vGZ?5;6IKtl2ltZk3n{c)%)vqM?+WnQ}hhuP=b!W)@oOfpZui03E|ZnusZF{N_0Zf-w%n*Px~?HDfdd z`GTjQhVEJZM4=aK^q96kAvQPYI&Sdr%`Q53oia}{7gJ(f+$i2W-T3tH&=q2nHt`{B z`ED&+*H>%+TSC`dwS2`b%0k6*h}th zM;#4q16+*6M#F5@-^D_+cW%G_u{_Y#*0;3;gkVsi&VA{@tC;%CY}^59D+(Czzze0AJg{?5Amx z&nqdhR-I&=Av5nuHjoE){(Z{7`q0DRw&w~g1d7}BDPqc@far!nz%8tjJ&MI`c^O=$vjnLA%O>CGKC+Jt2M7 zEy+i>c%9!ooM0h;t5MhN6aLPzkV*ij#d1v>n?G3E71 zRwDEAes5&mUgnm9OmyNgbSb!HrS8Al%@UdJ+ITWv{D1-fwC(BeX4+2fNMGIZ2BGKO zr_D(w&awDM$u8;taP{qBNu|-d(>Udnm6ny7DV3EqO`2J0X;M>-T`arXOe&R?T|qR( z3$VMZl~Plt=7p}>RkO>Wsq9J;Q7vdU-mU<`HHmy*_P<*ykF85X$QyjI z0L^>P#)Q$b#WJ`p1#xcw55X4I)!&fC_mD7jg=QHq{79_m;kLN>*tVUF-&vkeG9ob+ z519;V+`~;B{7KMk?+T?R^TYeB{%7HttlU?o19z*DNwsWd7~ly9&SpfbY0HE$13*sR%@ zKuvcar|Rlh3SXnuma_8n9{$Dk$}w=_3wU|^8sSMnK!AeD15qclro=aJ#9r}_Tt}es zIs|JFn9AJE-WPibe`}{}|2%55V=ycxR*@HL`V}V1s<@O?{IprDRW}FGoPB7b*~(#7 ziD_c6WW^o)h+kd91!?cfI9qvSiGu-ZfV#pqiPbIcp>m7u=2{-zpd!6fS*cgYQ9}E) zYx-LC9=Udd{QQy@eDgoT4!S4X-i1L+UH87rj6qh8#&XvkaAcVol+z3EV-3b-HsA#0!WaH|Y+FfwpI*Z42G*3?(9aDK9 zd?ZOnq%TXNBW4yvPMwfxl|*MFr=dI69EhYgkCguVN9)Cn4rIJbVl`2&Oe*Xtt|@-k zN5vGwX7#4Tt%r)Nk!_kAs+wMq)?fI7J9d`ds1ocYhcBlWMz>hOL=^KT-NnAhPm&E& z4(UIb6&$1Aw(dXDh^8IE=0Fr$=<6GXZ#VbVJnkbc9@#+Qb_D(RZT&Bd*-3@#Q0;b= z~%)AD7v+ytEdWUk;Ex`+-Y%-IS)X;9q>{ea=UeTw{nO-mu?JlK*NH{U_3v+5( z7S5cqb;An6dC_1x#B_+MiT!q_Rgd}Lia{SQHSZFRBG7!KFpb3&bjSZ{oV#p#1HVf< zg~BH$&|$m|VSw%s-5=>v-~~mnj`c}f_nV_02u`2( zn}W1z$9q+&B;VSnLi8bS7+25}7bKkkPtsavoMLF%T<-ZL15Uk2AmHPe?Q*a0Nl{d2 zEujmmvp=<1+^05vp1|h+!A;p3K z>NTzh!g z{w9U&A54Z%T=^^8SzYx<>xG&H*}GwTMWL^S;~G?cDr@|7k_^Azhq_HZc&mx4Nx>wv zHOIaL6bo%mj>|%%kD=R^A+Y z3LaJK?coSwqx(!h4U^Zbmj{~7@$B~-)3EPF#l|Os6UAQ|W`bj38j8-coLb6zJAbG8&`%8#YYg~m0uSe-!HGXw`=;StrW*12SV(LQ~T zX=Oa`y;1BCbR8_kG?V0SjJesugs8}7{m%**$i%dq|gi2ga&`N&*GIv0EJf%}yO z;Od`XCzVMTN+f&WwQ=B~7nr9abfF*QQD9J}rFx(LK9$(VH!yliQ~1#MX8P*7DwvNp z7gzOx{(mViI+r3<=UK}Gzft@}(+#YD2mNMzOq8(wJ4U*z840{NFi6SMzXo5b5~hS!x>sz^vxc{&P70Ow=f*x)gkf*rck`2ur(|s)=vh)9t6P$r%!lxsRqi^@ zgfmwdl9@%j;Rxj0-M9(VmkAL#nfqnvrI)KMNUXdHHWs{+phu^4C9 z6ZT%dJ%KoKv5;=Pfd9>7>rh#$Y-wA>b$yJD8uOG}3JCGX4743E$mXt-3h@Wi@>|Gg2CXH=x?!JyrM2 zrk+{O`6uk%U#tFtvas=I%=fwNRBmZcGpJ<`6S)Y1vz3?i7SkQjp2od$msMPuKfMa^ z!m~knpe~JBsDEr5p6OSMU9&t}SSnepUIEAokuUQNT%9dts(9-f_yRl&LcEIV`&u;> zzK(04i~+k}L*X#4%B@z^`be0dWc_ILFkYcLB^__&JOX3HDy(6=V|}@N3yc#=sFnm{ zPS@SQhX7={rmHU9FW%NLvu+V1n@JQ{re!^4D`dTn)3TMiS*g%r3I_>9EtBw=_`0^M zd<6mYsh`uS4h1li1=9rSmP(J^FYB&~cmY9&&^1v_5Oq6(l^;clNM~YS{2-JxX)h=F zF%iPvTP<%B0M&jnGqIn|q2srrNJE9gQ2s@AQg4FJ7f9hT6Y-leX--S2Ij40E$P)P4 zjYwji6~rd9L^lE`gpGhkoEsZVc5!~2$d#+{0|rgMNS6w{)Ui0SIG&{RmGIy{E8Usy z>P-b4xTv*(P@G!4Q%|~3PM8HSK|9)3x#K0}SGX1Aj<)n$*9k6%cM6h-4O%_pUW*Oj zx}(G=p)0#qy~e-nF}u~oi?>k!!la~t?;Mc8-LC28IJ$z*CYTuS6Ppy?%lnEs^728MIHZnBN$PXnPHrpF;?Ovyc7!&}`GddA^?s?-*_+Bq1o=cW1d)&<#z^by!R z{jRC{3!aq#2D{iR>2F9IZ1VI2$uIsp63Y2$cS+(SHnXQ}G~_=*uB6{rJR?ulG1hCJ zcO!mgEA+o))z8Dz1I#4oHT)5v>Vnf5cH$Z6^TH?Sj9-yb>(Fl81%y>`8cCB@i5_KQ zP~ASyKC4gGjk3|ite*r^4&O`qH?(Wem1h*?OZIO%w-P2bq3M0i+JBg@F6MY<@72#| zIv7n!yXsZUITa%yl3L4F+nCZ$*MUl>3Aj^ngFJ8#chfro^!&(An+2cdHcCdHL5I#q zFj@!doeYiRgJ7&XARVGP+)J$bX)pTL<2F9o(*3Y#{@!>}de_xG#D+vQ>6<(-KxeMC z#YH#v$iZeSXMgK1NIjc@!1kr>fh`@GJxAoLc3_GyI

jGx!Mn)DdF4y}v1K$?qZnP4!~!SzGFC?}*O+2Ct|_D|%tFvdvIlhsprzN>sOl z0qWj0vAZS1KJxo1slt_X8k|-Pz@t5YE;2arUf}ywH)Eqb(i70(BO-Lbt`4SLya2f^ zA=p2d-(LwnwPe7P`AV{08n0s(XjPC;=rM>_8-kQYfS=jHuJn4enHQaBEu&4tHHsF@ ze_Hp#YI#witIerbFxoB)HY7x>DZw!9`V%(Qv~*}khU<;`(o?eN@$A0i$>jlT5~6L> z4qwIi91mu77@gy%Sjq}JAL$9%dV%RRR-+i#kl;yUjaCK_m_)Tta)O4|@U^CI4>n9; zlMjesC!Va2Q*C2cWCL5qlICxre`du^+0oWK=m8dss2yhMvzk=DY&T0isn{^nYH5~; zGM^0cDdegxbvjJ^hda_@`7qsYwSvR_Zrb^guO?B{-cJU*9VpP91hSIT%<$7jGPI@+ zI$cE%?>Vh4P5z7%MuXZx+W4&{h*aeO7i1crX`uV-H_lA21I2IqTD5j2U+FpmZ5(W| zI^;C0UHaGE&^FQjNPANPQk-q(KEs2v00fJg;LVQE)L-AcOu7wFxLtuT=4dwweoj;1 zRrkvEyg3V)*QK)AANewNnmARFkT3i7Bc$rYzhcj`nv<^J6B*fSMN?&*F@CAG;3Kz| zPx;u5Z|wkr;cC(@hG&Y%`=h)G#cYk`KdW1sX^`@L)LLu8?8CqNHa$-S zkXcE{Aibt-l<(1-UW35a;IGS4pfU?mO$1J=S`KtT0@T#9Lgoo+hcEK%Z1V9JA^^r+ zUL8U6>xO%fE9oFA{y0b@Bokh+c!EYP9!F&P=JCi~lt*f81y1Yspj~PC8$niVp*+hQ z7!Q^IvYV16uW@|cFE?qU;(4DAAm2(xs}?cL8qzBmUMesQhmQ?VswGRDYy`*HRJf)> zS+*pp*ikkBdf3TWe|j;&G;{2`gdJd(?~#7S71^B=_uNf&Lmugt-0j4ZmE|B2eVQ-# ze9gXn&5?RaQK1XFC`=;3IR$?Bp64rQz4T4xn`0ILcL$RMmIU_TFs;-5Jw@_e^R0@b z{`Jh>Jtwhs28ps;dq??9kBU_vI1KZqcNK}<`6R*30?9*I~ z^3adk-H8tuWK+PCmrJC_m*07)8v%San$@>8<_hydM;4?ldkl%p>C{oEsuDtSm#Q4j zdS$2}xfCFu0*iB|<*CgXsSa)n7-h`)jlyxzkGHRZFGquw@>kzV910gPc4C|Ac#qAZ zBp5MRK>DEJ{v!{`Z%J$2f}h@P8f`<})l-T?8$y$6x--u-Da;***L`-2Qh(<eil>wTLmNsLe=6?>@@T3$lD5; zO0otUTd$>EK@wLJxWtU`S$=h@6iApWB&=9}o<>J=w9D}O9l7eI-j8(iLN>551EoW? zD2W+{k{~+u6a2SpRKq`p9xS~H`ChE4j9qw}rC)x|6hQbF?TMP^))6BF3c3h;b-LUC zM5LGBL5R1(HX8?`4Nl~$s?+_Nkx?)Zv@Rj@$!A%(OUOOq2Pj z$w@Xs_A$@8nQ-Se^H*pHfE}bIUTClwB6V3`RBFQ$!Kv7haK5>3L!#NW$BRAN5RspA zsnxfEKVV_>yYgVc6v$o@j2j0P^{Nea7m3i5T7?9e#tw|fD(0|Z{BJK&bYvpQT3T{n z^6R}`|HD$VoYi>-a_>Wsd+)@0%CzG!H3PfWYSREGM%J6==g}8btb@S8ip?<9E+2f{ z{RK|V+3@%x+8RFM4P_!Uw!$@6VrGAz1**btOcbX{4Hk%pbfl=;9*_cNYGfC>IA@Bp z;53ch0KQKtlUyUvw_sI!%&moa=t>-F=+F(^Q_#SiuQ&xsq{3XYj4<0v2ANfRB4a5p z1>m*<4Hh6#`k;F! z1OEx_fV&Alt_*ACq-cS{K8TIhdmU80R?*~47RVg2xlQ50mU|@=n~vQDp!gE=6(lx| zlV|$*qGJumlN8#qA!)7iVJEsn(0V1ACxh1t7x9VzQf|5HH*?a~|0^!^^>$@26Ef)+csU-<61%(`jh&Av(_;v(+@Gl zkIc8&E17OIgKMZyM5c5F4GvQ^CrYxZX$p;?@WD5#vzj2KnXR=e?Esy>XVRUL)Z&pO z*zr#j5x3rv(2PNWp~^dIC}$!HR9RC2-xrvuq;G0x^)$gy8lr(y4x*|zQLbTy5OFj< zR0L)tMG+PDc;*0e;?HiSOMWWKhkFj1lPyKFfN2=i3i=~I&}{*o_93rg_gwpd)-zlz zMXitmt(RAf?TOmg$~gum*<)N63~txeDZBNj2Dt$>U)Gk|G!$d^LKXxc)6=obD^WIp6oJI1$&&a~A+lBYm%Z#lKu8 zz4wAxgMF#@gEmbnKi>~pS+4pSYd(->kgu88Sq#Fx?;5DXkh;Td37Yto*<^jO`SZy9 zQm3Lia2Fp1Gq_U2j^wNWf}Smnz4L)qZ#63vnf`K*oMC`FbEjs|%) zSVEbE(qxms^9g)1Pe+cMK)D<^-)Y^UCwNUWPaQ_H%B=C?sQe`I&Vpykh74U$W zcMSxY?v-k>bC|wI8wV&4Bcn{ALPCnlx{5I9T1=4aR! z%JAU~dZx_8Tk5VJ56PN;mLHR;HAJl{Jp??-P0UX}hd1vjf?QC^?BItl65C1VhEkF) zY#9BJCpGN``WeZ?3))^nT~lH?uxtBg)LJGB_S>AXPd})*w<(!qBp_BEAkMi z4s=}miuEf^XOvcFT?o}r*dQZ!C!UNO-ZAEy&vs^<4OXNr9CfxPcMo%-da~YoJG0SK zZNSpj&HAy44ArN*3&hx|bv)zK9i5W9`SUN6ew1!`V65M| z7b|jqygM|wLiKn{J84v1p>ywxKUyETW`?_W#H_sE`|OnISps3(?Ci#=SBvc`AsNdcNEIl1|29XqSj()s3{B;t~2|OvP*c$ z!>j&SkKGbQgfgq z>&{AH|9E_MPG1rCq-vhpv)5$02&f8d{HvsxJ?vK5$8K2Seqsbh3H@Cpl`y1lA@&m6 zuEZ`zX_cA4nh_(M)SkYoJwO2%2UJVBsYwhiQU~B2gaO)4)dxIgnAle^I9lY%Pym5Q zwLRDRY8E*Air$K->0~wC)_pN!Cv#0=@mtM?;Qc@)7`;NnnNi*dC+#<^Qb{$ORKB7t zjsQkh=k<3Zily8I+SkQx5#uPDE=lZtEUM|Fn*B$n$G_xlhLt2njv@upbA-z-f|WIG zMRut)aFRNSM37_piElRek*5{RXB0C}|1T!SKCZZrqSs4eHr(tf0yzb9^?b}5)A)u? z-RL%9l5u_oj@{v5!+h{v_Exa+gd5pOS!FFV;W|4p?#s=Cjs-NFxWvq(+Xr$76@4v@ zUKRIYyO8WDfO1~)rsWCPMCQ3dOOMb7s^dMtjIn;jp^z5rsI{$CC+A(Kmmijc2E+**NI!b(FT>i&>gF0jPxUK7s`lhf2)|umyQzu{mVG>wfr3 zW65c}wlC?IkMyFJd*+4%l)4~UPv23AR;T1y^NBE?)zMjN?}d}sNib(431+dRV>YdO zwscod!}-$4Jo;EQ{=nK(V5#>qJb+!qvs6 znvcmQKxGIc!Kruo^--$KRw$Tsxi>A5u2WzIeat7{VGq#8Q`+f{fsi+2j)L*xYTe>o zILx6x5t%T`tL#--5mK#!gNh|Re;AD(fy+fdH0O9=PIC86fk_Wz#c#Y@AiyQlPgQv} zZNQ6wVN45!O^~`Qk@^y9JL&JuKA$$qH?M-<@iQ{^Iz{?3faiWDA)Z_fld9T-+=IU< z#w#H?kxWJLiC)0s!$dEAeCy9eTcOJ_8yOtf|ArqcejS^ss2E%ZD`d~_@_@3Lw`nO3 z8i754l5i$$G6=hSGhm>N?vVyxp#gi+W@e#Gl0r>aF90aX%5onko~J>o*F#-`Mc=YC z`kw-*44M;FZ~M3+)3*T>AUGlMSk8xPAzi|xTX+Bg9jK*vFs85luU^m&=G_%jb5McZH z3RS!AM*M9sA$%APiz&AWw8MFq+xkW8ia!CVdll%Z!fn*JllM5M2cTo=0lJf25&aVE zoVYHHvjg)WtqYc`<`ty__1KT}8ouEH45-KXf$O_gx#JgGh^$vWv(qo_PH?X6`2%uV z{#?3~gJNj8hj;di~%?2-(j5d+(78YUPtqu#YtEo*{SIFyc4ec;D}3BfFE5X zO%5hkDxgrL+EU2>Ta~mP5<64Lb^d+cw(fpycq}MROb-X^#v_%69VzJ#5&*c}hDnkLOEHvNfb!cy2qbiTQ3X_p8P$yAm3U|qdXq3voc)Os$ z4x4r-_EG#j;YR-?_y8t;k||uDoIV)k0=2*aWT(5RTCza~`%H&^MJ&a;WZ)aMfj8N| zh>Pc{vbNyG-_6+JliG?;xN@gtp!E5A{hl|&#VQvl`(z`H;q;21q22{J!f-Zvlynm0 zs@vfTlAGBp4i}m`aHgjsPiFT%#RZ4O$YCZf8EaQe&@|7bH8rgmjw~M z$@&MSH1c#$dYS5d@#{XSK0MA3svW?=2OwSR|Ec?FZ4eXyf(=vZ0pX`n$R&E3diANoF7;_qIsr;O04O*ME z*%?_!%344R)Uc9@S!w!t+DNnpcv^2JJi2$jWRFP!kd+swdS^-;!(R8P2bI47QD2aH zdlj3_Tt>1C-Q06Lddf`59_eSZpPiBC^{2w8MM$Wt@qQnY2A05)xX)L&EH8ZwfzTAI zvNzY+Hqa23Yys5ApI~Q|N$d`0I`sjdhL5BM`n{Y1*(ySFVUJ;|q;et-_}>5AstfL} z1U-;R{-uP_@3EdeCaTR}VK@2K*}tx=?F7ggaMg6sQX!4di6J=OdM+*LnKMd$^MXR ze?M3ep>|4g0giQ3$0Yyf&}8}WL;1q~{(xAiMpDoJAZ1AnUsn;jNySe#dSBMgvj@}CQ$lCUC&0f+li{yCWBMEGc!Wj)bF?yTcq5pL-!-E+}cyA?6-HNWb*SpA_XR-{|daMm>W^95%bKJlq;!CPe z1-TSBYif^fnv>L#ti{(D-sg33YjS?9$O=~~s)IZ>&Pr`Bepopf++}!#6ZHX4kkLt9 zxQg%vT_65yU~7DMHa-tZHbZ*wUtIHOH1Ew`7woR~`I0cj`eUM+Ge2;(aVfuLK`x}` zM)dfNh~ep__?d3)Eb3V5S^m6fU`fSD#MvL7yfVjuF_S@C0k#x1Yhyb#y{HnZieWj| z2Ji4UOdF~SiJEmw#x+Fww6Pr^5&Mc%MDzMZ6i;~lznYNEM!Wh#4IW6M0T3hCc=x@P zYXj3EdbDaVX+XzcmTFvbbHP)lv#S-_2;^~gGJu>i)x0-$`$eW({MX~)!Yh{YUWh0j zZg4lqX=T!p{pLfD1uK*Na*_DjniUP7<7Plngp}}$mX>s15~DebmyZifLCr;|y+^;J zs>EYx3LvIOm(-2_6*W-sc@(qF^)El;%7?#hKGC^2K*2@G+;5?^gDYr0oEZ(|tkCS3 z(@09k1?c5t9029vTDow=&W7npGr?r(D&#;-P-9?L?B1IZS|^8%II-7(K1F?#hQ!j( z5mw(^lONIkN-ItgOAsIayJ>kb%9F@}AvreDmu;G`;*-~78m_)t-DYh*$6J(5kp<&# z?xPIY{SUKkw4)+}BgYd!Aq={)CItI=V5>`>`Ac5ne|pOV>!<7~|9xc}G0_ml9RMuDL>D$b#{SSWnKxvcTbIBx36rsIWAly{8FJP#^VC zAV@qn0ChFfTxSjcmWA*42C(J&S7$OkbL zWN8~u%`RN;OobIg5_DV3NJ$yF%@Zm6L2nJ>!q7UP`$|G|&7<8t7$U6fVzNp9)dx+z((T z%n?nNKfGMc=bFdJ{`?5&GH706S(!CYz$&`DIk2QCH;tXZvNCfzvgKE zf#Ui;okH6l=PXr*cJm-6N{D??=u|^)52&v)z>h*t#w>))12k-4^_3xYs%82V5aPo7 zu6ia#@)Ljn-e%rL#5t3aasB{@k-h8%)L~kml!^eh1T#*~w~&^X3`qo`?ny{0US#hD z6Jg7d*`!*#al(iaM>#H0zr_wTeVVM`i~^1b6NoEKM{P{qQTS*Ay<|4&qFvIJ{l@u? z{BgHfH57NY|J}%~-9Yb{9Sp|gU0Xx7?;-&8nysMd(9XO72w0;OD1$?%b~w5Jg-cG% zyD%%~xi&%|$e;o!X9W%VF6d0b&8N4*kM>(Wa|;=sfz)iI$HYjx_ey^6#K%ryD~0ot1U;(7WE`HvJS;qY38vy7;rv^V{Qb=|`#tC1uv-EI z=}^botrFExd_jD$*OytKran(ic;N(Iof*dVRIk;Ue}nA7$b^LZO0Q|tP+Zxrcvxj7>=C&N;|=X#+8re)0CX|!qYv?FALyc(U0cDiO(7XuMB?vqxBg-917EW z3@LaH)Up^N5^T+RiwOA7=#|Ve%^MP5UI3=BLU5({bHhx~(A&A=U3-gLaBYWKw(O&J zIbP#eS?kk#qK2utoe6;PdXZ_S{Y*mNu9WmlzX3u?dUEgIB~S&e!X|ZD4J3~vXy~?3 z+5V1N5IINQs*Y87Er5O|roprxsLe$mghPK}M;zpKt4d_0Lw59?{Yv0?M&hTR2cf|% z>Es-L`~z$0Ng3^h?-bb*sD1#&>4|%?`^;@uhj<|mH0osSv(P8?s3UL4E`MxwDd>ZO z4!=*QL7d`HuOx;n9I3*qo5frj?*%+Iy9_^xdH(=sM5|Z)dweR7$xB$x^kG;(7ek@7 zPEfjIK%rnPhI4TMwo3?u@5TqkzT{bKAYkT@@+sd9$V08gDncFUwM4VGk(lsKwIKK? zzECftrL>Fj6!@dq3^K*L;@QA)0s{x7~TYwbC3Sil#_Lr}{-yf1EH zw_4yyQzHUI8KgS@x(_nG)8<;`u@K11{sOJ{ZYZkJCT<*{+k#Bh89h3VJ6krX9rWUW z6KTom5$Jnw0R$?N&v_Fs+3PMe06E}D&%}%76-JdF@`b80W~G~v`_ANs7s(~WVE?i+ zXhJ0@GWjad0q4~cvK5+NZ&}w6WDLgG3=ULNuOm}UlTqv3EGj6pdnT%?rv(S+02cg}DSa9Jl(HN)peJL{G&KA0m)shWEZB`*B@4Asm=B5xO zI0h+ullg&(MyIVh2Z|vr+cZtkaNasJ{jp!9dY>*4l@WG{fA5duS0w}k@t?PWWcFX&Aaq;Oy_po8u z0pLc`Ot~r6(4mGr#o1wy2Q}Ln{jO5>1EXXv9pwssxFAn}FxSJC^ev!L-4ldkKcDM} zyGLV-murP36bG?ye?qC1Ij+U)=l?HjFJKa?IZq+C(6h9wB^Hl4kh5u7eU>^#kJuh= z_aH0g9M^V?m<*e_iQPPdS#xYW+CHFJkR1k5c~=SB@>Us{Eyc;n(}*W`D=+Pb_9z~) zF*&=Jmh0{DLhHUCH+>TTdxfam0Z(vQk<$y>j;DZ%?HaMZWP=nFF+;BKs5}+B4EHnN@EeL{Q8@A$G^9`A`5u^n zk?&TgPnjUc?v1Iv4IoSpLUF>2X@116s**R4e{hZhl?R=V4LhkH54RpW`{t1FKry&` z^XE?+_7}wX>;*H@TOrdF6GV7VWURS)oMWbyYftg!`@JqdW6f(74v2JC$Ep9i6`?us zrqvnuJ4Kokqru_)j>bj5sj27mvhb9{Xd;Mt#x;6zHuL$`c7k{UW-e*TNGz+xks$Dk zXG-AgSp`85uq=-zHxPHH zMOII!B5lPq?C1sO~ECbGCyk%Z4O_aI(Iuaa6Y1WVXFgw z^pyi|-G`axUNQgH!BvE`9ES*G_(kKDm>)w{ZOt%j>*bETTdnn*>4sSwFD_}Hh4eOcX)((}UiSASD!;zda3Ow%2| zddijuWx^FyU3Avmfd2k#to`0X@T0eDerdfdQq6}%i)lz}dROQ8U&Aj=Y@aXD9dG@U zc)iu2>|D2i{_Zaz%pn7q7j zIx_>$0j1JS@QHEyuU|1t_*OwkD2vEx9>?-x6q}FtW!1h7*A&Bvv9~1`O_C1+%a1C_ zP&=NPXuKU*ekShkPIr+nXsNckCkqQdN@f*wFjSSN6q*{-o4jw zLzHqMfKW$}F12Yt8|Q`7M4t-7LbdS+`#aXAgNh;>2@bp(y;AmDgItcy22V@3?FBXZ zk$!E4a2er%HYlCYP_1wA$SR)#xqw#|IP+(63uty|1PsSb=Owfo;tCOeNP%vbPxGs! zCR}WQoRTn4lkJZxB*&znkzgSznQDj)l!47=8J;r+A(RrzKkBB@u zF~jd7`;2+Ida=Sw++kYtk&j{?9n^_N$d9nTFseSoHShQ+F$EN8?+G$Pl5%;QqXdeE zE(urN^^N)|&vD(TiNf=GLtmu+rJLpeoCy*0Qb+TyN)l#;59Q2SlRMr)B1Zl3x>^T8 z^W(n`l7-kteLIr?GQ~(-&L0gbHaBXCLeq=}-to|T*1F6m>#uKZPqb9KQp%<)JOQ|sTpEP~`le~>hv z^oMaV#|PIU7yug;XBxWTHH%LuoDYgaT-#5@+F`j`iX}rCeuL)mgpKM*SI9^Z;|2Tn z{P|QpC)Hov2dBmuVrf}G~Si^629 zeMaspzWSE6MA9ympNL7SPIG=$q&2_4rD4FSO&C} z02r~{KoAg!mAnLNbPv>qwOCY0F?$XDgs}V~5GDB}x#sKDf~R$WFxfe;LBM@DFdZ*b zVJX@(gU3QSiwf`%YbTzIKKlkrMN%x~2NI|=%}Z~_rgf2Cq1WsEm~=0eL#6kD+qRM4 zzh!J$I(=z!)y=AsX}?8|T{7v`CHwQ)a310rn?I^NF2=RZ&!aA`n4cl{uoqCuh2>(c zJ{ZF&C@So4mMA;pqsvv%$QSXE!t%O=d5=nI8>32%OpDg(gcqvC*$tx5a-y1AB zX*;OePsi?EI#Ks>Mdxo!y5yuGh5m_ah`_(Zd~jP+`0;H`v`bj*4&C zH4zj%NwP3!O~_qBfwf{n;j=3BsZZPWRIy9%=DAw9CpVP+XM)2jRMphA;Qm9i;`Hd zx9@K>dS56%?MJWPBeWJ=;ne6w=8F;{eXq2vjQo4#t>%YH$?(@)mO5$fALXUBEEM

eYT|MoYygttYy zL_TlsH>#3+tuHd3WxI&nl!S}V{N0M;`Aa@`rI|fh|3+MXt$I*8+52$wUg z=&s!<&|`KIO6zniWJ0>J=wxAWh?rPu$Q)ZnzVz}s${Kj9qg^7`y(J5^60dxVLZ7FF z&HME(xm9dUw7*+SX)RVPshW9Bm9w?QO^dEd@adhGK&}gEDG;*CE(tH+X!${Z-4$Mp(R@l|$MEvIcAS*WAs@VL4X{{mS|Bl603Yum^} zrooYMPH|6bdM&!rs!l%^Mf@8L`+y*Gx@`5M6p{bJ3DO6W$ug&@ZyI2|Dgz@B|CIIF zS;F`JsZpiD$m!$feP0jcM8-sy(#_w)|Lnf5VYD44r|Yz}amnmm+~~Tf(g3WX!(JDjbFO-roZM=*O zXkGucak7}%oHd0Sz*Yu)zB8cb8AKtr(_S7r0nvxjw!u}pzFumb*N&dyHiV3(PP}P&wX-^ zwYc#~V-;6pBe>mF8)1c2-yD()cX6ZYA`C623e$;$4Wl_EDS`;X$P`~Q-~OL$!inDj!P z@&;i|2m_nY71SYl9qm(iytIr-cb!<`&39bV*!8(zlC!#qZ(X^p`E0zZJ)kqe_~8t; zFBNm32A%%q8tid7%N1m;W$waJ!FKIkv!|xM{Z;Zr7 z!nRlC*oxc=kq_s~#x4z|P48bZ_qg84dnR=S{K`4xLT9Y$?H3>afjQ@&36AV;9ax8X zx;8C6WYem!+!CbBR~sziXQT?4mzRm$n$aZp$RkIzOReCES>)2%{`x|W7>F(nCHl=S zbn!2%TR~1YQRozV-9~+PPma-PFYJ0p)rEAKmFIoS>Olh|U3w@BP59-$*051Xv@HLp zGjn@n`LHd-d&w$-x;(6H_)ST4w1t0hNG|(?d_vr#oUCs@lrcJ^nmeC>dlNBskbMcU z)Kx;qNUvU<`=?u#`p=EjgnnZUY2K711Gg7laDOgxF|d{CB6v!j8&2ZXXk2XEdUyJG zy=fHU9;Ew;VfeV*A?GU7U#h!o1rHRr!7$dsGnV7r3mxwiNE4kTSsGeT%aXOG6YkOa z)+MX|`<_xV!X*11_dG)T=aWVIplMjMgZ0rvvVj@>7XLQ%6T5BC5IPzEynKm$CF<&9 zcl#?jmp-K-M$={8((iocrl&`+u3i;SIg1lj`Uw3_4@!eQ?~H)!hBE zKkNPiTS^q9Bo-VojriwfyxDH-Q}f?#kET#?qt~*Sp=E;4kV#FA{)|J4F z1mo~kAUreKKSBJR2H#HnLK|D=M!o;oa6D8#(AnS6^_XoR_k=-_9#zJ4 zVI|{K%ZzI}J9RSp!5?(Hc{N*z5ngOlaorsVjjHWj!^xjs2r^m^_%H z9Bgh=-XQIBfo(=k_U)n+YRylfY=L2I)>!w|WqxY6;zL`AlKQsw?4E8G4==QglNRz#5 z;l@hK_Gr>B--Ka(tz$%=yFMF)wH*1F>1b=ol~13It$x@O`iSY06!YvWFRKv|XACc% z-QX^O%}o5^yIxh*b1RjechafF%~Vw9+Z(TmNbQ$vfoPa=3N%%6a80%C!02 zSJsA;ZgL5NuJ=t@#{jw}Ta`N)PcvGviGPiMwB7DLcCZ;q|J%;+ko z6|}+sbNKStsuAesQm+UnFeyU+^T!S6voC9owH@Ttn4;zfPIfS+O75J5xGv)x#+UfV zBLh{c%}*f$#(t__(t9GJP_-yxE~iFL6a6qw!99@9y~)2_@;Zu^8VNqKOf`fbhG0nj zoZj8*Y2mv#N~8AtIOUg1Du@xL#v;c?&4_UX*?zw@F*yint&vvEX`4$H3MF0=OVgn9 zj8ZWYwMU$2+kP(UX7hgCN#pn>v(iXmkxQ-tAS$XG!7E!-pL3_+i-f1&zp5bd+_8pN z<6xsmOl#-5y4d|yuFP(WQLv7mH;RqfRkLu zQ~8v=T|54H`8Rgf6r#>{;olihW{6TZz;ds29zrr7utVQ=;8#zut&v}zCLugsjl1+v zV)gy1#*!8%fEQ0qXL_aR(roA-!4 zV!E$sdFKP{oP@u$yvqFHx{I?T~kNY{({qxMiDv|a2fzDnT@jlu^ zXy)ws`X|1#Z;mtU^tNdq7#6f|OOUzP?~(0=>@-8lWr+V=v{+Dec|g1r;6*J8P_(}H zag^tOYjrtBQuiKW6G2-ughD?fVr+qxu$XPsLt@6KA>6UtHcYA3ohr4&>Cd3hLp z+uNVUL-Hfj>2LAXGQEFuGRdyrd2I+ZgeM4t$M%$`fLSdteH5fl$*m-wlT$D46RJ5- zmaTDm`U1eds}nnx;Y>^R6$A2=Ep_q#i%W|+Ub+!W8*k`{@yF4hLC&f*e0K?zui?}f zeOgI#*yMkDk4^7i-e_JqSe0;0R8x}N9Bsm`jcfPoUADIToj&XZFC>b4A^3gQQ}dsU zG1BkxK#kua;BvmZH-|tD@M6=Ctz}LKL*0_GwpUZ!W!iPzjZNA$OU>T&n)nIa<;jB zaHRA?@Lt&@_;g_((LB=1PPZwRX0CsApPVkU9^B~K`->wj0?=w1^Ny|R^iZIEt65Un z9_4FO7?s@|ZM-n_SmCGe1AB5cQ^sD*y7cKYlt!J(e^<-tlFKzBz+pYPtKBwg+%IT+ z1D+~1EAwW$Z4d@R2IYsrK|*7HtsIl%T8x8B?PwnNEPre@U<0CvQ2nlo&|9{(4c0=* zr*&VJb-m14CMqaIS4y88Z`o&wZ<6O{Q@mEC&NS>7mrKLWr_HgOd)Gg5WytF(OtxdSZbi{~SB%q^~D@N@v!OkG@QRgbhQ zHq653WqCc?m9j&;%B?6CPb3-$t<%$YzVyj|86uF7uga;-<{%3%+>KqeE3>Y&!tMEo zy*$adAU^@OxIsI)@&r4o=)XNK(7klAD-Ef`Zf9Q@-I_3P_}e~CjSgw!;MS`M!L7H` z=<~I*ba`2!BRvxW3L&!PrzCTIUc&w@K`LUmb$f7|HCWhcc*;m5m$jI`uxIEJcRYV3 zioGDyM1n~X0ilT}A}avp@7E<$E2|oJkeB+Gga|NJt@5Y;!`_>}HF>RV!`reebz8)i zwy20vP|&L293Vins7O_C*cBC!3Mwi?ga~0wYH>gTDNbk^QWd3aCJ`h+AVEPu&_n@2 z2;mk2LaKj8U+<4DMzdsyol&g(p{wX!G7!5!cw`OdA% zjy53_tZfA8--`n;(=T`Yq?y4t7S*KV72;vvCTWCj#$44Bce-nMNq(JdWh{m zdBR`J`s>vb6k)5nd$C#=%4>%54%^{hxIanT3-`f?%Y8N8)wSf+tK3Bg{pi_YU9$pu zW7;K97faHVtYA-L^|tZRC@J@_KKphU;3hD0r|_c^@W z%LSvB;&?CwM)Kn=dJtI|o*8Wz4w)!HD1C5B02l#BIS7MzR)=$cyjL zS(k(#yh?nWC{U=2zTMud{3Q==K@-IOc56)c!%!QAO>4=`2$J(H9~2zm=n}W&uH8{* z*miiQ$5>{IO9aZ2tX&$*C%bg1<0eobk>m0v_s7EDJK2ZH6N#LCX7aS=t~aB7Gq0Xu z7vo1Do&8JM?A==|khP{Z>3Z5yAyaV`UXp*R^CDL+XL*c%uRq8s=SjW@ta7V}Vsmhg zqvbq%iTqf`NSaud|dM=yL051IjssU^D{B+}k>^F!9P-Ps< zTjW^JE1<$Xui}>W5hu>BWT84}QnqCUbAatO7ze;yqG<9Sj%-fqig_S7uDc*p)FrBi zqqmHXYMvTEz9NVEtKc|p+;3%mklO@+|FOO-G+HCM9+qGIqJ zCCz1Hoa_XloeZ3g|5y|C@3N#Rfn;1&8>^?e3G$Y%t5F(T_SEUaGA0@CD1YA?jh;&N z^bOrZZ~4-~35vlQy^i;z?`=`4bE`B$`wbMkFB*4e>x4%VbmT+y8S7O{iZyGQ4Os{EQ5XE<{T<7kV$SV0ctpHTI}}`Tj(-t2&H}Gmf(j*sQTgHj!&Lk zFzRoT%*|5+MK(8kvj^l;wt@y@w?0+>R2Gh3%u2Z;o3f$-28f6fTu%rg}VAtQ(^Xe z$BhY$O&b}PZjD9gW)EC;ZtbjfFuHaI^+jr@Htf3{GK>kH9O#<)%fdt$lZiD-ikDg5OS9oxLTO zG-(OZmfU0f&eo*2tl)_S09Cx)T635@zaf&K`q3uxE#so4{Fi9|e)oOea*=MU<5yJ< zVs`V3und{~vu$<=yLH2T@7^UdmDV;@TZrd^#jJ-Fm8@br6ugpYTd`zwHOT;_CwvA2cGAQ* zky}MunTs{9Bc(HIFDrJw%Ud?F+J`lmH3W(8KCMjo_lCH*m(Kz7Y0NNLc-6u`{0ALa z!FcGTtRW(?7g!xNYxlwoQ7XK=sXr)5<@`8kv2Crsd?qiPy^C=^+4tzkc$Ub3C@eoE z1L_z_o7y*68YKi8B}3|cKH0gorwF~4ixQw(gOb08^2T);Vxk7Pv@r}L+n7NiuG?8uH$>GSF1 zX^~g%srb(UkGv z_rOKyU6i}Cj?sA#bd|DqM^6qMQdMo66UpAiwN%^W93L45=H?DPx-T8e73+8Nj9(PD zGxqU}cM%`DJ>H4;`9F+y8jd&KC(}JRf?t)SXbXzsXt2#r3PbFA*=*I3AOhgoIQ+)I z$nAmMXgbIfF~?Y+^ii#{@W-usFIoEKjKh!@+N2YDnulg^?Ap|;a416OGfGHbV{pr~ zN+|!K_|PAqIC+OdBKpni;MJqO>AA%drku3r)X(}a$xe6x^>H+Q!N|gx+EgDBpq<9F z_ywx2Z^%`3(rT?NQ!*nrv#bOtQ04D8r*HYOsJ8&WmoAoP-p~(z#`0$1YD%zd>yhg{ zVF`|wQ{Aj{$|}DjcxU@WLUc`6P=e%CEPmUr%naj?EOHcpVo*DQYNEI* zKRP!lx=uR$j+VSM@>`tVe~7li%xzlNwDHkdV1OchPxrO`#&FtTtOX?K<#eKN~ z_hsJQB<*3mGT^3-LV)8t#;%J}R{=5VKkEE8NvZo~(VY&G*ISMIAKZm8)KM~)rFrwh zPD1%)Zq4u%%_NJ%&mYT>v%EAn%5i61ckGSa7Sr?MOq+gDYc@ z9G2agnhr3whP%ko5~iablF6Jl$B2hTg7B-r7BE^t2x&O$mCG68@6N?!U&rO1wPuLH zf0JweypFu!GpWI^Id8KEmSApJD*t3-1HdKB-oB*(0*QEZ(d855yKMOxc)%z#Etm&VA zRF+IQ?|9s*OOtc9*}af&2N+VLU)}EN@`V@qx9V6%psHqr+&{n928@}{eAPO6aysla zloAsfLJI8IQ7)rr6`;CDXU8`Rcm?b)3>>pLNRSt6h7~zBL9I#nC7g~b;+gpcat1GM zJI{nJAf7=5t!^^%XY8{e21}yCx?>j2Qv!t=m95|THGZcp+jk(!1t;0^jYOPmDnMY< z;X78gLub=^yC9u?tg)g!IZFlgLZuVhW!Q28FrlM84aCTQ$mS=78^;s30oWvrn}V`+ zTqyP+A_UtZicm@e0Mv^!@}NM(s?`$T)?vAP7wK(v^E}cbjC5 zM$IR8I(~He09>4ea4#WTZ|TbdOCM4Y{clZEA!^(atke-Le(tiEKh73@KxcS>Z{i-T zZ2oOrF#ZnF0vK|nuQlUspy9pMh!+O;Th&L(q7NBCWjySEJ~_S_IH7-`vbXlm)fx&+ zmp++Hv)HPpK=0X&& zLpF2}pcPY1yo?EB; z%I+aGz0D=Pdcs|81(?(7L7Y|OP}-+$mA84@euQIM2qWyqYd3h?Jc+-l7u>_!R@4*( zf7;RDyCrWX_=h2+LY8{0dOUTFjn)=assxg4 zwO=1TYP*!zD&L;-9^zA>(#`HtG`3iwpug51w4Ytv*V(fAn)>vB=1C}4dglG;l09N3 z=rQ=T#(Dx(&*!0f&NO6!O;8VWj}Ih4P`m{~Vj6kV0EbNg%3a7PWNsgh{Zi@*B$`Cb zT`o52Wl_^1vQqAJ?QH_>^<1i0i*ya~Z8F#Y6Zt-RbR%Kfts%1j$ z^N?xXg3Bx%9Q==+=@(&i2vWD8^fn2slT z1qJPl;wSqzmy_heZ#?{-_(#LqgB&UG9j8QegLW(^}FFnphr|q2a!F z-BK=iB%2M!KyL9qZ}cW?d(}?hmE}rzBnJ(C!IDhz{~_uLEfhj2F0z#?Suasd-Pjg`%Hg{QeH^Ngjx%70Ei5ta>03+Vi6LSI-+m0=lOlr2U? zueSyZnQTa3pNN&2EEGYRG*TPF`SvenL4BQ+*Au@YNj0Fc0cITI_f;j{%vju_G42Aw zQq5;21Y#?HnGPH1uY!{*&8}DX#3vj(sa$=y=$s3xO})zHzc-({MajMZLDv3V>GB@d zZs*G7cFuX{K&@tyJ6}NRWcmyygq2L;Z8_#ik)-IbrI8zP{Ix{|&vxCki`LJ`I|?GQ zNE(_$UgxX4cYlF{0EQ#Eq*RmgCEbhMSEm6r#+{y)6)1OVf=GZr#Y<(MtlVOhucu5v zT9{COC%TxQ}PG$g-)9Ib%2VlcrDN7tHTdX(%D@9pGf-*X_@?T`Z@6{A1=-Xks9r zk6Tm3j5(=+cX5hIQtSBob{>o1unR-2S$XnrF&VRv86r-9GROIZVga3#d=NY4+8;Ds` zL>P!9mnS6J4KONGFBpeo@nMT2BVm_;d;PTGO+a?9)G_1Rip{oi5=Jw2jgG3Br;p0F zUi|3w>eSBwn#`6Y{P4^|{K2CIAZ{fz4^C-s=on;RIux@ZFsA*lYn3P~_^^K@I4hrYz_n%uc@7 z^sK?_hj`7VGj{=Oa9d&j>{M+wq|2k(r+4KoS|R*8zd%v2gK$21q{A8me^T9#ucI4> zxIgOqd%nzhOP;?H-#JqL(~r=pbPn1N@(2>u)%-p$pn5RIr_Jm>pkrdjdS(_6g7pSn zEkBdqf0&uwR(BKGg_GQn`bW&$Szh!xl@wH#O&G~YN$TGfo4|F+B8B3G^4wV}R1~|o z*)*@L-(;i+8mm=pA+g+2$lhAJ8-RoT{aS$h+U94{YW$Idyr6@!7!dfB|LeXa-z?ob zQ;(@pdGhm$KGHOrkH#Ew_S7+KVHAU0pZQhRLdet&eU>|HT;OP>E&R) zT~0DGO65YFncXx46y5Hyl5s92aOmuyatTMYpz<|XdKZH-^wZ$8F>@4HwL-3kJmew#J-a5nMyYkq&6Ly0%gKe%I%i6GBrLVfRcWsnh+p;rkYb1623 z4K4)RSIRs!o-?+_(CS-Jn3#Q?Uq12m)_BOyeUjBW{ZVEXu%ES5pgb2c8Y6$L9M3G~ z-XbZuRG?S@*CMWey5p&4hU+SK^-othWKP zFq6J&<{cP!^o{`WNf9~d{apzl#g#gW{yF%$zOjh33H&uu>j$vQ{&9ie3ErZjg;iId zgJKJ^L4LZ~Dq$9zjkw6}XeJy5&2*|b$<8I(`pZj%VLngJnX>&@-0y7lSuKnRz@XY0 z1DC(#Cyd`TiGPQvr)E@pt?mU)_P=VcpVZGKK91qZhT03bw7#z9;6kAYpk24Vjmjof% zeuFda3P1i}1V8q~Bd=UGwOe)3AQrFpV#!ji82;aQ`*qb70|2uJWr6jU&GSaeJnhGH z>gprnJ3t3^O$;`4-wNYh7urqwCl58=dN4$~7CSp!-xEpkiFM~(!+cyX9m`OeOEy}8 zAR1{9L?b7hZr>gsbOM5ydtl(Vv)JHaP=Sb@7VdVBBLt2}ttR%KmaRWa zQl3M^WfvNPSZZ^H@1X90%n7jfE#?>IRqtAMi(%aT8MFfX&A#>}nTj2cz4I`}p*SO` z+BwHbOQHG3Xms{m$??jtnYn#o7st6Qi*yHT%{GuPc99FclYM_48P0kiYA`M z6VWVhGX6;@H5$<aN96m10qNe6o0{ViRR#!e}UD@YiyB^p+#;Sz{m$ zYMa*%i+fw7Z-<(izO!3bBlBXut}E)bYxVk6X3gafb;5Xjn5|IUuYF|@{1v)y$3En3 zx7#f_kTD!t2)qX4E4zsNR~o0hD!*dvhqxsXmx}}uo~WcOyL5;_j5rK(8|ucpM_HY- zqcCH{AUls-l9dNKeH$YL3L=4<`e{q$ZO?7M##c|I@oE3$87FlC!iEZGu-SgHTGOn8 z;LYe?E6F?efwfw<_ImXwS4hi#Vzwoh$RzFXdqOaee0*lHcDi@-=&Q_wjP?_t(eJNv zD;|bOj0Z?4zs@7Zs-Yd|UpV}Li0q}6dk>Wc%JAp}E$Jq8u{v8j{#kBu3)k4jhp|2) zh90()5%T)dhHxDDpK>=)l->F*@MO_yI;{V>Y6ZPfq48%1Rzco*8dh?IJN>I4WpJgc zO740MmG8F6T1T;<8H(H?(`VcCSYa-G%13Lg5f}vQ*K(1%a)bX2kPAIgBGV zknU>xH~Jq?r9b&O!t*5S|5xY}Uy^_efnc1dw`pAxuS6i+w3Lq8Iqg`t^zZ&C zdCg;`5k=OS%iRnJ$$_7y7T|E&qMBLpih#9T{O@7v%A+%}Z8aIWfuP z=i2eOArs;@4fEdgEs&vvr=cwx*}<{iVOK^gFsrhYcxQ2sEmG>u`#Sv{%*-R z)1Z9>Z$J7*fwbL$8=|c`xhXfn2oOmlH>j)O`FAB{1%e0oBGH8G6VBWH%QMsq#XgtY!wePWy7Eez6^>(0S z6})8+j?RoiMM^Eh&=kd4VKO!bS>ZY3G3xdnxeRZk?%)+Y&hoTdvaC$FEdIO8D(0`v ztS&7D3K2$0@S$&7u{9j(iT7o9P)W{=DP7*@DyXb+`#{Xj@^E?7hL6wKCLiv++@B-U zSD*7i=cwR*_x$nfl#)vtjt&aG)&?E9rW%w_-zw=|?9 zYm7zL`l7I)FN~j=b^$Wh18g_yzjW3bSdt5`o{{ty(o(L?#K9O2)<2~7lplJ_@2W3+y9 zYTA*YAv?pyfBp=^j)~?r^}BI zl-;6atrps0P*uct_E`k&L?bZaxwl4O7k+35d(z?^57KyDuGoIzZ1PN@83MV1OuiLzZST9;TK$SCp08#y{hU!%85Ra7j^pm-w+z**0njV z%hepuUSK$>E%&s-IVqMP4omO&NyiwV9`O40PokHT>-Fk?k>wh`a+kJwAad#~7>uJp z5I1L_mK?OrCQ_mIIvoTT+09dJ(c0uA0EI`nvjKU`+*(ELj{;dnMb(>4 zWo>q5y|@p(PGVxe{{h}F%W0lmgnJph=$=uJxr*UCO;C#|#5ej;VRi#=g*&&$1kcWW zWNY(4vB6vH$6Nq?#y8$H34zX9t;RSwXxqi8uIWC5xza&%$$5}jRtDO*o1@Xa-ltSx z)+WS-JJCp#v4ddp#rk$QA4yh?&NoVxx?0yK@OvJEMcK8RN|(-++T>aQZNhx$a$5Jz zT-~jmX{Z&(emTLlcd`8QmBcKINDJ}D zePmtv%!;#dk-Eqoe+K8QKeDJryWg9aOYf#uYk#NTlRxe}07IRZtfj^;zi%g8yf#-e zi?!*D5p)Q|ZDBVY2e2sxY6w$N=9M1!Ms+opuD4L@+#Kf8qoL=rg`hBkr*Ny?0#Ed( zKVJx53iyji1D;MMB*|?Hl-Y4?zhNd@wt*+;nlJ$x?jat^^{nBy301+YR;8>{lS-ZQ zSa}t!9>aa+tnI6QT&n~>QR$Dw<;tr!N^6%v|M(OEU51?o6S0@OWZ@U&jyM1_X`iZ2 zNE@0~3$udZB3J=)4R1jxtO!}OT*5%E70ZO<)43%Jf@@+eTj8vAKjOAgk0SoDwMx+t zgskC${#$fnZZaIWmJ?M!dO7V~WhONeOq0)ThnBKEVSQYR5%CO^SCt>nif-%L4xN%~fG5!|e!{(OW}#GO=s&Oj09Cs&ViP*&VqQRf(qhX zK*_fsb4Z1l2l5frrj-CujT^-t56`=Ze#3r6=Ufms_lAlMW(*4qQc@{E2ftRmc4+zBfcpn(Rf zh!_3u|CeERWb^n=SRdf|KNjLYI1*Hqsd0{7C=O>u7Ug`tSH_F^9$b!*PF};G5zuF( zx|mDfZYIK$jJMVxmI)Lg+pp%FCp51103#S`|F&sCi7y5WaO@xP_@2gJms90^=^e64 z_cZdo?9c8Ae+_p>jhOFs)vHq5{c;+mJ4XMdOAFo#c2pS!97vNUW|Cq?C|7o>pqUn$ zQ@-0;n+(ywL6bcuU*-t<|hbr|Z?wzRG<9f$O3(G*qhs=?g?>7dJ}R zP$001XsZcNV&=@9TvT)woMxD;exPE}Pw9&=i0zqI2-2)(_f<#4qksjmpD7Ys_lvP{ ziA)rL*6H?mRd`Beo3as`LltKuf6c~o;0kFmZ@^M4;v#Io?zHDLDZVFEjol~^8d1u^ zN1H$LzFg2#XIPFEZI#g-NrI^__OEl;I~Z3H_O!bj4%A_#vEQ$|QDwxVyzhYo4q`=` zPc;lX@BwQ*X9o0sDRVJ2hwq#u=-RaITz5pE^CXAKv$k^cC-;NF;tYMFrM{W)XV8`ob~AA)^v^7EX&;#Jm255P_&&<_ z(3zQZ)~#kKP7{e|x-}9&4 zpNVZ%#nHbHvW13Y_$00-UvU$(laE1b=o(zf=6wl*Q-~`w3 zO#}J}eCX>N#?v|I+#?VU^wHe4US=xyI9YZkB1m8^?Z;ux2i#RD;SZZxMZHmBXxWAx z<4Me-xs$E}ziY630bkhj5)78~X&e#^K`QvxegPi)_(`0{=*q1sw#s(X_89>$1K_9m zK+-htu%8!Xw08Vr>?LGMbK>42Q;#_evxlvF#bE84#QyRm?q2fGStbpY7NaKDsuwUw zqhvIFA4q87%?}g{PZ=D6QBFY-r>7PI zKY2qccg}86Nt_h9=6!lR{*#F5RThf?%?LMeT8U-aUW}kM#Vx3;0*rkray*?Ph!aB` zY$HgPZYj(>PXLORx$rCX7kNjHIj=5{Go5$CL)VdfRu$Rypz%7}r)nEQUfAH>dk&>R z0Vk=Uu?G<|IZQsEtZkmiF3W?50%ex`IEsm0>u6frMl-vz*tA@_)>f@^HzdfvVq-XC z%_2;I)Uj~JYn?6fEV>!G?RbZ%OAY+O-ZSIxK_uVKIKGhjWXJ-AWU@=d=7BC~KUfG_ z8w2u3*8z%yF%oQhWe7x*rf4Sck)xj4Ku*11Toz8arv3`=P~mlg6o;|}s+?T<&t^I@ zx>P*0mFYFLN5R0Qj1$NoCse=GnlXTlsbT?AI}!rUmi* zA)|6#WW+fx%@dX$34BeYE)~M<9?Es-LF^GD%7r!T^ILL+0on`biX(*B!TW zpUet&^CJYKM=hhHM^(;-*ZsACuo=AwLDVN0?w%#6*y(#hdL)Ph2n2FuMt2;bCQvm& zt6sN~h7eCHaHhT|hB#$FwrkAbkVEWti1v^1v@_|gg_sOL){i*m9|)=~)~`dkBSL#B zlbDjtD-XB5rrxw0e#?b~HIon5N_%@zR+gTfD~(DaL8#SO%4khRW>|%?uL^ua1!tuA zRLQ_N*Wqvj0)SjTuy_Io5Lr>H7T=OJhLEgx3jiJynhP@fWPmGXM zui9XNClAJplDIR~B8ny6z7Ko9&riP?2I&R~&5S(t7Gq7tXsBq>QpGK>!meTe`CU~# z2)qpYkEo20rNkJa;hbKF#;I~W7-%5f1cvWuUute&&1L;;^nbvyD;a|&!}-i|&ru?@ zm5_Vf4W?j&OaTv*2B*Bz9O9;du)eWcdpH=Z7&>l@EB&UpUDJ8tuOx-o?tT6uW~|N> zovxZ-xa8jrmqZ;Mw2)J9$;kLk5Q$|QDwiMNKCRsT=Xz2?hDU|4KsX%%~LODJ0Be*Rxb~8T7F$^=k-CsM>i_TK~5Q{ zZOU`}#jNce8Nv+qbAqGcW~k_9WcQh0t16#Md z;b&-Q5|)meH6#QImm;N-P7tk1_xGvcLHd`_zA{aUE)9dwKhUK1O=*l>$mBTNjd>yLq)6hGSt)kk7q(InNMy!v?YaY1q(nHFt(}A zhySZtQ`j4!2Y`rMHyBwR8H?Kr?WXo$DjH5PHhJC-&|J9I;T0T!-wx!gxyo+qv$&>S z>;FN4&CC02ZPgtydwFRUV61{UsK$~f z5Lz7P4lUwnP`PA^*;*kMb2<$5?ct{~eO!$1^^v4$fP-|GWN|1Pa5T%nAji@k;fxgP z@ka?}5XNx#rZmmp!?7fof^j^H8j4VoreXE^d|6k6k{#{2ILCq`ScclSWNxNjnqv#o zr(P}2C)o9H#S4E1bVVCrz%mNCHREnhvev(qW|#@GyYu3K=QJ_uxO>UyaWG8^CuFe! z`-sTXu8W42CPkQGudYK$aao**%%pe6Xxt{bZo{amIcV@vM34g*^PCgVyAXjfk@!#lHN1ZW^nRE|TDip!;n<59w;iUZ6KYGd%XyM0Sw6t%9ycQ(HyEdiVPo$+i^s>mu;%BOlgMr(80 z<$+lnCPI_DAObxgH#%-kiK_!2-)<(eZ+u~mpOmFisX#l-7A;-exO)#2ly9eJwHPv8 z1gfm|lAo~a-f^zK^;xQjGC#?1ww=p20gR^5J|Z_Yn$IV8^QNSW^C|J^VO-Xa8s`d6 zjVp92=(pjVpGL(jPaWOhCPiol8}k7Zxuk0E zD>MjY(eb{(#0K**HcdPe7B&+*)-N8l{3L*?MZZP=14?)RbWt4;)7T6(au#HaCVZ|P zlzuq3ndh5USeJxhpu9%)Ne8`Gh5pIMCpJ~MX zBzFM~6rufmGAmuyU73v72TkG`cpWDK4o#&c?$n&6+?tX`MMXh3bbz?D(XX>KVI{N# zr@b9Xi~2q(Y9(6y*i#bCedGDro3LkbP=85n2krk2BPzK?{7qr)PXT>$6y0jo(VY1W zHS2SLr+7uaAHNxfWjPT&%#eW;s6wQ`Ny2-_#OU#pMCdA=bk}bu&DhGG0r;f2$8@>> z8n5qE**8gm_irKSO4L;U4HZrqM zXyX-i?gov9pk^TQ;^=y4{1{@qAf&c8{!ReBq=j2KLEa{RzM_$<<4w6(BRz-T`xHP! zrm6{%_5UgZR**dA0#Hjoy`2Dg`&ADS>J{eAamImaJh=EJKpwuMl`FIPWA7EJKHJ03 znH!)!j;?kbHgH;rCs(Yxl9n-_{FDysK@iWtba{?INenD2ZXqa@Z|*dvbydKsLAW$i za~)(US|0&@amA%WkYxAkZ$b&;|5eMVDQW4&nuzCSCSzzlzd#=bf$h8e%ZaExRV;#`a4Ho)_8MPI>!eabkj-?)hnaKCvj*q_o` zPn!I+!XPqf4zLE$ zxXX=Dk^hq39+#l;YBh(uBKMUX&zSE|36fa?Yj}%UqVSBbZ`mD0L4wwrH_x`sQWavH2~P86_JyU$^wK51%lIaB}&*=>F59wjwunf@~Ko3)4q){0B%t z%xJ3oI`N&HO|G4ArFZ_UPXv_ zbcqZCG{`->8x7}3sNu$C&$0PTPIF(}wb-8@;6gVWiQ&FaS%io(;Et7 zFr5F=UkHeALCoBQyAGAAdniyd;Du-LvNoMR6$n@0Vkf7I8n?Mf0caW>*SL&FenAhA zMv3>J4lZD`N+a4GJEU*b4hl!7ZAO&(~>XVasi6>dCQyC!V zVQC!bWd$x?2)yewi;VSj!$S#cj~k&Ho{`s~$5uSSHN}FuK47WIDkn4#jkLdvk|~E} z9$(Uf^qh0GNR6k1V)n*q?TtID?LvP>Vj5yuS<1fd9=Lz^^PCoA#yb7y!84gHh!!Q= zIE%bp*K-#1oDOUzuIubB#-!r3GNa~TrYUy$%RL3|+atBTH`zPxmICo_y8m=*^b z$zB?0VO3YBm+>14Ht;Lz-{~W#l0SKgOpQE|E`2Fierd@m?a}6J6wE3Ek&6aq!g*jd z3i2x8LqHl3+27(VZcE_rhspay;5T2 zFQ*-@CK17}7#aPWc%KFIK4`^2w3cT`PoUHLu~4FU!`%X}KPVABpeCY|=@!Ag%HXFe z;TM<38R+TuasqNzO*ldT&PFXXA7qFrB7GW$C=cD5e8-8sP}%1L6`35fZtmoX#=o+5yM>NDRC9B7QkQC0Xk2?Vm!T13Cq{95DQ<21z-8Su$ zw?W@IlKfXpn1$cu%-7pblKOv#e}DvPm`k+~9qYFbXYU+k&{T1#yR=b*80L?C*E=#t zxq(@KZ>XGwro7SXwQIfe>ZBN-awx!0>%SW!Aqk`fcH6qb6+PVK2|GYM7Tt$|pB_rD zMS;>91KCgO<$D6+P4?MiDDbKKxvZV>Z$?eTpaqHC=jHutYM6;A}=w=xnq;p<$Uhj}iJFPJz}d$G?H~%19kY^z2EXXJL`N zCM~?v?v(aPD`xTT6;$J2h#J!U-&LlgfHr0EO!1?rt9G379#%PvU`HI)g;b4`5_b0k z?qFheoGeRI?RSfe{ts1g0{A$iXRIBXR|p7rm9sk?9{-QI`YYDk`S4l9$w zy>D0m0iwSmPyf8$41UkthSv|5fvp4JhuDc{=Fr$s8<5ArVSe(N3;dVRr z0;@e$r@!ofi2JIF3)?RA(qlAWoGn5R#yUjKHYtR0dj`m` z$BMzKxvv|mf`%F_t_0v_7L|`-Vc_a#+xmVMRq$Y;;|RGQH&|T430RQ8&SF$+wuow; zZjx<<1g0}anSgEtVZ)knG<9LpMk;VCip_@@F!tn*ObE@49}d@pT}y$Og3K1B`RX!W z5zCK(<u1Th%wX6^dDP<8DTA-@K)Rp19zq)VkFi^4q z5dmGTZ=I8z3~c*-*p3FH945-cENHZ1$zO_#5E6S=B)gCdGZR)o%#u|5brN9JJ?pIn zFA`R3nx40~KF)Z#-^8~Iz{~R^r1uKrgRoY`^u~}qO38+0E0=2hzUf*-sTuFuwsztj zB*b;NZ@ZJ6-b&OS`Lws=+KOSi;2Fw?hQCfu;U44+dBgRg60Fcjr8g_-t!c>BvWjtlG{0L69kD zOaD1ndY}f_vbS_U%FdNRR&F_mWFs?Sf08kVHIwF{;u?aQHcfu0qkZvcXo>)sKV7PR z3G#6iQNAVT$bPc5DA55cP)9zsWfI>n}u5R@CDh1`%kJo3LIbT}g;YDfIDaRKzqKGp&bwJq$WMxB8z7@`!j z8xLK2SG^WF3PT{f63`-O%x~(+S+-QMf+CRzAtMhDLI$ip@_L2b5q2-Lg?$`mi@8Br z@%M^+7UwhZoMQ67p-|KGazay@KG_h{zyW4Nl!H? zhz3YlIqx*2TK_aJ1bhq&!U~|fOenO|P|P1ht~1YzT)$M2tk2b8%Y&>5Pv|V_epajA zV)W2lg`GMS>HPume;fLHQiPFcCOqx#Lc*PEotJm*mTX5{pvW2xpU4K$rTU=@VvD@; za=NXD?%AYb+7>li*)+O9Cf7Gi_k4aTNB`>vifjzpWEtBK+3&`^2_0PI6jLPkrC1<# zRNd9y?xbvOs|I8Ev_4Y5#c}q%-ff&EAQ+g75)Ie7h^{5yVin5Vrq9)1DHsZep(wajdrkLcRE2IZr)MKK?s&08>)zkKE{-@1NCaX<(?$ z?SGW`+IvclAoWR<4CDF`#x)P!sLX9G52$-fEcF^>FpXIv!XB{90VZCgsRV}`V><>_ zc&q*KCkXu!eP7pwzzP(bPhMdM$?}}Kk_D9<*o)61<3YkEJ1xw3V=%qv^fgCK?rHPA}4IK*J>KL{p_Qf_%9ILr8+-RiCh3FW~r4 zA+)M=iyBq1rW}(xLhyLW{i|01+WfplluCoh45cby1hfU0E$ka)35)yrn=48C@R;#M zP@2LCmJB;uQy$6YWW#cgVR8!f*LljV91wZre2?sqthMW$Cq4KZ&p_b*RPV4PDclY9 zzNHcdG*O1T>qDb9k|;^3dDZp`g>Wez zL{*Gwy=bm{fDOvt6$0N}v}$pq-$zh1PHTr+Hs~XcFJRmW-;1EfW|aX0jHAh#FfTT1 zh_uFluvb_oyy4GyBijOC_b1#KYYNPo*HF2ZlL<17Jg~zHnVaG}Lo&I~{6>`pq`fVw zEo(Svs!Xx=I#0L>ly#|7LU!48m?zUpuer)pv=hguKArrUH}lQ-c4WO%#HpsuC}4Bu zqnI}pR2MgMpthc40y2sI)sI8`!hh<0|0?2i;d^+A%ax^+IUcq769k>}T%Bu;5+W>K zFGB;-fL2VN#IlIbqxCa8$Cu^ZuS3{G`+fyqt zZ%?hJ0(}s#H*0r2Zr08@j-s42D8)>eK8y{Irz0D=OK+fFsc9eGEp~zkNS>IL6rUIY zeSZ_CQ{E(!v@9yBhxV!)1vb#u3n1I0z$ODv|Cd65UMtf8LwJW`^B@+s>bN{@b9CoO zh0NST_cHCQF1+!0<9fj%D7DF=buM~TNE({*^dAU@Bx!*xQ&B%sYlc0Ft?vfS7~cWF zuzo7_>=dXhjIW>p?@@wzHna{+%1$xo+h(EuM0!t<6P_LW;Hx0NC17S=wfk5&sxo>K zP(V{DD=m3}!i@*VtdL z4gcp}#e1Tkecm~4l~1teF#IEaheAc!JBo;fHgTmSrHNJnUB?7k_P<*+ATRw#*8a2& zaNZ86btl|a=#kN$AUDZVO)gP;2AH9kuXr&mwwb&wOA*;UhMpVxOPUjodJP6qmE^8Q zFTsIzw{50yi_9q%$w{hl-$xKM=ox|St_=6Q1boM6VCFlV64E1Uc*c|ShPYRDrK76#G+1-SoE!cG#9qm^f(=^qmG)8?hUd)nf1P2ErXFlAt5 z*olBgO5Nw6B;Mx;k3c4W+DqqB0-C8x(=depM;Ofrb61m;G%%ETz;!%MxRkAd_=qRI z2!^d!%Af(qNVa~_hB|1#(bZ|r>Mo~u#k?3ZG?zN(RjHG6yhI#yTnavCr>LgBz0w|z3#5mXY&4^k#jF?q z4lvX{&NXadH7k|KYo~lQ(groU+U#A>K^m zebr0z&zx&hMN9M3C;@nj{1mfge;eoKQiXi?n7^Z|bbri`J*;bn88uO_Sgc>3d<4#(UqZqWel97ikvdkkOs-p z0yZdxe-GhDM@WkLHkt$#vheN{GrPVGektq!_D=c#IkIaBe9sMiPbah!*x$DO+gi#m zQDEz@2!F(HhnRr2PHZ7aCQWThN+UJAkPL+_Dn1Y;d4-@bYn-(?#o~`XD;RI1cz@)B zABD?M&QCyQfOcVo!UF-+8$KvhP0TU`3>0RdV@T;dVWNTlU%_7m0}%=a7{FbOm+jD0 zi(tiDtx1b*%H&pkJ8Z1K1vY&npld^CHoEr8##2_5N3mVtvY_QhZ*E8ilj!^Bzgai( zgdx}fet;$z*<&oRQD-dR*e_M+7Inz~4Fv>wirMH-=mTtxj`$zp@;~6;ZUv##^KT8+ zGd@91JFWZMR36gv-?4s$$9uzRaPS@s?HoS~8w_>RfjAHp3delgVKHnP>g_!VZ5VGA zB8_KC8T2RB)0l{cxHxHW6Q03M#!3*rdL(l~5r%=g5nunl$^hw5FxWj{!G7PV7z(GhC=|Lu!f z7aQq*vYpfi+85s9Cb%ugX9Q-fJ{Vw!~w<&c&Hhon#GL?dx4sNJ8j?NjUHcWheEC9PllvHQzRS= zfn6Mx%4o#Jxs1S*sXJtTv@Y>N0HSeaMJ@y0%P)Tt?Zva8GAg}-6y zuwWGW_xbA;3S>FHL^M_O55IFJ$8T`};L}0}G_v9mk}PZlQe$kF4F@0pKYUm{K>%)K zS2b>+VgZWMVg!}K(#~<)d|HeT3qxVPM~d!mY6T398yl)TZ8{mb6D$z{Q_!KF(rAhy zyVh4^f6Xk5sPBFOE(9q|T-Zwk-qOHjQ9CKQX2+WBm?3s*6JZSO+5>JOJK7lE!{+?K5K_z$7x1`(-XQLqTL3H(ItKOV7Hk^thyOe3F}-!*rS{NX zW^SL}TBi@|B*{(UC#j#pZW$n_E1usbjGb5L=KovlM)?(DeIur@N8u)l06$b~mW+t}8M-Of0gn z%Flq({=f4PqznDyS9n(???HuZl}D`#ADx5^btQX(RF+elc8msN|Kbyl1fLwRjT(Q1a+|J1em(pZ`5m}d*R)3$xd1!r8)#fW z+c8>z-Ng*m4N?_AVkoJg446;#kq}|ZMZD@t7UESq83hkxMt^Frc|xs(M8!J&d)|?a zuY5?C*#GU1hLpC_cG|xz+h_%d&w8r<7?m+!z$%LrL0?S3T zmi7EUoV{sOlV`g&Tx%7rRiIQsP=g~{#fTFsL$r#B3J5-miV%fbP=tucOmd48C}12w zstl1q5f#yj%p^{LMIa(WkReebL;@j%kOVT_?|H?&*WTZs?_KNt;aW{e?(w?L@i>mt z={lu7JQeve9zEz5)2Mp|)mk_x0_JVRO?F~hX5hdjCt+I5eHITD@yCL|+5rgiOO1!A z^`|bT%_4xr-IF_RI=>?v;A8ugzF115z^tOEi0ZLJm{F~@YR-HSJkNk{pE?gJcS-mW z>bT2s#wF%)-sBrf7w19V)4d&eS(J{FSlw|$=`$|7wuf^XXTbHiW--jh)2Y_IP;D94 zW@k*z?!JsjHXV#HrYWq2FBQn|W9BaygdHM*9;ZQf2x=PbGWdN3^7}lxdBy_9bMf}{ z3{(T}_+;MEjd0+t+U?^Pz``ROAgUxFpzBx=a+4D3N>GW}s0!l1W**TvmM%W7?kj6G zYe!rA#BHWDFa-fROHTHv__NOcil5|&=Ah965FThh$weVEp!$HBFZ7e+*%z>#|!X$VTsTP$qWzgSnZsT0Z^GV0mCts1FlsN#H zTV#28R9&%yvIRZj7zF?Z`{^Uo19uw)x+H`BTt|!3Ot5kVmdj77$q*!}r!v{UH;1q@@GQmI0f@B0He!RK-4I zta=H`d~;>NQU!nz@hc;fbo*83_zO|9_o*1+l1U*YDAyZ=E-0Wy#j}nx9$0>4x}m(8 zjU^Phw#+&XRXk|D3+S{ch#R{ywgS>NHBq@&EnlBz81?!rRh`NVk5S_N+L-ialri0W}=?oqtfr-m!oi z5`^RygRi_J*0Z6fdrbRjnP@M^TI30@ve#H{u^G{vrmAK^0Slk12|@moW}|x~M>7|V z>;oL`5TXQVb{lA1kZ{g7Y`xiV*kRt$N|yin;dUq~L#GVqRm&W%^H=^{x$$QC0j%Yu zg8K~EMk|MtzC#*J(`qEu@A~W}5`Z6FLr9xmzV532wxFo6rjwk^+bz{&TPj&{!fc!L zAZSY(^GOXu(Zt54+2R#<8c5G#2Xq=qH~C|G{#1oIJfFlu2ch@KL{`vA0LXR|G;Ikn zF@p><#?m_?`M~6`Q_(s2*>B|g5^3O8sv;}6O&Xi98sRz$@sJ2dYb*QTMs_CT>v+qa z?4?(37F%UvN53sELGtGPtXJ={H{?`FZuP~IbsbxZYOCAsh~^EwmD%kPr*()7=UWFh z40r6GFCIdm5Q>)SmiYIvO4)-woZY&%FYovTJB#5a!bB1@BQwc`*BTvVtGQLHPI>Eo z&YhpZj`??N*y$cI!GwELLs{z` ze%NhJk-P3ITld-n%W_T>6`13=el%}ncEr)86vwLotQg^m1&20HvuFCb&Ec*?Y+!n( zQKrm(dvZnX$u!-gIsDFIE5+L0X4S9&dO0cDTG`az=Gohm&1x-mH;(mqIt0J2s7WB` zhqk`ceZ_)3LzLrP<3X~#BJn)tPWDV@<;k83erb$0Kjj3(Wi>mZ`{UHg-@kI!=)(*8 zD1Lm6J$ar#(3QggY=nf0F2t;lDwlNc3CfgGm&ls3)#+pU(QFvkBG4|mSssON-M@T$ zOn`dnSB~`lF&$FHyrsz^=;&d?x<}Kj-|Jfc$C6t^KL7JUoIc{b1nTu(MFlC)Ybi*W z1s(2QMfDfE*+sFEbG}!4ZhT*gc^4JA3g4QFC(EzwiqjpGVgClGmsODmJK@=Dh=k+f zW$~vKBSh2}Qj}k9Yn;$DR35YiYN^^XZFIEDXLWQ!&m|{l%1SGOpQSwiJgwrlYUi!c zI3Ed@uMMy`ztox%1O>bo47kCl&zt$t^VR9&y44~+@F(gfgbY0aD93oP;6HG*K-Wu^ zdm(#ai-(T3x%?pM8uCUzCJb%Cd{uA#uJkacPVeFZ>_NI!HCl?z&WrP-VX%H{HIF** z*lR9=P^(NrhnfD2e|7un2=Tq&=hxS`)40$cAK|?TT){Z&Z*6M}Fs?ch{eryTn`D~z z#HeQ;L)Y$Zni>Y3dDXM$aQQ0CHdmHaVe-tQBfC|%%t%&mM`mxZOIEqA&>gLZUXU@7 zIroX8-w3`hXRnbKFeYd7-$ZfBZeePAjwZP3vmh>w0IU}Lyw{4VS84R={Y=j#vNYPS{dm?r>oJFl zYeS^J-0{IzLUqZp2ZTZ(_6YYmJZrtCTdsDz7wVtws~p`%mFsUR_lo-^2^T#~+$cU> z=YW=7OGI-O2>e+n_Lp4VIABWVHPu+|5Qen1@{NtxS!Z`&4W5P=aIDf{|Ak5~lM+NB zk;GYi-0*y@y^zG^}zxt;mvtsbl5Bt+noHSK| zMWi~C@9DI(w3(6ztE z4+AsC9`_buVoIW7`dSh^@6p-_i+H6e4I1zLbjk0-!mSO!WNf_@rJg7otUlgl0K_p} zqpwHeUGu@^3cNvCZ8W^xxaiqrMiBZKhdw$qCp}QC3fkgMUh73CbVcS$_-jsfokg1t zdq1VZ)qq2NUkgS-;~VpXY)*WbUd{9!(ENKd_Oi<}(ck(A`s&hJ{UBaL{FyhU6X1%k z67Hr?a-;Cp073M3Osx4>W45^^+9VtrrbMAw&s`;nZK1`SDnPy}8>4l{ZvU#f$X}CB zwy2>nejfjmo3wq*Zk@$VWu=)+Ey!t39DY-20mqe-uQx&!f&x6CQB36+AUN-@U^T;I zK7liyr&NiLe6yZu1a5ex(zSZj5EYw`=^@CT@tl+6)71kvvkL51-&Fo=r47~g%9*j^ z3t_ykvv0SgCXAn#ZY4=%YZ9lBBDXD(ao8Uu#DQbmBA{qMZrep?;8saDbGnm)LvAPu z4oc^#y?ir*sUc0c|8@({Kto?yy*CGFa z%E*5tbjEMh_c(vKW70prK#XFvZEP1B_%(zH75lm8BvIHqc;sIA%3{ULZ54tWi@}nr z{MyplRlr`L=sLHa|8b#3^hoNcb0YwZkqh>}ohUljf_kMwk4Nj{P3 z#=_qT%t!11D_LHLKOneypMOVrVC^I%Tvms4{`j6^&Q0qy2InDW7ce|YhTB-djj0GF zD7m#hzpAr_TjAM>{>m%cHE6%3OA)ziP5yh8KD+;7U}7n+lU+2K~HPLZUX zVug!Rz@T?v#%AIl47E=tuE}Sexqp=)TNEE<1R%P7n#)?dx@iXEGZEnl7JJZjrH$oa z6@#O5^ll`ds>uUxEoPu&aRR@7%XRbDwC7-NJjMmw@iy|0ynvZX=V`0+SqnQ{cNLe6 zuO4QYT`1zM0ShNn^A4wpC#Euf`xpdqUrEKcadNWMJkz+3ia2IiCFWMSzIh7Ep#qjv z;QdC@1LEelaWPxn$x)f{q@pHrcT4=(EYVFTO{=p59+LS&y17U-s}WXQ2j(0p6vitY=*M5523w%08r8s? z);8HIeU9;YE+t_`PMU-inJPiPAfC3I>v;p@xn`srbO_8$S++$>Cue}w6PumD;L_`; zC?g)H%btlfpW=rPSOfCrv0Oj!VmRGuf%>j)=;Syt+2gat@or0GMp!w_3BlcYmJsO) ziMjf92Vwdis@>L+>kF%@vJ53Yz;o3WN6@zZp&1eQB{D8Vg}+OORZrWFk%nEY7jlhb ztT(%3d7dvYjzb%F;`O2Ku!dZ%HaJQjN6!5;=h;tE{p{t={f%k7;@fctfiF2xNJtvWjT_TD)#P z>>`%j3&Bs#iqT(K;pAwpd515k6TXBGu7te>CF7&oj13soBeT{8vc7?@;;A+Z+hr>W=R}jHOO5$e5Ac z&Go$rft!Zed{xdIN*<~Z)4+kLShCI2Nn88cQ@BU>0 zFDwl#LoZLXcVh|lcSSh?R7$+?zw*<0D^gUV87NR>7ZZM~j&n32Dfhaa(L!(t07iH;A=>`L1Fl+6Y}012gsOUY}GErd^GeNchg)|HQajqlrST z(oXxHb8j5d5LtpQRX9&I$P&-r&C zethM1fJ4jnR!w|O=S+9U4?V^XVb5Ckn!heN0I_W=xnQqNMd-uujyaH#~LtZ3a)7Yg?JXSCTAZQKB&zpS&e?)N3GG5520IPE;MN{(snscjBeySQUsF&Hc4 zxMFG#GyFJY3*CSnIzaiMW>}0ItH`*%`P|gV|Co{G!!maonysfZ%N8~t5;J1I&QIE<1`po2;>?OkDQO7$$C1s%&blnxcF zL_sFVdBq;ErUSj&Lu*GWmJONLsG_KuPMTv9bur@vd->|GoS|bAJCVHQ4!>JDy1~jH zF;%i_vFrGKl{W~1YNrN@{2HwnutrZZoHVxqWcB5_SKobn%V1NAf_@V={D2*p992@fyq?xN>7kp>>g13J>yx|E*Nk| z5M}mF4R@7Z+PWVeZ$D{KftksTHhjiya7M=^+Qc&ZT+Ynx$qHXTKXB} zzJ$u%LPoGxGi{4kL6=RjBbaUNm+|b($!-)rFvRz1>`s>10o6Ki4C*wk(l?5X2Zft2 z)au%ExZU8oM*@hofCv3hYZh`b#n`;A;8{2-2yp(*d5r488)>^yK5sie{wBlPhxgRz zuRq-x>PoHlbVu9v!L-=O<&ZfX#?OjRZW**W7pjl+9xa8nrQ}1OElUnnIk_{soG~m> zocmHWqey%ez;~);F@&gb-WbX;hJc{?TONNRh@p>nq)ZjK%WrjR6lq}j; zmCa-Qt;v=2kx!zJo{79-Qagw)D6WV|uwI8)zK+q2<|EFvXaz}mQFnUx4iD||pfp1u z0!lLWsy^SO_L7Ox?U2)qYt}JSe=;O8p`TBtKJX@u#63@XXMMo>4~QCqSSw!){Pc|C z_Xy(NR+%sL?N*kFqzZ5W*IEcl2M$ADT{rf5<$eHOz?z5)kMVn}xY4e-mP@M*kHRO! zlQ0CAaX_Lh5 z%`h=qDGK;DGVqGG$h&E{d;G>^jdcfs{T>sV+1?kFYc2?W>M6?t(69$vU!>|}tg0D?U{-H`A^GP> zO(v}-n(#ABsl44H?$6_pzu4?ubqQO3vs|hDZ6Ie4H+Bj=bnhZrg?=lCu9&lp_9_%Q znJW6g8+*eezu*u|yuf~XqTjnXei&pcl$H%L<-B%aN^6$Qu3SS zsP^3E@tL+I*R0J8s=3OH8$z?;VnRXJ{Csxg?h=BaAm}aA)886BP>oLajL&ouj%XOi zM3aV_K5*^&VJ_0NYHegK$ya3w=?t$Sm&OTwjn7;z09{dwN>Ket!598vCwphVJ%&Pi zIksC!k5^al=DfEsA8F8rK!~nhv!K-jo<6ez5?5@ViZq`!7_==iRBZ}d`xs7XvfMuC zae)TVqbAEun(MsHG0S7mSmcv_m$Oxx4V@I@w>14Y1}ce0X*%~6ljp^2PH*R?Iccub z-;TZ7Rm`(7Q4EZ)x~be+CDYdW7jDxQ9Od2Rn4M|D>{qoArTWg5>s1c(P{}*&S4?o1 z3GZDsmA~+T#SACe^crWB_L^LmSIoQK5v`j@sd=M{4f{1d%m{H!PLQ&&vTqOGQlw0e z>yBkr5q34f^olwB>E?2~ z*OeRLY}f_a1b@Ht{^7peKR|JdWkrfZ$ne-m8%StKt4MKSlOgHv6T#hUVl`8*lOfHZ zPID5LXdV<2WR{@(2rJz~215=}zYt}nb(kiuAajD7xG2IBDbfwcAbk}HfH;4r)ze|| zT<5K#0Pqt;^Q`xfW8?L|S1OKD>u>H&bk%gWHC$_9q-Qr1pxiKqm|ieXP?OGKeX)ZI zqTQZG-a_Cune~a_c$)PrPRDWcjzCXa@Ln%?n_f5x?iD=?;}i#?oR8BM{Ymv>PgVC{ z2aw{VPmEFT^mxJ5VAmVv9jxgCHn8^0vrW zB%Cf}%piBzyI#-Y4vjfa1g&E*MmF!NjyWYZgHazg9rfznGlu&4&o#obH%+!^xw-WW~hRC5qNHqhuK^kC%j24c z=*lC>kN;%FI}0Z8k;bga{*~?Y_+kGNfIFzC)9`~ zrmkF#z^@KSGeY7^U6W}YACmBN=r%ZM_BE2hytgs)0U4mV;ykM4MR>P)A7_0lyWNs^ zMa>#ggmLFY1V->Us`)pSj|HLX_B?|`DHVb|50$a9%q#FD>%@@fba&S0iFSCrlnW~^ z5~u?&dQ_TQf@)3ELMvXYume+;&eJ-&0K}pfYiUONUH}P*2aQ)N|t=<%0aOkXSFyPM_=+6T`D7W`1;s=D+$invKSk`HMyB@x;vjYIJr3PlYqPK|;7IpUIP6tY zcKT0lK|YANQRiCk>H_e%!?zHs&Xv-m-V7Edt$64ylDtxqljTlj8$@Y;xU>9=T<~f5 z$wUt1y|QWeV*!1oEAJEeIJeNicWozT3q7{$}J!D-66n$(27qzZs+WFW5K#VT{;o5y_)xEF|T7GviJ`vgO z>C#>P7P0=;N4fBrJ6sPCHZ3kN%DB^pVEYg>{Me|1-1S)ysDr+;iqif({>wJzo64C^ z8ub!6{f~%M_F^c(w<@jc#W~+!0brEtD9OZUm4_QfERkql9m}75w`x*$_Z2`J!N8nn zta-2vBv*Bfp+@3strC)TDYZSEkxLU%Mz#MwpSQ}Va*7IsHvR1GD#Y8cX>ZGS4R$)A zp&QRg_8p$)HPfC^?15{sU#XrvYQ5#L>Qx!w$wO&|atlZ5M4!r8s#_>dysVKK4#lpe zsXiYX}$r>k?tDQkPCk7k}VQ3g&GH2V__yC2B#&^GQBT zQ$Zh;W3<)U4^#BGs~hD>0PeyAIu6-Sye@vyy#f`l^>fD=dLPy7^QZ|lP8cL!9{rIY zsfU7S32xE0v;W1d1{LWbN{jFf1Vgsj+sa9e&fu2Dr=_#cMxM+2SniwN31OC04-o8Y z&RMA;#6F>w+?Jp)TJ>ov3f_oIn2HJI79Kon@oay; zM1gZ9nD+*3>Um;|zWmL$ z#7bBp>#$J2->o-)jUJ5N4V5>%jxZSMa4EuXchKikFb{}yggtxP*lZ$%(QJb1xo^e~ zCSnEDPETlFR<6Z25*skC6e9c->`3jVjRpgKpP~0XAcz=RX~M2Jc-=`;yhKQ;SAZ9j ztw%FtN@-d5nq|vaT~C*S;wdYEP^_Hyw*1R3Z^#PT^cPY;aDU*-Xb=rGkbSVh2=1xh z9iLI)mnql=-Xxh_ykfe5i7c=2;5%`1^TyqvRQ?Dca~2<`ZHpp5Lve&HHg{mf=EoH; z%LdSm|0uY5B;)t^&_^3eF2E@YdtyvlJj{h&>1IIHmWgwVyiMN9vbzEQHXiPy^!_>V z3kF@w5w_)V%`5b;0C`G*b@bhWo0Fe$g?(G$qpW#M(@ysHl}+w}V!M*B&*+GrT%#V@ zg}msOm>ccfuKG-~MoS<;z(B>BI$Q%%^=hH`i=ABun8biIC$9{~ML~l0*Z9bA#KFFU z)sC@S2{e_dZbLaR?C8-5ffEN7 z`V>MAvcpIw>@+p>9JVTi(!y2VdOlr-0&gU>wJ=k^=Ju9E8$Pi5TgTSadBj-E9j{EO zK&THv;n9pA<0T8On#F?kxoGoIc^UmHy9rk}zNX04N+%R1+K+VNs#TYRT@3h{CB*t% z+Cd|M_$c$ow-GauqQD)jY+(VZ9RA(00boTG&4dA2ZMxQ)T{Va@V3XXboP}9$Vkfy5aoqS zOx%0kgz`2T_5`2vjc_z`P9pyW1N^vDz_}0+q)xHA%0bnT8gjpcHNdc1U|XZvA>@#9 z-jnN}pAD{*ppB-T)PFwow0X_@>mWG$f;OXfIoH_WOVbb-5}D^aLh8Mc$wXJd3^3X% zx-wK~vT(>)WDj}lNml(1!Q1-Xf*RN`O6wNactKqe%@5uX49Tq2E)xq>ccDxP9ki(5 zM>K=hzQN~dnKaq~i^(+-T^n5H`+zZA`Dz1iBm`~n52QcLjJH+AA*s&>)|Z&Yl>&2a zbb1BkW)P~TN;1z!hzT~3eMRAfvcBMEnPnG9G*1;&B!+u{ENkfXmK@LHEan?MT5|qli zId~dRZ4;YXP>-m<6nH{3N1X0|Y)-`80?!5c`u_LW7*D#C|DjXV1@+O)Z2X__cX=6} zv-PLQwDynwbQgE+EX3P~X7guPo$S_gqE`5gjvv%P$wQtaD0#4bfVei%u`5V0biE~- zbYDU5I68gd$7!nV*IU95Y!#EvtA29S_^TS>scL+&`UYz&m$8<%?i(%EylsV~E}Gdw zz{_9DWop((X(qIlp4&=z9;yeiL>bn2mS>`0&XG6F&(|MInn(I1ImKr>ekz^nM0K>n zgI}$PZv5ecS2}-!EztV0d#^q8200o+!s~{c1C-UQ4 zPx2PtP$~)EWQ7r~uk7x0mhXeu0COY3xRe9W&!Yj)y;wbcAtSals6_=D$@mj}@$m}z z_QA;DH&B@rbqr{YhKy`axDJ5$CAy*7>FhN_@|z-?9qzng+bUY$c-wt(SZ&fpDhCw2MG`v}ay(T4E1o}dA z+DMzF!UdH_(p#F9nnkh@?+<)p1aEVCXhJX`qYn~x`e z+jTzIUbvTv`!?IQHXY|)$+h2KPj1-VJ8#31x6!RdyM;NFrv5nfk2M1t`%i#ZERrHpYEhF!Y10N_4j_K zUiTv@0XU~@GbATnr=Lj4uKMNbSQ{e8sz`UGy!eCLp(E#rD%aw6?!4n)`i;x(bUsqC zJr73Do-=pk{abLogco@$SrTUKyF$cia84n-`DiO1UMt?^PFTN#3>1O9)4!ku8!S+q z4!mv^1W`;cnBFd8ykZu}ev(}{ z0`G#H-}r?yllf9DIE0<}vbhB)oOkI4-T2>0M@gce`4_Aah@fL;l={mBvm}5dA%3t? zP>YoAPdU%Ge~QvDD{JBS^My~Kyx-TbL+E%I;11FHx@I})a6QgbmL(}-^)AI&3qYt_ zFJ6)sl6qjHUUruaUj#8I*EHm%0JfPSKQiaC#h1o2?;2Xh=B0wQt79>!T|JGkM zw9TF8+JYNjI8Ey+so|Gjh*<+(w3H$nn<+Fi_;MZQ80f7tB*7S{FNVNuY9$|FT#lNz zt%@F+hN=ZTyZSntRhP&RosCTkRWB89zJP+neY8s}gP!qBFLU|?v&t^Pn-EJ2Sd%ji zl>rLNce(ao_RhsWlh+-th2?b~yT`zpJX1*}BA=>gk5qX3z;CvN2{M(F(4z7Yix98T ze5~_A4MvY%VxPxVR$KhoD#{=3B=4`haf_MN&je<4m4{mw7Q95}%jQUODt~etLh7!+ zL#6O^*jHlBo2|?$3_xzzS>MKUwkS*uwOZ}%7Fbq%LPWYDx-;rs6YS3Fx;oEQZQ&4W z5ay4tTf_w!KV)~GYSKp;&ID=ne`Pq3I(E1|!v}Ul@76h-6#*N#06}O0AJO< z5-((&eP@koN+WE5PgTKRB7z`WpaBIn8V0OAootL{iu~jGpBAD zu&S9*BY8A3P5S0R-j4ll-DXmAUT1BXTp?yln{IMZ8M+Yl{=`Z-6Q#{lpXeV^! zo7e3cHAC5AUF7?Bl#r^qk;yPq7E>Lvo0`pyd4j?E!|YjyOvPReL#m4}&@svXR3%xT zKhKyZ8M+N;E5@(8zG~+lyaVQ)JgTpA`ArlEL=^Zr>Pek8nkoKzY{wkR&ZA+WowU#*U6E+>Vj{Hd*tgisv_!-Ggx!k;CT6+na+eflHlsn!yYyXh! zB*J81?F>W7*$D4;Ye>U;YPGh16DP2v+vFl}y0&}7cr4=gv@3wZ^@O0>g``^)*P!a4 z%XsSo3}kcLED_-x+xgJi#fcx32Q6`E3oAk_8Myt6i8I9L-ofr%m9GT7+(DI#JE`EZ zbWLqu$ZA(7!CyC8YQsMW^vzl4O1;xj30*|)B6Osgzee?7zWs**`X26CD5}{2leKl1 zfYefA-r$;x}`0$YF`Kw5+w4a|HcU0)PJ zauVLO|Da+W$(;JO(nwB4ajQkL>o?-rc>Ug4z!F|FD$8L^s2N6ytX7Xk5Lp`ZSD%>( zp|6ozJGDQ3|AjpA*CfDi>nRh=x#38or4@fG&dGQ;@Df@B`&5Pk{*fxfh@pF7A{6)F zGtrC-7!C_PdF(O$HFAVd#DAn+!zF$-HW=4g>0a_z=bpm&DQ4q40( z%+1kIJD)0=feP(MG0$A%_%pRk$nI0L8+bqU*2|AG=Ls^=x<0Q-(aCv>S3|r**JL$u z^_s-5m`&&%z8e2A0$~z1gr7xmI`_Etarhn5z;i${MbS3!CICWKF-u?%o>G;d>9=y7 zY!4Ns! z?;)f$e%iDt117F}3}9}oBUKYkYCTckudVQNjK$-S#Pk#<<4y`IXJ^{xlmjf zv$Buy-;{Ui&rK!Jp8Muku1P?o$uMy1)pYsvzQ`?!iX1dKTFTl${#CljywCa65J2m?8kc89AxNXN{r;x(JmdNa&pluM8j5YD0Z2uB?Zc4vFdeRxw zTbT>x4NLOhi{n%so3ZZ@eR!zrL+pth7T%jy!b7;zz@I0?@;1RoCYC z+g#t<9KH~_TrO!;yvp?#AFheU9&&TicKqZ!VvUIwdWKtRO&og6V2MSG&Oj z1^M9P!Csa~fW1}@u5<+GBtx$M6~a`I@}#2R;F8l*A58q?`Qo^Bh%C?Af)n<3f{5Dp`9AW=D zZ0(b|9SwY#+(ni;+R^CZmREmsk>M0`Br$CjP4UApf<`6_Y7wNaXI00G?Sg}r0XNMu zN{tA+N4ub^s}7&q`?Vw5G|iQGg+>6$%xKpPTEO9>y1u{C)Z^Q@Z;W8NoYv5ngqqou zE;3sVg>kT!(Zw7I-)7(U2$IUWBDX_EJf|Bdr2TZ+>;T${Z4r+<&GQS#^RHq_ok_Zm z45!qK&ilMER+QHn4%IiAI&3G8a(=FTk+=;VKCp%E)x6QJ`(v5iBH5FiP?i4pq(oH) z6fdh30p<9G(=(tH$Afx8FQKjWvkmnrXLfNAFvgiYg2~6S=8EluidEkp?-pioTP3~> z0|TDTLI@Z;NI!`NXlye+%>vqdioF8-!qd)qzJqGPhyCr)&MdC|CN~B^X+%-Q_xyf& zof$yo8UtZ_jTj5|_E0BExIJAvQQrKF^{>#z)R3SA$JH9%!Y_;4HA68?P6>cY3>_8Vy(sr@xG-Le5qYek6ib(e0C{np>6WRMlx2 zrJaK^Oe@q5RM5whS`}8=m9+o_p~|RdR63!1wD+~`gkPYGJ@T{a5|k`5vIG>QyBCc^ zLkSr+C`nk@9})CzvIflr(q{V!*D0%lAT$}*V}mZ+_~*MRaBekybc?;&9eHO*0Lr-W z@=IazM#b!4R`-1!YS%Gd@bW*rqh0Z6Opm6xKW}@o@dIFPrO_UCQkE8Cj7;l}K(Kn) z&mG2Q_d;(3HkUiyP;Q@lryYA7$c6Ry$0xlWn+L1#i`9^$J0&zLh=mUsFYI{lUvjerQzXp8biy9th+CR0W;sg_vvx_n z7s+_E`|Lt583*#_kN`lJoK^>hLyF%tu_rZ&tHb%o zvsY_BKgiqM;@NHu6*wUb{n$x{EC>P;IpvK)Hh=}3{8Wv4PK9`ZmNut%UHsT^ z_XhB4_!!d=%*mGun0JPTiKLUS$%7qGzNq!ZY-}ljxFFq(vS`Avm>U4OshK{j;1>Lh zF=qc8v>t~OQ2boSn3S(QbWKukafJ_)f| zz%D;2lmY$sq%S1)6pg+{>ytiVTl7pcqbrGY1T#pqK5L?Q$()Z;;#ke)x+3=G9|rI^gr<1Y)gqLfxRTtAF-}mZZIC&1Z1sN zE%uJLQ~*gZ6GDa&{c$7FnWy_Ytih*rh@TdwGNoh^RpIJRF1#$pt-ODElFC#esS5CX z=hQ#fjD*3s##a1~@x+)J3)GeCQ8F1fS98)p6NCW0xS1-aM%~etX)JdJgCh~=xkpaQ z?s^-}Lr<_r6_gy}dVj)Akyt0NGVndN43z0HWkIcNr3wV$qf6Jr)Xb_xb= zzKHJEI2sV*Rn^Re5a3}?S+DOOXIuZE~qbPC`*yJIS#Brv=?lDGQvu?(=*e5w`%8 zfrkVt^%QZ+JN>H=HZ_fzKR|*+?R5?);674)!w7&zrHP9EC*in zBgy;Y%}Dx72jo{@w};+C7ZD+p`w9gYD?8-7`8DKEpPoaCaFA9br+H4J!~D)CLf1jc z2mTaaHt7>nS2o3e5(XHE8U;#7ene~_*oHZovZ&wF)gzd1V(JPfX=u9wD6ut^XQchA zHHoF*3HO`IFzrxDWw6HvME3 zsRa8MFeZR#-*X>R%{3yQ7><6lh3BTCoOaR91%hVO-QBFD@U;tU;U<`pt4j5mmgnX| zoAflaq=>@s#~~AZsTHul58>xjTW$2aHgtpLzzh5ob52d?=ETk~T^o@+=Ns)m-wMxt zQ~2->!W+UHKh<+R4EU2n`rrly7I(4ddp8LlQZ^~BaGlngjnO_#k8pt11 zeqmU1ymZ!65FDyfrIl*~Iq%~~ewD3ss`4UM;nO=*=ECKJAfMo&DKWm~iRry9ZT_f$ zXhUK#%6{sim#8VA!zY=|QZkBmP=6O#@vr^;&iaQR;T?s<1G|gyBF%hlDLqo~(IriM z3lrj`CLZ;v2vJ9uHDZ=@6?oxkuN z^E{tb&6(XHYES|NWMzlAVRA!~sQaCv=E`3cU`|$cup1`R>0-~X7n~FRs+b8R*Kv!6 zuxX+7Q$0;xE3;t!aU^gfg=5ig6*j+0L~Q{0iNMoDL89UvZ%b5w=5iS4og7{t#`@g9 zW@{yo7WC=_;q^&1!Gw0;J0ytn?sQvQJSn_7XJl8?No^OJw;cMs+vCdk@?RA;e*&*; zHGc7QRDD>@vyzJgT4*`~;p#lqXWVplUH4zpps8%!OL@M9?}IJ=bv%>3A zAW7hT0&JSG3R^qC+8^Z|aBxh^@pCuk5!ZX#RSE*|+IHK@!ho2Yh*Lp2EI5>EVn|CO ztjRA7z0B0wDXe-TQ4k!xpUzruc50tn;$Wa!W9RTZR`HiR;ghETx4UEJ8v{X5f()Kn zB|)HCXvlkMg`Q79!{oU^y>la8Zk^9d2bj>)P1;YvRNqBCW*gw?9KcPa9uFuBwpLj7 z0j|lPRV^@jAMJ^oFhKMQm#H5V)MH8e5_+WjekJS2Jdb^Zn0U+&ZJyi(!46BqR|XxK zhD72bj@bH|kMtSPUIEG&<`%0iuK$>8lqir-QK$~U8~Gjg+5U}33w|o0WEGJ3z;FUO z=$gV3=mcJ-)r)@Q z+*5lisB!)GsgnelIthcf@1yC#C;R(O^22VUk+siYWNi{CjOKOk`;D@4oz`k}AJJ}^ z?tLYHaEYi2^zk2X_R5J31W8vsVkyHr<4BBU%k6|>L^kTj1Wj3mBju9bgIT$7u9w8UWUV`e#(JK&nrz^!g`t!(3mylClm55b;Qvyw`T9S?;3XGN%b(4xsok0; zk_YIt-O00+Q>I0m5T=U<5h63wlsFw{&{c-q0K$ z7k5?bMou*XaS?bvZVQ35Ah{X@ZRKi~rb(W;{gR?MI(2d}k}&_qwnTrtjquBKtCgs0 zr?U5ee!dw0yB68!X9D=3<;$PJsjmj2_n`j^XMD(qAH6rh=K~tByjZ3 zZCZlQ@!D9FRkUNW-G4p^kE&{UiCzwA5F6a+ zcu8Qd{&#ZmDWo6$ANCn1Sz{PjZjW9(EI;$p%2pdMV{{|k?smM5%WocX?qP!O*MGpc|tM2 zJ01S=-c={#nv81a7-Q@yTA?4IVhC~s`cKx3_(tniq0CqqX&|!)!5Iic^rJr_zDnd# zlwA*OMG-e@d8c5T@cT>c5BsbA-9HB))TVZeZRb6j2gd=T26eYP>5-=}{JUPIFm4hx zQNQ3%K&#vB0i9l?%Lpwh5U}~5jPietDy|6J`L1EU_M>};lr<6I?}!$*fCJrgiyZDvsSHR?@dO`y8%JgxgxQc}!~Ysah~C>U zAaYXhAyUU7!{NpkSA5>Bfs2Pp(2(1rDX6_zT_)PA`2*d>htt?e8xwF16Ba zn_x4vbZeG%3Ip2nW6i%`>RCVUBV{jSVMiA>FqOy$n$uqkk<0~G?^6-_2u zl5!S6=tpWzbK+LI2DofJ*xVzB8~jIIDe>bw?|KXhFCfJKKVmP*IsvBMCFoQ!NOfl^ z5a&SBu=BBD4d8dGP{XO0li1>tb{i5F*=)Umk$~+FE&T3-w2^(zWo5{Je?THw4Ld{^ zZs&JH1g8DQ_?&pe!0!^8!UgFFA6pafdW^Z!)VqpcF11+De0v?bSvF<+oxJqheU?tbHFy4IrC;w`=664G7n1hu zBl_h=*4;kNMS62qtV=Z0c$!&XH;RhFv{mxVvk{af3|N0vq;~{ekrPc4mT7y&U3N=B ze7G_n97V4*?e9J(i~Es#{mej6#M-LiCj9k2ax!d+&mD`5zx$jsz#`N#8h1+4Mo(&D7fn=Q50Ry2}*QZwU54PbNm zX(lWJqo&{0i7$s8M8Z3=H-wc*N(a`drM+3enh-n=;X=*{*l#~Vs zT5mvCj4dR9#>iT=;<#7SmltXsTwdbndN=jc@i= zAaNio(m$M&e6H_F?uA@tstKv$^Di(oMEu$diS*aIikYc~(bY$x-NsaTZ^CD|@OM|- zL-R;KFR5Wn&I`WZ{jt=@iF(S2?|Qy@Lvzq^sCwC#UY6v&I-Y*KWG!?`K{1g~JaCx* z+2~}q3F=`nCeOOO3slKgfKWI|V2uWwH$)c1UW7P-P*sPN$ZKZ=9{C~{3Rq;fQM03O zXvOrTiLB77XG_BlE|a9};mv7%nF79np5ac)q6lFaT=)qeO^-`{2G9Bh^gwOa zHKD;5Qq}!1k`py=Ne6CoFO0@(0l_ek_vG2lGL3fm2eMSIZx#)!xF7rkt~?=^`{J^s z@X7J?N1mpN1)xvnqka@%DiGeP0V1YXnh7qv1LG*~LAnnQQQc9Tkd%?vQEBEx^=!hc zB(u$W#MOeuWO}eE!tM(JPIUM-78{w~X zU6jP&WiQ>da$V58k$NfbFL&|Mw!&`dqilawc`*M2uTlW241r|MIF{4GKAr{@U0Kqd zZ}`b%<;K9hplZi-Gtw}U+(~(XLTKPvpUB3ay9otF&M>?|x!w6O`NXrX_S3~l6*pTv z*EV6x_L7cuw@~JT1lK6JuEq7Bwajw|2oPyfSIhRcIcsKt;?Oqy-fm5D=w5IX-s}IL zesXY~Y%eWUX}L(YFYO!a@g3zd)-E`-@*$jbzq2NpcaJvBiQ2kENqlslRws6cGa
_*u%+3Vc z5|@vhz3%YbOYv{6eRh=k$*0dBm}#qCMMUwkKLwfn<<8hsrG4>fDDAiEsW%fXhD^sw zz>kfnQmytT`6~VqdxH?eM^XzLfb>_Prie3?(MR|S$AGwEtu+bq)kw*sg8|3f@xI!f zxX-_n9VD`V8iT8}>n$vrb1fc9f6M7&x4#j6#1qJi8&{JAg-8frncyJ#gqdyKpmYGg zC&AjRC9H0CI|sg2_-IMvdXnH_P~mPI)4KnLjlVQ`-9)^hB-?+G;Kw*`^}E=mk2>$+ zozb&-w*%mkN)#Wpl%k#K6k6l{pu$Rg8EfU0WB95|r$!TUnS+E)+G7iUg;@(VhC!)X zV$hA!59)N#>=;qf=k588sHR(8$RR_ZF&m$@&- zju0CAPH|-I5V~rz`PhlwJ;WiuIsCAG+&}g0y*|NUkGyUGi{WP<7&H6+y=hnuAN%^# z_Yd^+yBe`LWvqOBV{{puSP$Fxo0RkY0`0Nsb8)Is;`}+91^GPdEh=Eg_nel74533Z zszTlBz1X_@kGh+PUv>KaXvKf$YAAP3R7PGLzurQ*^H5Xx$wCqWKjO>lR6KdvhwXus zU%q?;d$&J&F5a5@{Xw&or$u<&L?a-J2x6g6%IWPi(Y*myjM-fL*z@n#KwiH~MLrYJ zqu(d6?GmMM@OKUlR-w_~?<97$cG>eJ-L<;jF3?ysuFLfJ8>l@!hecRN41e|`_+Yn3 zNnm}5_;?6pF-Wv5IiadO;>kAe0|g=`*WKJ@w&``b>usUbtQBe?KAY z!_VI@^!$E*JVU&C=pzRX82gW=Q!(fJAE@jmiFmZ^`%BNT=V#qUCzr6g;?`9C>)eX<~UN>_;#CM}JTMVT8` z2`b)!BAJ#R=G65`o$du1l0?j_HC|m8GYx&tM+)38p$|7q+x1DZ8LC@G7XZ%Y(k#va++T{z(tX3^Gq9cqRlJ?rCIFb1QDL-n3zR)b*)ff7 z?1rGRWfAD&)7#e_AXi(=CHxb;$GLz;NB;)VT3T$TD-ENF1E6NEZ~uNcD*OVRD!||C z5V~C%TwJ)o=q9F27nt!{q-xXACkI3i|&Fh;MKT4-}S)g=9`+1re*t3*VR{vXrJi9WUYXihz-a9 zWkLWPZ=q?I+*z^>va)nQ4jAMY048Ul6}Yp3enRY5>}%lQ`AJFCQlZpWo(J`$Olb=F zny<3?FR=4cqE6I{n=&$wyi949L|D^oQl~3RLC-o;D}vMC3A@zMXt)Bp)TC^ct}8H^ za2Z*sBb}pT-fIAml^5Gpg=4&TF*b!&p7so*GBf*yB)i2EPF#~V$TidQ6F@O$>%RQa zf*Q%}Zw0sFRt;};=qqy2?P~=Khhcy#Vu5ZJxwuk{IFpw4S_2$&$(_oPZOF=*0l5y< zm5MENi_(q9j((4xucbw<1ir(3^rRI3kODd7GY{9;AR`g|hy&Sl4{eucZ@?EdFe-#G zepQU}9~&VFsW#3R+!KWJf}qTn@;CS~$Dp!UghGXv-I|?O1Ap$D#v-5Wlhn%bSFkAU z1+233qX#0a3TH7 z8dFB5?Bt-pR=f>t0(jNcpcrqVb#Oe3Fi#5m{D%&zb7Wb5<2E3_K*%cuJ8VHUoA2g>nYM zl~ecmsnl5aHBl;nS>m2gXh70cHopTh^1&3$C);g4V6 zEt^3(=^z%WOavrLw+e8xsLCzc7svBAt=w6>8LGM{cLl1tH-YM!1K>qO7i+hIuTGl|h@>rIaV-xy^)y`j$4aL8j#W2OI>wLs4qvSld1vWdJT&b7+(J$d+YlBpYw z1+3O6$_QiZ#lIYsCBpw~hgXO8f7Nj&G>|W$7CG$6KN6hZL>M~+wy(Ugi6mY3T6RBR z(NZ0(YDs-L7`hK=KSFa6hj(HCl40Qq86%IZ&Y6xLn4VJr2OfEyxW+WpvTq;Z zOTdieE38yUcUF4DU)6E}Y(E81iC^}&umZoma5Gs_Fe?BT+uz8zMQZ`*ULe%|MetZs zu89!K{%Ni|nww5Q2{C0h4t=7eLY?LnJo8}MKn3zqPCTiqj5`Xh6uNxgjj$ZkuAZj6 zy{rv;iiMlKd|j#j$VoXdn8!K^PDglQUAAondxgO9v@l7Y_3xM~OuqgJu;%lpWJ0T*oXbE|>emoREPON=F(U-rM4(!y&Ih5C1~h=JY`YUr9D~7e05Zea1`p)d ziV2|Irr@ZVkV_1KJEr%*wfX;y5lm9q}6~8!3MKuC_HyO3jCqe zzR0YJ(ub=~F}PqwI&@xWMMO7k%XO-$z|6jB>GoS;S8@HOyZ-=g*ns~xlZX!7mxGah1fw{Ec z%vdBCwfDFt3`WDkk()}o{Oz)tkq9ob{(*AKB-I9B?n{8&<~j!nNq|NIg8EwJHhX}^ zTm@>Pu5e1{T&Qg%y+#?lD1N>%hi|^Qmdw~Y>jtbLR_Ti>;~imVy+7AvlrdcujgA6d zgoieqxL5@YS_ROdCQMyYHegq?c;EKUsv}@9w;)zPtx=)q!6CUWz6$F#3I2;)3US&QH%JbPybV}z4zMueHwYYbWg&I3q*>!6 z=|Ig@zA@;?G#Bxe!UB?6hC((J2`fv^C*`g^OgV>6X$ocGN4d=o&^nZojE`NF|0y?# zYg-@6p0y!+o%rpeoi%9h`R(yU$Rl&TIx%HfG5XU4}#O)#s{wGwtPYBx-kzG zmYSD;15%{2sUq;t1Z7D9$x>N=j147pQIDdHfgYVf1$T-2WRl@BB22#2_EPn;HV=qr!~RHyk0kW(0WY==L2w?rc_A&7Y8H1BW;ZXhD`)Hl_$)x%Tjr+M-d zdI*cXot^`2rGx_}Cx+Pb$e=~H7I2@zMo+GYaxrL(Qns{eMRx<-C7eX!u@W83-==Gj zS7#m=ea)RnJ@(b6B4{gIEDl%UUgnVl?tR+8OiTLRL05dc;%)F@?!$DEL7<=i(!$>+ zUhnkYpZ%{NI0wrv^h(aV)vw*Y-9K~v8PA>y)$%<@9>MD!{%q^=p2`mCeB}L&_0!I? zeC$EZoE16bs%=pesV&<|N*C5)hNQ0@#g24-Oum%Hr%CC!87sa9&*pwi<--#r|t1gsJz6eZQQIH?}+(Y<@@AFk|S=^j5JErY|ikijUyv?yIZzCLrV9RpF<;5-v#K*8k+?*gm&K7 zN#T9GQmHX1lsBU%| zHo1J%w3;*qTACGLrGzj%v!(1>Vcj3TASTyM=9OoSN=?$|U*pAmUY|=k{(+b^cgCGn zhR^t2kiEaweS08yt9CX2Vn7o~=e~A;6%L6h%T>oLR1W&L$)y&_e>t^BVcHH?+HRy& zz*84Je3hF@Yfl*3jUg`@1(f{e@JjY@&A_3qYMK4~#Tf_QI9=mTL6``d3>!$JQ{5vs zIr2xmV?K6Qom|-9L49y6vW4N5$iZ%-M9tM1Ww4qZls>_ElbWTvfjOv(`u_hSI#Wn# z0xV3IU>m|?F{3q%LtTmXz>9b}M{buQfPVyqDm#7aFfkmZi6tmS`Zqfgrzj;%g&5`~ zrwvm|65tPRle}jMaG={H0|b6nB0ZxNw*IPUss1$4Fy>LZkg*kVujpi)(|~wyI~gZ5 zpn-n^Ttz2hW<-DaPB!-argN=~@Q{*M(n6Rq=`fr&l5NY7lX7W%3;b_*krD=VV*zk z0uSD+D0p~)w+79rTS6djj5*DEMED{7cazxrh&-0RyOGG>VIIXWm=fB)=OF799x0E6 z->3gR0~_5$s@5sej*g@~X1`yq2wLUH|BAoC-e4{p+f8Fb)I`v3n-SpQWz$frR#i7J!Y+gZNzzK zK#c~FMZEpC#E;bYW7e*SQa#h!JU}+=^K>22G9&g{c3Pq`{*S-6v)(j-!9+Pg`KGDx zao-+bHGpiG{{UK3c@-omO}iNG8c;3hbTlwfvcJUcslvC#8=J0YsA8TjJF10@Ny9@6 z4W@4Vt>W`HE1GC=^xZ)B_&8bgrbCo$i%yH1piUUh(b*4Lvg+y}H!m>W6gpFs;sm%F zG!g`_{~b_XB)~=KVr=xjxG%LU_;%^@avM3CxhMh70fH+`fXV3+HHz(K*~Ed`)sAHw zCj|Hca_%|>)$cKqvra+zPmr}vK?bq%bqbvSW29uAf_?2VQUtR6C#VDu{U4LT!>l7C zANcvv!lVMC=a@8kD|ED?lYCa=e*-p9Fwm!{o}JNvI3UgQ-+>M29Pkhg%tBSh*B=&( zs*(!Ac$8V?5?PblI}80-V5wt;(Xa~iCYn>&s*eN%c9lG3!Egih-hZ)DogkmTON=-dQ8y=C5-w`n+pb)dUF~5cAyv z=)3hLuCgM7Df@n?(Nb@707khjLw_UVt~QzP6ZUYw!7f+c0P2V7;IX-g zzS)q*a-~!L?}l6>cL4GKUHtUpp+j8`qgh*(i6YkiK7EN3NU9~TH`0us_6A0vI2(3P z-_2jKij{kR&(W@GapCAiGxqA`;(b`CK7GDZ#e691Epx^#ea!nzAR6Wg7?nS6BAmNa zNhk|3BeE6t)+5JiTqH8@JT=fjCa`yJ;R9o`8>CQtj-nw1tstc>2`_y# zWwd#I;Qcf(d5~1~NB_#@A@26zyU9HFtCea82Zh#~9I?4;Is!3gjgzzd-aQ?5{ZfF#-sFK)?Vy) zJ8wA9)lno1W+)0|zdgkJj&TX%u95Aa|+K(@a;ywy zoNNo<<+`se6jDr5vx-ADw}j_>IQQ~OzgG?t=;P%1irL@)lP!xvcxx^WJ6CM$tCo5{ z?vzOFCPilK$>62WcdI3g;sbsjSh}T@{Mum3L!~gyw6^*%i>2kMjxBaO?B2^QQJLT8$;ca z(pAP29#crT(aOdM(~*EUxEWnlbkf-;evXd4??>D^#Xj+6fZS-($V|(QFv_5N>+s;I z9~#ZIo^g{33U|pQiPL|qQlCBv#$uwxv!*+^{}uX{6LD@D1FF38a~>#++Ob;Z094YX>K%UeJ;-ud@T zsePcV;TFg?q}+?;*|BDm61Lwt7Adu~rw5jM^G|6XHLMFX!!nx8oLT7mS=Xa&Q(i1- z*r9RD09fn5)k%b^hS^>cc&Wx^pZ<}nOT06efp23{dv7(RnVQplO2NnN?THn`HswMe zraUH`{&AKJ>#5Ea>p9|h7cA)uiKx^nxWk~Y7?LC9daFiyxu^&cnY2A(|E+<&ZRi?h z#1>8Y5n}_EVZ7ip)I{Pgpzq*L+S#%|K2+VXQGQz*~+~zMD~*W zeTPTK#ynV0bSpy6*6V7DZ<;RzcYp3JWzE*|o`@^qEiLZ)Eca=n`&Yf99_^pF<~PBV zu5Ix!=&%)?h0m9+tDMskjpK<6*Q70ML3#-f8Hirb(c2J6fcliZWOJUy-kkXRUsnrzCRxn$9OqWUKRP`wDz-!7+*!|D7GY{S@`^3bK1U^ zkwT%#tdB(3cXIt-K9;i&_BMW-BJq}!M`ie)mJtl6ZG`;BNVTq}Pm>XO_`$GH`2%eQ sepU23!K@?jIL!XNC%E6_>DL-PM@sxCOdmz?FJO$C=3dRMDa)7qH=A}gK>z>% literal 107108 zcmagF30RWZ`~N@F<~UYPI^~oLGZ|W%npu&e&|+$+nVFioP@0-qnk#O|l%|=bE#i`? zS#IT)TPg^Qxs?mozaVM!Ky};;#bS8+5s%J&AxLFyZ$y{kX9ZRPS)1f&t zFGMc%5%Tjlohe@Jht&}X1E?2bQp%NPWxwgVcrC&^&U=qRjHPz6ap0@cnCn`YuS; zyCP;$i?y}+K_^`G8~tYo%E{NXBGbISUDFb!cnLjUMSE6=a8?DOPMz`!E@y;V32A&s zDbrAr2p5dU6dula8t7Hh6-y3|=!5IEfeM}Qmb1!fZjbE1#dZqqeE66?)o@@SHPg=#-=ZY(`c^Lg+5Zymgke*@nWeb}NJd@px zG+CkA^*;WT;#O25dODo3df8bqEbD=56s{Ae7oO#GavC`$hCU|Y^j6@V14{eb{KO{h z@$K5neC|^Wav_j|$;rw2el5CgMXxZTHstPHUajTWe4A&KOjPHk(P5Es7HfsDj}&7$ zrTsq8LRKO@CMp8eE8@Lj*K@#W7q1Aqp zI$C2yRzFLJTtx@O6z+;#7F_GAifx7Xjv?vpxv0}%O7mF2+ruVA8C_UZZ*JQ$Yiy99 z02-e$7I~t@-BXGG_gLil?wRx1BvDAwxJd(@nUj53TyMyK%$>scH#e44mXLtA_7iIJ z=V4x5VmI&B2vpgfE2^w-M}L3Y6u2#fu6AazaFoCQEh^`}??6QBUpbw+*#Vn#Y_U@* zCedalGyR^S5oRXJMDsNNZ!;mwK~~7}4v0tSTUvND##VGGMJyzSH`5bsT1QLAgwsG5hfpxPKJp6g?f^CUr|pHgRzZJ>w#;d%-KUStW}H2j#C3)svA5 zYSW{26l%}@NjN>}C7l1u^{Nb0RRJQ-S0*Gog=(7|^~2U>U<8lXu6A9v^y9<4n5PzW zQvN-vOOpfp6(9+jHjZ_7c-s^p{qge5Um=f)CxPc-*|r3A2Q+P_^Ad2pdl0%8s54wL zhG#tE&{UKPBO4TK0vW(bF<6~oQy0fOsvs}4tmQIOiDjlZpdWal+TO^s%P8?q2jSFliuC0pcPcruGc)G^>lb@`q2UJN*FM#s!;2(tBgT2~Q!S)^ z$%A0?6Kd_4$H(NE$>-mrMvzY=zhwE=EQSnc@t;?h>iIZhN`|(5&D?#1!Z_!<9ecXg zIdMNBd3FYVYo+(m@^QGm{Va(OZjtr-uXTV@nJ>`GxyBZg9 zzGSFkL7b91RAFN=^O7Wq=dBQo2Zwi~T|#DNZeF}oUga6D>ufxI2(@WOR5FZh*Clob zlKjhF2~Fb9hS0UVlu8ATy^BXR8$!D zJ0g}3g3D^ZJ7V+Y1#U=CZ&%Q{Q?AKV zxm$0G9i`Szi%~1kCHqsT(&NoC&~|&dt5Inm zym6uYv%#+Jgbv|o``d7$=8N6%UbOc1ikl}pa}jxVZf{HtC+a!{brW0+xF(EzF?A`4 z8J4uQ@C#%}# z{>tS%CcVcMhqn+m(zg@O0t`7f|?yyPN# z$Uiu`mPN+vn%LpRy4Kz9c+X|-K;=sKt*hU5c|XXM^ikfN$z0a!lY%)fNoBpXj+x8Y zy5ZB_tvIIMmjO|%PF}6W7;lQYHRq6Jgu~=XILilX!N<$Ke@xvQ(2op>KYPGF_e>wI5O)FISc44R$M{kI~?c z%T%dbrsn4p5i!kj%VH>W`K{jh)Ha)y?}G>)V^}3G)(vkeOIX?nUbSWm+Tu!DG>s%m zO|o|=<*Wac&d7=*H{%VWIzKDv=IC4xZqWi~@94?6vtkHOv+TMK2As;zB$39Oh<`*{ z)bk%4E6Np&h^iSqy?!4|4IN(On;JT9zj&&APjPHgaK;e3Y55dQhOF41H7uV}p~iY< z^ia4r68!mOM0|5hbd>sQz2XHgu&{Cr!sCPeguO~~pl3w6Scja0lOG{bAGY^F_o?w- zuLN{C9@Fks`V|=BgrTVZLT9}7UDZ64g)zfiv^R?IR&mXzo`jE_IPW*urbS8X)k|?$ zu0NJSXs}tY3oV>3T=q|J^F%n(ZS1y~CEo6ZmH|LIXvs98~FVm!uOAG8`DEap%QY9P73(*xd?o7jO0OR zj^8vY|6Ilm4!8bm%BCE!*eM}1tp;Avt|iO~D}%2wT>F>0Xnm5d{fiR@E$4coZWH%Qu97c~!lZ64 zIKxNE9xxjr7npF^wjP1D``~YTFh@-%3qa@%eq(=d{qv;OlUXz!g=pTJdXB&8uu@?C z;UQ}{3+1=6x8mDx7}hzS1@1V4ee?e z8I>UZ!O~YbXEyH93Ic)8BpVFvKs;shOw|?r2Dog4|v+poX9RQK%LGUBWl)texbxJ=uN7K^y8?*p!j}rkmUA? zk9qh`wzSUNCt3)W(8OSgkL5u8X9etg@S$6l*VqX#3e{I}Ei#Lv6^=&g^cTwI6lO)| zprUZ-a?7>AOgTEok2aGIa}^lR@kB>O!6Hz`6)1B~0kQ(4NX!qTar5CLO!>Nh3Zb`e z{D^u*!7}GOg~1ct>i+V=`p!krzBLowMzX1uY%H*;jYbw^NyaN+ltvrPf6t)i`>k?x zqq0MVr>P6f?F6I$Sm^0;uk~m7hkY!B-n9KsCGk4$>nA|b**2(V2iaA74QOKbt5)n> ze-p_^LKIFNs_^eCLMvmK{r9^2&tZ5LIV{G}|K34}`*EUk21coH{7-{Xvpat@Yl_8Z zCix@&?G%gqxb-G7v&{(l>vaVRvznH6(ZP}{ki<*LWKw^1=}Mt zTN>*oJq_laq}iVWU49b_t3EA@7FY7DE+cXyJVgqj!^4IWi4 zrRRyBM)226S@b{72!?=S#n`W;5uBo|Xw;6CRh=fsCUHLir4=acv9P7<;NSr{uPAC4 zbtei*v`W}lS+i!_)bIe)0AhIep7_p+iUV zl>?%%3PwpCt6!?k<_~sNw4VQ%yra|amik_^Lr|J&!l>`TTZk%d`f4y@Jd61#B|kkQ z>vgspVQl<#cX8SnqWJl5`jI~w84Out22Wka#@0XKJpw%6A5SJ6P=BRve>v#7#bQO> z*`uS2Y8*4Pv=_I77lH`dhtBG*bw(!MkHQ~q-2!~X+Kjx?LoU@@I8|k=t!X&a4LS4S zeK~1$Ou*ti){UtqCfY#IHXI;nSX3)(4hPmv zWYFYs|9RQrC&Y7rr>Ad&X^?@KDnt^&Um)T6HtSFCp?O!s{n6PMeuORoFMx<_?a{OF zW;)*g)>@z@FBDPfXfZM6N-D3Uxk=(J4riktD9}}n`i-Ph@A;(2u}s($)BM=UPhhDCq98&{HXt<6OsCGrAlFOi&6hu`2E+^e>8ve*$b2) z{W!ZPV`v$)=!l`3Aq)PWgE?y9|17Kr{tbcj`$M+Xv>pgIr{h;UaKfl>`0N<(zfp7( zS9Lm$4pW@olI4S?J%*wQ$~fe|P4;8Rf>p zLp=%bB*gQw=u1@!MkAgXJ<39@T=_46>->5i99%6roGTAFRX5CQg$Q)1g79y^_fDBS zkR?cs0bdBeaEcuXc!AUs?^A3s1RUJ?*!>iH(Fx4MWnz1L^&C!>ulngl5Z14CX#?0x za>6@R+$>7>GZVES7#3-=s>|-D%!wI29DF)ZGJ0%v0rv<2+@m(&9Gy#02q=K7 zqyxVf?*b(bC=Y;=1eB*hNd?Mtpk&Lc4sTXmb&0_)j#i8^+OuC&hrz%BXW+na=iSIn z18H>uMfT6ogrb%Am6O`_(wAwZH?a;&&iR=YQbRDW&pO2~!;8n=GuEVi$hL@X>_IZ$W*TU2MCC4u}k zgL0+pU(qDALnoo_<`H!u&v6l{PGU~sqzbT-) z{6m|mNGrHtkZknx>lIY{HH{>TdDTAJlRAn`0CdsjH&EtR`~4JA4hpNCd9Kd&gG|W3pb$RVn z_Jvnezzi2rog0Y{+ti+quhwtO0I3dtSqPdUITtmHeh&63i5G7af0r`gyZrt{$22$5 z&K9q9HukpduXwv{^(=|2o4{7|Zo@$A67*MkGCa-Tz4x~f$IPjDruD!Co`$>EAboCq zUD%X));~4uGo}cM4Y`)3Fct99K>HCbne%r3>WY>kjA7M}oDn%Hvn=1;Ve_)L&gx-crp`1M*n$dDb{W#3F z7tAZNbnwsWs!+tM0lyOrRh}rn(1nGWQGF!Wp-`$=z_0M|_%{6`8H;<&jnLqwWKC0f4nter% zsRMk%!~E|hC-5DB28nluY-Nl6JS|^2&)tsci;$YDu*LJTkf%gj;9EDn9i%u7gpWyf z!Xym4?E&4Yfe#n~Tk1SE=V(Y-_{p>D{c2*chqUZ34%sEPxoh)GQr+CemVbeQm_7Zn zX2rY!>SJ9+Ancl1`O6Lcl&@c*wKv#n@$3>`d*Kn=;p%FWYa&WhZcf{3AEx8TJxZaXnN~0`iTn zXNX$BcXRgYuQ|8DOl@HC&4B^|1!bdA{|)I0mBlTvwQ3>d$k~R!XB-4Z%yLU0r$Nz? zw^*}R8L_h&k?OaM-?W;0P~-X6^prns7;N3-_>6cN=$NVD2@iMJPr!`Hlst7{d$>pG z1OLm2pFso>qhZe!7Iy)kBNFgA0-OdRWUVa&&VpF&v&aL$Nd3=Cj!;w9hDDwwhH?(k z&c%lA@?r|DygsOaTjBVnzxFPTtCFmkBU#%m-{oJ4@Vn<$&Avi%P20Ysds}tnAy<5h zEMj(O1IS=$b8@mUIW&#o*#3N)6LhUqq3sU2xt%nx&utB%t6-`E;n6C5doRzGM@M{Y zJemE$Qt)0?LHZq6$7|FL-B)M*rtLeIXeenL8LLDCMQ4{DqF7#o4N|+6Z>YNZ^#4D5?3Ii$9@?YDWy{%PK zYmMdIoi+@#gd?vF=pcs6YVr>c#n4AGkULn{A7zV9%jFqqpIUGz|@dkN{w z=5|lxr29FKHUUQ5xKg)thb>~?&?r+5)-#}x|2mE3&^B{p*v_+8-OxA%{#iz61EDjB zl{Q%IdvSWJ=T4^87{t{rp|0G)r6d^dk48H6ST(zcs=(A$W{Ww+5m= z&4*mEUuKFHXDo)?IfLn_r*a4}q|snFc*NQjzG=tsQQ=?dJ-ub*=I}k?j}_+Lc^uQK zcmVyz)pvz=5D+UA4U`r~#f+Ff#0^`xi98o(bIl#L;Gmsyyu2r)jy&P9D_SkBZVDg$ zMUe6Nb8a(&`V47*inb66W@$S|osktA&}=`{eu+Ua_M-}BYUlEUXU-mUbmtp9L|wrx zn!$>j(Rq?v`I%DwMsU>iv}-t2B0r^!Lf_>n(e*8JeQD)%U5%Dvl93x8b(y#s<)m%* z%2k$mn8LbIyWbVxABm{V?r8)JN%*R-6lGw=6mHw4O^Mi7tJSM_=te_dX0YKthAJ9;-&|GMH4H=^1KJueDe7;w77_lMgoO=Y*WS zTP}!bDS5!2ISs5%seGDjYa7$xWCE$U)c#bt5F_i3E;mqoxL65QY)BtM*IgREcVbkw z>IkuW=-k;uk}}rO6A2WeNZ0aqO%9D5TRw3SEZNQty61~F)SrsljQXQd-&c7h>Vj9x zo#+55*A|hh; z!QY}|is5E(-Tp^u&)>u*O&!|Etfv_SI$ws5ZvgkH0-wbx;70n#_w8?|KD2?}_tlEF zk6vcB8c<)wQs0>}TZ76j?z#yo;Ky8rFBIE(purkR&}!2J+R?8Y@*|V=Y;hdcX{;ct zDLSvyc{)P$Rdk^#DY{IPXpla?jbO?oLPIaMVw8-fF%_@FqvVyV&6utJ$Fw@8JhtPU zoR%)kD(41IiK0s$CMz1l!*W_94-+lZ{Tk>M2o)J4MRpC~i>UrVp)Z*Ht&hBot(+tw z|Efo@Yk#^$!5UUn00%BUFa z%p5vqe4fd(MYzPz>u(%>jaQpTkAFKaHD!iA9kI`ln(U}ka|yRMQAq2s!sr66_9|A0 zm`+X+kpCtJPpKvY_7sq@)1V1zWbHQBpV5F-U{5$dS~dK=V4jYoGA;iZ(pIh9 zsX8mhGe_-GdW#@Kf>RZx_C4~J-c^{M9Qt`Lj#H6&AV78Mc;`Qhv){X$hsESBMI|jx z_TQlv8pH$*-HWSEd9XaLV{}filNN*^U!2U8H&W~lnf<-BB&xMsyQAbdw)G!{j@mqX zOhP`14=YgihdS&oR91mBv?Rfhz1>*G>3ig6HGHp0d%J(j*oA~F(lMx80`~}09>K_z zAY{?~PKbeGYc)F@`<)YFWxF8#^B1``&r{|&E5=79#p%0I1{{>Ywe!RDRJl~5*&p?H z8n#ST;{q=9$un&DB7WS+-Q*99DE}Jz1C3rj8pj={h9uahwRYb-i z1(H^6%AAZ4PijT;S6M2**So|m#b?~XL99o^4VDL79>N!l8k?o zX{NnIV_r84d}sISxG;v>cDj8ZKH~1a@;(zeAE#2@5kQ-^IdFQ4cshEP{4=QP+l@V_ z=$hsjXat83ZBIxD){JsXh^hzHJ73u`(-4*_#_jxetCFL4LXT^NDm4mUSutKy9e}Y+ zA6ni+dIYz5J%atnf5%sFVbJ6CIjER8ElQZ^R>~dj^mpWxodk6&ApCB;_u)+1n`V?= zu*P@QC@bIiqs;+oz}gu#UT5{SigUn|y1c(m_mwZ{b2d?KE@X#XS;z63SE57k~HyYCiUufto`6ubsQ?Ie19%JI0486`22b30o^#3+AN*wlcw|RbGGhoTDydxg4UuLzNKf3o zAH#e!A^rwG2O$;h=Wi`_V{TyJ{#U--mA%U|u9ZJy+*ZhUc~^fN~Qr{GxWX zSWxD&0GgGrbbP{kOX;sGCJo<%MoFl@uu29>vuww3-hSRG;Te--(R!KTXI2)@de z=;-oSWavsjec?izH{r3=V^k^sAEGYBh<|2It{;n;@uNW>j4II|k)wTGeT{3=f%nX+ z(hZ;b6GOWdm2y@b7n8n(V+f$eka5K|wc;hFI17z)F7*}$*N$WWatG-&ZeDg(1qtgR zwK%~jIuIZ;U3)z7f1O$;z$lDA3v5u_$p675$cQs*mV@Cz2l@-~PRjk~K$!U8iGQoQ z5MzVt(*ka~ux$_rTsZ94Wbo33cy;G6mHLQy6w6s?}NNoEi%fZIPRSz?(*ReTHwpuKAw(( z%MQtSxtl~VI`7V$!&r!(=24WubHsx_{Cj8dde$T8fVc)WU;UrsRzKwZKVl|ZgB0{N zwi+4u!@kDj^v;i<6Smka@v|C9j+4ZVz~Tkd$0|+ z-SzIFJS)=A_`nXnN$t7bQU&S9B~MGdp34ZD*~dzBtd(D^lA5p63wm?JXdnL0<`I$K zR%#Lg61o(TA0h=Vb{|3S?q|tmgd*FkMeYTZG)4615~=3tmaG5TS6 z{Evm8P}6#0Ih&^pUf7o9GYty(stnWk@4pjU`XE5IGv{^&#{Y%j%Ozo&D3jeubg~EvlQ_6hShlyxk zQBv7CkhLJHbLRL4MqVuzxqG#CWJE|*Kk7}BoH0293P{4@oSAj=ujx|&8i3P4H* zlY#Cs>z#hsSNihHPO#De?dzVd8eVX`(;?G=AVK<2$rHp#*YE0P8J>=U;B?(m=M+zV z1h7oG=cp6WNOE<^e%(BpuZ*obKM7*8{4U-48K&Wt!zuIsUCMBvHc(WfmDdC-@N`R>vG3_|Mt|u47oW8u3Vuw zyl#iYln-^r`lStjl6^nQtoL}Y)o~~@K*n?P;_v!$U2lWCi=kh`?u9y1vHeZgm!BS$ zEqg_8*UIvAtk~D6%rFd#5%iO$`z&wt`qw9>>Oo81=zEZ+9S%B#`pRD?Ch8R6#U~M+ z2ZAFv6U%J!SE|ler0*VkePL+f9~BS&MRlCvCXtp0r4yNZ`9a8*413LfxBHqicJ=N7 zcjsEixLO!Ui}6ndnL%#(2MvbQnp~UmN1dHaT2~1d@g>FF>RJU~jT7FNefbmZ0UrNY zrhsV+Mpff>2PgiUHK)+TPoq{P^{V2PG(S4}!|+**>!jCmeZ-(MGkZ&7O88>&Gmwp( zAXfP-Z9ivx3~C%sd6AlhtvO;9Lz@A|_BJtgiS%U`HOpaJgbgFK zSe9V3Y4q2QXePh}pXH?@l*cc@7MdyBsCrONGZHMq17hJmyA@pnsa)&yZ&sw*4Y zw$@alEr!E-`ROcU`8SeaQMlzI>)Al!`T6C+n3>n(Ttz_hCj3@ob2xG4UXkc|WxCrh zc^xa&N|S(%u#)T%PzSBKOQqEwEf4;fNv+zod5GT4-rN}3AR=o{;y%#yHxkqWjh7C| zEk=y9)58fWhNWZ{F>cqKV#;;9z&Ug?tJ(-J>Q@akHXyL7-+HJ*HAWg9>lHwgZ8Scs z`H=$nrS&{$`n}8bAjJF@TsQSkNpeYATj{j$=w}rU7`PSuHd#>7O=J z_AkL+js&YWk#C4*go~yte#4eWohB!iJ~`0H_d>ifiV6XNLJvD*(m>-|=uZH`$qH8e zO|7@}UDV@f0Em!$S1r0FDBZ;VQ@lL^V?j7*&=-BCvy!y;ouUG_zx=*mLw=8IFIL%% zJbWO4AA%liW{uqwseGtre_*WyPw76ywx<_m0l_JTo>Y~n!X|ywzW3d`mUN-W4Kqo) z5OG_)bLGLD_-SQ&`6WTR>%*M~1lX^k7ezr6D=515r$OnQkNNw(#HYff3%j`3*x-zu zXfRrcAya?{!E&CTe|n6_%7!VZ-Ba<|>22A9S7G3y#_;e?SMr){^2QX~oTK zK)i~^403rP0io-i(S%IU>l@}fDm29K00@M7GNrY7(MYcmYC$?Z`HgK(XkvT4q5`7C zqI|#r6cB$<0PVJ@SGcp$WSHI;dA#a`_Fd;MY2h0Q@ne5V? zVVSDi5=Lycjd0C4j>strY|BDXLL^k{XPI81>hS)j=C7g|Wb@}*=6f8+E&d00q2jF* zU>q@(N~`T2Gs)be$`L6>*cg>$WSsCs$?wfTl zYg)N{R41gg9X`pk;yBtaTw-b6m^EX0eI35nUBb9{*$!{7WJD2twcw3_p9s%q+css z#5qs7um!W+rR)ijHoW!&fc##$h%fp3aBgpT)ZUw5g8k&TU$snlsLLlqN3J2`I(Lg3 z$S;n4S@I9f;Oj%+L%2q5Czz`E6>e49xm!S z{s|f@wY4kf?{;5-V@i zzu=L!U!WzUwKhG)lfDuIP7{&#d{d5w*e5|oEKcSUf3}x}SquADRm8Cw^hOk7-ls1o z6%fnjRoTUGcw^yN%w+&s3;j9$?_(o63OD~EoSF0z?-uJI{nI^;@qyRu5^e;2-?l0O zbR-LZ&KcE7`(?EmDEvco2atnVqeT~A6Dh;W-(Ds*kW2h)XXK-bAXclQApE<`|JT1{ z|2d+hL5}vPcw0gy9Rz!Uno!Oo{T0AnX`+vO$?$(Hi39W!c@P;tzpanjXnmSr>=beDO1Za@_@3pI&N*tcmDhD8)*#O-)eS)Ebu1Z z{>L@lu6Wb65p1swRYtNpae+W%DF-ov^Q`reZC8S&K-a8-#x4>_Zkx1c?WRF?i30h- zI9mx7GOl01&mA+lwc1LgINOSSx;`>=ft?;CeK;vP9Yrf^a56;K3}^I{e-VkOf52&% z#pmogy8uuUAfn-BElFZemsYx_K!K@u)|gQq*(2&%BdJje= zmT2NV+UjIGDD8C?1$w(XUSV|hI**btR!65vmof4EBz3^D29fk`<)HJKtE|8`Qb7|v z(+L6q$j`THi|eA*fDcFjfzVPo!{m`AlQ|@4L6n4*zOanuc#_gW=CCUbiz|cNjHzPqmR|zKf2>kAU=$*LG$2C&fvrmTSXWPs6W~Q z8jp4#cCmILQL<}K3@@9%uR1r9g@&oNNtnl%Y}zWMIeXe9xvb8oq23wQ(9t}Klja;5 z=7ZXWz*pIhWMTVQvniq8Z>ymm`IOxU=g8N5P-d2R1Lu*fi9VK9TBtXn8Y;@CI31cJ zKkz}tS>X*lN3!($S)ESJW2jZ5SBI<7In7ph` zHlM8)Ue68JT?AWFZYYU_s8j$bxx{%TbOZCgPaqif1Z+=*1Ejw+y~0$MLKs${ApR=G z`q>Yaru100X>sZG_4Zc*BGZWFd|)-_SG%_<2vPf9q~IxeKD8>E`$_t5gM(A#`b&F6 zMSZ0g@#U5C4fsI5>hTF@3F?vbL;}r(r3&T+$Q;N`rdZ3OJ2wJ6l)vLCkO?69ui;M9 z04cQ{K&K?t3c`0@VBXbCtz5{F{kifcjFXAz7TX=!~rDlyyeWOzrtops*SR zBPzf`i^?5Ov4;y{ss8d{9x({pBO1$%rHZxy9Dt0+sq#o0S%Tjag~bggYv&x8&E(uk4o6@*2ODLv+07jgV*Uu;i&DR2~*rXYOm3@~Pc`*VjO2Xgrs z7XW|L!F#$f#ShEEWA6=F z2XxOszpkXy%g1pCP}-EFYcl&?p#xhy2fx8+R<)4k&^0b>I4OXr0Q5tKlpqgqVZpS< z(P8$A>qYyGa-#d_uZ?nrvDeY3-2Uy5nv6c^m;n%#Te%Sr#~Nj(`CC!{Pi< z8M-!Nz=P5GG?49)D)|HgAPweWfPMh-wh%=eUN>RCCLdqe&el5*%OA$iZ1gnJjsD@z=k>jknSI#0Gu25BTzbHVc)_5s7_IXCkxzc z+no+>6GVJ`ICC}3HEw27^h>BqMtMou!m79nA8Na6Q}>jI1vKpZvh@ucIv>V_man%e6|U^_%4&0>ErT8?6frcf#UsLbkTu>iEV3#ReClxx2V2~j_2x||gv zRtYU3 zP&fUbp$WQ73AD#(`^4P>IO zt^#G&@c$xU9OE;%jWX*z+dJu13}vdmqd5fFN8l>`|J9}CK#J?iHOu6hZv^|wT0351 z#$OZ1X43Ypqj4Y_w5V1Xe^uNNm4A6kJzPkT<3`mErb%WFb$vQ36{I~Z0B9tKM z$Kt3Pot$%$Hf1^h{hzhRi{(W$6W;s}^&Y}ogz@};UF*mt_T9yjgfme2A01XkU~IjixAzs*2pA9<@pbtRY%}QNH5yDtoQ`_L zOKf|F00rFZ=6}4kzWoE!96RHc=VgHqw}}eqCElVa~}prl|{r3|U1+Oo+1&DQ^a^%)Nz zJuW~cm;VbB3OGdSBaA~rgbZ*OyxEow?4+R@BC$XR0HV(aY+iZFYEyvR=f79501aT3 zB>aqlENZJhUVEu7K70V$z}L5BuTq(GptHT$FM3eyy9FE;B&-Zt!lG*m-Fk(c$YC$7YuXYfrkB2|Z z!2`qZ*$7(13V=#a}tn&jdnNbQz!Ox()*5>kUu_~*B!2iDXEb25- z`&sD4T~*Mdt>{De&kzl7)Z7D1+a1En!K-WPg1oRedICMzrtxD(%|qYTx}P2fdAX}J zYTyr)QW|%d10u{?qW!ySw$I@})VIU(+K1A@KUF@*pmc!J*{lE#hCCH*&Z=i5;S*Iz=Y{w{xu?o+2AmUi52ovXYJPZ~ zVfFCHo#fr359;rWybG`8SKc+NIxLs`xo_dqY-ak|{Ksbga*!Hvn8)AA36L!)*Ur>BW_e!ly_B+di9FXtwko1Q zALq1N5n!8K6S=^z3P4CIJZro%J%0x2mp-AhwlD`6t}UScn$H+ppZ8;!-ZbT?QwYb; zXN3D}E49Gw0Nkqu=c9Z-nXeMC&yi*Fy-Mu8`Jzd*#TP6cnNd? zxMX1JQsw@2E0iGGYacJ@%VOkAenqOP{Pw$m!Drf>YPBo%KV0aG<|n& zU!i+_DF$eV-Ip@8JFfq()E_>i#q~1!TJ|`w9*J!-K_&QkrgUJ#p{GI@@FrzBb~vn) zuH&vT+Y02QaLi&@vtrf(2lK~<^(0TF*_GF=iz&>hM{3N&95Z&=j0vCwJked>RV8^+ zx{t#|sF}EZdOdD6pcXa!`-_BMK~;$vMVm<_+HD8$f=b=v&jtx}KXyOcUBkCg4-hO| zqcW6U$j{)Ej;KsojR(e5}7a{Db`%BgbWD4Z^s-o$83w zr0qcPzdDx(+mxxNus$sU5hB2&+O#QS@0c8;q?KoOjHP`$tVnsb7?mGc>6X`-GovE< z;D244(Zw@Z-}5&A`F?_=Yl~y@n&+N?%<4=*c081Z**e)x0y+3hNxNo4vQy2#Y7Phk zZ>3G450T9ivotsv@RviUW^H^ArzN!rb;QblgT6Z!P|;)DZqD^*|##cIB(a! zzTI_sljn3#df|Z%7(E0?JtyzJZWyeCVTC)t^!!~keVBrt4$k-GtUOXcs$ofXI78es z#hbPLZH9M~69`u*%bK{LFkByHSW{cB#@NByEA)A>%lx)qF>SN%I!|8c{g#4~{T3re zBuFj-JB6hmi7#EyVcmrtB|_rbc7S3O7q+J2jdfydktbS6(oSnPP@Nek-x+tL(enDE z3wwtQ?vR5Qd7++N;?2pVZ6I3BM?L#V*uAO4oarQzM=OT^q*OIMbnZhnvcYHA&q~yi zv6KjzQ$p=nB#bK+uS18NWnRD_#sTPi! zY)zqRzB13#)Rs|Zvgb)pU{nKy#BLVXcH40-yVX@paHllFnv?OGWeoi-2QIs(g5dc+ zl@tX#F|pFTIA6-H&Fd7^weIH?ElF&iFSZuT6xIh;voIe+Aaga`1Xq8RqggkiYjaKp zw`?L!jB$RA^1$X4G~-b%TKUdOLh+_Yz2HIQA}tQvw!e3meNB}Dtq-e?{p0EeP``?6 zpkYlHq1cqYbrpIfaa@+iDNCF3XG}I@`QhJd0$WaV4s8-uq!x6`f=z0Uo zJYgyn|vm5c94-bB^`bOiKae(Z%SLQ)N6z zu_ah2wQFsYUHa={8Mm!;?6MO#iLLK7S=W=nGP+#Y>Fd#92pciJJqB#>7PxvQ9|&+^ zSg9z%yr>+x_xcmCmRSeo{z5`$4oiu{nN_l#&m_now`?9Yb|Gl)>i=fTwe!@!rN%;E zjl^wFyw3eQ`OBG1bMpSIr@6$^kD@Lf+0fc}BfB#a!-{Yiq%9cYg0ue|2;-bnY;vs6iDQ zHd8Lhs8lIVc&|m-uF`g3YHEwQbg*yxo*K)P{pPiAxA)FnsRWkT5i(%2t`pvSDbHho zgn~=K=)}~OJ^s$r2Tqy8`29n#fLLR^?AawVd>~g@NT0A z^xes&FQmSdi4EF+7+BJmpLkgftKY^~9*IVOI&wg6aciBZOZ91Vo%fX1xKg-Jy0bf$40Wi>Qq<*6w+7QW?VCoMOq8Y_Mf7av z+@wp3ntSpQ!68h){F%l}Z-cd2Iu~&ciK;1nvAjW`hb9)v!tO;Bmf21c03>86X4=(Y z1YSE9^rT)(0y*OpOf%U@@v+yzdDc0e z21Dj{QI0i4A*OOIVa@L+#@-JmGJQR_JfkM*dWGsMe0LXKe*R=_+pT=94u1?2QL)Va zbiD{S8gBAH}#TEH6QsYFfAr23=T+gF&0=8L(ch zppuhM4Qa+J{0Rs5hm;yJSa6KX2MdjKthdG&AD`9A>EdUcJW=&2EDJso=U`imocXgQ z{ua8h&w?@30u+xQKCZ`WTg<9ci;G8LV3|3&b#-AWYH~}jXw!Cz>=C*BPM>}L7}WZ$ zDlL8h2Oqm02KuFN_dR`&ZhY8Mv21yWlvAQ0-PIPYYiEb~pyrwDt-?7I8L%V7%r>xe z*T=Z@*G_AL$(lyJYU&P=B&qlpv!Z3C-O>#ZIZTyQIW9H7fso48l7FrC#xGWawtE&F zvv+O3`1}d)UX2o3{U5H0EWl|iR81Ef8|nq!V9Bq&52~7tD!-)`G@y>h*8dS7ffwsy zunexersi|x-S^J?)r!=(V5@vNW0@GFp;2~TOH#);_yo21aThK}w0@K^FBL{$a|+*& zT*GTD^j8~v(|p*eK;aDrou+X zgj*_9Pccc`w=-!hdOgqu?6?I*ZBWIoXyYPVjN-;lBm&QOS((~h@cATFHJN-Rndrs) zlAz0!-Dr)#KOD5yxA3tr(jzzxboWUR2HxGCpvF~RMd7k57;5VV%_e46@b<@s@OszvH|{O0KaVTE#K86|a@3b1dF(L zMr1R8ZA|?j}h=;{IS~~W>p{~rflb3xWNmQB7>KRZJg_S)bwXQ zpSREp?cWiVr={7ie;l*hl7}iP;FC$JVYTRBnq{*pb97sVyb{Voy5qUnlG6m;IYx1Y zc*S;o5RMH{7%F6UqpxGQ9NgQ4!H6jIc3`b5{K-KKQ{+Kd4FP}95N-M`JDGIX=J zC<3>AfQNz?MPgE;&8Y=Ac0DhM&j2lT$8nL_pgx6{@7@=xlZYEHn;ugK6@Qv-kziud?N-vf;GIg(eH8#XWa z7;Ooko{iM)GAsgoCO5I+`U+>kT-ReYZjtm{69$zF$)?OF7?`iynJ5ZffooYqm58NQ zfq|?di8MeTyOjg}LF8ET=eSQ*v4p!@8L~&{dhpCFY+>bFUcx$$&?O=J*%5i+N1<9N92rv;+eb zH9*P^U+dfp^WHCR6BS4=QMIiimB<2Gid>QQcyqOi{TzcUk=sB1leA?y$L~

bu^f)RkP>cz9@6PV+f>>L8)*fM2M_dbod@ zs`YEWB;%x0%Etg0n1qzR><@j=2pb+9=kRQLO)eu<-W$F}(vz6LB%o=bOkGLVl5gzz zQPEnB9Y{r(Ct5sMc+mYd@nUaVsl1?E*Y1GJQEtseH0_!(?fujOTQhI{dgIW6*7KfK z%j1?Xs0sHEFwKWZlDoQ7@|LR3SDAXltuk~n;CNBochS<`kemTC_BkNtj7x&T^KX!! za2pi@OA^|`+MJ(2mX%*#AQXt*0&C|UwUF)g!x3r7hWEL4fkaM&Nqk1JdA96;E zVehO^cDJD8-i0bmdlZ5V`FL8bQU6Dr*Wi+&DP1nqZy%~HqpM;H8{arHS{Y|TC$X~oLtq@geY(_@$n|a0)WqwYV`M*S%Wy9y2$cvBL45;_4{>uT;y{o**0a+&*P&s1%rDr(sw`^iH{@>Ugdeh_% zL&77>(TytvJe#$ke@DD)T$T~izY0(u9qh+N|GiILR}}$f!$Noc8G03I68Jx0j+-Kz z4`$+-0rji*|J)*E*X9ordofjR_>2D~c6?Xa#F#WuSr~ds;t-UH^{~Ze_zDHs0!?tN z1!`o>G=urTKkhW|U6p!3U8a{LOHVPtqX;7S2yCSx|4vLu(5 z(@;296%}7h@GJyG4lu7q<898d7E&cbXkh5KZf<#wMRNemi@WlRTiC!+9(rvDRUZ=B zDRTVkImlu<7<4|g`wG?5B~SZ)(=8`Z+Gf-8kTsTuSF6$=$>NHklP0iUSxpN&=aIM; zq(&S+_t;>8?TF1&StE^alNMK8yD9e~zzFer!Gj?_LVl5#0FAbh?3jV>+U4jIsGbO$=qUWeULHwp^R(->}^M7T1PeSoT zV-uVFqxwEQUJXBNuV_$r41QtA%-l9meS~~NE#q>+_ZNLuUM8y;8MF%$#fp3i$r1$y zQshBou4Z#5l>pB~i-B#4T|N4uo*sAN7nZ-uzmhxppvxcjnHEZlz*-5oGGn7*&b)QPm|Pgm-8DN0jdfH24MFHmPnn|j zZ+G+GubGi0PDoZc1V>KT^nE{Ad;W7%>SSC?D;oQA)DYVUtR}XGoLF=UsekBYP^RTE z=K7a)OlZt*9h1msXO=X84+#z^Gvt8g!DSepO|oY6BYc0>Jy?dBYvZZh&6)+wzOl>ZI>K}oP0Y5#|!%wS>UIol<9+-XY1;+KFcA<{EPgQN7dO4`QIlZZn%~`=Pt{; z-gLk}Y<_-E9SV$JryC#OY2X~+f>$1v4T_ZD{Ak0?0;r{SMy;jYW4G+R#!wA}OXA&V z39|P@C9Lr2R!Hgl**Vrvx?5TAt0J5XM(4+N@P3&BY7HiM^NjD7M;0FX{J@!TaR;>s zZi>vJrrX$9l9GCc%Fmm0p_lW8PJ_GO4w7hdC~G$yyo{zSZ%nT2ZhKr|o~eP5bK>t2 zU%lIO{25)O!}pW*BDL=olH0($8Y$H|So3m%q>I05pT%iz?99JwqD;LL1u!ge?T2yj zQMNkFpY;QyOR)GE7t%I>hN8;ztx0A@KV@>J3LJ6@nRRhelpk(39SXENVEf8WiL;3JDI|dVq)+TWFiVj;jGs{!7Ob&R|rq& zWDQBfbJ#l$VF~Dr@0Wq5a;RyRI49TGzzSq}j{^-G%Y@y5WgVR(a%VEju>1<$HDw<< z+u9YUC3u`4cmu0`Q~Z!{;Va?7YH6#%i(A5(7#}ro*Gx<~Zpb!L3CcD=rvv%*JzK6{ zK}La)bz&Pv;#2SMlf0u)V<&*Rw1A=Pnw>lrKmeDxa|W^8D0pCN4Hp5;Ft z=2gdCPuqYkK!L;)%pZrqtrz0Bhbf;hRvTyCeO}2amC!*i;oYS2OKW_8dI-O83}dYS z^G!m2vhA}8&Sw3r00y8i8MDv^=4&Q3_k?F{8%rcRinU#V5noII(&wDo&f%;FglxxA0YKz@+cfn~d<9+?1L6 z>G!>hm%rE?S;Jt}j*t1e8D3Yo&Hi{6Hmwg`0W0j+BG@7iIPh{JOz{SP&xlnS!{b&cEY!aeVZD4W`Q@g7tVfSmh-VMua!{Yf=dQ|+ znLzbYZmb>IPjU*M8yNchbw_bd2*IXV<9kai{`Jmi59jQ{Lp3mZMs>h8!$$#9==V{a z>?FM?1l|+@H>`gv!~Q%&ohVvyu4J!0Twr?vZ{cclhyU&|snUowS-n!92q)B03M9e# zD>nYjH0#>04>>zZxP$>~-2$TlU8IM*_jkjaP?l_!BUr~k?WN}nbQSHQX-VwCHiqXX z)UH6D48l9ScV*7MuA8L)5YWHy8Z9Gr2M_@87~68pfx3>HZzLC?R+xni5#({)%1)dG zL*W~w`V50}Ld(*ARO9DAn^*ZI*BO{3KQk53fW5sCM@QwFttp$2Zbkg$%zkBO|E?xzFG zdASnw1Yi-fjq>Bf!1V4v+pX~wVoM5mMsvzrzLXYX>xV#2-s~j~fGeUGf^>ep^jcN?vsSn}z!xgZ^;~c;kb}5-v92#kp%hW$j(N z;L?7dqeKmjOu=3M2h2zQh-ZDOuG`w^_J>`O5h)^6v$kSr_g)~IlMBtHl+*AQC$J_m z?{N~V``+6}O65ESR@y;h^Yyw})oF9XMOzp&9rF^X5yO;Jpa9tnoKs@;Xs(oM!~r~# z&fvdl(9g{Tt3VcD)-f+>@76Fdf5(dsYGHja7?FiRNqiSjl=8D1bhVIzxhKHcx;K)} zEyxY}d%qxr>ZF98jpT9~(r}%Mg@7d&7DZ`Gm?(H)P;A5)ySyLJq5@Uq(`7r8;Cf+^ zg7f)-0dJ2y@@Eu~a(bL=V!473kL|^3w2ss1V}|USUgaw z1A58&EkYBIs{#toV--~g!b)5&&{xNms4K4u;>Rj@1-JD0sls9-$o5%af7(1z$cc^P zL?KO_(>6_v6>)$a*b+xHfP+~*nUPWBOZguhtlqCu8Xf)JYnCFYrBC=U6Ujh?+Q6P2)_b7TH>mT^r-D_0_W7Y^(B` zk-7Cq75L3!L%;EhmdA5xCtU14hb*2QG| z3gl6h;P7vdqFe$pY2~>g$r8jK!F~5$;~zFJRp$*%Np84jh8GAq9jLY_*ai_e%-wNF zDhku5uEO0gR2a6Y)lI1WBLFHvrwogAzo8Y1bp-&Wf`YkG8^Xz28;XOx+_K>?_h86z zvsrnH%k!Y1bPE@L5wpK0a^+D(d*LnU+V}49M=QF+C*9l31=ri4^ed%g_#E}1aOykG zaGXHt?>li^_&mS!%gDZB+DB{m2>46s}{qP$$Qdj@KnQBq{+aVZoXrMK8rnVPl&BWTXoK?DoIV zgB$0o$U5C+c4-f-vTozpFZ$~AbG0za8)pKL{(x@FhL8o|mHw+DRf7DK9aRvXZoxzH zJQ8Eff3tNPY@fhEmP9??d`|yczpGnZ+f4Y_AY>}sp!{(2|5It7{=De{DSe7d{*o|Re1?SEqq$V>K}5PYHjwfZf|u+P--%~ z{a1~mTux!l_o>#~S!=h4vICzv;H>AMR&BkwTO!DrgGOt`lZMJA1@cTY(_ZGhM|jcj z8a@4IqZF;E@cOw0wU-z5DwtA@tk+Ik)~ijwZS8e7Za`N|K^4a0_%WE;H3ZtAr}xH4 zYSr@EE@QMojPEBl)FW`22Z$<U`t=F5fQNLz8SA?a#}Q2pcC&MZ!~A z=FLx>>c+!P6x|;q;Ul%Nd?ye7$nuLi)pPIBA#JROs z0UAx@wqq5=9-S_Bl1IiC)B zBr%l}r{fYCm@BTU)UrEgjvArR7zI_n40*idjk`stt1wg;D~!P!Ge|AwSI1&{+iUpx zlLP-ufgeUZY%e^~Zb2(}b70xsea03Xckj5iIpT79g>$q_A@CJyT;UH^CA>&qWQk7@ z+(xRBD}a4vZ4YdMJ{d1HrytUF9Oo{*vh)McqZ)lP8>(9)K|e4nHA%oa$(h6cyC6$O zR0f{%@bcAR)d1cayQx~bbt|aEbpJ$pczCREE^sv`kM|cPeV=M*JEM5633Whn>I;~b z_Z>|oUv;b>Sosi`JC%3L3J~^DQ+t`Y4gH{<7rc(EWVsMm#*IeZVXcwjV6*=ULi4YP z8_|u6MAU&_n85_|R(SJ=LQQGRNs?{H%OF34pS!=TK23rIp3cx%VXrP+5FPEn_);o< z$(4;*4+rEjY_GGW@r;ZSbT_(;G9MRKOY04G+)7cS4(3gH(P+>EGnf>EPm2cGQ*W^a z)zPbkwPdl@gySphhhwFIOW-fMcNGrQwG{tFj$3)|t_T_EHW+bRwhb&{ey(1#I|x6u zlDgSU&-*&zpST!!SE@7$^BJAaV|>b`y{HL{@JaT7%w>w?AlznNj8L=GVD!eqpCi=z z-aJHBYeAq|i07C|SC!RtM2$gAip~0)2JH7wm6-ld6_&kMr`JfzF|y;tAZT$(IJ2za zk8G#WA8rmguXGFIJN;h|fPWXVTLSPQvuj7S3w9ZJ5CW%i@lVy=u}vR1cqMgR-)~3c zNlcS9%gDZvdS{y|Jij0wp77K=zEgx%j3j=ae*3`S-POpR9Y3S&KA?gK57ig7VtaxV zY(AMpiAcIYN7*W0tDMTOFl^drZyq?K(&zxs)2_;&xt)5S>aw|MJA^G0`wu<*02QAwVDBW`XBN(2xMtNBs?Md zEo>qH%*lFa(0KR-HZ@-J)B>QM9yc|e7`4J(x0V2S(|~t88tj{8Vf)7i21s;H%FJA@ z1ZP$h*J)x3deuv^@=Q0k=J09zxw=Y{@z35UrWyW=(_}_R_)h#UsF;mu;$Su^FD`H7b)9?h{o#RkaKQKU?>JJCzPKbI##+8I-u1~pT9^fK*$b6Pi4opk>Dt{POSte3E7ppaNLzG|Tr6n$ zoj6Oh9z$KJvL3@*CudEtmWdB4uHlrl~Hnia9VIle$FFn)K zIkmJ=%dMDbMg7Lws?7?r_;a(CX$P4(sZchl1T^?~Q)|KXXl==H&}mb{1qJQ-WX`J| zu6Y)@0O-JJWO299%9s`RjWQa_%Fy<$@ZGt=NnKgx9w~Z{5f<1$#XFhCr5X`hTFqev zJnJsFiY8QE*exx+MPg0u8an(?Sj(^i8GUAvA@m^=_VbEW-o2r7)u$OU^s-*3rb|sh`>tO>Py1?2W!uwZ@>J+*nc{SmGz378v{>N8I(}|imFjHH)0C38hunCz1pOGpeF}CNLYBCr$S^5s3yK(&_HFmKG{0z~E z)a~uK<{B76F2ddNWROAAKR+Ue1fAWnZylK>(R9EX?B_=Sz+T)0cspspkM~^?R;Hmj zn1?Lzj=fq3Ez6M50VG4tj~rn6#O490em143UFSk12t@!6zS&(l4lx0sAQB>x8>Y`> z1N3_+5IL3Q0jxX<%(YM&)Z4GwN1v%~dO5{_iLu}w2@gzojmQEMa5auyXpe3w>RkG1 z9PCQ?IKO^N+V4YNRK@0Ovr-9xy021o%2o6b_&Som7C}A)fa)Y5)2ua zY+!`sh1>Fr$>Z_x@=-8l49HWWPJRL&TC^B=Rf=OxCuGu^kOh&ly|%Z>)(*ei)Aa%XmeDYW`zfWx+%K zuhdWBfeH#9=fSnB^w0@dd)rvh6+!FoBg?a7aC(8!&yJLN*3XB@W2JeqNzj(8AEdKx zA}YXTqU33PCHm^8+vYM<<;`Ff3rRQg8Cq$0_nnVNH1 zxHFEUAEsSQ*o}G*Po|DvGV5?vC5S)vvQwhPeSD7%V>W!rLulVQf zt+i(IKX}sSigzXe>|t5KNRAUuZ6^P=mu=Hok@Y#&1D6y zxlTBZnfzB?w(@4g{M-H3PF=;yDS_4lWd-xOPEy)4`Hfz-*5<@~|9t#4IK7$t6-a&l{5Ez>0KMx&9^&jhjGNU=`sEi&PtqTjY^VyW`t_x~uc#AcwxL6s zsYYGQ?K-UbC26~;TYuqIpo25yd}P)ZgMtUnx|bLs-uySn!+q(NRYTQyCF~9k zLi4#Sg^2yFf5aw-K3Z_O_04Ax*}f-kJy8sF(eLOoj(F$ow^b(R~??CpX@_uL2N-VaB=RExzjw+u~% zvDudx;~h0fUHP$D8>|__I3?72ugo?EWvXC2JC%ODeI`Ikw|^Y_zn zJnhyM?cvG_|8>M1ISN)~2jA&RV#vEzpJa+Z)Mo#3sr8r^ zR=ZT+AiGZiGH@q}*=mqEev9Bq=v;v6aZ2LCv=-4<>;m3z_!=z3z^wVWDcF8$y8EsB zoSK}c2+dq_`kddXw74s--+l)zrH~^F*=*c?JSgW&RScP66pkQ&)_YslGkXqrRRIWE z0Kj({v)i|Xf(b9waiqOdnC~Ud8?yO#{F}5XCoN+}&)3s-Ud3mz?;!zOGK7P&-oJCp z<==&+3Kxs7mnDIfjgQ-mJrINo0Nk43G7jlEcAlhSF7)FKe|0z&k~wdh}Dy(wmW+w8tiO2DhNoZsM*dgC>#6hYfSRs zRj-)z^Mf(Tq3X3BloUbh$ohr_Yr`dM(!r}uKvR1qLemy|fnL#)2^@xCS+H5n(iB-W zR@pS>eC?2?aHl}9h9)MHvR~gU%NK2NS@`@+;=0zeIwm$>)f!Sk2Pz{>Sx6SgjIdju zYtC_R6un>67T`PdIDEeh-{^30fdO+c6iX-F8`qL#@0u|6Uv5228k`%H5>#??v-nDz@-A;1%*e8ut414rr0ULz7$|kY69>6qg-D~s z%;#UJvP1hG%}l_Y-9g24NKlp1fD$&o-3|tYjR)q)=9Ge}y-zwGd<$fze3tHtp;VeP z7Ok7B`@&9>$-U?HS@#wi8F>2wA^$WONyy+jkXe&}Vu0_rV;FZAU8}M@udDLR0(42f zb%JSkKM4lCJt1gW19|tlx%XHf+T1M^UVvDjz2gIaD? zt=uH`GHhMt)NQylI3Q4KhH)De0DJj*IkEwjAP%nd1NhUUjybYYOW_i$Nc6Zk)p=(8 zDD@ZxsK>qy0o`#qA&L^ykG_y(%d5zyk*)JjV6U|#{kiyh1xuP@Zeq!KXu6t)jKb9?@F!uee-?Q^_ZG+M_Fqtj8?>#=y z!k+(KU`<>x`5@xcKlG%mMjpbJd!NDF!bPwIAH$q5v{;V?`^q}GCgQeu7H(%O)>v1) zH0o=|HWTjd1G&?#WxlmT@LqgHjfLU20iU9mzX7LE_w${_q+yDDU#wmZG5t0OI! zkghur#Vj&J_Kh4hd!Z5Ni&^3}TcD@f)}#v`DnUKo>7|ez0~Z*^%J{k z=OI6NNvHG^2c(CMht?;f{WX>+t>EMc$Wiqt)S3Z|%gC_)Vdf&5`;u=J$=U~qkm$9m zhSddjL2*vG4fdVxhL(HwDP9F0A8qrSML~9%lVK8QEnlL^Hr>?%G1Sw6iEG*WUn5W!U7vw>Yj(&Que|!E1S(WLEGy#(`lY z9^&9tq;T!3T$IcFQhemee>c)LEvGPb+>2z3kzwAqfj5vq-<+w48Z)o*2)Bnijv}Lm zS8Un=>j=*aoKIl=#MGfKhM9n0?Mw^RJ;}4#ls>HAs=jW_;2_vm_T#kf((-Gp$8fbD z>p+;p7F)Rsaoz9|@MoGt{xN;NA={K&rd_1h19ngVC|I2gwGGlWK%v0;6>AQpCC2ULn^?^~YW5dt*fO=)UegV@lMg z6lMD(!(}hh{+^2~)c?!372e%Ygk$QD`sHYHcQ={nDpRBcaZz&?16GDU7VFt%d3)}3 z++~pmy3ldd^k)MZ^VuwuTIUO?Lekc#?4P}o1a8X=+7OTL-p|}X)mK*54hZxRermANmyp{^LppN|xvT(^Av#G9W`8i9pUW0=ln>ge?y-X9 z*=Em|#GLs~mSKB&P~DM+WYdvHhFGyl5l9z7vXT!(UkR-17?Yz>jPw2sUtrK3Nz?Ew zPDj&}zHKMsCAe)k$6O?B53YH#2E#qrMHLycs&d*EYguROUn4P-D#L9>V&-ySroNL_ zd4)OS`S0`x8>7ihggf|k+%1Qq0sF}Hkx6WJgWm79{K#7l4?#TbeM8mKA5a8lWVKqh z#^g_`x#;=$ZR9VEG}0rRx+c)~SPv>-U8}OTvJlYEQ@M}Ez1`PR3hZ!sA6u_lqpOWEAIcNhu2LZ!D1v|zy3~T=ggAY z4rRoFf8;MJEYADj;L|feO}TSGrTJsx6`BXZKs6zQi&IJ(Wv{TTa=BXlc99{Esy-XJ zh{x6gin}S^!%#<>sGP=DgrQ>hC_O7qp_?_Z1n(LeA$~kGbMW2OgI(PVQIgpRl4)Us zNLruQr}_oK$LPvK3aa-qejMX)3qKQokx*>OXv}oVL%L-u9;IIYITioe%?acdfuF&T z%`Y@pYke!r+CqsnUiofp6?(B|#tY3ouRmr*4eNSCz^X8dXr#x~xf3UAej4geOtF44 zzh1nTno3Vd;QvAn1yQX@BiPD}(VFw(!6^Sk?3KS+kO7%zajr*3^QL%fG-viW-5E7W zmy29;sbE^xsw0DRZw7kPE{9Am$^U**(t9lq zGHHd{nY703iq0M_PJGSvi#^Twb`*Z3xH~~(;-q_=F@?us$?*^@Y&X=?B%=@Zt+nazjnAkrfMPGUMNW6ny6@iEV6!n7{$LP|DAJ4INVH#?W(L2Kpg(tn z>=0j=RxggVn+u0dVxBn3yT&#qhq=_ToG!DTl=l0fW3Rs43jT0{&rCf1orJeBtH6YAc$JKOx4aw zNg&=oi9ddb;IpWDyHwkjF?O7mQx@18K+k(O)y;~R$pfJpv&0YG{j?RRUZGbb5@R!< zR8qWkBj0p3sD?SeRA`$-S=tNSy7UoNqMkFsgxgB1b9wM7w5`r*HtO8~+>lxGMvXCW zdgkGU3ia){p8Y9<{sh@A3-^EZjt$WDu`jvfCrIZYL+>AD#Xo{PON5t8ALyR|K#XvnB6 z9ON`4t?hzAUxZnKsIE2`!QyKdY+|c`^;O}>@R+w%+IrX#HQFQBo6k|q@wPtj23hnv z>}nOp(fq2tidMYyMHQcD>plIDyq~7-30!(L(9o9I1)V%U&^Tp0FWe66c+ z$A@eMfe6em{^XNSNh&USCC|*e6=1z8bG`y(A;YFW$jA%lyPDvY^MZ|o-<%hR9+l0-wlv7{^$S`EKPE zbEbHpDbInOAykX3=q`)fe;Ix({HoJs?gQHztGn55nIjInRY8*oxL~p&>Ozi6Xj)T> zhGf=>LD@BD2{HUcnPE}GwaqP%3an_sMHNniAM!8&jUSD_XCGd9AHhHQC&`3^2EZDb z3%_REJfd27gJbP)AQqwVXzgsUyR&M;vx zG=@1h+Qa@Rye9*)V@rQIjPUDFht5flX(F||55A=C= zQH|X0)|>t00B%J~PWxh{)AQ|y#lTNs9qGNJ$$hNtcOMw@EQ<$MopCa6F#Yh%L0Lt$ z{pP-0rGoaBn~(HzA2>rz1ggsBW;#90KYoQsVeAMjE`={|m(-BGqE(kiSLS%jdqghk zo{1!%cM&O_&Z!ubvmRk>kGbZm!1{3+m*~|sdndeJBWZX*UM5UBW`Eib`+TT(j^6#= zI~gkmcK1B%4}n}D>wWwqF)|qoh!}0wmx|FjDZSev0$C;boP7zL=HO5`5Vr@|hWyaI zy0n5!Kh~<~IIF7+Bi?jIH=~1J?P{C~01(}~aJth~m!Dw`U2C)rVSg{9l<%EeP;8OQ zJ*{OYPulZJz#WCWPhszJDi$_~?3Ev>+K#*h=)PW8b+y$pnZ04dxT07{EkPm3EHq(2 zueKX|OuBT@!rAlXr-!;D&Kr^3+$!pQ1D+42DljiXF04a;@nN$F`s{1R<~>T({o`gJ z*ZPmz;A%=oy?Enz4*X`gEv5fR>upM;HXb-Lh;*Blxq1feheHWik{w^+4|u9tQRGO_I=G7b+<|^2BAD9+~Ly!9_lYsM3O3(&;L~ zeXzG1_%ofabQl_Y#dXH0a9gdB%CR10*Q%OJz>mCcMYG**e7!=`5Uqdauv?>Y3CrH+ zp@jG68#jNKtkDLtKGut=cyqEPBP0ZJ#r|;MvX(g|+ULyIUr~<(%=XsJ84W4f zZ@7Zq4roFPuMA-OJv-Z)DNXADN&0*l@i5eI5uZvE^K z;zyaO$M-qde!{RAY|w2VM|nz{Q><1o&MWY$78};vdCdlrjbrPAKZG)0#==M z&Qq}536Gw$1*WO%VqHZ1Eo;HPej%hV^fZqs84o|=W+TAHF6%Vz+YQea`x+A;dJfzv zM<=t9PhF9W4^oZMb=-lOwwGTl$*>x!+?E#U_wR->QxKBl9b?*hp%$~#-zrhYZX2-m zB7&vbo+b;E9K_;gZ|6O8qFGlxbDDvvV7@`Jb>}BHmOlXF!Te>QU~lHQUTqD8_Z8^1 zKT79B6gD(k(Dg)7;3=G{1B;vYgF~@?KxgIWPZE%g)X#(1S!4Gzo?~s-RKC|mv%Ni} zkGG!2E)UnFCavtIubA|l*9pmH$2h;(u=7LLNVr>z`*MBd!#lc|!!qanUp6`mo&NkI zZP(i7;)pbEBbYi0GTwKQJJ=w0x&s=T?zH$6GKNQ&NeO#biXS5OA780pQaKbOM>c#W zo^^SxOK#cXju;V-5~8h)^M?%GB z{o!dcojv5YZrSkU5}2NHOmxowGR3JB=QSit>>GADgg`&w&73-y@q3(XCi%+9@Lmbo zP~CTEUyse%vhf&Ef6LdQA;~dA?@JbAVKDoLCwl*7-VS^x%5$hr=HJK+)nrw8g+Bk7j?K62M z4FO;XpRP zx{5I4g|U^dAX(jmt@&8=Q1$Wa;Bzg?77ul;aU1US^Y7Om9h z2LEj@ONZ3IBTe_IJK%1i1&X0}fXm9N?-9=D@AHNa1CS+8RDKeW_pJHGi|qC1hvm#? zu@qK9(06_!8E%3-E!2~C8qTMXXujXHgqW{a5c<(sqKTOG2ns}KlEc2OVm*FN7|S=F ziPmxPYJ0lBbSO`EdHY8G3&mu=hQJ``kqMxI;F1v_A|$bith>c6v3%%{He;&89M@{D z>g&DOYY46F&c+J}agKD1>7r;H(Oxi79p7Ov+?8#3d=40|dPu1XWk*W_rH~$%qSWil z8hX*uJB2B*ZHtzyXT_VWxBtnSMuZVwh61wZL~F6fMc0n-`Mmh=3t!rvR@;v_wcmXJ zihV6z@W}5@FIBmG0a$5R>zxPfg-poMVV}kQeAHIFjjP3z+5rGL0^lXUtc z_QcQ+eJ1+BV4KPd2FUY%w7^={Y^)#>aZ+Uw`$})1ue*lsClNd2 z>W%d8&g?K6%yT0DsU8;UI4wFBH!#J)+X|$PRY2-^ASSPteQ8_KEK;`I+>t%cGL@)7 zz;sf&(1pnzQ{a#ezE1xm)Wq(?eR3Rlgx2WrHthbtC6^>XSv!-I?$6t9$ZBF_X55QJ zz&3@DcugRLi~&MORS|&@@)sb49Gu+{Le?S@=MdrES7IB2T1rE8Rl91&$vF*}9RlK% z>UF-c(=_e!31)2M!inqz3D((N7Rqy}vdPvj8(BN<+s9uYC?5`W{}cp3a9q_2?b?^K zt9yg4q{2Zum)mupiymH=TS6|jz^WCiSXzhrF|$;_+aI0S3o8tL%sQknlwvad z>X>ePqpe)B48HHpG0fjRcQDu3O*JNa9N~YdWfd$J3*rF_DOtp9QQYh zdyn&|AWUan+i*@c**oIK%jMjK9tR+$4U3j-(w^)Vq#n1nw$3g^ECY0C8CSi8^(+N* z=|L<@Sz*~RJkGAvN;?d0#`3w<#`5{q8|&-FopS^UzWM+eHFWui9M*8)6Kz~Y&8n(f z1!cLtC;lZ%GqpFe3n$3y>{-3Ae@Ox)=3dIwsKoaC6pUDWf3vCccUANPMeK$0I}6FO(cJgl68_T^08= z6&0vj+np4Kq&2f*p_tfCBYOEsmPh{T|7fJbY`ig6DMB zF+0-A>`IACn2XFc@~Fnb`|ZbD!JE1x>QnKZ07`g_RF1{f#gh9DlKT zJ6X>gW+mR#cPC?w-kRDI&ww8-dX;sjrT~3%G@cSKmq3}8vN`HQqtng!4kJPLUo@p? zo>mR$YddN%Qt_*a-dv}fg~4(>-NL}HZ=@nbK33QFEIesO1J#l1WfhW4^oe-nC!KL` za4>-Wcn*hhnQuNXHyZtIoWGo&Fq(=!H?B~O(${mwI-^2PC-%Zq+3me|GW)fQ9(!*k zpAJsdHoV|0n_+ka3XORYb-H;`z0xGf^4gc55cii(C!=1-~`Cr3U8XBc$x+K|H!niwG-#6O(^xpXZ*7*7CeYAmBCaWXJ#-wS?@4OSIb<52|GQ;8T5Qd zyy~&I2s?e-W@4~?a*i6fYI)Di%mNjmHB0fA0F}_{%A^t2;V)1Z6eI~Z*5|)&@Nn|_NZU}g!ycWHgckoe znJ|Uc$E?&CeIkE^7mtc(m^on)NDTk?Z%vJ=9sh^DH-Bj2TK~syueMfgO_eIPYEn1Q zs!&A@NJ!dRMO5G_RccvMMMbL;0U<0&=DJ2iNO42fR8eq)6j8$xGF8B+EKyLR5;8)B z7y}HDkbN?rlLX)I`}usof5QDO$xLR>dDhqSoO7Nh_OOO1J&=61UBgLf(|zTaLV6>d z>T{uRoF$d@Y%zh}@1{Io?AY6FJK ziw!y=r)759%z&!qzxaCZpq3Z8A)8|(C{K^x;wrH{E4#n-^fmzd zqW!+2=yi7tePQ~~YG*X{twJK?lC9XKrxlb~nL4(!?-0M>Rhy+Qkno9O;_kqKAABc~ z`YrV4=Ci4%cBiD&jvX*8EbH2ter!?6tIitzgco1P>1UYt=T3^47Jcx^>B74yJ){vE zC@mFuus~-XpwduvXHe6`QCF4pEbkU=8G@RRlgk$`y*OESkRT_ z8;@Q&B)ERsC?L4dYyP9jZ ze+QXzH-|(2o*DhKRlE`U5>e)5?pd#!^yQ1x)^kvQu*T&3kCcDp#tk%8l&rWquzlaR zNrrsCqL-03gWyAJ4SXJp7{}SAeEwBnPPgJ;gO;Es%ae=LyCo_DrgHD?lzbgMB8uMA zWJ^;X`~Bq{TF{?02ohs$s!3Ct1wF>}#I-kav*Meq(fT_(ET2s%f*}wfA)VJ zlB)Rn9A$m@iIBWAY;lCxUAZ8g(F9eu#O!|`>)BTbJ%W7$bL8hP`w12b{C1ho?RY($ zw+hN>da}3C^PHezL$L4gQx^h1@j>_X6j_sCUo<@@Pf~QjGeX{wTHh7B=9g)FV$m!Q z)JCm&Y4LsiW>sf!@kn1_jKnODGWv$Qj{I}X{o?t&BgCS&o4Qi~+4EK`e>LG^{OQLP zC1m!esxL}ykCojsTL!vfy$-iND6dYL`26OAb48VRzD_k4R7=we25wb!`)Z!=c>U7^ zdC~4K5wIU5_g%39^PPpj~BcVDhFu2YCV*F2~HErpP ztRGX?mjI#cwoF`wDRTVS;!6p(`hPB22Kr$I21AS{X;BS7aNuF6b@|O%^9>%<__&bw z_lkn(l_53z{qIjl{+|47?H{QlEf=?jZ&-bp%*%clwlURp}KQ)d3sspNi>CTpzWRu6FIc z>lT>PsudhM?Ut=PCLWt^TrWBKcw)Tej`B?2wtDSMWv^#b|HRzZb30}lk0-Mu+M->x zYZTY}YOqNK*0N?(L`KN&VomZRpB*vi_tv1L%($fqeKpCuE^Q_$+=`=9QuN=clw$eH6GTIISIk*!#E{>P-63(*4MKEf<%xmDf~#It3llmn z&y4-0`$j#w|4FBy^y0L`L57NLU{yw?NGlHV=-o#}J^b!2T!YUP@A2r9E0hMD=xUOMhgZ;!wHF4_*JP;oB@T>`qt-G}?xG11b)0EiqC&EZ6xCwtz#__z zRply1)MLTlL5!%jbkoEBL&Rd;+m52Avb~DzH_vrT<{WQi^fnbL=teT_JZ(a`q_*VI zi;ybpa9i%F+}Q=G=N_v4__H%SRV5RIhl+1)5AGQ`-gI%x#?LiBzxF!i6Ae8{owTvw z{Jp6?*MqQsg;Z->z7n+xX

)AxrdR^hE)$)w`<1u=M#!TF*UsP|W(wo&AaFO(8z< z>!YPH>&w(nm1bRuh-uBqXn0;?&Hb)__4I&|lKSciAFHP6|6DR3H%?!Z9ly5x_WCD@ z=Pj!YUy`S_m7Pfy#N_7|M_g^|+_5_r@iz{0z7=re*Pmh~+(@x?(0IWx;c_}a-*+sirQWWvmw0iz3=|A3g z`7U1XZumNX#odyAw`E!Y*_Mo+&}3Izrtsm7YGZ)d8hVZ zk5l7X7MC^L`n!|)Ku@RPjbTR&+C zIx3i@WPY>Lb^tWrDkth7jcsGE;PafOErH|9=CIF$Y9vxd-ec>ogeKX!1C6yU*2edL z;m;FM-~Jl=S@9)!a7ro?O#GK)6Evy1!NRHLI;s`%W_i*5TF^fqTN@8LdZ9@&HG|tf z-es?ucL9=wi5Mk*=j?TmY{x;(kFJ}}rv=b;;ZwqtN4u|A4MSE-!#~pD@A6bf627W04>n3f(N}Jx2W)r)I zZ!rG~I@uAZ`Q)x&TV?Ah?+}wvVKCtV2bZY#fg^CF@@JMz(Te+buL!_Fdb~MHVQ2;& zYUi^{>zgg^EL!q6qnBvR7&q;X4Nc^8o&{YAz3EHz7>O!3II2O};`3_JoBqz(s!$DE z1^ww52HkkU5OL_PgQie_jDp$!iL;obZxDR&0&+Ol)Lk4IEk3yQB_t11Fs(0~gp8U| ziw=dIcNUsLIKmugU_5^=<^#&jbTsM22OpduJ5i4*iLrlGUP57EN(Hqu1ifNuDdzIl{i_~+s#X#)3c?JG9)(an;jXVeqfb2^FB4go*);KHH zR`ujXwxg#Vz9~2vIpgA#Tdl{SSP;0yp%Fu@e-osiJ7k_D9S5lSoAYOO)%!TS^Rd;` z?l|tQ%8}XA-VWB$$~=t+JDqJ=li;HSlOP%5D)o7W>szkqYw1!Ng)^WkE zWP5=y=t0HMiYeJcX(bCt@B@26bQ-M$Xs7LpLz4KmBscBg-JGVc{1=g4-M4os;6trmxP2)}%Nbc2kTBt(%$&un7n)+ANH`&=q z5f}N^qWdfh9j~l}?{h6gCyCH>eqSH#drV_5hpWX@k{T0WrnB`otV%>{nA;s&Cc#vb zrKz^6$0CEpAs)1T4>ZsAu~W&U{(xsY9pi(sV6A8(<=xH3dT8W$sV64iE?Tx=OfTa$~j*- z(pATH7WMPDw0~a9uE`$!sFn0bKR*1D`(0AZ}p0urBJj^JA#+o4WW7jsh2Qt4;KK z8a}Wy((kE5W(f?PxNg)*+#x*RCa!iq8dDBlLYy6GN%3LflFT&7pm?(AL{fpNIjT=j zGd>-VIDI5GF#HLwa1UQ&{x&VIXB_ECVC5+tXi3$<_lW54D7cut#IQ=+`XbRXo3)4R zoZB#Ihf7H5Z&V+Om?h z$1p02)PIm(f9`zH9RiTOF^;xm4(xI4@<}ImFFJ3%*GEB46_{m zUp^BWLweZxsqBn+Yj>}aX8dj-xblMTE^v|3WQj!_7ja}?0DTc~Sx3qh%=>MlNC1sC z4t6effC+8~Pi;U__d4#2bqetVCwicD~Mpb!68!q5V%P!8Zkm8e+^0Y5g)X)p{kjDw20QA9e4G+=R>yNCXNb7Nhcp9|4O z4}+B5J6u}Z5}sJa#_G75!cp=r0YJ%VB!<}INMeg!T|6{9tjc9y8yyqYrpH z7a3Mw$nlTpx0_N7_Yc=tupIw^HwnFyWv{jTH+3R+=iij8K@sgu&d)t#NZ_!m-Mjl+ zj9prGP1V6!Y7GFSIDnDCm6^JPDP#-q9PoMP?|K@rg#KNtT4e$9KIm6nCd%C5A#Tw$ zt$ApyyUI;LmL@nERVWzjs%mu5FN(E-HJ#b)_*?`{q3XhxIbg#c(qTfs+o&56OscsL zF_*gbzfI`fPJY=h;12Y4L>za*2wU>gRGauQ#R#ARQCHXr(Ch13rv(zxXC|dX=x@Y3 zTv^Vzuaz*^uVT>?FFW3bLPrg={yGb=P}7*2={WP73`-R8C#CJ;1rp{0Z0!*z?XZui zau_zj2(1?X@m7e#hA8D09_3L>k;K=Q=R} zCZ)f8XDP_BAn)y5K!N3}9W6&|>EQ@1hM->8X+YNaOF8=+Vidw1N1Xu%JI~O0@VetO zPo*iGYO7i#%G1@%j}gOva}Cozc$nn*WtctgF=^fF579XPjn- zMF+a=;S{(2qhL7pA@o{39->VpvA#{S_R1%Ls1KV&1uX#`a$%%`!%mQJyu+>LIbjub zqq%Mh8G*X$QU!zCTS*QKgFZVy-f@8-Abmlv=#U^M5x;d+by)yVR{KD)15;>>tSan# zftSjoT*9d1#&$TRt=<2XemWd8K^wnX)FRhUx0pUA6^1#lDL{$&)VZUmVJQgdbUs#3+*F!!WySMvPge(L77bl^62*k#B+vr z{-))Hy^R?@yF{{_jFML)uGHsF@QUl(L?~D33_$OIDJL?Ve>P-4cEQ;G4v$IJc(Seu z9j>p^$F^%(M(X6&O4seK%22nq%Biz6!X^jZ;X`5fj4ERMO)o&9+YKB@-n|%CJd($O zBUD1~Mlt?3*D`6;RIUc5@{v=$*>heu3Bx`e4S7@RrQCq9dSqSAJmWvrp6h@QpG10C zFwq(2_J`()-gcB;%q!F+^tySz!niI{Eq0@VRal-pXq`<2P{$#3u6 z{wwD{kgdcLSl45#<@)U3C-9o#A><=KgzV3*xRgG^_+AvB|MCH?yVPMu*LLl@0=val;He8nQYT(V!uA*mwJXnlWleF5e>v&N?9ikF zA7etQweH_SYvs7!zi$GX3|{c#dj~6LcnKKU};N7EiI{zYuG(1iW`6^ zra4TBKX!sJ;&gldmIvrA{p&u0i}09=l9`kH+FscIGtJ^ac5HfLHyQ3CouN$pFVuOh=T z%jKiaP_IeKC1~{@3=hzqNzMUly@3DwkP!Ia;PM&u6VmHu`~39k9o8Sv8P<6It7uUA zG!^+xKyTd_4acN8o3xbSV@d7oDP`{L+Imp@7J#8|!h_8zX-vKULIdIC<^j?dSLL*C z)>lE_pNqr)y`abT(WWQZn9JuZ<9_<@4gaOXf92u7dhuWT@LxL#+`$@@^qprF{x_A@ zyi@U-cJ`G486O#7K5tL<8(mOKE6qi8Mz?5wOflZ;rI6IedegrYV%a9vME*0S{fIKl z18ZDP3WC;xtnd#IPuVksu-)$aT#tBr%Tv{q{2=q8w7e13T`&7M4%S|-%(3lD z{R1NSWwuGrB|W7LG*SzOXd4d_)mo6yU@UF0+@nzMCts1C1!aJSlV9&zKa;Cn6Wc+P zsKSzpiP_z|ZC$Iy$D_}1iow9U_{}?QDRgTFJLL%DtnD87>Epa4q{V-paiyBEf%1-K z;whN#uZ>0AumfowCjL+ApjOr(dbv`~NNvMo+%oGVS1V1HF3^<7C`SwDf!S+o%y2xM zdgj8>HuNmGZ*`3{9SnlEA-2Mr#Q>F}q~|U3zyUbVG$nWEvYOMugHu{PL6bGBGTKV# zXxQKwvSTUc2RL0>+ij_TGuHJF@%P<{<_e{j>UJObUA!R1W`pJHP4E_o+XjI=6_m|4 zAypb^il|Vh0qa@(tpkl;8DQSROz4xTWOstFHJcZyD+AgGy?>@vxQx zQg)eZDg*|wd;j1{b;bOcNSdH*kP1j5o7!*yr+`>5^qu`t_>1G`Y(F-0+d@GpT++xX zsp{hGvC(I#U{@x-8_|oUR_gtauXutETh!t4Bo|2+Ym;2>sWVM0d+HDsP|S%ItnJcG z1yaon@77ketr_3q#=ckItRk_J2F5$c^8>EHr563GWuuRRtU*^A>tl_~O_Y3FuY!BX z3FMg8<6`--Ma$`5k{b!C<{qffHmOAL2RMX3SY?&AAP!d`VTQ3`y#?EYUZ8&P1wblrCbln?E`vw~WmMYQn zLUxrGI8-TG116m(?r!sYn>PAClLS4%Q*$JrULCOzt|A#==|~#!ZsQ^>gfxt@FYarp zPqjB@voSfPP_WUgav2p01nFk~q6tqLug+d4-w*wp&_C&cCIF5E<5I)=9^KvX>w)C8 zrQkk$Z^6yl7l11pNrnL9MQ^*X{D9|lSYThxqVXQzun&W( zK&=?x

vXP#~`g@E&MMfsMjvbWLcsPtg-nAkjSWclRA6`vHF?NJV@S9Bl|=eX*0Q zEFw@;pg*F0R^*am*(S!HUZpxvCIAIXX`4_}bun1!eDgxV)i`qyObPrDAs=&$O9Z_U z_Y6Zf0WWco(Z$B7tF81RxK0g&o=U(^%q5YN#t#*m02cYQ&>AJy)R^)so8$bETRUK;-{|GXg#|>_Ba*p>T zM7AJCK^rPOVPE-TBB$rY*f>|xSzcXXrr@jRZBkAzq$m>wxE6w}IN=ocmM0&pXVYOrx?#S&j^4OkiAvT6{B3yd2599S*UOCF1n z)229@JMpVj#RBNm*2hL+L|;NCG{F?YAQwB`&H3d}z2 zF?0~`F)YhLXcr!ThQ%L2&)UQwkdh&APh)|)rS8)n6uGS-*e0n8(zFjpPTAi>M~~Ux zBfkX=R_PeeS>6}{jLm>_WLrK$e(n~5Vmble25*rWSsYu*c<~x@7)WxGK$7$5AiEXG1`4oz zIIvB55ZG+X%>_u)-jwiDc_#m=xe;zG52S5gOeXyY>e84sMyeXMyPNJ<)OYyBSVxoE z4t4NcWcmMVRys_A%ZF|P*U5JS!d%(LrtrNBG->9t}%!TQ0KtqkB`ZRwt=9}Knt7;Nz^yY}F&jA9+59ng3e@HXy! z@3hI?vMHhrUB|qbdm!>pjOx03#j(61SArcAv>@lxUU9&>WX3UJ7ALR18R5nKC^XZTQV5bB z*c5}$ov;ZGPHngt0 z^CNi2c^h*gy&YIxD^?j3VPmu-v5i~Ce=TFw-S(y~Kl_!wXsls2n*<)W8hx}gvht+` zSY~?>4K@6iyUFle>5c73<05>}=y@g{encC<_XGlyPf9{UZMko#S5P}t%Yu>+Y4N;D zg4(i+-5CTS-xq*2B$Wo~< zYgI?)#=%*wNS56JN9YpfKgnu559E+c)v&V*%N+faIN9*FbGF6J<8Y5tSEpBw{aQIDmvWD$>HZ&0T~Z-t5>EfSgAc4@+B>kCJ`rsZbf``KH?Fme!OtVAZU< z8ocI#>V5xW=PGH&c>W^cwkZHbP^~d;tTOp-u!=!T8d!!f{$|;J5qR-ynm9JRHvpGM zQ$q(F3n?U!UtH}t1=_C@&PGtC?E*)xDZ{DNHXso&UJBb}e* zq9%jpRw|=Y47-(raT#;7 znfW_4-$n-iF;L#&li)mOB~6C+qD)>IQUm9`0lgpXDZ;5#UCji78}=IjHiFt#yDS2f za1UPx{Qr4}(WBSUuEKC{ojMe36r%Oc;!AtRxX3efoxmy}_I(Fk_pgu^$9l2fx_t1+ zv{nGxuR1{crWg+lvrFCP?%lXdP&kA|Hr;&z_AtHwss`^5jekV*=P7m3^I}TqLE<>z zCRFR>EZl!p5*qF7pCuGOw*0lVc+jsEISb74%;Dxy5;iWxn|vH3B&}0V0aaDGYujpe- zYzyxj)cw<8oeWZCbgZ7RxXD$|^k zD!PHZjKemJlR(VhS^N&q`;O{pKj4@74<%5WL(zM^9$MkY@M)#XvYdr?#}j`v&z?fC z+ypzN1Vav=X}YtNlPJ4nLlbL#?)wKoKX>mo`Nb13Tk0KP8H?-dqjxb=~fnI?-o+i?SaV4;oReOMd&-4R+%1XF$XdDnms(*K{ zVd8KaI?Yjp(u^6YhfziHdX{96`FTS#N@J0$P`>Yo?;|yIw(=F{sA1=wj!zwu351ya z28jpls=z`2c8dYib#_@Tn%Dto&V8(A0q*lNC;rY%lL*+m>^4)BeasXJbW}m-q-=6d z3#4l)*HMkQs&6D^YQ#zgYS5SznKm2gaDG!N?yn^FEiM|h9sj+d&bZy&w3Mi1)UGpo2#T2 z4rs=hG8R;*&7#>^kzmA6c7}QRsRKgxKmLcJ1WI)#epbgpKHL zkm8tZPt|6JGd+(#OCjcSGU}W47I#G7uWzE;UTd1%Gfbp0R9A`nu4Q9z_xlZ3JTFvM z*`~67F|4ojR9iriaHx6Yf07Pd5c>;c_J9u0?Kx+Q1G*x}1`y+Rt1A9^=6DY`c6oiB zmd`=is?RnYT&hk7Nedo}6H^E>4)%bM)U912isWq=I%sJmd*#rrE6={_B|lk#iCV13 zm*Vm5LZ$Ja!=6up+Y?R^wxMgpkts7vJpV{avi$_g$)_CmB)fr@d%f?c>aF9fvw&~z znFNZg!s*$@WS0k;k;*U08bV9n2K)=h$SQp}xN99&$#xt5$Z-_)a1pDDq?|bR!%(Gj1(_0CSXu`RyI$?s z04{wzMF>Hj>xJ1s8z#;p#?VCfWfl|9Ey-2Q43LXB99x+^HWZgF0I3`8insJ4NvyeM z3R#AJzv>s^YU8JB9xybt_fycYIOY*%76%ke*GAJ+Y`TR%mNXlioW|`SfqhdOctL|6 zttcpHZrKzBFqEV#?oyJ`qMC!+GZtX?FYHS#O`XdM1&Uf>ja5Y0Wbe>}_BS<>)R*PQ z(KEKP`sQK(>H&k`S)Aj71>Y3(&fuz8V~&_YR-q5L$u$rB*FisZ?>0YjXMqUBuJiCi zRhY5NVY#6oZSnEtj9-m^)^c9oaIseJZQ_&OI1F4=_cB}ZxyPp?^4@%Oh4c+bWHjPQ z$XnpHzEt}M$oJ!1Lci_7n02)8ySs)AG@ZE3R`_Pq71I3Jcq@f9o$N_Dr7M~jQwDuR zYOno*xW>E*j2n89k<)Z~$$9TdeAiPQHc z*+EAdhxFutEe(W%jmH7t;A;DxpktT#mmnaLGS?+DdxXCz#{|0^-Ve*^SjlQYUBXWMoFX$8HY*x11O5k_b!mlY8r z-w!`PE_I%&x}nf)ylLZLOa-&+Hz&ZE1%(cyH=G(}D6)Dn?b)~%h_+tH2a;H`oz}jWkZpBkMOc=7eU5F66FYk>ZDUo<*8}AtL)3wEbG!5{8Q6UaMs|`R?^wZexBC&_uyFc zg;Ieum{yzq%pa<;!~R7Lb!x_YHs}p(-%x_%pIR)HOG*y$NO10fJtxQKjGygRUw`b2 z2hHN5(+b_v}Pv1P8WUX?$ zH|+Tc8wlV0ge_{YNv!{%_J01;y&2WhO*z0btSe+r>qw1`V5O|1K!)d6C=6Bj9`lbp zE(*O-))G`dwz;NI@@aJvQBM3N^+Z2nBs>(`ndq^ceMwTM_x$C&cG^OJY{$?`q|nk_ zb>rN=p}+9KtLBak!~lBFkQsy4+Tiq*X=ljQ(|e$Ybz4NiMN4}bfit7b(|MASP;q%} zZsm=)yN3Ra5US%gDlxrRtj$B9Ue#S!y38%3^ofN5k_~-Pl~#P^To&Z@{&0vvgaCf)TnX zD8?}VXrA{&-BKdK!sAHXH)on(bPw6ct58Er&Mx>)k_huxVJ$uteXi382H4&64yJl= zn>3$YEUB{dzzo0@dG`QF7ieT+Xw%RY}xUXi%+u$C; z3jFEj%;DQfeL_@RuzEw)G@cfD{l2pr%;6G|0MSlROr6uSIICTY^cB?k)b`RZ)#O7< zhl4F^^_|s>*&~zJ(;}T_qpdZ!3eK~vw)b8 zn`8@m7I>!1%#524)3xJ%&JTN(@L9wy-Jm79C4^;I9H#)((2`JYx3YHAWD<~V6x&6W zUNLhoP|k?u%8?N~On>#mw^&QfueA|CLP_rdQ6fqeW%GGXfmT85fvEcUA*Ec^a(H<*oDi7RUsiMIJe2+zhXv5XEo? z&v0*zc~-<}D}_`jBh$!!*s?HnqQgOXv-$5?Ov79c?{A^58(6K`SvI`d*47vp;N(2DQORaa0V zaoe-KK4@*(qMbEG>6>gUKBk#!syQ%3*6apk{goz79qLa>>0(qzgArY~Zto>+eX=QX z8Uca3@gxTDVNV;baX6%0j*3~dMjv))>3c{axWrFJANsWG%83F#Yz$BYOKY#Bx#w~& zOO)nCNDq1WP(9EJjV#!Ep@oyoaFOIv+kqa9F(#pi>4ch*MA=WXnCiD5KUc&lPwIo` z8S>({0`2KC9PJ~s$O~>Gm(!ow&gun@;XLE)9ZJG+@hqtOuek7EC{$bZtJt>Enf?K! zUclDQ#c0gcS@zF^*hjA)r;eF}o$y$TNvzYUz0E(d-Z@mw3;)ZZYM2G`RN+yu%PWR` zVws*c^b~u-D@H>2uM~=HFjjujcnEwf!_TnfzR~AJi-{J=TkQqy8DL?QR`Bse{MNl- zYFK|20{L9~BMv+TZ_|IZ+qV7c%mbn6Tm#8UGR&G|nN>agh19x=+S|FtJ_IQ4M|~{v z&9VW1*SSzlGkH+nTJ=)bKqMf@?)+R<#^#___f%l>V!fzYajym6o_;75ArCk$sh%w^ zfwX7JySC$DJCV!ETyHzo37TkH12AZI+X2s0_2+MSayRKB&60cr+W6Scb|MPb?Z>~` zs-N30o5qv!JNv9lCM{BJNtdY5m_$YY)|NUu!MyR9L~B~z`kKW=64cpebm8Vuo0MHz zi)6P-rSDeCfVd8%HQhyvl&D~EsPnw>?W-PA9K?%&aQWcB+ODl@5MLtlOoNt)rG+McQ7bjm z0>7O{I24;07LMmf&&X(YpLT`|rY6Fw!xWtxe9usUUTc`>8Fq+tiCv7d_-LNmU7R{? z=n@czk4icswb4D?!$Q!;ccah&bIs7gDp>!rG2{2YF(#SA&FB@4M$gN@udTk+r0Pe+5R*1H9bKX8*ErMX}$R%k1G{iGn9wq8tr_f zw7^BCRTtt!5v!H@Hd-HfKRGMzxnLRrg7dYL;IM(24095XtMY6~HP#;@YQm-$;H{?E za4N%BbwPUr)FdX$G7i~lFXwa5Qf12Rmh0pmS{X2&@qPe#82qXV4C1FwCUjwB>Of6_ zGLQt^Bz}pgPtEEt!=8W=?~NSo%Z0~{t(=Z$;0bE?;c0uh=7#Qa{FI#0Qgt;vor_5l zH;nKWCC_7*N$ODE^=ve=;W-ca_Vjxd_79eb{7v_2piC-P(7`A(UZhs~0qJVK`-+Ud zaC7q1tafM3ar#E#HGMXhws*PM@O{@@zX}iP%v+>;CiWKAF_ZtfxHGmiV1`pK3j7zk ztLFj_VBIbKRvc1Pt_hw7)kGSQ4l&JbqFr`~iG_LF+AtZ=L1IF8jtu-fyw3b7PX>I`c^_#7aq*Q- z;DtGb!QINLtB*M89&2qs+dkFu98f@FD_9K0{sg>3tj+J1f(R@LZxEy({E~+iwd-oY zOEE9~V=;XeeH{!;1MNKRfpzYByAqbe+#b)&1;CZJK<+o0C1?Cw{gXuPP|^#85O`pD zW|BCKk!veyp9+r09lj}m4Am#8-H(ZsA$UC;nY(U8T5uvwvH zbpd?M(4fgxwzn|V$Ejo*kWT6RQkB#uv0HJ96S)#Cf=9_f%Hoy~dxPPtX32TV86aX^ z#_R)DATBe7?{*xrmQ&|Y+XKJ!iFwxWi2sDN-8T8EL(Kt=T>&?j5LNKm7d0Wktk0zo z2jH3HK4FVvoI^v%nYJU>}9YRR$05l&kZ9GmizVjz4o@_$UwQj4j-2&H=8?J=iJd z65EguLnX39d#hUbGyVc_UaC#P&~asjT5H3<-GaVjACc5)3Dn-eH7#>jd2XYG(?}#u zudibY+iiEwj)EpS{`LQ2CW(p@-?Auq8-O6pGUlYQH9>e*QfJ-kK5}X=t+ML7_s~OED@i$Nly+DQ%NirpZfuk4 zl768jD_s`)gIC1hMp0+Jc0K$Hr3_-l2;(CkH+FBg_<(}Z0uO-I01?sPPQ(W$&!-M< zYj3dlN>G|~=0zkmu`tT)LloegC_|qn@dp?8T9y z+QpA}4;O#z!vJ>A6Hr{%!-@B>i+vw#ar*^xSq~z#H$Hg^-=V!Hi@=vl)8oGRI3 zsVsGQ$CBxoz}6twe@Fcynq*hcoz;B>m;iUQ`?xH0e*uz%AhhoETY$$D#Gg^0rGRb} zovKh}OYCu75s0U{3_=4Z3$B@pY6=pD6rSBCcUF^c8n7&npuVPWsjb$z8+-!r2jD5= z6fX)bfmcY+8fsoEZScO!Cie?*XA}cKMonciw4#bfiQl^}@(Tw^5{<`6`xAn3c|^wK zOijo-+6~}e7hV^JUzp0&XbRvY1#qHJJGG5I zloSrRY7-XPjV70qnK}#tSU{B~d;soES;Jb0dVt7~kL7ciLCe@@B>S-Fhzo{zAbfwO zKO3sU`UL|mhT4{%Vov~EY&5b(&sg-<`+H!e`<0b}rr^c>>r!e!97PzgE)%4Fqj=%2 z=`Nr@l;T4}a(X=%7_l+9@D%T!**}|d#&%Vr(IAU0j^Ho=Z&i+T&I8kKIUBX-dra#3dfj2{DH>Y7Ah;m0(ep#>+yg-;vanH#ih z7RBI-c2FGQByTzX$O#G2U8eN8&Tz8seP2w5P$py?4Gsf4-k3Su|=RxyFfG59;i z`Lk`EmbchWCW$Q)SpD0;>U-mnTNwho$pkdz^b*6Eubl)AhjTSQ4FjsqW1j$+rz)oF zgPfQrKCi2u*b=%CY5?%%=6(@-gr8@0aHR}RH&vgrgOJrUS;PlM@Hs`1laVdVX#lNj z*9^a{Dsr+d3D4kJVpNNY;qIYIn@6u^vm+$IbTg9E&lTCNDUUbGKmm?D^&R%!^~4gG zztcPq`1xQ~KDprRM*drX+yu7sY-az9`heo#Vc;@c#W~ECu?{J|$B&(4e;5cq*tXQ2 zNR#hG04D9;W2vF0qSl_Lz=y6{-7h50tL;>7$CX7$L4UC@mC^^XywLqSYSjGzGSV;c ze_=Kxi`A-Biiq<@S>5FvggrChfLu{{h7Th;bs>|JZV4VPLN;SAAR1*Dl8n}TN^;Bq z1<`3i_>G_BC6Jth{);nQ{{h)ARrT-pv`Z|XvtcB7ak70Vz_DkHNjlMXHY8rE_a zP!%(#qOX?$5l~t7vsl6~T=ZNt`#Fq3(1oL7hF%T|e@07GpBAR7)ooN|c z-E%7h(3U+xVQ#beyw=0dcF~@54uBlON36a0j0k<*6-W(Os7SP)EZP9P0gqq11g*70 z`q#>#p|+0Ufd~L2o&o6uJLTmqyTYc%$uFhfAChhF4-FC331tg!u>xF+l;rcY*Vdxi znNqt~7Xq)I$Omwswp5|8!vTGgH|IBam;6n5j5&@cu|T2Pge5)iD*pnm@ZwNRAsJX> z)zoyZ#FN@GV-9teY#IU78^{!0`hvcSacBfY&&EB%lb$1Cp#WOq1Jlp)EoojSc1DPz zHp*=s(Y=Yjp%=x#gqe>Te_=kYdZ3#M28@REB&CvX3d4Xy^Ripee$(%#eeb+(xk(;M zX%iTde!+G8EiB<56d?6=wmdOKwWNCk*4_+sBWjfj2OmpyQLWJ_A~AOBaJ9EE%pPm6 zCs@#Xk9+yyPXa*xMP@+AH;o4}9*VD4%+dhAY~2qY4!fK%t&4B>*4o;{u5 zzovAG55vbXNq~N$E6`W)@*zVpt^oY*Y?75aFq~OU{=XEL{-h>Pq7jhrN0P5VIxhC# z9&06NuxB$)?yho+WSB>Y1nhZgo#;pV`*_&d_}Z2RI}R`qge!*GM=SVhj6$U1b&`0R zDzTWMvLh-g092M7g;d#P^`!Q)3$Tr-YUnRanAtq4tVZi(QgXW8IY_N5sl)aN5ahsQ zWRMMg+3Jzb?LgbHQp}RgS!e!*2Ts4Hgjg&WM!yi~ze7kXApoRT1})poY3K>(^9Qjt zEO`3UdQPzczzg%|wZojkOr$?IQyCd3_lSh^l=`k06MYy>DdO)|arTlhUHV7I9XXzt z2pME9_S^G*c$Wo0lFSwCTfmXP#t;P>V-@~ro*Z#nPa9zDRh3#+mfL3>so_^MTeR)$ zi3`U?oHp}@_Zq;Z*jwr-KO&X(C$Vsbx6q62%i|gl;j8N7Esj9}MA7X;6nd1eP0X~X zryNGXuml7YwE3$-cjK?xgK7C{c8<8o&gf=Yt&`Dv$sg=2ZG;vNpyW9wlgEXjHHFrJ^Ujyx zmQdAhZLejK&hvte~m9e(YQpLc0!rjL1ySDUO@aEdi4Mf*j&+7+b zvHfIopd*R}x#KK{%7F)CW&P7sU~Ow1*~e zjj1Nv=|cM50M_{#c*hYuX4?{hMJ2zln`O@+%Wl3BHm?fY?^SCLnaRAy4`%oW03|CO zP?9kaJz}uOVfhjbgsX1ktKMYizTBOX2l(c04b>OuI^70-GfyHCcqG6af+5$2b5NB z_%Me$lVt|IQLFC`tf=r%OlQF_*oUSH{+UPbs4oaaUMah5={&6c6{52Ne;HY|CA4mUsWRH>W`i#^zh~I#CX#3* zo^!SMvzRP9l7u+Ouxq>)ja)_Z!n}Aga}D*EhSXd^G>AUqK_mcS?jVo|x*;r))S007 z+ZBi@N6oiG?rkjVz%6ZXG5#1xpI@`A;{TOC2*aH~tq^3xAvM^%%FND}UF2i{fe&WM znX5|jsK7a6vf3PwPI15&3m_30Kp%n@j=AxIb{AkDvLBHMzkM95$&WD~;&D0eectAd z+N2O*lN@(L&{Ps!^R0dH!ZdE?mImJyUt5sZ^@ocIAf*JsVP9wLbGIweyY9O#-DW{Q z!)601n_!UG=1d#;3s_xp8&eCu{Da#5`N2uc*?$6L^w`C@%2AF>fbbo22%q3?!i@ZT zF-N`L!TmRen%};s)L`3=%UD?n<*juizGdYJtMlm>ZO0`VJ`JZ&MR$oK?WrN{XiNd{ zcq4E_Uce2loyPzv;0o%u$+rh89>t#YSlh2Mw{TL1T#ug~v)tn^;1Z+c_bZ!y6uKcI zLBcQ&yuO*{%v@L#kCvvszmpJLa2RSwwce^r_}=c8VzdvK#i;;6boVh*p#R7lMd7o- z1RPRe55yq5#{>BZ7$daEavG(3x>pp_l>o(mO46=vQ5OdT*GH2r{1C+bFGp0~0L1`< zg$07J2b5;5P0dQQC-bnp#M_9kgO^>FHe(Xwb#jJUmIUJHM4Pf7u*x1rhJY~A$=K+c zETJ~A4bdeTvB@$&vG0_&?5~C$_-yf5{IYQyAEIkY+WHO>hK$jmOi_|HA6egy6t7XaekgV?`bH&#7&S3NiWHsu9cBD~to5>lN8`RGtlmHzwiC|Jn#Dt zA2R2|?{^)(-|uyeeqk5yCjcDj6N3-kRYN3p~X?58vwl-ny}o2gDZcbu&kjIccAP*$a3*hm%RWqNu6o6)*E4 zv0H>V)0yOn3n75OnZH9|Lt+g1c}Nc=OrO|HiE+v+C*+sOYv2zt-dxv_Hhs;eyrMx! zra9JOCYe@~%N7WV!yxP_B^$0UuG;Lr-BQxA(g^=kn( zi9@=AV*cu>&vagm>dhCIO(T4n9QOwD_fqY;tV`A@8-psQtpAgW>M7<==CtiQn0&}H z{}PuDh;;^|C?+N=`hHDc zqOZT?E)d?vwGNEVO}gm!d2ye4Bry6ikio-RG=C5Fi%(`i!_a;ftTNO*1s+~aD#1c^ zG2XeP?F&K%SRdp|rQ`}!lRT-d@I>P}`77}Ar)0vC_&mrMz%yQwh~~2yJF>vUUc^Nn zk<)`J!EM2b<^1?I!`;&OpSo87i{RQQ*vm16p0!S)^Sj|6WZ5>?cjkZIoIk~b$P(S{ zKhC7ae5C2t5#2|SE6U&nW^f-QN0zSg~Uq|ptdQ+G@1>H3Zxr$i6W%b@Jh+~4PFFdMqehujN05v@3_Te^2<6uS!E=UqPC7H#tY4#z=!RwoRF-; zY4347_>%vjn;%QgsjE%-_N2HT_w%7Jh-Q(F6TRVX?Hp#6(e1ie6gGEt-|eK=`PhC1 z!>ihjduo~thHz?iOLp;DkAhIvR?A^(&!VTIv+`Y~yT7uBG9t~u2=$+ICZAsWC zOnMD7emHLgi*R<-)@LS(f~l{%JA>mq8-xE^bbqan+K8@Ay@-4cp;QJu3X^S~9UZrP zGM(YS(AwW(sCMC10H(bFNvaQgPRj;Htz$jo>QsbXN~?FbcPrTT0KI;ga^L``Q|&~Bj%EMPTrw*UUS(Q>1wZB_ePiVGAe-3 zau*P~+v-Qciv`U^3%ujdHwp%&vki@jrAd`k;U2x@#%<0ARYkN8BeI3bngKN@e25xJ zJ`M73psdmv+(RO1oYUk@U%ZOF_$Oh?hv8SIpQF*MQj(8ILUFUj=|T~0a^!IKXU>Tc zr&_2qT?t+{{3eU`U^=&CEzK4DAeYV_%_-a#Fw&40)2AGldv-0bEA_`#Us(_8-mD`=r#wTFsx+ci!0G=YAywFW` zfuH?3?O@wy7Q>6%zN@57TfkeSF@*jS^tdc}wF$XI^5H$A_eFJ6jy(r9MA~%WzPe%~ zOZt<2(S)vOD7P#PI2uK)@&xfWNUs(A>Ymu|g1@CxXJ;k@Oz&#+N(r8h$~c+oK239; zc7*m00=Yz)(_X{;+)5prUou82&IbdujUg2Q6)yzb53;&mYJ$>~5Vo@HD4eVs&)?^R zg$71va`k{hP2lJwu0+x*1fx?&Mp+@lhLYH+-Mg-qy(2#N>*2N zQft)+ddT~8z%^2(&c0jk1N#qHo*X^cwle>Q^7X-DvSVdg{|}8#A&37!#)!0imHq$q znI;8D5>h$*qBm2uekLn>a9u+A48A_%zW^!bGt|(;0>uU}Sv*;)nxhUhze?*Jzub4B zw>M&cDfp|H{#VDF&#`IFfvwgdXvo#6QDF~FGtOGys@U3HjJ|o;++H)6w%#augsgXn zwyb2lzniGCqK^n)7y}TY8@)J$Z(iS%tBVFX(vAK3v!#tSM?-lpjl(FK6grZAdJNaF zy-%A~8bAIHdzh>Y%KwheBSBZ>3iBn-49)fSP*A_G9!wOmtaG2tZy3AvOtwaD0LiIw zE#Y4Xuu^_frPQ6WGC^G0Y{52b#;rk`jTT4LGV6`akJdo0TWu5llxj=N;$I@qsiTlh zT4_|K{SZ9KVnkA~<=}h>;>1WoYq`7qdi+hA3guJ7&5AvW&jx7#yi0CdL;Fu~3o5FH zvb1Ng8<{cd#a_Mn^c>AHn&xyqoW`a=LRYj&Dw2Kyl&Fv>iC1RJX^&FI;XgtWA!_AW zAe8s$>r`?~I~q+#;L3}ax}&rif2|84To_BAWNY2Zt%_Wsv<^%Lk z;{^)$0!4gJM5z1GP*JdVJFqjVmAjPyNl{N@OYq{2jFpj_8tt#DwzF}cB=$TK&Tzw} zWME>|I)~z1;^V-(Ct@vDL_C)&gU}D{1@kow6Fc^51Wat~KvVyVzwug~2RygL6cd|E z5{ov2dLq{Q*Hs??*wJBK;XGmbW|kg=MP*cD(Qa%BA~0;pL%P6NePS&=M_cVE>cDyQ zoEIw8&UiRNw|NDz_;V@065^s`4#6P_A|&5BC7nno(P zT8;4!CZDc~I0$3DYG0B_AbF?8(QLCB<+Xf&(zb8uCvBxCcpnq1Y2k%honqCzod;a) zR_gA?F*}1C04A}N4nwK<)NrC2&~SMVa8vc3f!b=axrW){2K7_lZT$6$%l6rgNT=mD zNc;wpM1c~J!k-?VJ|x&Bv)iN4P#4vEX!-|)CqQQCbRPic4fG%aNa{_Fd3_+hnDG8T za@pQ2g*Ah5_KMiMy?IO$vN3t$K~_<Q229HVinwvsXJ9 zA7jbV-S*7^$b$@0Msx8%k0rz+h{3`PQEh8XZ{$Jt)iS)csrAF!pu&VW&#*5NwhAS31$>qex7X$uwh4Q=gZ%=S+g- zvA+=y1BUQg(q>a3!)!cpk7ImYF%nhJpT3nI2EdCeXli*}h_{A+`qCNG!t4p_fGqrq z{7()%j?{I?17pXC+CORCOjmlW2t=0oE$AS*k^&9 zx?rnze%A-Y1PHKkE0SfS#Zb9U2lqH-RL(T5$m0PGPej4<=-u%T=YPU5^|SA7Z?5@$ zp5H(cVLPhcjb#O0F26VQdv6bY7ZVV764fX?l^ z?cV#;*u6v3dn*3{O4x@Q4twubbzLI)*7(cZCt&k)GrHQYL3TL~0!aRlqE+B`5M$46 zCr$5H4W`I7v!N;)=otNcH2Sss$LTu0y6PIW{v+ZdjbxxmULTst}#6Vsl`s>&M30i;Z(T!%c-GxrXQ~GfWL6oCi`V0ug+Qj{tOVCJ_5-XTp0{1 ztP*&xzRZ1Q+(~Y7eH(UV2KX8y859JR#i?hYQ^GJ_-wk)WD(Q$L9Tl^bboou9!4(Z!@)c0=P{QwXUkwKdm#wF?9bU>bdIESDY^&ZGaSeL{vt4jz32$O|a&k zz8!-X#Ya4Y)J7jrcYMIazErDVFJ=t)${`!TlE^I~+&W?wt3KXRYCS6q6&JvBE=EgA z`P07yvtlRPUY~GO1w}I~DTaY7aA^`7^>ohLbAgtX(85Lj8Ec~S*O2qSAml`8{ocJv zf!d=gT}@z~){;cHoAu4MlJv_j<7lq^H%t{-qtQF|(DslV3crqg3O0-nHlKGgc$@#D zW_n|@9OKF*9!2dn?3sTJBKYVp8E#~{VwRfLXv#HyM|<6if67{YI1(-jd#vFbzGvds zEzt#vcsTA+8tZwZ@0Dn6R{T1-5s2Z}BJr-4)ADz7T6PhOM}kJCnJ(;6ZU4|D(L`gMziNfNIxDmJziNdp z(2fcAG}&^$=>#q2wW<=|({DCWulcd^vl-Wg#wDTR)*&_E0`Av>X8*&kIjmCO85VhN z3=GG*Dzgh9JMggb?zZ&^{2;eI2T@D)q=sZ~AauPhtDZn4|2D_CidFyr+r{Vs%-A$W zH7Fp8S3QClg9jYFoT&}~by{_5v*KXE*l8bHPn6w1oAEaqtL=lFnwI37bww4oPnN_D z9Ssd`8Ulh#N*$Bos;sDW9C(am#@^97fMV+Yukv}H<_ZLiy!QPlZu`P((-?BFI@$_u7TF|?Fv{An21hBt$#$RlUH<>L$+$<)w()`KgMr@Q3edDb=6pP0d1u> z6n*YZTWO;QDHEnzw>HX#VeH;T0b02q7*`c7880q${h4uL@AIKNVIdQ*^~isCk&wT|^TGvyM|-grCx7~On483~ zU{%+)EHiB}eHO$O6W?s7JQnId)xQ8RFGf?ZdQPpVPyF0@2$&pG+qaTyRO+BIuj=36wGN^%t{rlF@nt z2T%Oi73h_zf^5m`C0XKv8io^0#Q{)Jj;U9t17G+9Wj}Ve4Y)PP!}`>#l`F;9+9t|U z`*>t}7O;h-$_@w>sq@XE7V_8-UD&dXV<=xcSn;;O;zP^Xoz8-oUW;V@%>#yMc_>(W zcPP5JpEc>!MQnG_61b8bN^2lUgm(-d*hbgTvI;Xvg85O&@ z=8jRR^q8Sx1wh?FyLh|5DxTien~SK`@gftGtlva;s#Yp0Rc=k zNLgKyqf^tPK%DMtIBqWS^jS#!{c#BS`haObeGyXx`6ZvXXBDpUsz$$P{Kdy9Q z-JuMjoJw|RH;W6-Fx0ULUyWwSm1)q44P4_!CZXcq)GNT<= zIi(RG$BJzWF%8g8p-F?7CDr%q|q=%AF;*a4l^brZ+b z$jZMYy$n84c9|BFGwi1j3~wu3XSG{a zasO9oxDNYsSX0|qjEvZ<@U%h4=i z5kiU&f)r@m|Lwkn2t<`wHhY~Ic2AAR1*mCpdxtH^ZjV#5+@zLoznSypMNMwOo3nY= z0su=ASj97$E2k0Oo-Hs~d?GJOKtfKVB43h|f$A}x2LvIGH=_KGDu<*QQrB`~;Hn!) zcUoa>Hp$mNpwx+;Y+Pg-XMY*Tz-NLDZE}udO|GSC{F}0#O9_?-VFpK(`0+GmfFe)k zH~YWFkFAcqn6P+|Bi=MJQF@KV30nN`4{|oN{6N>M;5!K)MpxXAdT$oU;7LKExP!`~ zD;qX|A(STt4|$|4q2j(~@M+74{$ZIs;6BKu0jKk*SFQ%k1NNvyu4cC?P9C%gJ>FfV zmm4QwljV~SO{W9oB(k$SOpTQvnmz~aCI$e%99bj(Px}nCz!tN+}M zk#Pjyos56U3ektd&}9nih+;yw2#S=@Ae}~~l>YtyN)rw@$~BaVu>~Jb0>-b3wAsin z3GDfSAR^q;>yqk$aTuc(-ZW%C)?EYs?HZ&C9Nv!z8P}Se*ByNXCg(H`E*VuF6RFx? zMJF#{I#O7Q5QWtK7J@K{(Q11{A>2j!p~ z&vzElVNH(OjPbeiO@WrDquOVJgDqPzA#l=RsEP_EPuruoaeozemz(K?^ZnCHnzDty zA4|@vW1wXcar#~SR-G$U+(-)|bl5|2b|o#R`$3+Vq4-?cke_rBNdTGQ$7_JT4F znYJ%+RAa4QSCVP%7E)QY&-0=$aut&~5@&w2#K+WlvW*@P~wFN#LT0>vK z@1QH1fz@QvKCb4uOgnj1Uzjl5|6Vgq5niNznRbJmHY(vH=Ryg>HLJK$3j$$h?)bgf zwJpmSc`1k{8yD-Ug|qXFKSk}ls#YUjL2=6Oj9X2=rHYU_hf-6`Ly@xbPym=ezqqOK$smyZ5-=(>U5HMk8S& z{vxhaeDn;Iq=WI|L+xt_|6+iS^&st#5*zR4EJhY|2CVYRC4+24Wp-U`3#+5Ofz+LD zwiT3I4xpb}Q4wNnUEedA=DbGa{G79+cpzqhpcS{7E>G4yyv+!3s-hIXQW>oslHcmp3X# zzUl3O^E}?mz=LX!f_OCeAM1jp-T_Nwnc4H#@gZ=<(d(8+_ZTVW8YewYGL;q-fY~G1o?kBxw$5=1Ojnjt#a9L zS%>jtHw-I4tj9epq<&cFEXpiZX4;P03P5q4+=$N_z8nUbYe5n{W}f41!I9xqF%q-% z4+}JXQ@Y0=7XBp-hYAgqGJ#cYBFI}DH0X6@`;ZyJTf}jAB*a=Ys_L}nw|O+oYen`! zA!Icst6AL+CoSGYO}v-|mEq-}ogN@_D{8K|CXMPkoyTP6^Q_*pNh|0dGjYF384*;q z8NtAhGLhy)h#LH}^p{lGFgkcjf0r+D>jbL={Y@N=@l1Wwf31SU!yzWy3c)*mWUeV$ zdpWc>8mJ&0LN$ii;+K>E+E;J)*CcbKIi6A$yM5tWEQ2c8HQE!yZ@e=v;k4)QfQO8Umfveq?{c# z%5Lw+m_q^28mH=KNMff|SK~%x3BGhWM6NSX6)4Cz76}3;Wzc25!?Gi$sus2!Gl{&f zPOfM4rZPRo#Lj<5w^mAtuc-#rmjWBS^5|qJ74H@G`p@dx$ABm=@#g+nsyo;(EyfxQSAAZ>$-w2fKfTLOE=Regmbuvi4%JF)O zGthPOyskl5Mr$g|$rpq1L8f~8Ne<&nd|}>!s;me_>?=vMShh?!zELy)lDRr{d2=(Z z1^tb?8pdUnS(INa`r=XIIv|FiFBge(1YWn>O8Fo*qCUn&nhUhG<)FUx$ryo#GGbH+ zt7I~KB%6_p_VS!FfC+<0R_)@WV4l_Ih-U`N2=61MQUgiM%2Y6fD=T6M)G;9{$j58=N>Mb{(q^-A?iT0P1qJ_CTom z=`VAdbl4BZxpUh)!abH2Hw=9OcIP*RnY=~kXH&-S0Na>K0FTH=fky;6>MdeZun8-I zqzf)aL*c{Qb8KGvz0nb^=F%iLx{u zwo0jozbbKa!us0h@)U>q_d4SsB}E}6)oBsBhx^Y$p!PZ=T6`;1BSl*0AtIsujpgMf*l}N9ut1*z+>G3N#%=Lkd2i{yCBPx#wan~ zUer_-b(Z)e1QJxx`bWv+U^{y;K(u1#tWXvMV$M?e;C|7d%@4|tp!jdNz@@1-Zw}%u zBE|vJE`sFIvoZ1YR=W7K>M>TpP}E$ilS=CEcHd_i3rU}-I(tOfp-EoY!w78Ya?4wU zlH~V`mmcr~Vcr+ut&}u-H~eFkX&HLZ z5SoW|TAU^<_cnf_ATueW+dsLIw3!u;xYGQ(WJR|q1@!)jgUS~WHv%b4$T|~)FuDpL zm`v>b7CNAC^FT!993Cfoq#^{d21e9ah@`F=1KWAap=g}O<}Xr{juw)iLM#Rn!vLvI zSY6+kgi!|V8J@BdMmg1C1f-YNLqY-)bZtv(@mlcqF~pLvhB3C~9C8@2%PWwFRg?Ok zRhjWEqIk`xLaJk}!($#KdQgl7VetB(vfjjf7TA{#MXFU^6+; z!({gtniXph5ZyR3C}XA1q4sCVFJRQ46}Km-Xg{Asc2#8b$Z3>L3DX2Q3C&UFnwSUo z70KaPNsTfT8X5m~+?Uknzdm)EBUlAkK2spLY-E~L)WF`T4V}>|@>%(a?J>dm4j$zd zy2nH?8Gv6gnjp~p5K059M>Yx`A%BOo9Ymlzc(+6;k^WEa(sDNs6s=W@6dG2!NQ@{i zX-43Qte`wpk4N3B3H|1Ul0LZvM5u^QSdKD?mE)mEstl`&AJx2}pcpYT(mb_8kFQ#; zhtL!NuNi{f{OgJ^*u9U|Bvd;?dpFYK5;-UuoRXr)*PrC=v$*uXs`08_{w)tBnepf7 zPB|nw0gC);9oM?$N5C$Pi9uKJ#tJBmZr!hH!D<^SU9=pvb!F{!E~OEu#@h6{k$RfB zKP+^0S!}6#Q3Ez(xowFAR5n#4>{EWqai zKrZFfFHxv){U?&!>S`id32HO;hjD~j3J&ZL{$IJq>VP zyx%u1H*C<1fl@RKC%1<| z8<@g08X)W(Se0ustcrTja7|yhsVgSVqSb^@$Rc;RM)eqqg@XTwehI}&hF`jsAsW!;%!da}8H`H4>0o0t zRF)$J=f-9<^6{8r3nVFpPop5laWFH^Kp#6Hbnvl6Rg#sR`t0+I7H_^FerXG}hW!TS+w*> z{*I;LW$~gx1^=8xtNL=Z#^;j)^ad-Lr5;cC_j@baOZb%0((bY2E=fFSIIz$uSXQ4> z3Q!2#N)uuabyUXJ2rehV2O0qiq@W8%DkGxu&Y{ZeAGn}ZMepYFS|1@99-IM0=`N=a z=$5fvWiNQNIyemEMo5N_txc1%4yE?vW?YlNzoNb2OzjKs0kC>dW{~IP!C>8P8L9i7ebc{NCN1(%BfB2E&XWoRZa#i zv$1&;3^t~uvO1fK)T@#9A3qNQaeOL)I#|3tgBH_}n}T&r3m3rJO#DxX1zP3tHhz6Y zd3Ur0=f|}yq57{{7d3iVlLE*Zb$Mg*e|7r+mx^R`kIfF=Z18t1WHV=WA^A2vyrJrr zd>bH}v+S;fRnOmp%|>GlJM%bnpO+ueJ=g@jzvXw0fOCOxe|OsqM!ByhmmE@NH!_Hd zU^snMZg|3}Soo0moa_?c#0l*v&pp^1WN>^&_xS;>X>ZCe`NuL3KLC1aqjcO2@@u9P zt{BY#cAOU7w36#L3+QGPP$$xaL}G5_8ZiziGrBCs>~BGO{dcvrCKQO;n0o{%9+gQv$!V7b{2j;WF}%xoTHwN-K3xp3b>m ze(TJkI}r=Larh8MB32IEG$?7xiQiN;PKPmUT6+5RltLlqdu7J!T~~E7`E_$&o#)i_ zZu3&%*WD9>BXNGgJSq)L$9N#wZjtG)E+LViN#@f7H`lx0mBomLwo~J%$Et0RXwy4+ zpXLuVq?DduR<&5_vsOSsZ>~RkMDH@W^m5>>Gr@E>F#&b6na=Fs@geT)cf;8FPWfQw z1x2PcjpjyikJ#t)QU6X>{ego;tm3n>kO9I|Tq!cJD;$D=**Z|vgJ5cam_Z}lOivT) zU(kf*eLD3c6dldysb-kzAO*Qa{p8<;duG7@ofFW53LaqfxP zp`d0NAj0A5%)!rwW`p(BCf1EgA9yoibkZd-(6cG_I@L?3!9emLZ*uTZ7EyTY_iX*E z8QIKHwo_>rqOrAFf8mvGI1Y3=*IdZ)1FyWehq{YBRyTk&gD#tXYRNdQ?eTWCTR@Nj zDjt~(`*bt){kN&7W72d2^iv0wbEM!*AC!tYN+d7wmvL-C5AY^cLt@Y9i`;5pW_iCQ zn$V-ZQlBHpP>CDq70pTptv0crYJWVUY2#>m^4rfyh)98f>2)|A?TBi9 z#fT`Nsl}0kLrQRU=yo_sSNqs_4C_*u`d;mzTdb86O|I-NN(lxWieaFkoqrb=3Dg7K zZP3;Ww2Rb>C7bLD)0V7ohWY6rSG4!~Ynbwxa_Wg-E``zj6qGm&>-PJYZw7Jv2_ZC6 z5r|JIvnPb|Ujs@gI{i=;C|W9HdN4AvH5PO4`p$JjN#+jn>*&{{rq`Y~qoN}0t^l82#wt||<%r<~@G-~eZCo|J#J5?Ee zTUN=;#b^ni&VA@9^r$EVqO46c-bbo`Jp~OJ{Pqk4im1tW57+ZXG~LGw_G1 z?8tqrd}BKmCe4Tm#`bXf1=5lU{XQRa*$Ge+TT^rJ#=kt_k3^7d?I3;feema8KT{Sz zEwfB)#GrT($qX+M=oOixZS43dn@3K}l|>$l(VjH(Q%*V5(7y_b);rv5@+T*^|8<8l zrKxuP&v>*L4RIZrIFIgK@<2Nh5xuiLZkB#=tnC{p;^vthmD!xgsuO8+OUVJ(HnG^> z{NEZ%rb=5v>7s22b{vgbn)^%!MaNA}Xinj@K4x3pX=FsXv^MBZNjKR8m6IS#i9BuE zV`1w`+For0qR;@XW*)K%3>^>h2{qfJ(N1u=D!qtl<>+BG1>{GLh7ODGwy^>Ux=$+y zs;cM)jd)m5EE@D7(<>FN&v8_iKyNNxq`w(T9Xeb~0W_OKH@cin{k#Q=%`ULTD%t$h zZAA6oyqEihgTZ}$tat6O2VJgojD~fa9sKE=!AiAKn1b|5rQ7%kDN#wHXg-t-&?*WP z_<(STqakLp6U&Gik!}_fMRQy}Tvg^<&9YKj(@g4AH6zs8N5V5h+d%Qd*-M`S!gmjx za`5_HD_hdRc_{QG)w}kXoo-Y3Z!IHX1U3N8T{x6h3m3G_RlAdpv-|M9$)fpv`_Str z+6<-(*yU___{o?Ed4eUp#7LmVN0nF_)&up=Q3C{#R$qc&eR^z%|I^xg@|*Yz`v(z< zl{byu6)<$NsILl;q=ze@^s5(->+?zlYKWw8{2Y)pc4*&y$xec~_M zqWbN^4y5oLRAt8yg=dc!X+eAjvVK&Hmk3N7ng5Xs6K@MHjA#Gf5<}hOcp3D=x9&ec zjJjt3t~xdx+^_9bcBixdJAUj{?jhL!yRP+SI*rW#@&kSH#Hg97YkaR&QkBDHPEN10 zO`5;sZX%Qp!Gsj89EnBOFcVK8j>xri*ruk(g@3{-kIbB;og*`D#d(@Cxfs}7YvLo7 zHS(ZA+-QQ?M~zHvwvF_$-BoqY@ta)rC$UYH=)h6W65pHlh$`x&d@nYc+Qi&^mV7|i z{tkVgRL~2@E5A(~#MFF$GBgTx*B=`uW62zeo~&e^S+mOivY!!DQ1;Yjn{m%En*ZmK z=X;g=l+0Zr_M&FngO$v3v{TialB{Kxo19M54QqnV@m+Tqod-SgE5V;sop%(Ig(n&(Dx|FbYDlBqAxB{iSf5_EpAjOTeUm=}=B%I;m2( zT(|KDA|`q??l=0kK^=?x>z0xD`GBxOA-NPx1^>z0vleCgB`BXf5o?q%;* z57?D{^()}Z+?&(8uH=2No&i{peUi%0i$Tb(x@ zl}2&x&=z=v$k4aFDF=_vVFU*-y6y;bEiL?cnz=iUNSPV71$y#Rdzx+cz6ss2*ZDELbb-BG5bwK0 zatX?D6vq&)HO}y(JeuxOOlbv=RrQLw^gNWlQbR;PhZ)Wu*DXzgf|$o1+<807S1_*- zJY8=PmB(C+iF}J=OJ~f~5)^_$wo%I^eyzH)VASHt^*p&E8^1*60GG8z%5&Wmmz@~> z$t$c|-A8S+{BS?I=rNcBD0;+-IP+?3W_-#j>1hw>4#ONf9Yp0q%s&Q*tco&7iZa+T{+e7 z4ct80_@-AcK$p*|ZGNDsImTR!7e_AfLRc5@SZj!C-^@H_4Gz^jqn(xvo~fNsm^wZW zf$oToW!#)_mT}QJ^JkouG;(7N%&MG$*1~m$x~X<-v&2SlQ=(FeIb9_JVg5N_RVY-Lj7D~N@xb^qkR|~T^nIsVWjtSz&;Q_o)h$wn1J2V-Q9(1ai zlr&wOcY@u>mg*fc3!n|A+TGimvIq2MGu;==YT1@(?0G|`ok8!jKyFYeXS+NkQNYd) zf)Tyk-3T=}JbOS~RwLbBz-e(UX%<;`hO!X8GoK1^#Zk$l4H1SXaC8gV3iwJgc<^&o z|M3!AeTDtOYzx!ac2pGPE3UMC$UJyQ>cB|w4kjAzQVJ#Y>T_g~oM{gnMBo-NNL@51a$MK`9O z)$Ln)I-ul)B2x+#99m4U8~bTPXl2_F)Q@Nk-U>Vj_TdxYC({&?*P#5h1_Y*C~Q1#6SQEQb#!4D*^r!^=iXZdK?ZU52A-vZbH1C!*5)Fp2tE4F&pi z2$4=*l5D)WfO-ETequm*=%Q?^bQ!l@$xJ(W)D({TI`f!%UCvr(BZy0%uf%Dg7PCu;|fJFV~6Fa%vhI< zcH2^dp#-+;56SKIj_=Qz{t0qzcU)x8KA z6wKGm&64h>-7dzfRj1@L4~4gTn71_lEo{X!NCGr6Mb24mrXd!4T#;mc-!kmQ(M2#r z@O?gna%@?pbt4QlCR&%fHi|(<%naV){{ZPZ>jk*bkCNTgWZFN?WG#z7-5n1tgrOoH zXDgWo9R6T^gG?8>YKZ72oZpQdQ-304w$gBnQSC1S)kA?a#Ay!@1dMK#^I~}TRmpsf zUu9SLHZQ@Xrmz6Jtl8h5#dzF&WZ34;~TdZ@)p-NWxpl3o#0b5cVUzK;x1V1-(2Bo_-=|hY+W%d&OF%mhVXA`!#;U0 zVR2|#|GxsR);c{m!eYyuaM5R3&vp?f=ECBn3^?xk{l#R>yRf+OAsjdV&i{P62U<&V zb+V&;vH-rzVVG#D)#A{>HIf_`1SgiWU*h) za37ZmbJ?4a#8tsDn>Xb0p+fp&b9K9pjy!W_HU-3TF z%u4?gYHpdsmLNcPzRkpX_hzTpm3n&pQ_P|r z`^|~Rw=}~B=N2~M<-YU8c8_W+c#DrIG=x0Xmfej$muJ5|gWj&o#`^`2lKXidg z+F`6!4}UpYm^HcDO%v6H@?`ImBdF)x!e#-bp%} zbwyGZVH=xuRlEG4lUyJp^X?$LR0T!*4YL}(pe&wDmzml%EA#y5R!p9%)xHPV6~WXm z#!BOk-OgE%l6oOQt|?e!4YQe@Y~F)++B7vMqW4Br_GTv$3&n*>_Hwm81D4j$m_c<~ zAf9fc2f*zqX8Df2=A7f6v2C8T4V}PkPhoC}sukVFuP$N26}jc%)h(UEw4`Rp()_As zwIjc}D(gaM0LUSOKX&^;AtW`PVaCVvEl3JwaD zZ0l&6_RWnF{G0sCc4nVo1n#Rt{B+0*I0kCRE^}T$Y}>ohX~P?RixW0N*SeD>wa&R0 zURKP7QBm8xi}-Hs!M1ndNgECpOCNm*>l>Rwg=JfLUGKp9{jhs@q5RMZSbqn0U)U6O zw+7a?RLs>(G3Wok9X{S`?GHydEuCfuyoNi6pl zmiR_~6(J_n>I6Q!QIX#*QEknHzKD9>Hn-%nGu7!w^g#bzW}TBjNDRCvp0 zm|e5@5Vnp^6EjQ`U$|>+Y_U|cHQax7u)3+?Z8f~I=)xPBvvZ=%uZ~B-OIy+ei?ziG z@ioN@o3!u8z+%(Oia^85DQ45DQdk^+ux&bIzdUKv!sPZH!6}djM!zWHH|}gG0FrNe zrq^cGm9(#U4Mkaw>R{Z!=67s*OJ{z;SqMZ@nemsp>x0?E`YSf=8}@_tdso@zDoU7l zLutGNDtO+hA~f0^!WY#p=4mUER;3M#G`A8&HH*voPrv5~L_0t)#Jo&Q)L~TmG45|$ z$sai}lSkvP$K8sq(nn39?}Qa6WYlbcSu}NzdCrOamlbOaKTR?Fq~C_c-~vAoewj9$ zv8ovs&n=7lHsE@#b4@NRw!9nezh?T2UBs0QusG@Djm!^DA1IbS`v?{X*TQjEmu}_l z{|*-C?1JOwZvP)o2fbNs%hr=}w{qGn^wKHc95>J6!a;Swx;3`>16*+iSn$KQZ|FZb zeJ3Ha=7HAs29$bz%8cDtKI<#yYr~KG;O7%8SCj@DAF{SI_U1XqWVSpu=9TOe7X~eW z7a9gv`us#{r|_tCTq6(kxzsnZWdG4L)SV`?-W*$=?518Nhgtr`2i-l17|lN1o0PrO1bW4qUaNvVu%tpajtva61 zzB)O#si_YPT8M_DHgd$LQnEOg$g|*5K}gsCn#~$Di2Hd{8*CH=RuBLG^nbO%NcpVp zG`2JHEdX;h)0%DlKh2RIaOOi2>5)o>=lCC9zeEB>fG$3u11nXxI5X-}ua zp>I@#hG|unL?u0+?NDt9K7tmetqyrdi$JQsk$o?}<=a{{#6CONrK=$eXJA8~E?T@p z!H!JVn_{tu4J&mb@eUg-HNdb$1D`cj!EO3$a*dX8+`5UZ%&NnsgAvlgwhv=Fq_dfy z3WfJwmc^ugP~ZdduTpD#BhQwUvN1ABut;-5ORvMV}OHH9nft9_NIX>o|WB zvH(*YUp*aKoD8T9rtuKIu-{HW1^<*`AuL2^oWN1DnOZByqcmY{P4&UHcZB=WhSz@6 z0`bGnvbYlgcWRvF(#1L-ws{7bm)-hQ(;cwywL?{B31awow9 zyf81|NmIyIEfwQoJaVS*gY~g(>*Rts_QgP~NKJNu%xv0~Ki`)h-Y2$h6V93+diD z=9-2)xXw^!z&D9tw$PFJ;(>g8T0xSte*@Ef-uXTskHv1J#X`k;xhM_S*~;*y+D}|@ z5v|Hvn>j@?xbjDLXKdmk_r ze>y0H??rexC4RDLZ00fGXzNGowS6@q>mOH?r=;NukpeHP4e3?J!o65Nog8NTLow~) z+=T9tiez~)M?6xlKL!?|Rnk_Nri^$Gh0hS!yxD1PdmA3_8_cVTm*C0-Reume!ldep ziX{GhcksOIaJ7-*jWhNKL3$CotCKf!b5)d@w{)tyZ*zI$e&8WO#0b<=#2`D{jqdo~wjKWyEjBjX17ttqtThE`iq{(^5X z`GiMakY^qbkG+&2tT!86sCP=Ii^~R*J5c!a&B!Rn;HtM@G{>{7gvk%Qk%46xMzy3& zpqj;_BairN-D^Xt4iv{_u;C&X{aGD9&BTP4Zt_qrYQlYM(~+D6 zVJ@m>UMw>bkbyJ3DFa7YdcapPPmtkxSW zv$=IFk6@L~;VX!3ivBZWtBTrVbC~# zn-|(>u;rssXwX8bPAb~&UY~|@Dqe~_41XADJNoi!t<58%S2p3NKjPdQX+|mJ(*y4{5MC?jKml@d%h*PikSY|1I3R#&@9#dA$o3PlMy` zx-bRf9WI8&6XCeUYyaodopQTX9Y?4P#P;_c)aAl7GZ7}H!N#?$VKi;mN)_98VDRUZ zI|~EYodsKMOK9CTV@3--{wYfs2hZ4WWTBKg65?u$5lY|>q)y@qP;tsUeN&F4O*vRq zQQjW6%}X~?g#_%q(Hrz?3(XQIguBV%iV>yGa0LGB`USs5Ma*sA`AgifbAH`)pYHH% zkB0!ovFri^iH#8w9m}aq`LvT5xw5G?f%GS(9vrNX4*l<8J5k=1q}2b&pG=S64TS#7 zsJzmG#hNmn-;QV?5n?beoW{f0t8=``%~7(B*>R&$W(6#AlpeUJ1`L*=2khj0@N5Yz z4`AYhgEz2|9(0-v=pT&$EgV&}cE4eD9s+DHnGouVyAMT60pVg^ah}A#;|@M2YoSWM zwNaJ%Rg1_|GX)DPq}TT;--u4beVXX?BW?e(-b$jnll}??G7KS*Nw_{lF7)#8C##Ht zaRo88bC2;EUaFK#A0N$EWH59ys{T5?F4|-1)NpT_yDc1giSAEmzx1SLJY8f%bcRAV z6HvjxmqnhhirSXYuoYcB6FzuUpE$`W_*f!a;kNc3SaQiI^v0Ppjcug5!oM$@8$YGpp<=^ zcvTT?M&AYEXb7p!fe`h@KKIQ>CFU!iL3>Wb>mWL@favoG+HC95d8raM(-&Yxx~j7j zpL@d@Q(agt_5doQnJ-JVO3hTDz<=?%M&+$%)uhtZ-YS^Y2j85kQc+XmQD`ldVj9zxCNq$N6%6j^N^f+_NjoPW{7>eyXII^;X^GO4v6KFWn)mPbEdH>7x zMfdknxnk!f`1Ba`s3f8@ydCA(o#>frVou1L{Cz@qyJ!bDZY6V;uPD@f1@teytJ!Pq zn$|_E2VE!dbA-vutBY7wxig!&?IP!EXOFiaH=O=z2=uujIcKfyof)5%RnM9IX0BWD zlhb_mKVBpVKA)-k6n2jx^e+=m3gHuWoQNH74^fHZ1{03BqTYO80iU+A@4O(f2JF%0 z(&Oc+JsPjNUDP+gSVT^F%E*kb_OWeN-Pffp1&Al-BT(Exv*mqkv*lqzV9f4Q(mJ;C zj|0fW!XEoRkVNBCj!{D3l4VVQ>`@T0t{>IQLzih&r%^pu{_qm_vUdR1k ze4;Hr zORBU|3lbG&OGqjLK?NcrMulV~0RjXvh5$p>`OXRL^Zvd+-rxHl%S$M7=PB`#N`BPPX^T};k#5v z0KF(Isv6I))+NLcT%)bsR7+gXRp7L?i3(7@m@+Xh6;*GWoN-3`#~V|9LPC8_tzU2o zYj@HUPa@0RP7W*^4b%^vquK8C4YXYySdI^8!8jkBoXgG{JmfXY!}*Z0KB;e5J8}Hw z^HkaUzMK=fZTq1dFCyRR*c06^JN|Vqx46BoCZGj3CKgUC{f0OMXUy_SKT*9X8lJci zgZJd{*j@N2@}kk^F#vF0VM6$Vu>@$*2KYF&Sy^VhH(Hh$wjvc9Cu*V5 zB6*Sv6oU~6t%?2T?-CE9zpP)%$4gEP6U3Z~qSLF0D z2FjhG)nkeFT>UDwqBH#8%F<2xE4qp;v)Gm4#BmhIaL^0lC}OEN=zI(r$)JfcZ<6X3 z?oNJbF=9Y9Ldu73FpF7jX8x({*SIasDRNsR*AXS&Xq49+(K#iPe4h>?5$azm7o~K` zhj#Jl++F#(C7Sfkv`O@&clTM7+`vDTqc_RnLMm}r(1fbS@-7{S zMBqR=^emA|d@fplR>|i5@6RRL)mj_Qqaf-FC&B}5#l!K(Fup~I;T}A@bb6<~e$YOQ z@6s!(h66;#$D1czL8jCgLUYWyxsWcbI&WSaRcN4Swcbsdl*WP)VMyUKg(YrGtrvy} z66?#eF2-=gr0k0+J60WBMjQ@GvqRiTuGndLf2yedZTYCuD1OrZ7#bD`ofH^=MtxUJ(A$tZ*4k?Im}2c1Bm4{TS6D+<^;r%c5iSt}Z&S9rye>J_6vhl9VbcN) zoGtb+s0G}{YVKLJNb^G^&ngZX6SP7AJ_E^E&nivL^_oU~$c<^IDDgr`%JS~{QOj^u zlIbCfuRgz-Ad7y@Uc!x;`dWz2Tar-%`^m6e)h(6(~yI6lDexWHHqU!qizyYM;AouFxGE`Ulh&^FiSo)sEPZ8qyt+-zpA>8rOtezlIItjUr-5 z`HVJ`-NY)kcbeJ_JRiisvns&<2*X9Wh@iY%_R^PJ>hs6;{f|p%`^Qb^rqZepb6XgW zQK@fV)Zp3<3Ltn%8-Plc+-!nwVk`L?`;?RJ)=nTx@pR^3_{FhAnx$l!1F*ocr7?vZ z#|ye&+p`w&uyD*@x?B9pqO|4tuT(4UM>Hw1_fQp;-@;fHRrr>bYK|>zNeJun`@uaJ z^N2(it4_)6I}^&c?_bYSSmOKY89mEC$=&1-B6mbYPHc*hV!y=ZdX~8Qt**zG+d}g= zbEjX&3uVY3`rZ$4sDy6lvSX=r)D0S>E~NM4ZWyokS&UOQ1cH#G5ednv^e6@l!4Jdf}$NfNXc?)06-UnbsYk zaj4BDY-u#!E?f`Vp(1ur@hY!b8Z&USHvU{>NJmqqM68S%U@XCij|tWxjS|^vW24R; zun{%U+6iMhQS?3OU%7Lh-O-C&0YU9m~6t~uPdo!W}3@>WTAx@XO*MgUm^!zLB)S2F4qW!+tMy#^)^^Z2K?Tja5WZ6$SU<+E zS$ro43O+-4p_-p>xE9g-4sx~{X3Y3mCqm9p-(J&Bx`kC){p9ED6I?U*N%s!xG!{(z z(~5fH!D+p9N|K;3v8Mnt}h=ozq8qQgFTudhKlgRz&NvpBBjYmw6b&nEk zRH|Q=E59Tp#h8&0-=f^D;_kpJ3D9_{Z-7)Scrfk=Kw;9L^&$+$tzeD`#^k4`t;ouP z`=hmKEs0w}v600NqX$JGSI=*)8zwiq`4<=S_{*jH&rrpkO0BJQ2@swt|8vH(j^!zQ z6CkeHLMVnh)_k&}W5hng>`G8oEAyPHB+5mEMWeqAipO_5^d%CyCcf){<@6I74Rp<~ zSrHm%34W^3i{g5NQMf5RB7UJHMwW1-*!%s?fUl(u{JA+QR1@hT=_clr&*%}WtY<8qMVf%2yV=+Z%yn|3E9Z*F0UzR`U#se+A14`Syi>8O>`6{ z=Ij6&E{64AISTkA5RU0Y6A!E;jP*CgYO|LvWgsnX;h5a7ZgIV+-NH~(8KQ-hD)VlE zwx-77Sk+?njODktMUv4gUs?_ExMfzquJ}FcKY~%-Z6?dYg45c4=XkFK;Ydh5)u-k2 zYAFCnZ47@*R*ngfvo{MX(&HkXrEJ4@R=chknxQcGqF?j!9}n$YT*S7kV(bQ%KCl{t zM%yYoT_ijcF}2c$IDegkR^6Pu=whDb%lS2{``<={)2zbQ*p2RK0W|DvIkIeD6felP ztixwS)(}Q&tDE}#tp(wXGGtHN(*rOgwX|VSk8oa(r5w(f^dRJW+_FMDBss)Q5_{iu z4`sV+$nwhTh}AA)suf(Uiokg$tVPyXt>n7ZCo%tER*AbdI`1_j9AwQwe^b)8y)C~2 z!^HZfVPg?-Ub8w`Pk+A+o*8$zSe;o`h8tl9lCbC)S)OuOWY0jCz@xH1CJSk96gXGE zuiMA|ZcN`^WYudWsA>r*;F}y8XK@t4NH z<|B{+!1K}pBd7Hk_*j;s_A?hKwks=kz<3R*{^Vp)6~#rl5t5YL%3YI1{BUb*Max$3 z4`L0xQKv6F$M73xie%iE`(`>Ypo}6^Npx#)wI7+mVi#6uv?`=t;5c}$= zipym{eoV3h9zg$|)J9J0uX6!wzaSV1`!Wb^`}%JIUZX6zh_)&}_KXtyFDy=tD!@CpZxXiZ4jh92OhRrF5cGspxlx7o58cLOOX?Og+09ztp|RAS%po65 zjL*g#ZsTh5hdjd@oMAAx?~CG-dvw;g5L#xMfaiYMNU6CV11 za$_)p?-7-+Dr)?uy(--!Y7feD-+Q#^7q8u`56T?i(eZTCp12v=)&uF!!9c>rYZF#q5Xb&NM8Uj_#&b&gZ`c}lH-UVe#DgR!3b@?*8XR;j$5p13vOFM7=!ifVB?vh6KRWl+xcd*Z%@~ z12l;7qe(PH`Ur9?$eZ#ktiryUoA3kVq(HqLF}qBn{+cJodY{;bTWe|@ZP!)pRb<&`Kd5= zMBtix8ye4AW0YEZbP27;nxz}JY>;{jy_9uscS$8#(xL?xc8)s;;)N%6k zWUD)Ej_46h-ckQjQyEp=8003_ar|EkN?Mrf>uQw0YxM=#313PU_|w^P@?pSS$rK>x zPKY~VXJJXpgYda};6XVzk};?_&u$ju=?;C$=r6>Z#0|C{XE|KkM2R?ukk5DdVh9Bl z_6!ZotxHJ0!nus)B>I8jjNo3Eutu>&1NQ}g(S0qfSL4uV78yUUMTkQ}C$H47Q^)y9 z6|(Bg3wW!yj_w?nv*Muh|3qaANxsG(O~pMgFQg0TaL`etIM9{nwV^898sefJUk0fg zr`@Z2tcCrRp)0NKmE|xm$ug)vsS{ji37A(mmHO7)SKFmE^!5bDMwXmU2mv31V-9r8 z$x7ZxCBUApaTESaxGz@KJT;^b++yXa=rFh`L;A2jcfV_du^u#Ud(57=G3|<#dB1CU zST<^^e@ss%9_F%hJie`qDNlcB+sRs2cj~LRrZYVXK`5&CaJZ`h8n|2d6Vq~?d#nGs zRz8I1CR;I7Wgm-k2s-W{3$MmpiMyg05q?0Pl5L8g4asn{8LmXdr&8Oi7!fLVzJ&x# z-Q^b>s2xO~GCKYR7gj>B+v#pudCQ>D4-6VxLMC(xPWNeXtRY6M~ z1E9@W&PM5>jhY2+uWNQ@YebneWBu zSxiJ#o)%>t^&ejg2|H6;zzo$%&(V;wg~3Qn4MY9IY@`_PQE{$qZ<>M-F zeeqWfCjYEFclSQ}Y6v8-)gJm!@KD*0yVA#9;aylCh;1a9Lv%=oxq_2`tYlFtq zyw@Rgtu?Eo^j4(CWry|g4-uB?irQY?2x<^Cwm`7WQtlsS&G>g1bd3zjJZ?)$7O`jw zp90>Y9=E#^NYCkJG~1|{Kl6+SmWB}9d&+dW9T}_H@`Wx^rQ)+vK7pitB3 zjObx=*ZXj~w>Iw|RD7PMG=;qm8WsghkR>sll4W%GEqpb+f+a2BO10H$ zRgqK~^EaZ)o#S+Xzt3*c>xu#iucHer6Xq)#E3yYyPWR?r9*A-nmHijZS>w31V#}a{ z;UJcVRru$PgJ%VMCnZ%#0^$bh)_&dIWZiyn7!MN;WBn}f9OQ`-@77Zx$zzFPVr{TqdL2h>g7fkLMML8O05Qtc4RGK zzP7h=-u4tQ>@Vp4Rkt`0A26(YGoC7&*U9*U-uqprQm0~VL_a?5yww5gUctii6Obfy zPoM7x#OE~YI%HLkB|DnNmsNgM)4f}(i%1Qqp6(IUc_E*lk}nzxAtWW+2U!Wf?DQ?M zrN?V6Gt`H*^6y({so=Shv(z(?y%1fG4Mr@!MQ*S((Fnq_4Np^3k{`Q@fKE3o9=W)5De{U%}-DM!82z9j)Ad#of7tAdtm|7st)3 zc`0k}&ULD*c_C6v*!*udV|iE_C*e3z*B<1(Hr`_D>U@dsL|%JWh?L%^t%B?%n$ISW z<=ofNU5RCc$s?_9ls%vfj-+GdS%@W0fU};+3l>Q4VjnkA?kBNN=WzS5ctS#@Q=g}r z?iTsPZ_C|6c-H5&?;*Zk@$+lv5_;$JNUm>~r)FMluDTA`;wFN4+0?sIOr?=f#TbW<0OHP}EuIQ+}TD=^5?) zBbKVV5d0yX~w{!}3Vtw`4ZsnS-8xZMA4kAK!(LfwPm^Vo&hsoB?_t<7U#hUZ97u z9YiFs6~7${BpY`%&~tnX7f%KnCj>IWG)h>38g?=58A{ZAN>tVNP`?zQsHRAmjf483 z%k{bdD?{(Rb0^TJ=DBh$h5di=5WLBI@f51=4kqL)6((xD$ld)+&)^C6V7>VB7g4BJ&N zo#SD82mux^9>Qf2rdO^klt9vqKx{R6r2q%(pC@EB3 zjCk?yhq$0-kUJy0dUl(7W~kHdmb&i=1N~;l#W7lCftv$CZ!&HgF*xh}Z^n$nj*$r& zL4P@xx}l7HnO?p5HPOz%1hBI1hr38&5qm!)U(d3$0kG=)eDPXQ;WsTc??z=edvvP$ zS$yUu7xPbm4JWp20(76{=#J~qk9U*l+Dg8DNooF>K$$C`Onersa zWMFEU_-FWS*^sqBb;L+x_4&D8 z+IJ+kmUy2Hs0R3V(8zZBBGr1!>$2>JKU)9|Q%e$EY^n+CquUau-L})*^j#|QCbhmN zZx77#`99R7GtBX4nmORymJ=oc}mrUN`Kr+9f_~OOtq$(NxT!%5M2YK65WON2S zP`NJI0`JZJynfadj7aW~3>m86amZeqQj_2@&+6w4yvoPsT@e$9giPk;YF%vl@5`G= zfl%ac0nAZd(GuWjwF@u&5;7HHbMISGzcCmR0`;~T_}U;=D9%eoJ|d_!?k1Vdl0Jdv z=>{n{(DMi_+Gw7S+&tZ5Eh`|%*Fi}A-D7*>`(K7-JYdgWjS^u`_Z z|1~RwybL2tRu0h#Q#+{l9gQvepVw>eYQP-bVte|fW{HyzCye&&_UzBtzAj@ z3$s;pc|othgAn?9^2ITK$f%oW1h$gNH|&j-Ji47L?biQ9Eoe!Y2R)nFk{d4paB;_^ z{Ep8@N%)ilx1W*aC|q$+4#CWT$6!o*0i0OySD_KRnFN!`;H@SDn8iR`QoKZ94F!TF zDDS>*L-&pkImb&OuO_+2(FFndtm^gt^bS22qcJq?Dg5OU7vfd?sjO-{ZVO7dkSL~2 z%}_N4(C2s3e!Y<1p*vnI0f?u`O#ki_SH)yplY~;$?Mbel5Bi}eUOZ*CeKFKuZ+k)Y zEu24#hlDOP?`LUTZ?H}*U>P4KnHp2(W*2O&AQr;xrL-4z9)_}tI!V$nXrwl~rMwnY z*W|UOz)^Pa9)D~;^H9)m#(8j8&7my4DC?v=tngbMOVQ-fsH0?e)}jkLnWu<5FAT-> zp$jP7FIxishmiOd)BhlWpP(OD)e8QZzQ@hFqY4?;Jb@&9JsmMuTvQksp`T8BbUK^I zs@fUTS#$|0A9>@~CdjZBF^nFH?9R8D*~X_$)U^ZbkXHg;(z!O{xsr;r<}D}XTLM}K z0voRx#g_Wfy46*$62f~@a$bsZoV*p-XwCd;hKrCzlPl}io?Zr8QO{8xS61t&Zona2 zc}iKWG-s&YAs$Zv!&o62;hI7XqxM86%(8J_4U6Swo_yD;!$l%iND3=#Brk2&6*1)7 zCOi&*(XLw{8s66u5JWgNb+kRvDoy*s^wf}a_xAVXB*yLImZIFfvRQf=7%PLBlQJ-X zEzlH&x6q;4Xx#iPu*)(LoKT4P3kmb#{euo;+KTI9~wh%}^2(pT(nKXVa9Ks8kq(_k?_?uE>mSX}2^J9%es4RHpt z<)rJWa^tmVqF}c!8P8BDTWLfeu^$9UI{cC(#qT*}i|mMO(H4Dq#Ao%()r-IlF{DQ# zj4-ZRI332$HTxeEgZ==oAY^oL40)O~gexbREYuq^9+o3_u>ioA%ZDm#4MrE@^Svp5_2SnGeatTGY!2HB- z$v7W6?G)*2$Kr}+1A_sqzzgJqcG}$3<&sfi37z!X!W>9u)lxR~=2t5XjCO)g4g3Y2 z|D@f3{uO0wF(}gxIDm1*#ecgm>?#lRXr*kM+z93e6ZwjA1m4_gKtx@(+hTU8=79ClyQt_wzCz$}+L_3t^O8jAXl@d^tuN?(FtOz8O#c&?Bt~K#=`U9V;a*{1 z5Y0y^3!qj;WA*#*Xy+4MD*^GmB%`kAW1uuA%&q03dWKFO>Ae-a0*&;#nSU#HP@NF;t^I@o;IKMXU$t629cx9!aExplzQ&qG@8;@k)jyt=&nH^fjsR|;TR);t z`E|PsMul}VHuWG{{`Y|HLBz2lQj7i&ogYusoqOt=^z3l>ng1$bd$xgVxrPjRQ^Wb1 zvtQw4pY>!n#wmu2ZXI!cTg!)&*th(`0`J{DHB`3OA;wnDO=^*MGmq?sUapk=;}-XR zFUi}d(`^KLJ4Fu`bnotYV>A?4@sG$Xw`?M|#6S+jE4YYLiM6r@za)t{)W@#MS$l2X z1Am80?Y!My0dIG53r1_&7#3-ZhLVaQd!g~B9P_=G0_m|#ZV~%q6MB6OOy`Y^P15` zkBDp`{yXINFS8u1zd)g`c<0||)v{XeiF(FucF{q1=X(5sAv(L8bR~jFb_FZxiabp| zQ*kH`5DU(Tr=|`SKA^7tB%UZlyGq?4^(tRD9seJP7{4t6atx4|gN7-3cO+(wKhWwW zT+5qLXgTckxgF7KC(jjokZ&8^sWyD{efCoY3>-*zSjR_}kaRtn)XEl`8w3KDM$>;J zMfu*=<6le;tO+gYFgF|{zxwl(E(|~_MeBsDogPLan+a{q5;yO<4dm(tguNVuhLwNj z<#nkxB=Gv5=T@X&;F@C}z5^+H?>gwX`)LNirbUg^meqV8NM8tz78p`DDa&Y~8woiG zLaWPZ6cz}4;M_CRq7u7q^u(pZk3l_2N; z`L?xt8VBevfv)hq=^#vEhZIP2Qu>BA6Heeg)-ued)iLOk>hlZ;pRbakgymD9{)rF~ zXsu&&Y5|07E11H7fuE*KDI*#NKNH$Sv@c-`@hQhKmIu+mCPwCQ$yZb=!O9!DJ=Nd` zWg+FQSf;Ldk;VL=9M%xiE63310iMG1$Xd{(h(|Hmn@2NmjUY0rr00?tU`i-*wHWr4 zJgkm)Hyt5>u7DTlu5=82Auu0y5fu;$DrSpuPh3HwHIe@UjsE0giC29<)%k>KLbr{U zjwYL1$2JcX5&B^0W;>&(pcMM+;@5+qkjw{hlYf)#ObGb=i2(Bx^FzOn#vy&Wl30UT zMjQ3kO2nGZQ-|#gQZJi!yzjBrQ{@E@+{Way2@hFUu$NKjM@e)MLvE)HnWv&3)@GXG zfy&#=S}XPz(f)$OHSDdKHPf6TErR?%ESzBVpa{6Ku^$(mS#^-S8G5{-b(9r$~b3DY%dYHBUJ>k`pn*$i-In*DFK1fdd@(2PDUfL=!#6 zmecl&92k_DL`b|J2eu+WIe}U#xB_z&*?jO8`LG(MCJR$j$`CogQ3&wf16h|{Bra`# zf68^jWw=P`CeVj22oJp5P7@fyit5pjkD_nP!v*1mPO&$Gb3 zS-3GduiBGKj$!@N0m**j66|R_Vf&AmbkBb^6{&Vo7M^wjori{ShptMOqzq^p&NylI z)vTz&k8rO@uP_pKgEEIwuZY|sTrP?;j^qc@OU&ZMY(+-UN zt&k6;HuxRSjv%@$vtLkBD*WW^l%o?;-g&6UisrEJ*hl37?Mb!J>aY$f%Kh3&wnhX^ zv(~ahF21;QoyML}=FQ|w5})tQq1H0?DI=BpSEBtDj7UY;O?nhp-a#@ibqcSavSd*4 z%Jz9gL`TTUeDlM)O%M&j;kd_|H>&h?8eIfR3RKEL{9sQrYxP|x;{BT^djlaiPjs;) zjV41(=uZRZ1QOYUn0irFKN`7c!s8&}ubSZizT3`xlWgIj4FTl^?2^$%>V;tjKeZw=Ts#^lgTQjy!v1AiuTEV@fiUwuBFtD4WL-jA~Ah1`Ww=8&*`TH!RqSU%tseT-L0zA zEY{`hC$~xh8(m3~I-ijYss#bQLgFc>imH|Ru;QIw=*k<)Un6>h^TcA3I^a}KW;r2t z>abI6@3&$Yi3<1@AP)e0j;t@53BfVZI)HF`Ex#Zu-w3TuKL)dnLQtI<)>prSSiXy< zq_AJCWl`uRtl(miH>X>J@L#3|QVwxznws`Hcq6OZx!8v-(=DcW+887B@0`8Sp^(c6 z`wp5rSWx*uW9F%99=Nfj#S!N^05;nrMKhGjAF5gQK5p53&aPctq{}6W5qi$VNjbC< zz=6<_Fw8$N4~5=D<)9)|(uCoHxOS$f#b;*JvZn`3?bPO)sKOJN`M?>|C&Fd1Ses&R zBC;m;C>3v|N@{izF1ep&30GSj0Lkr%Eh^}?Ng1Fg3f4=EXQ5GyD&DTN#1%OhqNbCL zKTT4LugR9H*1~P<4&mrXZAMLfAU3aLBChxjcH*T5ionPB-@{L{8&Xd9RG%HOf#YGB zrE^SGH8As9m{2mhB@&zFB3IU-u7vb3$y*Aku0a>55Ip6OUWphI4it%Dn+MIC6ouZ} z%tG((xJALLu;vVbcT>$*2mQeg8+Qf(eLIZ}(p(drr3z8MqPbC-DVh(1S(B915dy}9 z0LNI1wrS|^wcjA(uA9(`agdd1%-v?TB_fqG_$F3CG|YN{3hG&3V_8#W z>}i5FvBbfz!8_0@Wcu2!?Oy-Hy)T6$suR;m9n*{Tu-MszmI6~fQ?g&aODhA~Dk z5f-w(y$3xoEbf{WCiK#fg%^KE8ZU*EHXJdhVSh3Y_W&>~CRrPR-zd*g{(xr4uN1Sr zCuK|0P4R08^SB1Z-pV{s^I4)KFT~9c$vURt?!>HG6ikbeU18UQotDk>&4snL=DWb>Mv*)0$w2Ty74aeoksq@>5jYud<&W*T zweG4Y7VkM|gqr_KPH1|;2@*eSuDc-uP5<5B-W)u<_MrwAa%EnX|@RnL1PF5150JZ*Yo zzBBiDG;*YndGx-lZsA=gaMe9>Fz$PfDs!;u)dpg;LdbeigjD}Y-{S&?I&Ku|)JyW< zzo37#*-vJ$v0&x+JG{IsUZC)j3B(?($h#L?`M+X-3YOR6FDTAWaitF%}1i{%efe(EeY@ z>=19l+HQMc$AR_`h|HtK?EW*H^m5U&-VDm&7`%FV zz>=*RFRa*t52fEMY)_AP&L+Ruj~{cK2)f^~|INGU{(?MfYm{-9s;oH9+i!MK&8Y%A zV%J|<+A!$&v3f4-RMk(xCAzMz8ua_k%}}dQm8F@G9lCo?Gqcwaod<8tCo{}(H_&qb z*&{oNoCi~G&|k~7|+I}ieL#A1dv`1qa| zN0!kyxnM5DR3ZjYmsUtpoNI}mG#P&nF7Z3`8~xpX;NEk)%OY(lXt~(6#yg4M6x4m(8wG|^n@bf zhNxfr+Qi|tJD}mdyJZ6=Wg`*IsLA`OlSN5PYuhb%{TgGahL~>6Ho5Uyg%>KP@j&Z` zQ?-jEe_$sSr#tfiHk;k_-AKW`Q2V?BVGjV~J+eE9Y>3-HtsQz27|l0J_j)z?m+O+J z|J|!swZ#L(aO8f&tbd@kRug=$Z%t_&T`nzsX!SqXq0`i^I=i5D|7mL1B+CQ3?!6uV zduw%SN28VV75?FU@_>DKzOVtlUnc4}BG~OjcB;Na$EW1|W_7~GY)+x~vVG3ofwLRac`9rhhPg-N^#aSxoDBqnt zs_i_=1M2I^Ve1_E8_LLO7;{caXn&O;ZiulXtZuKK5-&=X+mm9}5_LEg`5(+&mFXvr zuGlIrg$TfCS%E$AOHayFM6){~z8XU;m?Zj91iTF#qB)ODlU;wr(u!K8w4Nz!`lv z{Q_H)%V<;A;%fvVFj$au!PqO=s#;`DI+oj7H-+oXH}(2p5~84M({aQhdrRM=E58?fB;$UfhiR7-{tdIK znmw0!5eg3n0x12hl?~XsUXfgYg`I}4`#+yfGNoRfJTY5XHO<0s%BYOx`#Tf}U&2WU z>Y6xttgE>wY*pclKqVB$q(vo08XT?bms~AH-bk4JDOIm9SAFZDY%(*v8~|T z&V8-i&^wHs+K&so7na`3Cl?)n>vzD7p+`O0eob(F0o(}qk(M*(;Ck;@f|~#>X&PDp z*Hi8?c6yFcraragyv=D})#rVWm`1juxlNA%jZgq$pEtcmx+j-6qN}10=_&x$a3F?v zj}fk2RX|_JJK>2Z|K7$w7ye36255+S>FSg6U4q90QB5sj_!mpB73!mSal?C1l!;!wD?Djyd#!hhk`*(P)s7N>09uoSg(4-lFYL))W-pkJ*&BPf^~d38ZB2vMX!ZIg z&j}qTr%X;+ADU9uQDcxdbjBUD%Eb2^^-orrD4%~8l}fDQN5(L)J<~`NmcaXT4PBLY zgAJ@oCSPLZV6%4tvY92}5-coib{T-4nba#_xx?--!T}FWFZ~L3qxb;q#vhAxyb`!R zpb2jL3bqF7cx6z>U#QNx+J&XGx}$dtv2gpFEH3)ysRGVnCb zdNxY28NrcU#Bm6ZlR)+~KD_qE`bPs6~;CT>-}$b7S{`<&|u`EJwE|Lec9O|9tc zf~zWKqie{)sAU^B6tn+O9F=b(fJv2r1Wxv4@WKg#8u9=yA61GpB7Zl zw>#p=rhV7eeb(l+ASdb5EPcU@6Y}7IrvoP&XY6Z5mVK++sQ7*(#Wk4KoHrwGfLfaL z*EC-u`*_w!ikduaaP(K0;ZJlM+w*n+3tz8s^~p+I%4$WBlF-merje66=K}?8DQ8ov z^YNG6P*B}ifX&0=J@Gr$@YjoEnQx$~TR49R#5vCmn_~Kw`D=Ftrjs zpx_m=@}*2u(A2iChfFz)wH=`IDT}<1Q*pr+nRk|KRJb?Ww7ufw`nug1(}NUU)0k0{}eaU(H#Y0tk`!&V;v*JWlR@^K<08(uVNx&iM+xC0<$ zEVr`YdPles&}GaK4sgBqZR18jf-zRR!}amsVkZG42BSp5^*w*VjV*Y)eNM1ySU4ox zZYwQ309#W86Bj&coX8LJrC$P#CB1F}o>z zuLym-DpICvO(}V+qa2Ddjd1T<=Bjf)*HXS1jhxa?>;>^&g`+&+)OCJL@F8C*tk&(q zRyq&h7_i63NLh1sZ2W*;us!V9yJRmd_dC4NK~Zl7%7-!P1~ozJ!VM=@`uJq zI;yCD)|AyEXqVmjw0wIBi9-iuD{MhMPW7`hI>V=lv zMX!pl*3=Fa02wfDMB9TTDSw{>R&UW2E&4p(GR~dUy`+8I~ znRTvBfHhrC1ZRT6~KD8Y60FEBA7;opDc0lu!2tnttd4}uuIIq?-% zrn_3xI(`Utls%OD59w)4o-R>7&ATW322TJ|NCX^pgIVFMuUjh|*Og~^Xy&81M?9ba zr~*1w43H-Y-gQLq7J22i8|R+UrsJjeXy4!c(+{1xv3mKu^+*0Q_p^n!HU!Ok=R)4f zbsxqb&ffIFPk9&ie>-#Wk5{NkXOGO!+4RB9?+(0kEnva-*ZjVF{I8&YZTS6p-RzIn z|4;D4534r+vfX9JSFsyph+c>X<$2MBDIVSTBSkQhir3>Rsw9x!uJkX`pu_E+lWmFO zTFSvw-w)cRMip^WaoUbAyh#JwqM|Hpr`39~q5oU+Jzkj}KeSF_`}N#4dCwEr?C5yO z18vRM;UaP(uMeGbO2WP~R}+1c%|6c&dVe~0VeTr+5%b8EM)jQ3lOF0f#h(x95TPtT zkF!))B0Bi?$3eH$z%G1C9`^f&kr_vqJ=;`TYEa$lV`G2Wc_nQgw-*)ghECbeWdQjBXX%3Y6hMG6WChvIiW>!b|RGlALA8&~I z;_>&9Ux(+h#ifOgACiWC?c7Ob$KaprYd@@-^Z46qgXzKw7Aq>y(37ljV2XHUI)32= zyWf_6r zXE2)^98C3d;$h(FZMw%Z^F^76@!*i~_*XN-GFgwMU1m<|J%myGzWEZb)DR=gX7YM2 zKiGMlJC?8k*G&-bL2Lsa4BKExio99&BrIrOyd=3p&5Vj-jcwC=H$Ry_Gy#i^O&6Y27ivd44)XCy(lYsM19kez^z1w(8IU)j30;zC$lS@nVJB*v#h_Q zUHwS1&|kt_@p1oO$rtK|kuis8$_F`V)ZZ&A$YUMJGaiNb{h^o6_P(L6f7Y&WuP?n z;OM9Ha?#4PE!#-L>fuS+#1THjd6D@x>eAU=7jcZ)op zJ;~av*Z7T%RTU)X+gd#((YfE8tM#2m*m6ave_@rMXS}rrb`!7VSysQmYP3; zul~e2eE1mbg)XnrcX|CY5W>zL%B52LNb5MaDH6#}csoR1CFU#~i7*)R`&^x#o7P)) z8vj?u(`YH0ED)8e+|&+}zhc=1p+vfqjNhuOMgNHCVOW?;mVIPc5fjcKRX96&2XHc3 ztiM8;yI;*=mcc}xy6L$Z zKhgRora7|f=Y&)J+zE3A$liBrQL7T^V^3(wpEj<{{Orbnn~Mg=q3cLmqjXH ze7H5o(QD7D7Wjw14w#4HmZMvhm};-1>y?eW|PFtvmeaNUWdW{DWB?t5c)Q=Ffr*vcbJB z$?34q9vXPj=1uN5)t2qP)p2?MY!v%wq3bjLRPvvA3$0K$Sh}QqUVQ_cCvjJaxmowy zpc`y5(x09BLI0=!-0OgDiE0xa@s-&6#r&3*^^01Jzk3>ax8_R?|6dM0rK*^9xwI7d zT7yhjF+iVKQLT!*&K`j6)}`E?KKwHLWc%o=PUDy1I`Z9LrbR8}#W0(ldg>Eg)}f2; zKqnFsSmB(3cS)a=r(NYXbGtdrzn?%2Pfvy?2(+|cFwXs}_B8U2kJ}To4Gl(qPF3{K z|3xU$fZLgQj=%N%mS%V2zg)b&Kt?HE8Y_>v^uT5t`zdUDRNz&ILfH=qUur{?lDi`_ zR@x8}jKPY(Af5`TygdC>DOEQlxFSCGu<+;?Ok}q6`{wh!GA!n2j)p%Tu-q^o^4Huu zSDAlHF)XZV*t)QBYkt-{H2E%P-shEx(V^2ar$QI0?7`5G6uB9~xgx*9yUa+muP*>) zMW0Kbc+x~?1cd%ZpPU%)XFt!s+BvOwuXY+t8%UXWNmAiwd?Xt*S1wX;IETk_F$4{gH4lxnzxYF8Nf7ii<7sd*k_)5pyN|3Hog zW{B0>h7G2)q}sp?BjYPDZHj2CvOcAVX5vL}-$AR3-L{f@)x#M6V~N6lDaU?@G*vh3 z!K0~<#(%NY28=AmQxS8;p!eO``lZ@inc~cG!m@Cw#h!;`vfAOZvlpF<9#jucIF5BB zI7&8m*uoiOr_%mbq!S$DC0d$wQ9zfiCoJQpwiuZHq&@MUHqWqbI@8GXx(%21z$MCW zLGP;Y*gm1tsq&uc8M$9+kXHlk+Tg(E+D%vU&YXH4YtZfd-Bw?_QnK(m?=37tFD%21 zWzx)qFLj+$vEti*A5FHSYI2#9`A3<4`=n0rf&Md_?UQOL?`VBz!f{E$b;5Bl<`n(~ zy5vFPmNB4_p2xlJ)^HSaAFmE-2psg)K!M^$;93`PfG zw}h}UZO_Msjc|B9u0HxlE3Pc;rX3Ebc;02&W`D?%2~HAs2<$z5sgLTyaj%*k<0pj` z@$7I;m4DK9%8N}W%^g$!=cU5>dWya3iI@5{Ho#*r^}^h_em7#sPE!kGyx$e2IIG`$ z+K{v-)yt{=|Q?B+^M4VR@d zQ<_McqReq`wKOgK9in$?x)Q~-Mg9V9O@|7kX8u6^wnR};FhB*r0{3X!A9ww8Uc6t= zbIy6rIp5dwe4f_}O^fuwpRWvRQ;~G_m6Mr&q=W%)9b9%T1ihY^BdZ9@vJd+L|x>vp7e9b(mHmo?Ci__pc-oEg~YS-cW$$20flNU+Q4?mZy|Ko>zon~sds=f zrkLqzemM~ygxEp_|D)>v^SM?_S{1k^GK}9n5ZZb?ZghRKbC)WMdFD&~hpBFX_&M1< zl>tU&5EPm9#64Yavd`LTVb{D_v>UjOcwt8H=c}@FK-UEd_J-BR$3HtVNgOBDa)Auu zQ6J^v>ljV*0fPARGY+{2wD`M?> zVt`;iiImVA<@jG3+-0lZD3!6Ukk={=YXQnjgg>TjLTJcf7*rak=<|5vSK3b32O@%Z zi%j zLMb*a{}?3IDm_X6q|2mt|JHz+d-{`{%saZa7-@%-reUfC2FdXgON#_7&QwPNA1%6R zHM6Y=`~LvZlliCU30@UF;sJA5#5HhCW(_e$Fjd^Dhg@s_ijPcYdB92pRxz+XG?~Q# z^aI%U7EW=k#_!8X9kcdey9Fqy>zGzIwU+gJmfqm5wcaI3xnEOj4j^oTNSas6~Foy3H|RhC}9qxSkD*e%N1lB-2L6_br%K1g1q8!5n}w02v@QfNX%#Aht7Q z3i}zx5h!^3f-jgpT&}=fS+uWD({)`8GdP+L^13%HS_13<=wLob1&9Lp3&4FKV1SCc zG+h(Gy}-F^!y*Kb18@&;ej7!=S`VytU~cq@$pw||Xo9?2l`)NVpR7$`(Ky0mOQzlE z$uk_Gy@NxO?tAjH>3e#)9OA?mCZn!vuT`^XdpH#^u~@`aD6+g)%MVWePk8rm`&v6u zq77dho@wFp+id{}sa;!BPjK zbUi7Ghhv|gL6y^ffFT6)wa#HgG_bh=0-ctA%=j3i&sW zwYjnDkz-3aTz%ChAVpkSf)G`k)PGC^*M+NwdZMqi&=TYqEIw{IjWL7vGWJMVJqRpeKPdA5mp2RFM`(K~vu5k}s zRXbGRi&$$g2{0X$s5Q;tC+380Atubf3J63PpPm+%P#%tYf|s&hIb7JGFfP&*2e+fI zK3&m$`J!!UQ@kO~YY3rVj(Oo(S(Td9VWe#xxK@wk_8wm%YTe-0IpPB(CUk*)vCHGq z=p2h?E1uDNnbx5~maSzk%Z$l}S>qvu(4rl)AO2z#NA`eQ32+diQaGS18?Hs!nLWYm zzkgF+sftjh#gF-53ieZDC5jZ_mP!jkB$u$^>1GCHJ2N30X)WZLYwf=gc+9hj7cAnF zB*ZxwdIa0{wL9~EDs<~`Ry^xwmU6h7qIapP<5j$>)a6>j=riRz zBVH8PoDTRZHz(KcGp56Z<%b6v$A%8!M_qgKdt%_M(FYnvc6jQQBJ%urX>ExAOj*br zy`ixoY??n+?VJ+@bZO4@_mB#6i(cF-LktbL5mqUCy4`R07 zku}nMrH!6^GIIhO+Wxd0TTc72A&EO0U$gCKO|dd))&*ldG3~Z5BC1ADbY;qp>PRQzz$u9&QC`;j6eUd}(cBpiig&b2M=Mk&R|n<`E#yb|QV1o8^>5m#E75Qo?I2f$Lo( zFZ$9kJSoqr!6T@MNMD2;_6(!h_w7WER3-nJB)dA%(HYV$56-jVqlc}a-)QJz3FExPuroVeM7Pq$mPwil z=VQw#*%`Xc%!9C1_Wg>SQvy3SUukxfOR&{e>}w|2LeAM9j_b_`yW4Yps(0Ih6le`m z3Mi@_W0V47@eFFJ$wrtxH%O-#5tSxS5{-ylw+P*2hVE`@U2|Mfv6Xi3yril7_1<|( zcDH+c`$s>rx4C#JnR0%@t8u1WJ6T|OVdk|zpLY6(;+;d5+lQccbnhw!bsE!1%GCRYuai0-V+ z^%KR7<;|YWnH~GL{IJ$~P|b3)+*qez^S8zGwJoNY^jjSZnpXF+q>Bxgw~Ekw zeMuxM_cQNB(#&~|hAQS`uE)OCM!3yiYA<%Yd;g)`u}plXMB8QpQ>I=rhP) zxWxt9DE{&LKVIJUWzmk~s_Z%{`Y?sRKanh&&vOoAaf7vai+LGe`k|dkHqL$^dh@sR{X{ok7>ciR{!)M1hcsC{E0sAO}r}y)%bCq`N$xj85(~V zqB(VB*+;)R8pK#pJaiM=86M*}cG{7J-eLUDQI5ypEovwmYmf-#oEWLK)V{OGU{{kQ z!5XrfCS9pD0Zb*6O3oUY6fNAxb5)iyrg){{EZJS0a$CM30X}Cd>=l$NZfZvkUVcPy zMe$vHJrd$4nqnGRe%{ZbWRfIb2k3A8j5yz0vQwj!%~-?5nvHQSHK~u_L)wy+p15J> ziKs%6`weZ)O-7@$8?}slTjnxDks4rmgP=s_zD_ITRYu0Dq)A!rLvrJ#F+~;@%0PRJ`N{X4&sa8$scsR!-^n0>Z9;9d)|ykHtJ^4SAX0Z8r`N-+sk!1PuHJ{s b*{dD%Xy(X6mJ9>k2BSz;^mTR1-{1Kk<;qgP diff --git a/lef/gpio_control_block.lef b/lef/gpio_control_block.lef index 161e5560..877490f4 100644 --- a/lef/gpio_control_block.lef +++ b/lef/gpio_control_block.lef @@ -459,13 +459,25 @@ MACRO gpio_control_block RECT 4.745 59.755 169.810 59.925 ; LAYER li1 ; RECT 0.000 59.585 169.810 59.755 ; - RECT 0.000 57.645 6.100 59.585 ; + RECT 0.000 58.605 6.505 59.585 ; LAYER li1 ; - RECT 6.100 57.645 169.810 59.585 ; + RECT 6.505 58.605 169.810 59.585 ; LAYER li1 ; - RECT 0.000 57.405 8.925 57.645 ; + RECT 0.000 58.445 6.585 58.605 ; LAYER li1 ; - RECT 8.925 57.405 169.810 57.645 ; + RECT 6.585 58.445 169.810 58.605 ; + LAYER li1 ; + RECT 0.000 58.195 6.085 58.445 ; + LAYER li1 ; + RECT 6.085 58.195 169.810 58.445 ; + LAYER li1 ; + RECT 0.000 58.005 6.585 58.195 ; + LAYER li1 ; + RECT 6.585 58.005 169.810 58.195 ; + LAYER li1 ; + RECT 0.000 57.405 6.505 58.005 ; + LAYER li1 ; + RECT 6.505 57.405 169.810 58.005 ; LAYER li1 ; RECT 0.000 30.025 4.265 57.405 ; LAYER li1 ; @@ -479,13 +491,37 @@ MACRO gpio_control_block LAYER li1 ; RECT 4.745 29.835 169.810 30.005 ; LAYER li1 ; - RECT 0.000 29.665 16.795 29.835 ; + RECT 0.000 29.655 16.795 29.835 ; LAYER li1 ; - RECT 16.795 29.665 169.810 29.835 ; + RECT 16.795 29.655 169.810 29.835 ; LAYER li1 ; - RECT 0.000 27.455 6.065 29.665 ; + RECT 0.000 29.640 16.910 29.655 ; LAYER li1 ; - RECT 6.065 27.455 169.810 29.665 ; + RECT 16.910 29.640 169.810 29.655 ; + LAYER li1 ; + RECT 0.000 29.395 8.925 29.640 ; + LAYER li1 ; + RECT 8.925 29.395 169.810 29.640 ; + LAYER li1 ; + RECT 0.000 29.095 7.545 29.395 ; + LAYER li1 ; + RECT 7.545 29.095 169.810 29.395 ; + LAYER li1 ; + RECT 0.000 28.925 7.845 29.095 ; + LAYER li1 ; + RECT 7.845 28.925 169.810 29.095 ; + LAYER li1 ; + RECT 0.000 28.305 6.125 28.925 ; + LAYER li1 ; + RECT 6.125 28.305 169.810 28.925 ; + LAYER li1 ; + RECT 0.000 27.785 7.845 28.305 ; + LAYER li1 ; + RECT 7.845 27.785 169.810 28.305 ; + LAYER li1 ; + RECT 0.000 27.455 7.625 27.785 ; + LAYER li1 ; + RECT 7.625 27.455 169.810 27.785 ; LAYER li1 ; RECT 0.000 27.285 16.795 27.455 ; LAYER li1 ; @@ -495,57 +531,25 @@ MACRO gpio_control_block LAYER li1 ; RECT 4.745 27.115 169.810 27.285 ; LAYER li1 ; - RECT 0.000 26.945 16.795 27.115 ; + RECT 0.000 26.095 16.795 27.115 ; LAYER li1 ; - RECT 16.795 26.945 169.810 27.115 ; + RECT 16.795 26.095 169.810 27.115 ; LAYER li1 ; - RECT 0.000 26.185 16.905 26.945 ; + RECT 0.000 25.475 16.705 26.095 ; LAYER li1 ; - RECT 16.905 26.185 169.810 26.945 ; + RECT 16.705 25.475 169.810 26.095 ; LAYER li1 ; - RECT 0.000 26.015 17.450 26.185 ; + RECT 0.000 24.565 16.795 25.475 ; LAYER li1 ; - RECT 17.450 26.015 169.810 26.185 ; - LAYER li1 ; - RECT 0.000 25.835 16.795 26.015 ; - LAYER li1 ; - RECT 16.795 25.835 169.810 26.015 ; - LAYER li1 ; - RECT 0.000 25.465 16.645 25.835 ; - LAYER li1 ; - RECT 16.645 25.465 169.810 25.835 ; - LAYER li1 ; - RECT 0.000 25.285 16.795 25.465 ; - LAYER li1 ; - RECT 16.795 25.285 169.810 25.465 ; - LAYER li1 ; - RECT 0.000 25.115 17.450 25.285 ; - LAYER li1 ; - RECT 17.450 25.115 169.810 25.285 ; - LAYER li1 ; - RECT 0.000 24.735 16.905 25.115 ; - LAYER li1 ; - RECT 16.905 24.735 169.810 25.115 ; - LAYER li1 ; - RECT 0.000 24.565 16.795 24.735 ; - LAYER li1 ; - RECT 16.795 24.565 169.810 24.735 ; + RECT 16.795 24.565 169.810 25.475 ; LAYER li1 ; RECT 0.000 24.395 15.325 24.565 ; LAYER li1 ; RECT 15.325 24.395 169.810 24.565 ; LAYER li1 ; - RECT 0.000 24.225 16.795 24.395 ; + RECT 0.000 21.845 16.795 24.395 ; LAYER li1 ; - RECT 16.795 24.225 169.810 24.395 ; - LAYER li1 ; - RECT 0.000 22.015 16.645 24.225 ; - LAYER li1 ; - RECT 16.645 22.015 169.810 24.225 ; - LAYER li1 ; - RECT 0.000 21.845 16.795 22.015 ; - LAYER li1 ; - RECT 16.795 21.845 169.810 22.015 ; + RECT 16.795 21.845 169.810 24.395 ; LAYER li1 ; RECT 0.000 21.675 15.325 21.845 ; LAYER li1 ; @@ -575,17 +579,9 @@ MACRO gpio_control_block LAYER li1 ; RECT 15.325 18.955 169.810 19.125 ; LAYER li1 ; - RECT 0.000 18.785 16.795 18.955 ; + RECT 0.000 16.405 16.795 18.955 ; LAYER li1 ; - RECT 16.795 18.785 169.810 18.955 ; - LAYER li1 ; - RECT 0.000 16.575 16.645 18.785 ; - LAYER li1 ; - RECT 16.645 16.575 169.810 18.785 ; - LAYER li1 ; - RECT 0.000 16.405 16.795 16.575 ; - LAYER li1 ; - RECT 16.795 16.405 169.810 16.575 ; + RECT 16.795 16.405 169.810 18.955 ; LAYER li1 ; RECT 0.000 16.235 15.325 16.405 ; LAYER li1 ; @@ -615,53 +611,33 @@ MACRO gpio_control_block LAYER li1 ; RECT 15.325 13.515 169.810 13.685 ; LAYER li1 ; - RECT 0.000 13.005 16.950 13.515 ; + RECT 0.000 12.675 16.910 13.515 ; LAYER li1 ; - RECT 16.950 13.005 169.810 13.515 ; + RECT 16.910 12.675 169.810 13.515 ; LAYER li1 ; - RECT 0.000 12.835 16.795 13.005 ; + RECT 0.000 12.115 16.795 12.675 ; LAYER li1 ; - RECT 16.795 12.835 169.810 13.005 ; + RECT 16.795 12.115 169.810 12.675 ; LAYER li1 ; - RECT 0.000 12.275 16.645 12.835 ; + RECT 0.000 10.965 16.910 12.115 ; LAYER li1 ; - RECT 16.645 12.275 169.810 12.835 ; - LAYER li1 ; - RECT 0.000 11.775 16.795 12.275 ; - LAYER li1 ; - RECT 16.795 11.775 169.810 12.275 ; - LAYER li1 ; - RECT 0.000 10.965 16.950 11.775 ; - LAYER li1 ; - RECT 16.950 10.965 169.810 11.775 ; + RECT 16.910 10.965 169.810 12.115 ; LAYER li1 ; RECT 0.000 10.795 15.325 10.965 ; LAYER li1 ; RECT 15.325 10.795 169.810 10.965 ; LAYER li1 ; - RECT 0.000 10.020 16.795 10.795 ; + RECT 0.000 10.625 16.795 10.795 ; LAYER li1 ; - RECT 16.795 10.020 169.810 10.795 ; + RECT 16.795 10.625 169.810 10.795 ; LAYER li1 ; - RECT 0.000 9.825 16.970 10.020 ; + RECT 0.000 8.415 16.645 10.625 ; LAYER li1 ; - RECT 16.970 9.825 169.810 10.020 ; + RECT 16.645 8.415 169.810 10.625 ; LAYER li1 ; - RECT 0.000 9.655 17.920 9.825 ; + RECT 0.000 8.245 16.795 8.415 ; LAYER li1 ; - RECT 17.920 9.655 169.810 9.825 ; - LAYER li1 ; - RECT 0.000 9.000 16.840 9.655 ; - LAYER li1 ; - RECT 16.840 9.000 169.810 9.655 ; - LAYER li1 ; - RECT 0.000 8.670 16.905 9.000 ; - LAYER li1 ; - RECT 16.905 8.670 169.810 9.000 ; - LAYER li1 ; - RECT 0.000 8.245 16.795 8.670 ; - LAYER li1 ; - RECT 16.795 8.245 169.810 8.670 ; + RECT 16.795 8.245 169.810 8.415 ; LAYER li1 ; RECT 0.000 8.075 15.325 8.245 ; LAYER li1 ; @@ -687,9 +663,25 @@ MACRO gpio_control_block LAYER li1 ; RECT 16.795 5.185 169.810 5.355 ; LAYER li1 ; - RECT 0.000 2.975 6.525 5.185 ; + RECT 0.000 4.205 6.505 5.185 ; LAYER li1 ; - RECT 6.525 2.975 169.810 5.185 ; + RECT 6.505 4.205 169.810 5.185 ; + LAYER li1 ; + RECT 0.000 4.045 6.585 4.205 ; + LAYER li1 ; + RECT 6.585 4.045 169.810 4.205 ; + LAYER li1 ; + RECT 0.000 3.795 6.085 4.045 ; + LAYER li1 ; + RECT 6.085 3.795 169.810 4.045 ; + LAYER li1 ; + RECT 0.000 3.605 6.585 3.795 ; + LAYER li1 ; + RECT 6.585 3.605 169.810 3.795 ; + LAYER li1 ; + RECT 0.000 2.975 6.505 3.605 ; + LAYER li1 ; + RECT 6.505 2.975 169.810 3.605 ; LAYER li1 ; RECT 0.000 2.805 16.795 2.975 ; LAYER li1 ; @@ -703,7 +695,7 @@ MACRO gpio_control_block LAYER li1 ; RECT 16.795 0.000 169.810 2.635 ; LAYER met1 ; - RECT 4.300 0.000 170.000 65.000 ; + RECT 4.600 0.000 170.000 65.000 ; LAYER met2 ; RECT 5.250 60.720 6.710 65.000 ; RECT 7.550 60.720 9.010 65.000 ; @@ -718,68 +710,67 @@ MACRO gpio_control_block RECT 28.250 60.720 29.710 65.000 ; RECT 30.550 60.720 32.010 65.000 ; RECT 32.850 60.720 170.000 65.000 ; - RECT 4.690 0.000 170.000 60.720 ; + RECT 4.970 0.000 170.000 60.720 ; LAYER met3 ; - RECT 4.665 60.840 69.600 61.705 ; - RECT 4.665 60.200 70.000 60.840 ; - RECT 4.665 58.800 69.600 60.200 ; - RECT 4.665 58.160 70.000 58.800 ; - RECT 4.665 56.760 69.600 58.160 ; - RECT 4.665 56.120 70.000 56.760 ; - RECT 4.665 54.720 69.600 56.120 ; - RECT 4.665 54.080 70.000 54.720 ; - RECT 4.665 52.680 69.600 54.080 ; - RECT 4.665 52.040 70.000 52.680 ; - RECT 4.665 50.640 69.600 52.040 ; - RECT 4.665 50.000 70.000 50.640 ; - RECT 4.665 48.600 69.600 50.000 ; - RECT 4.665 47.960 70.000 48.600 ; - RECT 4.665 46.560 69.600 47.960 ; - RECT 4.665 45.920 70.000 46.560 ; - RECT 4.665 44.520 69.600 45.920 ; - RECT 4.665 43.880 70.000 44.520 ; - RECT 4.665 42.480 69.600 43.880 ; - RECT 4.665 41.840 70.000 42.480 ; - RECT 4.665 40.440 69.600 41.840 ; - RECT 4.665 39.800 70.000 40.440 ; - RECT 4.665 38.400 69.600 39.800 ; - RECT 4.665 37.760 70.000 38.400 ; - RECT 4.665 36.360 69.600 37.760 ; - RECT 4.665 35.720 70.000 36.360 ; - RECT 4.665 34.320 69.600 35.720 ; - RECT 4.665 33.680 70.000 34.320 ; - RECT 4.665 32.280 69.600 33.680 ; - RECT 4.665 31.640 70.000 32.280 ; - RECT 4.665 30.240 69.600 31.640 ; - RECT 4.665 29.600 70.000 30.240 ; - RECT 4.665 28.200 69.600 29.600 ; - RECT 4.665 27.560 70.000 28.200 ; - RECT 4.665 26.160 69.600 27.560 ; - RECT 4.665 25.520 70.000 26.160 ; - RECT 4.665 24.120 69.600 25.520 ; - RECT 4.665 23.480 70.000 24.120 ; - RECT 4.665 22.080 69.600 23.480 ; - RECT 4.665 21.440 70.000 22.080 ; - RECT 4.665 20.040 69.600 21.440 ; - RECT 4.665 19.400 70.000 20.040 ; - RECT 4.665 18.000 69.600 19.400 ; - RECT 4.665 17.360 70.000 18.000 ; - RECT 4.665 15.960 69.600 17.360 ; - RECT 4.665 15.320 70.000 15.960 ; - RECT 4.665 13.920 69.600 15.320 ; - RECT 4.665 13.280 70.000 13.920 ; - RECT 4.665 11.880 69.600 13.280 ; - RECT 4.665 11.240 70.000 11.880 ; - RECT 4.665 9.840 69.600 11.240 ; - RECT 4.665 9.200 70.000 9.840 ; - RECT 4.665 7.800 69.600 9.200 ; - RECT 4.665 7.160 70.000 7.800 ; - RECT 4.665 5.760 69.600 7.160 ; - RECT 4.665 5.120 70.000 5.760 ; - RECT 4.665 3.720 69.600 5.120 ; - RECT 4.665 3.080 70.000 3.720 ; - RECT 4.665 1.680 69.600 3.080 ; - RECT 4.665 0.175 70.000 1.680 ; + RECT 6.045 60.840 69.600 61.705 ; + RECT 6.045 60.200 70.000 60.840 ; + RECT 6.045 58.800 69.600 60.200 ; + RECT 6.045 58.160 70.000 58.800 ; + RECT 6.045 56.760 69.600 58.160 ; + RECT 6.045 56.120 70.000 56.760 ; + RECT 6.045 54.720 69.600 56.120 ; + RECT 6.045 54.080 70.000 54.720 ; + RECT 6.045 52.680 69.600 54.080 ; + RECT 6.045 52.040 70.000 52.680 ; + RECT 6.045 50.640 69.600 52.040 ; + RECT 6.045 50.000 70.000 50.640 ; + RECT 6.045 48.600 69.600 50.000 ; + RECT 6.045 47.960 70.000 48.600 ; + RECT 6.045 46.560 69.600 47.960 ; + RECT 6.045 45.920 70.000 46.560 ; + RECT 6.045 44.520 69.600 45.920 ; + RECT 6.045 43.880 70.000 44.520 ; + RECT 6.045 42.480 69.600 43.880 ; + RECT 6.045 41.840 70.000 42.480 ; + RECT 6.045 40.440 69.600 41.840 ; + RECT 6.045 39.800 70.000 40.440 ; + RECT 6.045 38.400 69.600 39.800 ; + RECT 6.045 37.760 70.000 38.400 ; + RECT 6.045 36.360 69.600 37.760 ; + RECT 6.045 35.720 70.000 36.360 ; + RECT 6.045 34.320 69.600 35.720 ; + RECT 6.045 33.680 70.000 34.320 ; + RECT 6.045 32.280 69.600 33.680 ; + RECT 6.045 31.640 70.000 32.280 ; + RECT 6.045 30.240 69.600 31.640 ; + RECT 6.045 29.600 70.000 30.240 ; + RECT 6.045 28.200 69.600 29.600 ; + RECT 6.045 27.560 70.000 28.200 ; + RECT 6.045 26.160 69.600 27.560 ; + RECT 6.045 25.520 70.000 26.160 ; + RECT 6.045 24.120 69.600 25.520 ; + RECT 6.045 23.480 70.000 24.120 ; + RECT 6.045 22.080 69.600 23.480 ; + RECT 6.045 21.440 70.000 22.080 ; + RECT 6.045 20.040 69.600 21.440 ; + RECT 6.045 19.400 70.000 20.040 ; + RECT 6.045 18.000 69.600 19.400 ; + RECT 6.045 17.360 70.000 18.000 ; + RECT 6.045 15.960 69.600 17.360 ; + RECT 6.045 15.320 70.000 15.960 ; + RECT 6.045 13.920 69.600 15.320 ; + RECT 6.045 13.280 70.000 13.920 ; + RECT 6.045 11.880 69.600 13.280 ; + RECT 6.045 11.240 70.000 11.880 ; + RECT 6.045 9.840 69.600 11.240 ; + RECT 6.045 9.200 70.000 9.840 ; + RECT 6.045 7.800 69.600 9.200 ; + RECT 6.045 7.160 70.000 7.800 ; + RECT 6.045 5.760 69.600 7.160 ; + RECT 6.045 5.120 70.000 5.760 ; + RECT 6.045 3.720 69.600 5.120 ; + RECT 6.045 3.080 70.000 3.720 ; + RECT 6.045 2.215 69.600 3.080 ; LAYER met4 ; RECT 6.280 60.480 170.000 65.000 ; RECT 6.280 2.080 12.400 60.480 ; diff --git a/mag/gpio_control_block.mag b/mag/gpio_control_block.mag index 7d925894..412c37cc 100644 --- a/mag/gpio_control_block.mag +++ b/mag/gpio_control_block.mag @@ -1,576 +1,593 @@ magic tech sky130A magscale 1 2 -timestamp 1664976469 +timestamp 1665142205 +<< isosubstrate >> +rect 926 1576 2738 4794 << viali >> -rect 1225 11849 1259 11883 -rect 7665 11781 7699 11815 -rect 3801 11713 3835 11747 -rect 6193 11713 6227 11747 -rect 9321 11713 9355 11747 -rect 9505 11713 9539 11747 -rect 1409 11645 1443 11679 -rect 1593 11645 1627 11679 +rect 8769 11781 8803 11815 +rect 9781 11781 9815 11815 +rect 1317 11713 1351 11747 +rect 8217 11713 8251 11747 +rect 1225 11645 1259 11679 +rect 3433 11645 3467 11679 rect 3617 11645 3651 11679 +rect 3801 11645 3835 11679 +rect 3985 11645 4019 11679 rect 4261 11645 4295 11679 -rect 6009 11645 6043 11679 +rect 6193 11645 6227 11679 rect 6377 11645 6411 11679 -rect 8585 11645 8619 11679 -rect 9689 11645 9723 11679 -rect 3433 11577 3467 11611 -rect 3985 11577 4019 11611 +rect 6653 11645 6687 11679 +rect 9321 11645 9355 11679 +rect 9597 11645 9631 11679 +rect 10057 11645 10091 11679 rect 6561 11577 6595 11611 -rect 9873 11577 9907 11611 -rect 2145 11509 2179 11543 -rect 8769 11509 8803 11543 -rect 1225 11169 1259 11203 -rect 3433 11169 3467 11203 -rect 3780 11169 3814 11203 -rect 5273 11169 5307 11203 -rect 7481 11169 7515 11203 -rect 9321 11169 9355 11203 -rect 3341 11101 3375 11135 -rect 6193 11101 6227 11135 +rect 2513 11509 2547 11543 +rect 5181 11509 5215 11543 +rect 1685 11305 1719 11339 +rect 6193 11237 6227 11271 +rect 1501 11169 1535 11203 +rect 3893 11169 3927 11203 +rect 5365 11169 5399 11203 +rect 7021 11169 7055 11203 +rect 7297 11169 7331 11203 +rect 7573 11169 7607 11203 +rect 9413 11169 9447 11203 +rect 3433 11101 3467 11135 +rect 3525 11101 3559 11135 +rect 5929 11101 5963 11135 rect 6745 11101 6779 11135 -rect 6929 11101 6963 11135 -rect 7113 11101 7147 11135 -rect 7849 11101 7883 11135 +rect 7941 11101 7975 11135 rect 1317 11033 1351 11067 -rect 5833 11033 5867 11067 -rect 9881 11033 9915 11067 -rect 1593 10965 1627 10999 -rect 3083 10965 3117 10999 -rect 7297 10965 7331 10999 +rect 7205 11033 7239 11067 +rect 9973 11033 10007 11067 +rect 3175 10965 3209 10999 +rect 1317 10761 1351 10795 rect 8769 10761 8803 10795 -rect 9689 10761 9723 10795 -rect 8137 10693 8171 10727 -rect 1317 10625 1351 10659 -rect 5641 10625 5675 10659 +rect 1409 10625 1443 10659 +rect 3433 10625 3467 10659 +rect 5825 10625 5859 10659 +rect 8493 10625 8527 10659 rect 9321 10625 9355 10659 -rect 1225 10557 1259 10591 -rect 3065 10557 3099 10591 -rect 3617 10557 3651 10591 -rect 5733 10557 5767 10591 -rect 6101 10557 6135 10591 -rect 7573 10557 7607 10591 -rect 8585 10557 8619 10591 -rect 9505 10557 9539 10591 -rect 3893 10489 3927 10523 -rect 2513 10421 2547 10455 -rect 8401 10421 8435 10455 -rect 9873 10421 9907 10455 -rect 1225 10217 1259 10251 -rect 3433 10149 3467 10183 -rect 9873 10149 9907 10183 -rect 3525 10081 3559 10115 +rect 3801 10557 3835 10591 +rect 5917 10557 5951 10591 +rect 6285 10557 6319 10591 +rect 7757 10557 7791 10591 +rect 8321 10557 8355 10591 +rect 9597 10557 9631 10591 +rect 9965 10557 9999 10591 +rect 1685 10489 1719 10523 +rect 4077 10489 4111 10523 +rect 9781 10489 9815 10523 +rect 3709 10421 3743 10455 +rect 1685 10149 1719 10183 +rect 5929 10149 5963 10183 +rect 1409 10081 1443 10115 +rect 3433 10081 3467 10115 rect 5365 10081 5399 10115 -rect 6837 10081 6871 10115 -rect 7297 10081 7331 10115 -rect 8769 10081 8803 10115 -rect 1409 10013 1443 10047 -rect 1685 10013 1719 10047 +rect 7021 10081 7055 10115 +rect 8861 10081 8895 10115 +rect 3525 10013 3559 10047 rect 3893 10013 3927 10047 -rect 6193 10013 6227 10047 +rect 6285 10013 6319 10047 rect 6929 10013 6963 10047 -rect 9505 10013 9539 10047 -rect 9689 10013 9723 10047 -rect 5929 9877 5963 9911 -rect 9329 9877 9363 9911 +rect 7389 10013 7423 10047 +rect 9597 10013 9631 10047 +rect 9781 10013 9815 10047 +rect 9965 10013 9999 10047 +rect 1317 9877 1351 9911 +rect 9421 9877 9455 9911 rect 6285 9605 6319 9639 +rect 8769 9605 8803 9639 rect 3617 9537 3651 9571 -rect 6561 9537 6595 9571 +rect 6017 9537 6051 9571 rect 8585 9537 8619 9571 -rect 8861 9537 8895 9571 -rect 8953 9537 8987 9571 +rect 9321 9537 9355 9571 +rect 9597 9537 9631 9571 +rect 9965 9537 9999 9571 rect 3433 9469 3467 9503 rect 3985 9469 4019 9503 rect 5457 9469 5491 9503 -rect 6469 9469 6503 9503 -rect 9229 9469 9263 9503 -rect 9505 9469 9539 9503 +rect 6462 9469 6496 9503 +rect 6561 9469 6595 9503 rect 9873 9469 9907 9503 rect 1409 9401 1443 9435 rect 3157 9401 3191 9435 -rect 6021 9401 6055 9435 -rect 6844 9401 6878 9435 -rect 9689 9401 9723 9435 -rect 1317 9333 1351 9367 -rect 1225 9129 1259 9163 -rect 9045 9129 9079 9163 -rect 1685 9061 1719 9095 -rect 2697 9061 2731 9095 +rect 6837 9401 6871 9435 +rect 9505 9401 9539 9435 +rect 1225 9333 1259 9367 rect 6193 9061 6227 9095 -rect 7934 9061 7968 9095 -rect 1501 8993 1535 9027 -rect 2053 8993 2087 9027 -rect 6009 8993 6043 9027 -rect 8493 8993 8527 9027 -rect 8769 8993 8803 9027 -rect 8953 8993 8987 9027 -rect 9413 8993 9447 9027 -rect 9689 8993 9723 9027 -rect 1777 8925 1811 8959 -rect 2789 8925 2823 8959 -rect 3065 8925 3099 8959 -rect 4813 8925 4847 8959 -rect 5457 8925 5491 8959 +rect 7941 9061 7975 9095 +rect 8309 9061 8343 9095 +rect 1317 8993 1351 9027 +rect 1869 8993 1903 9027 +rect 2697 8993 2731 9027 +rect 5641 8993 5675 9027 +rect 1961 8925 1995 8959 +rect 2973 8925 3007 8959 +rect 4721 8925 4755 8959 +rect 5365 8925 5399 8959 rect 5825 8925 5859 8959 rect 8217 8925 8251 8959 -rect 9873 8925 9907 8959 -rect 4905 8789 4939 8823 -rect 5641 8789 5675 8823 -rect 8401 8789 8435 8823 -rect 9321 8789 9355 8823 -rect 1317 8585 1351 8619 -rect 6101 8585 6135 8619 -rect 8493 8517 8527 8551 -rect 2697 8449 2731 8483 -rect 5733 8449 5767 8483 -rect 6193 8449 6227 8483 -rect 6469 8449 6503 8483 -rect 8217 8449 8251 8483 -rect 9321 8449 9355 8483 -rect 1225 8381 1259 8415 -rect 3065 8381 3099 8415 +rect 9597 8857 9631 8891 +rect 2605 8789 2639 8823 +rect 4813 8789 4847 8823 +rect 6009 8789 6043 8823 +rect 2145 8585 2179 8619 +rect 9873 8585 9907 8619 +rect 8769 8517 8803 8551 +rect 1409 8449 1443 8483 +rect 5457 8449 5491 8483 +rect 6285 8449 6319 8483 +rect 9689 8449 9723 8483 +rect 1593 8381 1627 8415 +rect 3433 8381 3467 8415 rect 3617 8381 3651 8415 -rect 5917 8381 5951 8415 -rect 8309 8381 8343 8415 -rect 9505 8381 9539 8415 -rect 9689 8381 9723 8415 +rect 4077 8381 4111 8415 +rect 6193 8381 6227 8415 +rect 8401 8381 8435 8415 +rect 9321 8381 9355 8415 +rect 9965 8381 9999 8415 +rect 1225 8313 1259 8347 rect 3893 8313 3927 8347 -rect 5641 8313 5675 8347 -rect 8769 8313 8803 8347 -rect 9873 8313 9907 8347 -rect 7297 8041 7331 8075 -rect 9881 8041 9915 8075 -rect 2973 7973 3007 8007 -rect 3341 7973 3375 8007 -rect 5733 7905 5767 7939 -rect 5917 7905 5951 7939 -rect 6193 7905 6227 7939 -rect 7389 7905 7423 7939 -rect 7481 7905 7515 7939 -rect 7849 7905 7883 7939 -rect 9321 7905 9355 7939 -rect 3065 7837 3099 7871 -rect 5089 7837 5123 7871 -rect 6469 7837 6503 7871 -rect 1685 7701 1719 7735 -rect 5181 7701 5215 7735 -rect 6285 7701 6319 7735 -rect 7113 7701 7147 7735 -rect 1672 7497 1706 7531 +rect 6561 8313 6595 8347 +rect 8309 8313 8343 8347 +rect 8493 8245 8527 8279 +rect 1317 8041 1351 8075 +rect 9973 8041 10007 8075 +rect 1409 7905 1443 7939 +rect 3341 7905 3375 7939 +rect 5365 7905 5399 7939 +rect 7021 7905 7055 7939 +rect 7297 7905 7331 7939 +rect 7573 7905 7607 7939 +rect 9413 7905 9447 7939 +rect 3525 7837 3559 7871 +rect 3893 7837 3927 7871 +rect 6193 7837 6227 7871 +rect 7941 7837 7975 7871 +rect 2513 7769 2547 7803 +rect 6837 7769 6871 7803 +rect 7389 7769 7423 7803 +rect 5929 7701 5963 7735 +rect 3801 7497 3835 7531 rect 8861 7497 8895 7531 -rect 1317 7429 1351 7463 -rect 6285 7429 6319 7463 +rect 6185 7429 6219 7463 rect 1409 7361 1443 7395 +rect 3157 7361 3191 7395 rect 3985 7361 4019 7395 -rect 6837 7361 6871 7395 -rect 9689 7361 9723 7395 +rect 6009 7361 6043 7395 +rect 8217 7361 8251 7395 +rect 8585 7361 8619 7395 +rect 3433 7293 3467 7327 rect 3617 7293 3651 7327 -rect 5457 7293 5491 7327 -rect 6469 7293 6503 7327 -rect 6561 7293 6595 7327 -rect 8953 7293 8987 7327 -rect 9413 7293 9447 7327 -rect 9597 7293 9631 7327 -rect 3433 7225 3467 7259 -rect 8585 7225 8619 7259 -rect 6017 7157 6051 7191 -rect 6009 6885 6043 6919 -rect 1225 6817 1259 6851 -rect 1317 6817 1351 6851 -rect 1593 6817 1627 6851 -rect 2145 6817 2179 6851 +rect 6745 7293 6779 7327 +rect 8769 7293 8803 7327 +rect 9505 7293 9539 7327 +rect 9689 7293 9723 7327 +rect 9781 7293 9815 7327 +rect 5733 7225 5767 7259 +rect 9045 7225 9079 7259 +rect 1317 7157 1351 7191 +rect 8585 6885 8619 6919 +rect 3893 6817 3927 6851 +rect 5365 6817 5399 6851 rect 6653 6817 6687 6851 -rect 7021 6817 7055 6851 -rect 8493 6817 8527 6851 -rect 9057 6817 9091 6851 -rect 9689 6817 9723 6851 -rect 1869 6749 1903 6783 -rect 3985 6749 4019 6783 -rect 4261 6749 4295 6783 -rect 6193 6749 6227 6783 +rect 8861 6817 8895 6851 +rect 9229 6817 9263 6851 +rect 9505 6817 9539 6851 +rect 1409 6749 1443 6783 +rect 1685 6749 1719 6783 +rect 3433 6749 3467 6783 +rect 3525 6749 3559 6783 +rect 6285 6749 6319 6783 rect 6377 6749 6411 6783 -rect 9413 6749 9447 6783 +rect 6837 6749 6871 6783 rect 9873 6749 9907 6783 -rect 1961 6613 1995 6647 -rect 3617 6613 3651 6647 -rect 6561 6613 6595 6647 -rect 9321 6613 9355 6647 -rect 1317 6409 1351 6443 -rect 4261 6409 4295 6443 -rect 7941 6409 7975 6443 -rect 4445 6273 4479 6307 -rect 5365 6273 5399 6307 -rect 5733 6273 5767 6307 -rect 8585 6273 8619 6307 +rect 9137 6681 9171 6715 +rect 1317 6613 1351 6647 +rect 5929 6613 5963 6647 +rect 1225 6409 1259 6443 +rect 8493 6409 8527 6443 +rect 9321 6409 9355 6443 +rect 9505 6409 9539 6443 +rect 10057 6409 10091 6443 +rect 3709 6341 3743 6375 +rect 4905 6341 4939 6375 +rect 8769 6341 8803 6375 +rect 3893 6273 3927 6307 +rect 5273 6273 5307 6307 +rect 5641 6273 5675 6307 +rect 7849 6273 7883 6307 +rect 9137 6273 9171 6307 rect 1409 6205 1443 6239 -rect 1869 6205 1903 6239 -rect 3617 6205 3651 6239 -rect 4353 6205 4387 6239 -rect 5181 6205 5215 6239 -rect 7205 6205 7239 6239 -rect 7769 6205 7803 6239 -rect 9137 6205 9171 6239 -rect 9413 6205 9447 6239 -rect 9781 6205 9815 6239 -rect 3433 6137 3467 6171 -rect 8861 6137 8895 6171 -rect 1501 6069 1535 6103 -rect 4629 6069 4663 6103 -rect 1501 5865 1535 5899 -rect 1685 5797 1719 5831 +rect 1593 6205 1627 6239 +rect 3433 6205 3467 6239 +rect 3801 6199 3835 6233 +rect 4629 6205 4663 6239 +rect 5089 6205 5123 6239 +rect 7113 6205 7147 6239 +rect 8953 6205 8987 6239 +rect 9873 6205 9907 6239 +rect 9459 6137 9493 6171 +rect 2145 6069 2179 6103 +rect 4537 6069 4571 6103 +rect 7677 6069 7711 6103 +rect 3709 5865 3743 5899 +rect 1777 5797 1811 5831 +rect 3433 5797 3467 5831 rect 4261 5797 4295 5831 rect 6561 5797 6595 5831 -rect 9404 5797 9438 5831 -rect 9781 5797 9815 5831 -rect 1409 5729 1443 5763 -rect 3617 5729 3651 5763 -rect 6377 5729 6411 5763 +rect 9689 5797 9723 5831 +rect 1225 5729 1259 5763 +rect 3893 5729 3927 5763 rect 8585 5729 8619 5763 -rect 9045 5729 9079 5763 -rect 1317 5661 1351 5695 -rect 3433 5661 3467 5695 +rect 9229 5729 9263 5763 +rect 9505 5729 9539 5763 +rect 9965 5729 9999 5763 +rect 1409 5661 1443 5695 rect 3985 5661 4019 5695 rect 6009 5661 6043 5695 rect 6193 5661 6227 5695 +rect 6377 5661 6411 5695 rect 7941 5661 7975 5695 -rect 9229 5593 9263 5627 -rect 3801 5525 3835 5559 +rect 8953 5661 8987 5695 +rect 1593 5593 1627 5627 rect 8861 5525 8895 5559 -rect 9413 5525 9447 5559 -rect 9873 5525 9907 5559 -rect 3525 5321 3559 5355 -rect 5825 5253 5859 5287 -rect 6745 5253 6779 5287 -rect 9329 5253 9363 5287 -rect 9873 5253 9907 5287 -rect 5089 5185 5123 5219 -rect 6377 5185 6411 5219 -rect 7297 5185 7331 5219 -rect 9505 5185 9539 5219 -rect 3341 5117 3375 5151 -rect 5549 5117 5583 5151 -rect 6561 5117 6595 5151 -rect 6929 5117 6963 5151 -rect 8769 5117 8803 5151 -rect 9689 5117 9723 5151 -rect 5365 5049 5399 5083 -rect 8953 4981 8987 5015 -rect 3801 4709 3835 4743 -rect 7021 4709 7055 4743 -rect 3617 4641 3651 4675 -rect 4169 4641 4203 4675 -rect 4537 4641 4571 4675 -rect 6009 4641 6043 4675 -rect 6837 4641 6871 4675 -rect 7297 4641 7331 4675 -rect 7849 4641 7883 4675 -rect 9505 4641 9539 4675 -rect 3893 4573 3927 4607 -rect 7573 4573 7607 4607 -rect 8309 4573 8343 4607 -rect 7481 4505 7515 4539 -rect 9781 4505 9815 4539 -rect 3433 4437 3467 4471 -rect 6569 4437 6603 4471 -rect 8033 4437 8067 4471 +rect 3709 5321 3743 5355 +rect 5733 5321 5767 5355 +rect 9421 5253 9455 5287 +rect 3341 5185 3375 5219 +rect 3525 5185 3559 5219 +rect 5549 5185 5583 5219 +rect 9781 5185 9815 5219 +rect 3801 5117 3835 5151 +rect 6285 5117 6319 5151 +rect 6469 5117 6503 5151 +rect 6653 5117 6687 5151 +rect 7021 5117 7055 5151 +rect 7389 5117 7423 5151 +rect 8861 5117 8895 5151 +rect 9597 5117 9631 5151 +rect 9965 5117 9999 5151 +rect 6837 5049 6871 5083 +rect 9045 4981 9079 5015 +rect 7389 4777 7423 4811 +rect 9597 4777 9631 4811 +rect 3433 4641 3467 4675 +rect 3893 4641 3927 4675 +rect 4445 4641 4479 4675 +rect 5917 4641 5951 4675 +rect 6745 4641 6779 4675 +rect 7021 4641 7055 4675 +rect 7481 4641 7515 4675 +rect 7665 4641 7699 4675 +rect 8309 4641 8343 4675 +rect 4077 4573 4111 4607 +rect 7941 4573 7975 4607 +rect 8033 4573 8067 4607 +rect 6929 4505 6963 4539 +rect 3801 4437 3835 4471 +rect 6477 4437 6511 4471 rect 5089 4097 5123 4131 -rect 5825 4097 5859 4131 -rect 7941 4097 7975 4131 +rect 9413 4097 9447 4131 rect 3341 4029 3375 4063 rect 5181 4029 5215 4063 rect 5365 4029 5399 4063 +rect 5549 4029 5583 4063 rect 5733 4029 5767 4063 -rect 6101 4029 6135 4063 -rect 8217 4029 8251 4063 -rect 9965 4029 9999 4063 -rect 5549 3961 5583 3995 -rect 3433 3689 3467 3723 -rect 9413 3621 9447 3655 -rect 5365 3553 5399 3587 -rect 6561 3553 6595 3587 -rect 8585 3553 8619 3587 -rect 8861 3553 8895 3587 -rect 9137 3553 9171 3587 -rect 9321 3553 9355 3587 -rect 9689 3553 9723 3587 -rect 3525 3485 3559 3519 +rect 6285 4029 6319 4063 +rect 8493 4029 8527 4063 +rect 8033 3961 8067 3995 +rect 5917 3893 5951 3927 +rect 8953 3689 8987 3723 +rect 9597 3689 9631 3723 +rect 9137 3621 9171 3655 +rect 3525 3553 3559 3587 +rect 3985 3553 4019 3587 +rect 6101 3553 6135 3587 +rect 6377 3553 6411 3587 +rect 8769 3553 8803 3587 +rect 9781 3553 9815 3587 rect 3893 3485 3927 3519 -rect 8769 3485 8803 3519 -rect 9781 3485 9815 3519 +rect 5089 3485 5123 3519 +rect 8585 3485 8619 3519 rect 8125 3417 8159 3451 -rect 5929 3349 5963 3383 +rect 9413 3417 9447 3451 rect 8401 3349 8435 3383 +rect 9965 3349 9999 3383 rect 5273 3145 5307 3179 -rect 5825 3145 5859 3179 -rect 6837 3145 6871 3179 -rect 9965 3145 9999 3179 -rect 5457 3077 5491 3111 -rect 5089 3009 5123 3043 +rect 6009 3145 6043 3179 +rect 4629 3077 4663 3111 +rect 5549 3077 5583 3111 +rect 5733 3009 5767 3043 +rect 6837 3009 6871 3043 +rect 3341 2941 3375 2975 rect 5365 2941 5399 2975 -rect 5733 2941 5767 2975 -rect 7941 2941 7975 2975 +rect 8033 2941 8067 2975 rect 8125 2941 8159 2975 -rect 3341 2873 3375 2907 +rect 10057 2873 10091 2907 +rect 3801 2601 3835 2635 +rect 4169 2601 4203 2635 rect 5181 2601 5215 2635 -rect 7205 2601 7239 2635 -rect 9505 2601 9539 2635 +rect 5365 2601 5399 2635 +rect 6009 2601 6043 2635 +rect 8953 2601 8987 2635 rect 9873 2601 9907 2635 -rect 3341 2533 3375 2567 -rect 5089 2533 5123 2567 -rect 8677 2533 8711 2567 -rect 9045 2533 9079 2567 -rect 5825 2465 5859 2499 +rect 9505 2533 9539 2567 +rect 3617 2465 3651 2499 +rect 3985 2465 4019 2499 +rect 4077 2465 4111 2499 +rect 4445 2465 4479 2499 +rect 4537 2465 4571 2499 +rect 4813 2465 4847 2499 +rect 4997 2465 5031 2499 rect 8125 2465 8159 2499 -rect 8493 2465 8527 2499 -rect 9689 2465 9723 2499 -rect 8769 2397 8803 2431 -rect 5549 2329 5583 2363 -rect 5733 2329 5767 2363 -rect 9413 2329 9447 2363 -rect 6009 2261 6043 2295 -rect 4077 2057 4111 2091 -rect 5917 2057 5951 2091 -rect 3801 1989 3835 2023 -rect 4261 1921 4295 1955 -rect 9321 1921 9355 1955 -rect 3433 1853 3467 1887 -rect 3801 1853 3835 1887 -rect 3985 1853 4019 1887 -rect 6377 1853 6411 1887 +rect 8585 2465 8619 2499 +rect 8769 2465 8803 2499 +rect 9321 2465 9355 2499 +rect 10057 2465 10091 2499 +rect 4721 2397 4755 2431 +rect 7481 2397 7515 2431 +rect 9137 2397 9171 2431 +rect 8401 2329 8435 2363 +rect 3433 2261 3467 2295 +rect 5641 2261 5675 2295 +rect 5917 2261 5951 2295 +rect 9689 2261 9723 2295 +rect 3341 2057 3375 2091 +rect 3525 2057 3559 2091 +rect 3985 2057 4019 2091 +rect 4169 2057 4203 2091 +rect 4445 2057 4479 2091 +rect 6009 2057 6043 2091 +rect 3709 1989 3743 2023 +rect 6837 1921 6871 1955 +rect 4629 1853 4663 1887 +rect 8033 1853 8067 1887 +rect 8125 1853 8159 1887 rect 9873 1853 9907 1887 -rect 4445 1785 4479 1819 -rect 4629 1785 4663 1819 -rect 5549 1785 5583 1819 -rect 7941 1785 7975 1819 +rect 5825 1717 5859 1751 +rect 3341 1513 3375 1547 rect 3617 1513 3651 1547 -rect 3801 1513 3835 1547 -rect 7205 1513 7239 1547 -rect 8953 1513 8987 1547 -rect 8677 1445 8711 1479 -rect 6101 1377 6135 1411 -rect 7941 1377 7975 1411 -rect 8769 1377 8803 1411 -rect 9413 1377 9447 1411 -rect 3341 1309 3375 1343 +rect 8125 1513 8159 1547 +rect 9045 1513 9079 1547 +rect 9689 1513 9723 1547 +rect 6009 1377 6043 1411 +rect 6193 1377 6227 1411 +rect 8861 1377 8895 1411 +rect 9505 1377 9539 1411 +rect 9873 1377 9907 1411 +rect 9965 1377 9999 1411 +rect 5457 1309 5491 1343 rect 8493 1309 8527 1343 -rect 9505 1309 9539 1343 -rect 9689 1309 9723 1343 -rect 9873 1309 9907 1343 -rect 8401 1241 8435 1275 -rect 9229 1241 9263 1275 -rect 4997 1173 5031 1207 +rect 8309 1173 8343 1207 +rect 8677 1173 8711 1207 +rect 9321 1173 9355 1207 rect 1317 969 1351 1003 -rect 1961 969 1995 1003 +rect 1869 969 1903 1003 +rect 2973 969 3007 1003 +rect 3157 969 3191 1003 rect 3341 969 3375 1003 -rect 6561 969 6595 1003 +rect 3709 969 3743 1003 +rect 3893 969 3927 1003 +rect 8769 969 8803 1003 rect 9045 969 9079 1003 -rect 9137 969 9171 1003 -rect 9505 969 9539 1003 -rect 9781 969 9815 1003 -rect 2605 901 2639 935 -rect 2973 833 3007 867 -rect 1593 765 1627 799 +rect 9689 969 9723 1003 +rect 9873 969 9907 1003 +rect 1593 901 1627 935 +rect 2145 833 2179 867 +rect 1225 765 1259 799 +rect 1501 765 1535 799 +rect 1777 765 1811 799 rect 2053 765 2087 799 -rect 2237 765 2271 799 -rect 2697 765 2731 799 +rect 2421 697 2455 731 +rect 2513 629 2547 663 rect 2789 629 2823 663 -rect 3157 629 3191 663 -rect 6377 901 6411 935 -rect 8585 901 8619 935 -rect 3617 833 3651 867 -rect 5365 833 5399 867 +rect 7665 901 7699 935 +rect 9137 833 9171 867 +rect 9505 833 9539 867 rect 6009 765 6043 799 -rect 6653 765 6687 799 -rect 9413 765 9447 799 -rect 9965 765 9999 799 -rect 8769 697 8803 731 -rect 3985 629 4019 663 +rect 8585 765 8619 799 +rect 10057 765 10091 799 +rect 6285 697 6319 731 +rect 6561 697 6595 731 +rect 5089 629 5123 663 +rect 9321 629 9355 663 << obsli1 >> rect 0 12986 853 13014 rect 0 12969 9963 12986 -rect 0 11883 33962 12969 -rect 0 11849 1225 11883 -rect 1259 11849 33962 11883 -rect 0 11815 33962 11849 -rect 0 11781 7665 11815 -rect 7699 11781 33962 11815 +rect 0 11815 33962 12969 +rect 0 11781 8769 11815 +rect 8803 11781 9781 11815 +rect 9815 11781 33962 11815 rect 0 11747 33962 11781 -rect 0 11713 3801 11747 -rect 3835 11713 6193 11747 -rect 6227 11713 9321 11747 -rect 9355 11713 9505 11747 -rect 9539 11713 33962 11747 +rect 0 11713 1317 11747 +rect 1351 11713 8217 11747 +rect 8251 11713 33962 11747 rect 0 11679 33962 11713 -rect 0 11645 1409 11679 -rect 1443 11645 1593 11679 -rect 1627 11645 3617 11679 -rect 3651 11645 4261 11679 -rect 4295 11645 6009 11679 -rect 6043 11645 6377 11679 -rect 6411 11645 8585 11679 -rect 8619 11645 9689 11679 -rect 9723 11645 33962 11679 +rect 0 11645 1225 11679 +rect 1259 11645 3433 11679 +rect 3467 11645 3617 11679 +rect 3651 11645 3801 11679 +rect 3835 11645 3985 11679 +rect 4019 11645 4261 11679 +rect 4295 11645 6193 11679 +rect 6227 11645 6377 11679 +rect 6411 11645 6653 11679 +rect 6687 11645 9321 11679 +rect 9355 11645 9597 11679 +rect 9631 11645 10057 11679 +rect 10091 11645 33962 11679 rect 0 11611 33962 11645 -rect 0 11577 3433 11611 -rect 3467 11577 3985 11611 -rect 4019 11577 6561 11611 -rect 6595 11577 9873 11611 -rect 9907 11577 33962 11611 +rect 0 11577 6561 11611 +rect 6595 11577 33962 11611 rect 0 11543 33962 11577 -rect 0 11509 2145 11543 -rect 2179 11509 8769 11543 -rect 8803 11509 33962 11543 +rect 0 11509 2513 11543 +rect 2547 11509 5181 11543 +rect 5215 11509 33962 11543 rect 0 11481 33962 11509 rect 0 6005 853 11481 rect 9800 11067 33962 11481 -rect 9800 11033 9881 11067 -rect 9915 11033 33962 11067 -rect 9800 10455 33962 11033 -rect 9800 10421 9873 10455 -rect 9907 10421 33962 10455 -rect 9800 10183 33962 10421 -rect 9800 10149 9873 10183 -rect 9907 10149 33962 10183 -rect 9800 9503 33962 10149 +rect 9800 11033 9973 11067 +rect 10007 11033 33962 11067 +rect 9800 10591 33962 11033 +rect 9800 10557 9965 10591 +rect 9999 10557 33962 10591 +rect 9800 10523 33962 10557 +rect 9815 10489 33962 10523 +rect 9800 10047 33962 10489 +rect 9815 10013 9965 10047 +rect 9999 10013 33962 10047 +rect 9800 9571 33962 10013 +rect 9800 9537 9965 9571 +rect 9999 9537 33962 9571 +rect 9800 9503 33962 9537 rect 9800 9469 9873 9503 rect 9907 9469 33962 9503 -rect 9800 8959 33962 9469 -rect 9800 8925 9873 8959 -rect 9907 8925 33962 8959 -rect 9800 8347 33962 8925 -rect 9800 8313 9873 8347 -rect 9907 8313 33962 8347 -rect 9800 8075 33962 8313 -rect 9800 8041 9881 8075 -rect 9915 8041 33962 8075 -rect 9800 6783 33962 8041 +rect 9800 8619 33962 9469 +rect 9800 8585 9873 8619 +rect 9907 8585 33962 8619 +rect 9800 8415 33962 8585 +rect 9800 8381 9965 8415 +rect 9999 8381 33962 8415 +rect 9800 8075 33962 8381 +rect 9800 8041 9973 8075 +rect 10007 8041 33962 8075 +rect 9800 7327 33962 8041 +rect 9815 7293 33962 7327 +rect 9800 6783 33962 7293 rect 9800 6749 9873 6783 rect 9907 6749 33962 6783 -rect 9800 6239 33962 6749 -rect 9815 6205 33962 6239 -rect 0 5899 3359 6005 -rect 0 5865 1501 5899 -rect 1535 5865 3359 5899 -rect 0 5831 3359 5865 -rect 9800 5831 33962 6205 -rect 0 5797 1685 5831 -rect 1719 5797 3359 5831 -rect 9815 5797 33962 5831 +rect 9800 6443 33962 6749 +rect 9800 6409 10057 6443 +rect 10091 6409 33962 6443 +rect 9800 6239 33962 6409 +rect 9800 6205 9873 6239 +rect 9907 6205 33962 6239 +rect 0 5831 3359 6005 +rect 0 5797 1777 5831 +rect 1811 5797 3359 5831 rect 0 5763 3359 5797 -rect 0 5729 1409 5763 -rect 1443 5729 3359 5763 +rect 9800 5763 33962 6205 +rect 0 5729 1225 5763 +rect 1259 5729 3359 5763 +rect 9800 5729 9965 5763 +rect 9999 5729 33962 5763 rect 0 5695 3359 5729 -rect 0 5661 1317 5695 -rect 1351 5661 3359 5695 -rect 0 5151 3359 5661 -rect 9800 5559 33962 5797 -rect 9800 5525 9873 5559 -rect 9907 5525 33962 5559 -rect 9800 5287 33962 5525 -rect 9800 5253 9873 5287 -rect 9907 5253 33962 5287 -rect 0 5117 3341 5151 -rect 0 4063 3359 5117 -rect 9800 4539 33962 5253 -rect 9815 4505 33962 4539 -rect 9800 4063 33962 4505 +rect 0 5661 1409 5695 +rect 1443 5661 3359 5695 +rect 0 5627 3359 5661 +rect 0 5593 1593 5627 +rect 1627 5593 3359 5627 +rect 0 5219 3359 5593 +rect 9800 5219 33962 5729 +rect 0 5185 3341 5219 +rect 9815 5185 33962 5219 +rect 0 4063 3359 5185 +rect 9800 5151 33962 5185 +rect 9800 5117 9965 5151 +rect 9999 5117 33962 5151 rect 0 4029 3341 4063 -rect 9800 4029 9965 4063 -rect 9999 4029 33962 4063 -rect 0 2907 3359 4029 -rect 9800 3519 33962 4029 -rect 9815 3485 33962 3519 -rect 9800 3179 33962 3485 -rect 9800 3145 9965 3179 -rect 9999 3145 33962 3179 -rect 0 2873 3341 2907 -rect 0 2567 3359 2873 -rect 9800 2635 33962 3145 +rect 0 2975 3359 4029 +rect 9800 3587 33962 5117 +rect 9815 3553 33962 3587 +rect 9800 3383 33962 3553 +rect 9800 3349 9965 3383 +rect 9999 3349 33962 3383 +rect 0 2941 3341 2975 +rect 0 2091 3359 2941 +rect 9800 2907 33962 3349 +rect 9800 2873 10057 2907 +rect 10091 2873 33962 2907 +rect 9800 2635 33962 2873 rect 9800 2601 9873 2635 rect 9907 2601 33962 2635 -rect 0 2533 3341 2567 -rect 0 1343 3359 2533 -rect 9800 1887 33962 2601 +rect 9800 2499 33962 2601 +rect 9800 2465 10057 2499 +rect 10091 2465 33962 2499 +rect 0 2057 3341 2091 +rect 0 1547 3359 2057 +rect 9800 1887 33962 2465 rect 9800 1853 9873 1887 rect 9907 1853 33962 1887 -rect 9800 1343 33962 1853 -rect 0 1309 3341 1343 -rect 9800 1309 9873 1343 -rect 9907 1309 33962 1343 -rect 0 1003 3359 1309 -rect 9800 1048 33962 1309 +rect 0 1513 3341 1547 +rect 0 1003 3359 1513 +rect 9800 1411 33962 1853 +rect 9800 1377 9873 1411 +rect 9907 1377 9965 1411 +rect 9999 1377 33962 1411 +rect 9800 1048 33962 1377 rect 3366 1003 33962 1048 rect 0 969 1317 1003 -rect 1351 969 1961 1003 -rect 1995 969 3341 1003 -rect 3375 969 6561 1003 -rect 6595 969 9045 1003 -rect 9079 969 9137 1003 -rect 9171 969 9505 1003 -rect 9539 969 9781 1003 -rect 9815 969 33962 1003 +rect 1351 969 1869 1003 +rect 1903 969 2973 1003 +rect 3007 969 3157 1003 +rect 3191 969 3341 1003 +rect 3375 969 3709 1003 +rect 3743 969 3893 1003 +rect 3927 969 8769 1003 +rect 8803 969 9045 1003 +rect 9079 969 9689 1003 +rect 9723 969 9873 1003 +rect 9907 969 33962 1003 rect 0 935 3359 969 -rect 0 901 2605 935 -rect 2639 901 3359 935 +rect 0 901 1593 935 +rect 1627 901 3359 935 rect 0 867 3359 901 -rect 0 833 2973 867 -rect 3007 833 3359 867 +rect 0 833 2145 867 +rect 2179 833 3359 867 rect 0 799 3359 833 -rect 0 765 1593 799 -rect 1627 765 2053 799 -rect 2087 765 2237 799 -rect 2271 765 2697 799 -rect 2731 765 3359 799 -rect 0 663 3359 765 -rect 0 629 2789 663 -rect 2823 629 3157 663 -rect 3191 629 3359 663 +rect 0 765 1225 799 +rect 1259 765 1501 799 +rect 1535 765 1777 799 +rect 1811 765 2053 799 +rect 2087 765 3359 799 +rect 0 731 3359 765 +rect 0 697 2421 731 +rect 2455 697 3359 731 +rect 0 663 3359 697 +rect 0 629 2513 663 +rect 2547 629 2789 663 +rect 2823 629 3359 663 rect 0 0 3359 629 rect 3366 935 33962 969 -rect 3366 901 6377 935 -rect 6411 901 8585 935 -rect 8619 901 33962 935 +rect 3366 901 7665 935 +rect 7699 901 33962 935 rect 3366 867 33962 901 -rect 3366 833 3617 867 -rect 3651 833 5365 867 -rect 5399 833 33962 867 +rect 3366 833 9137 867 +rect 9171 833 9505 867 +rect 9539 833 33962 867 rect 3366 799 33962 833 rect 3366 765 6009 799 -rect 6043 765 6653 799 -rect 6687 765 9413 799 -rect 9447 765 9965 799 -rect 9999 765 33962 799 +rect 6043 765 8585 799 +rect 8619 765 10057 799 +rect 10091 765 33962 799 rect 3366 731 33962 765 -rect 3366 697 8769 731 -rect 8803 697 33962 731 +rect 3366 697 6285 731 +rect 6319 697 6561 731 +rect 6595 697 33962 731 rect 3366 663 33962 697 -rect 3366 629 3985 663 -rect 4019 629 33962 663 +rect 3366 629 5089 663 +rect 5123 629 9321 663 +rect 9355 629 33962 663 rect 3366 0 33962 629 << metal1 >> -rect 4338 12112 4344 12164 -rect 4396 12152 4402 12164 -rect 4798 12152 4804 12164 -rect 4396 12124 4804 12152 -rect 4396 12112 4402 12124 -rect 4798 12112 4804 12124 -rect 4856 12112 4862 12164 -rect 4706 12084 4712 12096 -rect 860 12056 4712 12084 -rect 860 11880 888 12056 -rect 4706 12044 4712 12056 -rect 4764 12044 4770 12096 -rect 5994 12044 6000 12096 -rect 6052 12084 6058 12096 -rect 9490 12084 9496 12096 -rect 6052 12056 9496 12084 -rect 6052 12044 6058 12056 -rect 9490 12044 9496 12056 -rect 9548 12044 9554 12096 -rect 920 11994 10304 12016 +rect 1210 12180 1216 12232 +rect 1268 12220 1274 12232 +rect 6086 12220 6092 12232 +rect 1268 12192 6092 12220 +rect 1268 12180 1274 12192 +rect 6086 12180 6092 12192 +rect 6144 12180 6150 12232 +rect 2682 12044 2688 12096 +rect 2740 12084 2746 12096 +rect 3602 12084 3608 12096 +rect 2740 12056 3608 12084 +rect 2740 12044 2746 12056 +rect 3602 12044 3608 12056 +rect 3660 12044 3666 12096 +rect 920 11994 10396 12016 rect 920 11942 2566 11994 rect 2618 11942 2630 11994 rect 2682 11942 2694 11994 @@ -581,358 +598,359 @@ rect 7618 11942 7630 11994 rect 7682 11942 7694 11994 rect 7746 11942 7758 11994 rect 7810 11942 7822 11994 -rect 7874 11942 10304 11994 -rect 920 11920 10304 11942 -rect 1213 11883 1271 11889 -rect 1213 11880 1225 11883 -rect 860 11852 1225 11880 -rect 1213 11849 1225 11852 -rect 1259 11849 1271 11883 -rect 1213 11843 1271 11849 -rect 1762 11840 1768 11892 -rect 1820 11880 1826 11892 -rect 2314 11880 2320 11892 -rect 1820 11852 2320 11880 -rect 1820 11840 1826 11852 -rect 2314 11840 2320 11852 -rect 2372 11880 2378 11892 -rect 2372 11852 6224 11880 -rect 2372 11840 2378 11852 -rect 1394 11772 1400 11824 -rect 1452 11812 1458 11824 -rect 3694 11812 3700 11824 -rect 1452 11784 3700 11812 -rect 1452 11772 1458 11784 -rect 3694 11772 3700 11784 -rect 3752 11772 3758 11824 -rect 6086 11812 6092 11824 -rect 3804 11784 6092 11812 -rect 3804 11753 3832 11784 -rect 6086 11772 6092 11784 -rect 6144 11772 6150 11824 -rect 3789 11747 3847 11753 -rect 3789 11744 3801 11747 -rect 1412 11716 3801 11744 -rect 1118 11636 1124 11688 -rect 1176 11676 1182 11688 -rect 1412 11685 1440 11716 -rect 3789 11713 3801 11716 -rect 3835 11713 3847 11747 -rect 3789 11707 3847 11713 -rect 3878 11704 3884 11756 -rect 3936 11744 3942 11756 -rect 6196 11753 6224 11852 -rect 7653 11815 7711 11821 -rect 7653 11781 7665 11815 -rect 7699 11812 7711 11815 -rect 11146 11812 11152 11824 -rect 7699 11784 11152 11812 -rect 7699 11781 7711 11784 -rect 7653 11775 7711 11781 -rect 11146 11772 11152 11784 -rect 11204 11772 11210 11824 -rect 6181 11747 6239 11753 -rect 3936 11716 5028 11744 -rect 3936 11704 3942 11716 -rect 1397 11679 1455 11685 -rect 1397 11676 1409 11679 -rect 1176 11648 1409 11676 -rect 1176 11636 1182 11648 -rect 1397 11645 1409 11648 -rect 1443 11645 1455 11679 -rect 1397 11639 1455 11645 -rect 1581 11679 1639 11685 -rect 1581 11645 1593 11679 -rect 1627 11676 1639 11679 -rect 2130 11676 2136 11688 -rect 1627 11648 2136 11676 -rect 1627 11645 1639 11648 -rect 1581 11639 1639 11645 -rect 2130 11636 2136 11648 -rect 2188 11636 2194 11688 -rect 3605 11679 3663 11685 -rect 3605 11645 3617 11679 -rect 3651 11676 3663 11679 -rect 3694 11676 3700 11688 -rect 3651 11648 3700 11676 -rect 3651 11645 3663 11648 -rect 3605 11639 3663 11645 -rect 3694 11636 3700 11648 -rect 3752 11636 3758 11688 -rect 4246 11676 4252 11688 -rect 4207 11648 4252 11676 -rect 4246 11636 4252 11648 -rect 4304 11636 4310 11688 -rect 3421 11611 3479 11617 -rect 3421 11577 3433 11611 -rect 3467 11577 3479 11611 -rect 3421 11571 3479 11577 -rect 3973 11611 4031 11617 -rect 3973 11577 3985 11611 -rect 4019 11608 4031 11611 -rect 4798 11608 4804 11620 -rect 4019 11580 4804 11608 -rect 4019 11577 4031 11580 -rect 3973 11571 4031 11577 -rect 2133 11543 2191 11549 -rect 2133 11509 2145 11543 -rect 2179 11540 2191 11543 -rect 2222 11540 2228 11552 -rect 2179 11512 2228 11540 -rect 2179 11509 2191 11512 -rect 2133 11503 2191 11509 -rect 2222 11500 2228 11512 -rect 2280 11500 2286 11552 -rect 3436 11540 3464 11571 -rect 4798 11568 4804 11580 -rect 4856 11568 4862 11620 -rect 4890 11540 4896 11552 -rect 3436 11512 4896 11540 -rect 4890 11500 4896 11512 -rect 4948 11500 4954 11552 -rect 5000 11540 5028 11716 -rect 6181 11713 6193 11747 -rect 6227 11713 6239 11747 -rect 6181 11707 6239 11713 -rect 6288 11716 6868 11744 -rect 5997 11679 6055 11685 -rect 5997 11645 6009 11679 -rect 6043 11676 6055 11679 -rect 6288 11676 6316 11716 -rect 6043 11648 6316 11676 -rect 6043 11645 6055 11648 -rect 5997 11639 6055 11645 -rect 6362 11636 6368 11688 -rect 6420 11676 6426 11688 -rect 6420 11648 6465 11676 -rect 6420 11636 6426 11648 -rect 5442 11568 5448 11620 -rect 5500 11608 5506 11620 +rect 7874 11942 10396 11994 +rect 920 11920 10396 11942 +rect 6362 11880 6368 11892 +rect 2746 11852 6368 11880 +rect 2746 11824 2774 11852 +rect 6362 11840 6368 11852 +rect 6420 11840 6426 11892 +rect 6454 11840 6460 11892 +rect 6512 11880 6518 11892 +rect 7282 11880 7288 11892 +rect 6512 11852 7288 11880 +rect 6512 11840 6518 11852 +rect 7282 11840 7288 11852 +rect 7340 11880 7346 11892 +rect 7340 11852 9628 11880 +rect 7340 11840 7346 11852 +rect 2682 11772 2688 11824 +rect 2740 11784 2774 11824 +rect 2740 11772 2746 11784 +rect 3694 11772 3700 11824 +rect 3752 11812 3758 11824 +rect 8757 11815 8815 11821 +rect 8757 11812 8769 11815 +rect 3752 11784 8769 11812 +rect 3752 11772 3758 11784 +rect 8757 11781 8769 11784 +rect 8803 11781 8815 11815 +rect 8757 11775 8815 11781 +rect 1305 11747 1363 11753 +rect 1305 11713 1317 11747 +rect 1351 11744 1363 11747 +rect 7006 11744 7012 11756 +rect 1351 11716 7012 11744 +rect 1351 11713 1363 11716 +rect 1305 11707 1363 11713 +rect 7006 11704 7012 11716 +rect 7064 11704 7070 11756 +rect 8202 11744 8208 11756 +rect 8163 11716 8208 11744 +rect 8202 11704 8208 11716 +rect 8260 11704 8266 11756 +rect 1213 11679 1271 11685 +rect 1213 11645 1225 11679 +rect 1259 11645 1271 11679 +rect 1213 11639 1271 11645 +rect 3421 11679 3479 11685 +rect 3421 11645 3433 11679 +rect 3467 11645 3479 11679 +rect 3602 11676 3608 11688 +rect 3563 11648 3608 11676 +rect 3421 11639 3479 11645 +rect 1228 11608 1256 11639 +rect 3436 11608 3464 11639 +rect 3602 11636 3608 11648 +rect 3660 11636 3666 11688 +rect 3786 11676 3792 11688 +rect 3747 11648 3792 11676 +rect 3786 11636 3792 11648 +rect 3844 11636 3850 11688 +rect 3973 11679 4031 11685 +rect 3973 11645 3985 11679 +rect 4019 11676 4031 11679 +rect 4062 11676 4068 11688 +rect 4019 11648 4068 11676 +rect 4019 11645 4031 11648 +rect 3973 11639 4031 11645 +rect 4062 11636 4068 11648 +rect 4120 11636 4126 11688 +rect 4246 11636 4252 11688 +rect 4304 11676 4310 11688 +rect 4304 11648 4349 11676 +rect 4304 11636 4310 11648 +rect 6086 11636 6092 11688 +rect 6144 11676 6150 11688 +rect 6181 11679 6239 11685 +rect 6181 11676 6193 11679 +rect 6144 11648 6193 11676 +rect 6144 11636 6150 11648 +rect 6181 11645 6193 11648 +rect 6227 11645 6239 11679 +rect 6181 11639 6239 11645 +rect 6365 11679 6423 11685 +rect 6365 11645 6377 11679 +rect 6411 11676 6423 11679 +rect 6454 11676 6460 11688 +rect 6411 11648 6460 11676 +rect 6411 11645 6423 11648 +rect 6365 11639 6423 11645 +rect 6454 11636 6460 11648 +rect 6512 11636 6518 11688 +rect 6638 11676 6644 11688 +rect 6599 11648 6644 11676 +rect 6638 11636 6644 11648 +rect 6696 11636 6702 11688 +rect 6914 11636 6920 11688 +rect 6972 11676 6978 11688 +rect 9600 11685 9628 11852 +rect 13814 11840 13820 11892 +rect 13872 11880 13878 11892 +rect 17954 11880 17960 11892 +rect 13872 11852 17960 11880 +rect 13872 11840 13878 11852 +rect 17954 11840 17960 11852 +rect 18012 11840 18018 11892 +rect 9769 11815 9827 11821 +rect 9769 11781 9781 11815 +rect 9815 11781 9827 11815 +rect 9769 11775 9827 11781 +rect 9309 11679 9367 11685 +rect 9309 11676 9321 11679 +rect 6972 11648 9321 11676 +rect 6972 11636 6978 11648 +rect 9309 11645 9321 11648 +rect 9355 11645 9367 11679 +rect 9309 11639 9367 11645 +rect 9585 11679 9643 11685 +rect 9585 11645 9597 11679 +rect 9631 11645 9643 11679 +rect 9585 11639 9643 11645 rect 6549 11611 6607 11617 -rect 6549 11608 6561 11611 -rect 5500 11580 6561 11608 -rect 5500 11568 5506 11580 -rect 6549 11577 6561 11580 -rect 6595 11577 6607 11611 -rect 6840 11608 6868 11716 -rect 6914 11704 6920 11756 -rect 6972 11744 6978 11756 -rect 9309 11747 9367 11753 -rect 9309 11744 9321 11747 -rect 6972 11716 9321 11744 -rect 6972 11704 6978 11716 -rect 9309 11713 9321 11716 -rect 9355 11713 9367 11747 -rect 9490 11744 9496 11756 -rect 9451 11716 9496 11744 -rect 9309 11707 9367 11713 -rect 9490 11704 9496 11716 -rect 9548 11704 9554 11756 -rect 8573 11679 8631 11685 -rect 8573 11645 8585 11679 -rect 8619 11676 8631 11679 -rect 8938 11676 8944 11688 -rect 8619 11648 8944 11676 -rect 8619 11645 8631 11648 -rect 8573 11639 8631 11645 -rect 8938 11636 8944 11648 -rect 8996 11636 9002 11688 -rect 9677 11679 9735 11685 -rect 9677 11645 9689 11679 -rect 9723 11676 9735 11679 -rect 10410 11676 10416 11688 -rect 9723 11648 10416 11676 -rect 9723 11645 9735 11648 -rect 9677 11639 9735 11645 -rect 10410 11636 10416 11648 -rect 10468 11636 10474 11688 -rect 9214 11608 9220 11620 -rect 6840 11580 9220 11608 +rect 1228 11580 2774 11608 +rect 3436 11580 6500 11608 +rect 2498 11540 2504 11552 +rect 2459 11512 2504 11540 +rect 2498 11500 2504 11512 +rect 2556 11500 2562 11552 +rect 2746 11540 2774 11580 +rect 4154 11540 4160 11552 +rect 2746 11512 4160 11540 +rect 4154 11500 4160 11512 +rect 4212 11500 4218 11552 +rect 5169 11543 5227 11549 +rect 5169 11509 5181 11543 +rect 5215 11540 5227 11543 +rect 5442 11540 5448 11552 +rect 5215 11512 5448 11540 +rect 5215 11509 5227 11512 +rect 5169 11503 5227 11509 +rect 5442 11500 5448 11512 +rect 5500 11500 5506 11552 +rect 6472 11540 6500 11580 +rect 6549 11577 6561 11611 +rect 6595 11608 6607 11611 +rect 7466 11608 7472 11620 +rect 6595 11580 7472 11608 +rect 6595 11577 6607 11580 rect 6549 11571 6607 11577 -rect 9214 11568 9220 11580 -rect 9272 11568 9278 11620 -rect 9766 11568 9772 11620 -rect 9824 11608 9830 11620 -rect 9861 11611 9919 11617 -rect 9861 11608 9873 11611 -rect 9824 11580 9873 11608 -rect 9824 11568 9830 11580 -rect 9861 11577 9873 11580 -rect 9907 11577 9919 11611 -rect 9861 11571 9919 11577 -rect 8757 11543 8815 11549 -rect 8757 11540 8769 11543 -rect 5000 11512 8769 11540 -rect 8757 11509 8769 11512 -rect 8803 11509 8815 11543 -rect 8757 11503 8815 11509 -rect 920 11450 10304 11472 +rect 7466 11568 7472 11580 +rect 7524 11568 7530 11620 +rect 8478 11568 8484 11620 +rect 8536 11608 8542 11620 +rect 9784 11608 9812 11775 +rect 10045 11679 10103 11685 +rect 10045 11645 10057 11679 +rect 10091 11645 10103 11679 +rect 10045 11639 10103 11645 +rect 8536 11580 9812 11608 +rect 8536 11568 8542 11580 +rect 6822 11540 6828 11552 +rect 6472 11512 6828 11540 +rect 6822 11500 6828 11512 +rect 6880 11500 6886 11552 +rect 8846 11500 8852 11552 +rect 8904 11540 8910 11552 +rect 10060 11540 10088 11639 +rect 8904 11512 10088 11540 +rect 8904 11500 8910 11512 +rect 920 11450 10396 11472 rect 920 11398 5066 11450 rect 5118 11398 5130 11450 rect 5182 11398 5194 11450 rect 5246 11398 5258 11450 rect 5310 11398 5322 11450 -rect 5374 11398 10304 11450 -rect 920 11376 10304 11398 -rect 1394 11296 1400 11348 -rect 1452 11336 1458 11348 -rect 1452 11308 3464 11336 -rect 1452 11296 1458 11308 -rect 3142 11268 3148 11280 -rect 2622 11240 3148 11268 -rect 3142 11228 3148 11240 -rect 3200 11228 3206 11280 -rect 1210 11200 1216 11212 -rect 1171 11172 1216 11200 -rect 1210 11160 1216 11172 -rect 1268 11160 1274 11212 -rect 3436 11209 3464 11308 -rect 4982 11296 4988 11348 -rect 5040 11336 5046 11348 -rect 8294 11336 8300 11348 -rect 5040 11308 8300 11336 -rect 5040 11296 5046 11308 -rect 8294 11296 8300 11308 -rect 8352 11296 8358 11348 -rect 4522 11228 4528 11280 -rect 4580 11228 4586 11280 -rect 8754 11228 8760 11280 -rect 8812 11228 8818 11280 -rect 3421 11203 3479 11209 -rect 3421 11169 3433 11203 -rect 3467 11169 3479 11203 -rect 3421 11163 3479 11169 -rect 3768 11203 3826 11209 -rect 3768 11169 3780 11203 -rect 3814 11200 3826 11203 -rect 3878 11200 3884 11212 -rect 3814 11172 3884 11200 -rect 3814 11169 3826 11172 -rect 3768 11163 3826 11169 -rect 3878 11160 3884 11172 -rect 3936 11160 3942 11212 -rect 4706 11160 4712 11212 -rect 4764 11200 4770 11212 -rect 5261 11203 5319 11209 -rect 5261 11200 5273 11203 -rect 4764 11172 5273 11200 -rect 4764 11160 4770 11172 -rect 5261 11169 5273 11172 -rect 5307 11169 5319 11203 -rect 5261 11163 5319 11169 -rect 5810 11160 5816 11212 -rect 5868 11200 5874 11212 -rect 7469 11203 7527 11209 -rect 7469 11200 7481 11203 -rect 5868 11172 7481 11200 -rect 5868 11160 5874 11172 -rect 7469 11169 7481 11172 -rect 7515 11169 7527 11203 -rect 7926 11200 7932 11212 -rect 7469 11163 7527 11169 -rect 7760 11172 7932 11200 -rect 3329 11135 3387 11141 -rect 3329 11101 3341 11135 -rect 3375 11132 3387 11135 -rect 4062 11132 4068 11144 -rect 3375 11104 4068 11132 -rect 3375 11101 3387 11104 -rect 3329 11095 3387 11101 -rect 4062 11092 4068 11104 -rect 4120 11092 4126 11144 -rect 5902 11092 5908 11144 -rect 5960 11132 5966 11144 -rect 6181 11135 6239 11141 -rect 6181 11132 6193 11135 -rect 5960 11104 6193 11132 -rect 5960 11092 5966 11104 -rect 6181 11101 6193 11104 -rect 6227 11101 6239 11135 +rect 5374 11398 10396 11450 +rect 920 11376 10396 11398 +rect 1673 11339 1731 11345 +rect 1673 11305 1685 11339 +rect 1719 11336 1731 11339 +rect 3878 11336 3884 11348 +rect 1719 11308 3884 11336 +rect 1719 11305 1731 11308 +rect 1673 11299 1731 11305 +rect 3878 11296 3884 11308 +rect 3936 11296 3942 11348 +rect 3970 11296 3976 11348 +rect 4028 11336 4034 11348 +rect 4028 11308 4200 11336 +rect 4028 11296 4034 11308 +rect 2682 11228 2688 11280 +rect 2740 11228 2746 11280 +rect 3142 11228 3148 11280 +rect 3200 11268 3206 11280 +rect 4172 11268 4200 11308 +rect 4338 11296 4344 11348 +rect 4396 11336 4402 11348 +rect 4396 11308 7328 11336 +rect 4396 11296 4402 11308 +rect 6181 11271 6239 11277 +rect 3200 11240 3464 11268 +rect 4172 11240 4278 11268 +rect 3200 11228 3206 11240 +rect 1489 11203 1547 11209 +rect 1489 11169 1501 11203 +rect 1535 11169 1547 11203 +rect 3436 11200 3464 11240 +rect 6181 11237 6193 11271 +rect 6227 11268 6239 11271 +rect 6270 11268 6276 11280 +rect 6227 11240 6276 11268 +rect 6227 11237 6239 11240 +rect 6181 11231 6239 11237 +rect 6270 11228 6276 11240 +rect 6328 11228 6334 11280 +rect 3694 11200 3700 11212 +rect 3436 11172 3700 11200 +rect 1489 11163 1547 11169 +rect 1504 11132 1532 11163 +rect 3694 11160 3700 11172 +rect 3752 11200 3758 11212 +rect 3881 11203 3939 11209 +rect 3881 11200 3893 11203 +rect 3752 11172 3893 11200 +rect 3752 11160 3758 11172 +rect 3881 11169 3893 11172 +rect 3927 11169 3939 11203 +rect 3881 11163 3939 11169 +rect 4798 11160 4804 11212 +rect 4856 11200 4862 11212 +rect 7300 11209 7328 11308 +rect 8386 11228 8392 11280 +rect 8444 11228 8450 11280 +rect 5353 11203 5411 11209 +rect 5353 11200 5365 11203 +rect 4856 11172 5365 11200 +rect 4856 11160 4862 11172 +rect 5353 11169 5365 11172 +rect 5399 11169 5411 11203 +rect 7009 11203 7067 11209 +rect 7009 11200 7021 11203 +rect 5353 11163 5411 11169 +rect 5460 11172 7021 11200 +rect 2130 11132 2136 11144 +rect 1504 11104 2136 11132 +rect 2130 11092 2136 11104 +rect 2188 11092 2194 11144 +rect 3418 11132 3424 11144 +rect 3379 11104 3424 11132 +rect 3418 11092 3424 11104 +rect 3476 11092 3482 11144 +rect 3513 11135 3571 11141 +rect 3513 11101 3525 11135 +rect 3559 11132 3571 11135 +rect 3602 11132 3608 11144 +rect 3559 11104 3608 11132 +rect 3559 11101 3571 11104 +rect 3513 11095 3571 11101 +rect 3602 11092 3608 11104 +rect 3660 11092 3666 11144 +rect 1305 11067 1363 11073 +rect 1305 11033 1317 11067 +rect 1351 11064 1363 11067 +rect 5460 11064 5488 11172 +rect 7009 11169 7021 11172 +rect 7055 11169 7067 11203 +rect 7009 11163 7067 11169 +rect 7285 11203 7343 11209 +rect 7285 11169 7297 11203 +rect 7331 11169 7343 11203 +rect 7285 11163 7343 11169 +rect 7561 11203 7619 11209 +rect 7561 11169 7573 11203 +rect 7607 11200 7619 11203 +rect 7607 11172 8064 11200 +rect 7607 11169 7619 11172 +rect 7561 11163 7619 11169 +rect 5917 11135 5975 11141 +rect 5917 11101 5929 11135 +rect 5963 11132 5975 11135 +rect 6362 11132 6368 11144 +rect 5963 11104 6368 11132 +rect 5963 11101 5975 11104 +rect 5917 11095 5975 11101 +rect 6362 11092 6368 11104 +rect 6420 11092 6426 11144 rect 6730 11132 6736 11144 rect 6691 11104 6736 11132 -rect 6181 11095 6239 11101 rect 6730 11092 6736 11104 rect 6788 11092 6794 11144 -rect 6914 11132 6920 11144 -rect 6875 11104 6920 11132 -rect 6914 11092 6920 11104 -rect 6972 11092 6978 11144 -rect 7101 11135 7159 11141 -rect 7101 11101 7113 11135 -rect 7147 11132 7159 11135 -rect 7760 11132 7788 11172 -rect 7926 11160 7932 11172 -rect 7984 11160 7990 11212 -rect 9309 11203 9367 11209 -rect 9309 11169 9321 11203 -rect 9355 11200 9367 11203 -rect 9858 11200 9864 11212 -rect 9355 11172 9864 11200 -rect 9355 11169 9367 11172 -rect 9309 11163 9367 11169 -rect 9858 11160 9864 11172 -rect 9916 11160 9922 11212 -rect 7147 11104 7788 11132 -rect 7837 11135 7895 11141 -rect 7147 11101 7159 11104 -rect 7101 11095 7159 11101 -rect 7837 11101 7849 11135 -rect 7883 11132 7895 11135 -rect 8478 11132 8484 11144 -rect 7883 11104 8484 11132 -rect 7883 11101 7895 11104 -rect 7837 11095 7895 11101 -rect 8478 11092 8484 11104 -rect 8536 11092 8542 11144 -rect 1302 11064 1308 11076 -rect 1263 11036 1308 11064 -rect 1302 11024 1308 11036 -rect 1360 11024 1366 11076 -rect 5821 11067 5879 11073 -rect 5821 11033 5833 11067 -rect 5867 11064 5879 11067 -rect 6638 11064 6644 11076 -rect 5867 11036 6644 11064 -rect 5867 11033 5879 11036 -rect 5821 11027 5879 11033 -rect 6638 11024 6644 11036 -rect 6696 11024 6702 11076 -rect 7116 11036 7420 11064 -rect 1578 10996 1584 11008 -rect 1539 10968 1584 10996 -rect 1578 10956 1584 10968 -rect 1636 10956 1642 11008 -rect 3071 10999 3129 11005 -rect 3071 10965 3083 10999 -rect 3117 10996 3129 10999 -rect 7116 10996 7144 11036 -rect 7282 10996 7288 11008 -rect 3117 10968 7144 10996 -rect 7243 10968 7288 10996 -rect 3117 10965 3129 10968 -rect 3071 10959 3129 10965 -rect 7282 10956 7288 10968 -rect 7340 10956 7346 11008 -rect 7392 10996 7420 11036 -rect 9674 11024 9680 11076 -rect 9732 11064 9738 11076 -rect 9869 11067 9927 11073 -rect 9869 11064 9881 11067 -rect 9732 11036 9881 11064 -rect 9732 11024 9738 11036 -rect 9869 11033 9881 11036 -rect 9915 11033 9927 11067 -rect 9869 11027 9927 11033 -rect 8570 10996 8576 11008 -rect 7392 10968 8576 10996 -rect 8570 10956 8576 10968 -rect 8628 10996 8634 11008 -rect 9306 10996 9312 11008 -rect 8628 10968 9312 10996 -rect 8628 10956 8634 10968 -rect 9306 10956 9312 10968 -rect 9364 10956 9370 11008 -rect 920 10906 10304 10928 +rect 7926 11132 7932 11144 +rect 7887 11104 7932 11132 +rect 7926 11092 7932 11104 +rect 7984 11092 7990 11144 +rect 8036 11132 8064 11172 +rect 8938 11160 8944 11212 +rect 8996 11200 9002 11212 +rect 9401 11203 9459 11209 +rect 9401 11200 9413 11203 +rect 8996 11172 9413 11200 +rect 8996 11160 9002 11172 +rect 9401 11169 9413 11172 +rect 9447 11169 9459 11203 +rect 9401 11163 9459 11169 +rect 8570 11132 8576 11144 +rect 8036 11104 8576 11132 +rect 8570 11092 8576 11104 +rect 8628 11092 8634 11144 +rect 1351 11036 2176 11064 +rect 1351 11033 1363 11036 +rect 1305 11027 1363 11033 +rect 2148 10996 2176 11036 +rect 4816 11036 5488 11064 +rect 2682 10996 2688 11008 +rect 2148 10968 2688 10996 +rect 2682 10956 2688 10968 +rect 2740 10956 2746 11008 +rect 3163 10999 3221 11005 +rect 3163 10965 3175 10999 +rect 3209 10996 3221 10999 +rect 3326 10996 3332 11008 +rect 3209 10968 3332 10996 +rect 3209 10965 3221 10968 +rect 3163 10959 3221 10965 +rect 3326 10956 3332 10968 +rect 3384 10956 3390 11008 +rect 3510 10956 3516 11008 +rect 3568 10996 3574 11008 +rect 3786 10996 3792 11008 +rect 3568 10968 3792 10996 +rect 3568 10956 3574 10968 +rect 3786 10956 3792 10968 +rect 3844 10996 3850 11008 +rect 4816 10996 4844 11036 +rect 5626 11024 5632 11076 +rect 5684 11064 5690 11076 +rect 7193 11067 7251 11073 +rect 7193 11064 7205 11067 +rect 5684 11036 7205 11064 +rect 5684 11024 5690 11036 +rect 7193 11033 7205 11036 +rect 7239 11033 7251 11067 +rect 7193 11027 7251 11033 +rect 9858 11024 9864 11076 +rect 9916 11064 9922 11076 +rect 9961 11067 10019 11073 +rect 9961 11064 9973 11067 +rect 9916 11036 9973 11064 +rect 9916 11024 9922 11036 +rect 9961 11033 9973 11036 +rect 10007 11033 10019 11067 +rect 9961 11027 10019 11033 +rect 3844 10968 4844 10996 +rect 3844 10956 3850 10968 +rect 920 10906 10396 10928 rect 920 10854 2566 10906 rect 2618 10854 2630 10906 rect 2682 10854 2694 10906 @@ -943,325 +961,330 @@ rect 7618 10854 7630 10906 rect 7682 10854 7694 10906 rect 7746 10854 7758 10906 rect 7810 10854 7822 10906 -rect 7874 10854 10304 10906 -rect 920 10832 10304 10854 -rect 8478 10752 8484 10804 -rect 8536 10792 8542 10804 +rect 7874 10854 10396 10906 +rect 920 10832 10396 10854 +rect 1302 10792 1308 10804 +rect 1263 10764 1308 10792 +rect 1302 10752 1308 10764 +rect 1360 10752 1366 10804 +rect 6914 10792 6920 10804 +rect 2746 10764 3280 10792 +rect 1394 10656 1400 10668 +rect 1307 10628 1400 10656 +rect 1394 10616 1400 10628 +rect 1452 10656 1458 10668 +rect 2746 10656 2774 10764 +rect 1452 10628 2774 10656 +rect 1452 10616 1458 10628 +rect 3252 10600 3280 10764 +rect 5368 10764 6920 10792 +rect 3421 10659 3479 10665 +rect 3421 10625 3433 10659 +rect 3467 10656 3479 10659 +rect 5368 10656 5396 10764 +rect 6914 10752 6920 10764 +rect 6972 10752 6978 10804 +rect 7190 10752 7196 10804 +rect 7248 10792 7254 10804 +rect 7248 10764 7880 10792 +rect 7248 10752 7254 10764 +rect 7374 10684 7380 10736 +rect 7432 10724 7438 10736 +rect 7852 10724 7880 10764 +rect 7926 10752 7932 10804 +rect 7984 10792 7990 10804 rect 8757 10795 8815 10801 rect 8757 10792 8769 10795 -rect 8536 10764 8769 10792 -rect 8536 10752 8542 10764 +rect 7984 10764 8769 10792 +rect 7984 10752 7990 10764 rect 8757 10761 8769 10764 rect 8803 10761 8815 10795 rect 8757 10755 8815 10761 -rect 9122 10752 9128 10804 -rect 9180 10792 9186 10804 -rect 9677 10795 9735 10801 -rect 9677 10792 9689 10795 -rect 9180 10764 9689 10792 -rect 9180 10752 9186 10764 -rect 9677 10761 9689 10764 -rect 9723 10761 9735 10795 -rect 9677 10755 9735 10761 -rect 8125 10727 8183 10733 -rect 8125 10693 8137 10727 -rect 8171 10724 8183 10727 -rect 8846 10724 8852 10736 -rect 8171 10696 8852 10724 -rect 8171 10693 8183 10696 -rect 8125 10687 8183 10693 -rect 8846 10684 8852 10696 -rect 8904 10684 8910 10736 -rect 9950 10724 9956 10736 -rect 9048 10696 9956 10724 -rect 1305 10659 1363 10665 -rect 1305 10625 1317 10659 -rect 1351 10656 1363 10659 -rect 4890 10656 4896 10668 -rect 1351 10628 4896 10656 -rect 1351 10625 1363 10628 -rect 1305 10619 1363 10625 -rect 4890 10616 4896 10628 -rect 4948 10616 4954 10668 -rect 5629 10659 5687 10665 -rect 5629 10625 5641 10659 -rect 5675 10656 5687 10659 +rect 8294 10724 8300 10736 +rect 7432 10696 7788 10724 +rect 7852 10696 8300 10724 +rect 7432 10684 7438 10696 +rect 3467 10628 5396 10656 +rect 5813 10659 5871 10665 +rect 3467 10625 3479 10628 +rect 3421 10619 3479 10625 +rect 5813 10625 5825 10659 +rect 5859 10656 5871 10659 rect 6730 10656 6736 10668 -rect 5675 10628 6736 10656 -rect 5675 10625 5687 10628 -rect 5629 10619 5687 10625 +rect 5859 10628 6736 10656 +rect 5859 10625 5871 10628 +rect 5813 10619 5871 10625 rect 6730 10616 6736 10628 rect 6788 10616 6794 10668 -rect 1210 10588 1216 10600 -rect 1171 10560 1216 10588 -rect 1210 10548 1216 10560 -rect 1268 10548 1274 10600 -rect 1578 10548 1584 10600 -rect 1636 10588 1642 10600 -rect 3053 10591 3111 10597 -rect 3053 10588 3065 10591 -rect 1636 10560 3065 10588 -rect 1636 10548 1642 10560 -rect 3053 10557 3065 10560 -rect 3099 10557 3111 10591 -rect 3053 10551 3111 10557 -rect 3510 10548 3516 10600 -rect 3568 10588 3574 10600 -rect 3605 10591 3663 10597 -rect 3605 10588 3617 10591 -rect 3568 10560 3617 10588 -rect 3568 10548 3574 10560 -rect 3605 10557 3617 10560 -rect 3651 10557 3663 10591 -rect 3605 10551 3663 10557 -rect 5721 10591 5779 10597 -rect 5721 10557 5733 10591 -rect 5767 10557 5779 10591 -rect 5721 10551 5779 10557 -rect 3881 10523 3939 10529 -rect 3881 10489 3893 10523 -rect 3927 10489 3939 10523 -rect 5534 10520 5540 10532 -rect 5106 10492 5540 10520 -rect 3881 10483 3939 10489 -rect 2498 10452 2504 10464 -rect 2459 10424 2504 10452 -rect 2498 10412 2504 10424 -rect 2556 10412 2562 10464 -rect 3896 10452 3924 10483 -rect 5534 10480 5540 10492 -rect 5592 10480 5598 10532 -rect 5626 10452 5632 10464 -rect 3896 10424 5632 10452 -rect 5626 10412 5632 10424 -rect 5684 10412 5690 10464 -rect 5736 10452 5764 10551 -rect 5902 10548 5908 10600 -rect 5960 10588 5966 10600 -rect 6089 10591 6147 10597 -rect 6089 10588 6101 10591 -rect 5960 10560 6101 10588 -rect 5960 10548 5966 10560 -rect 6089 10557 6101 10560 -rect 6135 10557 6147 10591 -rect 6089 10551 6147 10557 -rect 7282 10548 7288 10600 -rect 7340 10588 7346 10600 -rect 7561 10591 7619 10597 -rect 7561 10588 7573 10591 -rect 7340 10560 7573 10588 -rect 7340 10548 7346 10560 -rect 7561 10557 7573 10560 -rect 7607 10557 7619 10591 -rect 7561 10551 7619 10557 -rect 8386 10548 8392 10600 -rect 8444 10588 8450 10600 -rect 8573 10591 8631 10597 -rect 8573 10588 8585 10591 -rect 8444 10560 8585 10588 -rect 8444 10548 8450 10560 -rect 8573 10557 8585 10560 -rect 8619 10557 8631 10591 -rect 8573 10551 8631 10557 -rect 8846 10548 8852 10600 -rect 8904 10588 8910 10600 -rect 9048 10588 9076 10696 -rect 9950 10684 9956 10696 -rect 10008 10684 10014 10736 -rect 9306 10656 9312 10668 -rect 9267 10628 9312 10656 -rect 9306 10616 9312 10628 -rect 9364 10616 9370 10668 -rect 8904 10560 9076 10588 -rect 9493 10591 9551 10597 -rect 8904 10548 8910 10560 -rect 9493 10557 9505 10591 -rect 9539 10557 9551 10591 -rect 9493 10551 9551 10557 -rect 6730 10480 6736 10532 -rect 6788 10480 6794 10532 -rect 9030 10520 9036 10532 -rect 8036 10492 9036 10520 -rect 8036 10452 8064 10492 -rect 9030 10480 9036 10492 -rect 9088 10480 9094 10532 -rect 5736 10424 8064 10452 -rect 8202 10412 8208 10464 -rect 8260 10452 8266 10464 -rect 8389 10455 8447 10461 -rect 8389 10452 8401 10455 -rect 8260 10424 8401 10452 -rect 8260 10412 8266 10424 -rect 8389 10421 8401 10424 -rect 8435 10452 8447 10455 -rect 9508 10452 9536 10551 -rect 8435 10424 9536 10452 -rect 8435 10421 8447 10424 -rect 8389 10415 8447 10421 -rect 9582 10412 9588 10464 -rect 9640 10452 9646 10464 -rect 9861 10455 9919 10461 -rect 9861 10452 9873 10455 -rect 9640 10424 9873 10452 -rect 9640 10412 9646 10424 -rect 9861 10421 9873 10424 -rect 9907 10421 9919 10455 -rect 9861 10415 9919 10421 -rect 920 10362 10304 10384 +rect 7760 10656 7788 10696 +rect 8294 10684 8300 10696 +rect 8352 10724 8358 10736 +rect 8352 10696 9352 10724 +rect 8352 10684 8358 10696 +rect 9324 10665 9352 10696 +rect 8481 10659 8539 10665 +rect 8481 10656 8493 10659 +rect 7760 10628 8493 10656 +rect 8481 10625 8493 10628 +rect 8527 10625 8539 10659 +rect 8481 10619 8539 10625 +rect 9309 10659 9367 10665 +rect 9309 10625 9321 10659 +rect 9355 10625 9367 10659 +rect 9309 10619 9367 10625 +rect 2774 10548 2780 10600 +rect 2832 10548 2838 10600 +rect 3234 10548 3240 10600 +rect 3292 10588 3298 10600 +rect 3789 10591 3847 10597 +rect 3789 10588 3801 10591 +rect 3292 10560 3801 10588 +rect 3292 10548 3298 10560 +rect 3789 10557 3801 10560 +rect 3835 10557 3847 10591 +rect 5902 10588 5908 10600 +rect 5863 10560 5908 10588 +rect 3789 10551 3847 10557 +rect 5902 10548 5908 10560 +rect 5960 10548 5966 10600 +rect 6270 10588 6276 10600 +rect 6231 10560 6276 10588 +rect 6270 10548 6276 10560 +rect 6328 10548 6334 10600 +rect 7466 10548 7472 10600 +rect 7524 10588 7530 10600 +rect 7745 10591 7803 10597 +rect 7745 10588 7757 10591 +rect 7524 10560 7757 10588 +rect 7524 10548 7530 10560 +rect 7745 10557 7757 10560 +rect 7791 10557 7803 10591 +rect 7745 10551 7803 10557 +rect 8309 10591 8367 10597 +rect 8309 10557 8321 10591 +rect 8355 10588 8367 10591 +rect 9122 10588 9128 10600 +rect 8355 10560 9128 10588 +rect 8355 10557 8367 10560 +rect 8309 10551 8367 10557 +rect 9122 10548 9128 10560 +rect 9180 10548 9186 10600 +rect 9582 10588 9588 10600 +rect 9543 10560 9588 10588 +rect 9582 10548 9588 10560 +rect 9640 10548 9646 10600 +rect 9953 10591 10011 10597 +rect 9953 10557 9965 10591 +rect 9999 10557 10011 10591 +rect 9953 10551 10011 10557 +rect 1673 10523 1731 10529 +rect 1673 10489 1685 10523 +rect 1719 10489 1731 10523 +rect 4065 10523 4123 10529 +rect 4065 10520 4077 10523 +rect 1673 10483 1731 10489 +rect 3528 10492 4077 10520 +rect 1688 10452 1716 10483 +rect 2958 10452 2964 10464 +rect 1688 10424 2964 10452 +rect 2958 10412 2964 10424 +rect 3016 10412 3022 10464 +rect 3050 10412 3056 10464 +rect 3108 10452 3114 10464 +rect 3528 10452 3556 10492 +rect 4065 10489 4077 10492 +rect 4111 10489 4123 10523 +rect 5350 10520 5356 10532 +rect 5290 10492 5356 10520 +rect 4065 10483 4123 10489 +rect 5350 10480 5356 10492 +rect 5408 10480 5414 10532 +rect 9769 10523 9827 10529 +rect 9769 10520 9781 10523 +rect 7406 10492 9781 10520 +rect 9769 10489 9781 10492 +rect 9815 10489 9827 10523 +rect 9769 10483 9827 10489 +rect 3108 10424 3556 10452 +rect 3697 10455 3755 10461 +rect 3108 10412 3114 10424 +rect 3697 10421 3709 10455 +rect 3743 10452 3755 10455 +rect 4706 10452 4712 10464 +rect 3743 10424 4712 10452 +rect 3743 10421 3755 10424 +rect 3697 10415 3755 10421 +rect 4706 10412 4712 10424 +rect 4764 10412 4770 10464 +rect 8662 10412 8668 10464 +rect 8720 10452 8726 10464 +rect 9968 10452 9996 10551 +rect 8720 10424 9996 10452 +rect 8720 10412 8726 10424 +rect 920 10362 10396 10384 rect 920 10310 5066 10362 rect 5118 10310 5130 10362 rect 5182 10310 5194 10362 rect 5246 10310 5258 10362 rect 5310 10310 5322 10362 -rect 5374 10310 10304 10362 -rect 920 10288 10304 10310 -rect 934 10208 940 10260 -rect 992 10248 998 10260 -rect 1213 10251 1271 10257 -rect 1213 10248 1225 10251 -rect 992 10220 1225 10248 -rect 992 10208 998 10220 -rect 1213 10217 1225 10220 -rect 1259 10217 1271 10251 -rect 1213 10211 1271 10217 -rect 1486 10208 1492 10260 -rect 1544 10248 1550 10260 -rect 6546 10248 6552 10260 -rect 1544 10220 3188 10248 -rect 1544 10208 1550 10220 -rect 3050 10180 3056 10192 -rect 2898 10152 3056 10180 -rect 3050 10140 3056 10152 -rect 3108 10140 3114 10192 -rect 3160 10112 3188 10220 -rect 3436 10220 6552 10248 -rect 3436 10189 3464 10220 -rect 6546 10208 6552 10220 -rect 6604 10208 6610 10260 -rect 3421 10183 3479 10189 -rect 3421 10149 3433 10183 -rect 3467 10149 3479 10183 -rect 3421 10143 3479 10149 -rect 4614 10140 4620 10192 -rect 4672 10140 4678 10192 -rect 8662 10180 8668 10192 -rect 8418 10152 8668 10180 -rect 8662 10140 8668 10152 -rect 8720 10140 8726 10192 -rect 9858 10180 9864 10192 -rect 9819 10152 9864 10180 -rect 9858 10140 9864 10152 -rect 9916 10140 9922 10192 -rect 3513 10115 3571 10121 -rect 3513 10112 3525 10115 -rect 3160 10084 3525 10112 -rect 3513 10081 3525 10084 -rect 3559 10081 3571 10115 -rect 3513 10075 3571 10081 -rect 4798 10072 4804 10124 -rect 4856 10112 4862 10124 +rect 5374 10310 10396 10362 +rect 920 10288 10396 10310 +rect 6270 10248 6276 10260 +rect 1688 10220 6276 10248 +rect 1688 10189 1716 10220 +rect 6270 10208 6276 10220 +rect 6328 10208 6334 10260 +rect 8110 10248 8116 10260 +rect 7024 10220 8116 10248 +rect 1673 10183 1731 10189 +rect 1673 10149 1685 10183 +rect 1719 10149 1731 10183 +rect 3510 10180 3516 10192 +rect 2898 10166 3516 10180 +rect 1673 10143 1731 10149 +rect 2884 10152 3516 10166 +rect 1394 10112 1400 10124 +rect 1355 10084 1400 10112 +rect 1394 10072 1400 10084 +rect 1452 10072 1458 10124 +rect 2130 10004 2136 10056 +rect 2188 10044 2194 10056 +rect 2884 10044 2912 10152 +rect 3510 10140 3516 10152 +rect 3568 10140 3574 10192 +rect 5626 10180 5632 10192 +rect 5014 10152 5632 10180 +rect 5626 10140 5632 10152 +rect 5684 10140 5690 10192 +rect 5917 10183 5975 10189 +rect 5917 10149 5929 10183 +rect 5963 10180 5975 10183 +rect 7024 10180 7052 10220 +rect 8110 10208 8116 10220 +rect 8168 10208 8174 10260 +rect 5963 10152 7052 10180 +rect 8484 10192 8536 10198 +rect 5963 10149 5975 10152 +rect 5917 10143 5975 10149 +rect 8484 10134 8536 10140 +rect 3421 10115 3479 10121 +rect 3421 10081 3433 10115 +rect 3467 10112 3479 10115 +rect 3694 10112 3700 10124 +rect 3467 10084 3700 10112 +rect 3467 10081 3479 10084 +rect 3421 10075 3479 10081 +rect 3694 10072 3700 10084 +rect 3752 10072 3758 10124 rect 5353 10115 5411 10121 -rect 5353 10112 5365 10115 -rect 4856 10084 5365 10112 -rect 4856 10072 4862 10084 -rect 5353 10081 5365 10084 -rect 5399 10081 5411 10115 -rect 6822 10112 6828 10124 -rect 6735 10084 6828 10112 +rect 5353 10081 5365 10115 +rect 5399 10112 5411 10115 +rect 7006 10112 7012 10124 +rect 5399 10084 5580 10112 +rect 6967 10084 7012 10112 +rect 5399 10081 5411 10084 rect 5353 10075 5411 10081 -rect 6822 10072 6828 10084 -rect 6880 10112 6886 10124 -rect 7285 10115 7343 10121 -rect 7285 10112 7297 10115 -rect 6880 10084 7297 10112 -rect 6880 10072 6886 10084 -rect 7285 10081 7297 10084 -rect 7331 10081 7343 10115 -rect 7285 10075 7343 10081 -rect 8757 10115 8815 10121 -rect 8757 10081 8769 10115 -rect 8803 10112 8815 10115 -rect 9766 10112 9772 10124 -rect 8803 10084 9772 10112 -rect 8803 10081 8815 10084 -rect 8757 10075 8815 10081 -rect 9766 10072 9772 10084 -rect 9824 10072 9830 10124 -rect 1397 10047 1455 10053 -rect 1397 10013 1409 10047 -rect 1443 10013 1455 10047 -rect 1397 10007 1455 10013 -rect 1673 10047 1731 10053 -rect 1673 10013 1685 10047 -rect 1719 10044 1731 10047 -rect 2406 10044 2412 10056 -rect 1719 10016 2412 10044 -rect 1719 10013 1731 10016 -rect 1673 10007 1731 10013 -rect 1412 9908 1440 10007 -rect 2406 10004 2412 10016 -rect 2464 10044 2470 10056 -rect 3881 10047 3939 10053 -rect 3881 10044 3893 10047 -rect 2464 10016 2774 10044 -rect 2464 10004 2470 10016 -rect 2746 9976 2774 10016 -rect 3528 10016 3893 10044 -rect 3528 9976 3556 10016 -rect 3881 10013 3893 10016 -rect 3927 10013 3939 10047 -rect 6178 10044 6184 10056 -rect 6139 10016 6184 10044 -rect 3881 10007 3939 10013 -rect 6178 10004 6184 10016 -rect 6236 10004 6242 10056 -rect 6914 10044 6920 10056 -rect 6875 10016 6920 10044 -rect 6914 10004 6920 10016 -rect 6972 10004 6978 10056 -rect 9490 10044 9496 10056 -rect 9451 10016 9496 10044 -rect 9490 10004 9496 10016 -rect 9548 10004 9554 10056 -rect 9677 10047 9735 10053 -rect 9677 10013 9689 10047 -rect 9723 10044 9735 10047 -rect 10410 10044 10416 10056 -rect 9723 10016 10416 10044 -rect 9723 10013 9735 10016 -rect 9677 10007 9735 10013 -rect 10410 10004 10416 10016 -rect 10468 10004 10474 10056 -rect 2746 9948 3556 9976 -rect 5626 9936 5632 9988 -rect 5684 9976 5690 9988 -rect 7006 9976 7012 9988 -rect 5684 9948 7012 9976 -rect 5684 9936 5690 9948 -rect 7006 9936 7012 9948 -rect 7064 9936 7070 9988 -rect 9140 9948 9904 9976 -rect 3418 9908 3424 9920 -rect 1412 9880 3424 9908 -rect 3418 9868 3424 9880 -rect 3476 9868 3482 9920 -rect 5917 9911 5975 9917 -rect 5917 9877 5929 9911 -rect 5963 9908 5975 9911 -rect 9140 9908 9168 9948 -rect 9876 9920 9904 9948 -rect 9306 9908 9312 9920 -rect 9364 9917 9370 9920 -rect 5963 9880 9168 9908 -rect 9275 9880 9312 9908 -rect 5963 9877 5975 9880 -rect 5917 9871 5975 9877 -rect 9306 9868 9312 9880 -rect 9364 9871 9375 9917 -rect 9364 9868 9370 9871 -rect 9858 9868 9864 9920 -rect 9916 9868 9922 9920 -rect 920 9818 10304 9840 +rect 5552 10056 5580 10084 +rect 7006 10072 7012 10084 +rect 7064 10072 7070 10124 +rect 8662 10072 8668 10124 +rect 8720 10112 8726 10124 +rect 8849 10115 8907 10121 +rect 8849 10112 8861 10115 +rect 8720 10084 8861 10112 +rect 8720 10072 8726 10084 +rect 8849 10081 8861 10084 +rect 8895 10081 8907 10115 +rect 8849 10075 8907 10081 +rect 3510 10044 3516 10056 +rect 2188 10016 2912 10044 +rect 3471 10016 3516 10044 +rect 2188 10004 2194 10016 +rect 3510 10004 3516 10016 +rect 3568 10004 3574 10056 +rect 3878 10044 3884 10056 +rect 3620 10016 3884 10044 +rect 2958 9936 2964 9988 +rect 3016 9976 3022 9988 +rect 3620 9976 3648 10016 +rect 3878 10004 3884 10016 +rect 3936 10004 3942 10056 +rect 5534 10004 5540 10056 +rect 5592 10004 5598 10056 +rect 6270 10044 6276 10056 +rect 6231 10016 6276 10044 +rect 6270 10004 6276 10016 +rect 6328 10004 6334 10056 +rect 6917 10047 6975 10053 +rect 6917 10013 6929 10047 +rect 6963 10044 6975 10047 +rect 7098 10044 7104 10056 +rect 6963 10016 7104 10044 +rect 6963 10013 6975 10016 +rect 6917 10007 6975 10013 +rect 7098 10004 7104 10016 +rect 7156 10044 7162 10056 +rect 7377 10047 7435 10053 +rect 7377 10044 7389 10047 +rect 7156 10016 7389 10044 +rect 7156 10004 7162 10016 +rect 7377 10013 7389 10016 +rect 7423 10013 7435 10047 +rect 7377 10007 7435 10013 +rect 9398 10004 9404 10056 +rect 9456 10044 9462 10056 +rect 9585 10047 9643 10053 +rect 9585 10044 9597 10047 +rect 9456 10016 9597 10044 +rect 9456 10004 9462 10016 +rect 9585 10013 9597 10016 +rect 9631 10013 9643 10047 +rect 9766 10044 9772 10056 +rect 9727 10016 9772 10044 +rect 9585 10007 9643 10013 +rect 9766 10004 9772 10016 +rect 9824 10004 9830 10056 +rect 9953 10047 10011 10053 +rect 9953 10013 9965 10047 +rect 9999 10044 10011 10047 +rect 10226 10044 10232 10056 +rect 9999 10016 10232 10044 +rect 9999 10013 10011 10016 +rect 9953 10007 10011 10013 +rect 10226 10004 10232 10016 +rect 10284 10004 10290 10056 +rect 3016 9948 3648 9976 +rect 4816 9948 6040 9976 +rect 3016 9936 3022 9948 +rect 1305 9911 1363 9917 +rect 1305 9877 1317 9911 +rect 1351 9908 1363 9911 +rect 3786 9908 3792 9920 +rect 1351 9880 3792 9908 +rect 1351 9877 1363 9880 +rect 1305 9871 1363 9877 +rect 3786 9868 3792 9880 +rect 3844 9868 3850 9920 +rect 3878 9868 3884 9920 +rect 3936 9908 3942 9920 +rect 4816 9908 4844 9948 +rect 3936 9880 4844 9908 +rect 6012 9908 6040 9948 +rect 7926 9908 7932 9920 +rect 6012 9880 7932 9908 +rect 3936 9868 3942 9880 +rect 7926 9868 7932 9880 +rect 7984 9868 7990 9920 +rect 8754 9868 8760 9920 +rect 8812 9908 8818 9920 +rect 9409 9911 9467 9917 +rect 9409 9908 9421 9911 +rect 8812 9880 9421 9908 +rect 8812 9868 8818 9880 +rect 9409 9877 9421 9880 +rect 9455 9877 9467 9911 +rect 9409 9871 9467 9877 +rect 920 9818 10396 9840 rect 920 9766 2566 9818 rect 2618 9766 2630 9818 rect 2682 9766 2694 9818 @@ -1272,466 +1295,386 @@ rect 7618 9766 7630 9818 rect 7682 9766 7694 9818 rect 7746 9766 7758 9818 rect 7810 9766 7822 9818 -rect 7874 9766 10304 9818 -rect 920 9744 10304 9766 -rect 3050 9664 3056 9716 -rect 3108 9704 3114 9716 -rect 5534 9704 5540 9716 -rect 3108 9676 5540 9704 -rect 3108 9664 3114 9676 -rect 5534 9664 5540 9676 -rect 5592 9664 5598 9716 -rect 5920 9676 6408 9704 -rect 5718 9596 5724 9648 -rect 5776 9636 5782 9648 -rect 5920 9636 5948 9676 -rect 5776 9608 5948 9636 -rect 5776 9596 5782 9608 -rect 5994 9596 6000 9648 -rect 6052 9636 6058 9648 +rect 7874 9766 10396 9818 +rect 920 9744 10396 9766 +rect 3694 9664 3700 9716 +rect 3752 9704 3758 9716 +rect 3752 9676 9352 9704 +rect 3752 9664 3758 9676 +rect 4982 9596 4988 9648 +rect 5040 9636 5046 9648 rect 6273 9639 6331 9645 rect 6273 9636 6285 9639 -rect 6052 9608 6285 9636 -rect 6052 9596 6058 9608 +rect 5040 9608 6285 9636 +rect 5040 9596 5046 9608 rect 6273 9605 6285 9608 rect 6319 9605 6331 9639 -rect 6380 9636 6408 9676 -rect 6546 9664 6552 9716 -rect 6604 9704 6610 9716 -rect 9490 9704 9496 9716 -rect 6604 9676 9496 9704 -rect 6604 9664 6610 9676 -rect 9490 9664 9496 9676 -rect 9548 9664 9554 9716 -rect 6454 9636 6460 9648 -rect 6380 9608 6460 9636 rect 6273 9599 6331 9605 -rect 6454 9596 6460 9608 -rect 6512 9596 6518 9648 rect 7926 9596 7932 9648 rect 7984 9636 7990 9648 -rect 10410 9636 10416 9648 -rect 7984 9608 10416 9636 +rect 8757 9639 8815 9645 +rect 8757 9636 8769 9639 +rect 7984 9608 8769 9636 rect 7984 9596 7990 9608 -rect 10410 9596 10416 9608 -rect 10468 9596 10474 9648 -rect 1302 9528 1308 9580 -rect 1360 9568 1366 9580 +rect 8757 9605 8769 9608 +rect 8803 9605 8815 9639 +rect 8757 9599 8815 9605 +rect 2406 9528 2412 9580 +rect 2464 9568 2470 9580 rect 3605 9571 3663 9577 rect 3605 9568 3617 9571 -rect 1360 9540 3617 9568 -rect 1360 9528 1366 9540 +rect 2464 9540 3617 9568 +rect 2464 9528 2470 9540 rect 3605 9537 3617 9540 rect 3651 9537 3663 9571 rect 3605 9531 3663 9537 -rect 6086 9528 6092 9580 -rect 6144 9568 6150 9580 -rect 6549 9571 6607 9577 -rect 6549 9568 6561 9571 -rect 6144 9540 6561 9568 -rect 6144 9528 6150 9540 -rect 6549 9537 6561 9540 -rect 6595 9568 6607 9571 -rect 7834 9568 7840 9580 -rect 6595 9540 7840 9568 -rect 6595 9537 6607 9540 -rect 6549 9531 6607 9537 -rect 7834 9528 7840 9540 -rect 7892 9528 7898 9580 -rect 3418 9460 3424 9512 -rect 3476 9500 3482 9512 -rect 3476 9472 3521 9500 -rect 3476 9460 3482 9472 -rect 3878 9460 3884 9512 -rect 3936 9500 3942 9512 +rect 5718 9528 5724 9580 +rect 5776 9568 5782 9580 +rect 6005 9571 6063 9577 +rect 6005 9568 6017 9571 +rect 5776 9540 6017 9568 +rect 5776 9528 5782 9540 +rect 6005 9537 6017 9540 +rect 6051 9537 6063 9571 +rect 6005 9531 6063 9537 +rect 7282 9528 7288 9580 +rect 7340 9568 7346 9580 +rect 7466 9568 7472 9580 +rect 7340 9540 7472 9568 +rect 7340 9528 7346 9540 +rect 7466 9528 7472 9540 +rect 7524 9528 7530 9580 +rect 7558 9528 7564 9580 +rect 7616 9568 7622 9580 +rect 7616 9540 8064 9568 +rect 7616 9528 7622 9540 +rect 2038 9460 2044 9512 +rect 2096 9460 2102 9512 +rect 3421 9503 3479 9509 +rect 3421 9469 3433 9503 +rect 3467 9469 3479 9503 rect 3973 9503 4031 9509 rect 3973 9500 3985 9503 -rect 3936 9472 3985 9500 -rect 3936 9460 3942 9472 -rect 3973 9469 3985 9472 -rect 4019 9469 4031 9503 -rect 5442 9500 5448 9512 -rect 5403 9472 5448 9500 -rect 3973 9463 4031 9469 -rect 5442 9460 5448 9472 -rect 5500 9460 5506 9512 -rect 5718 9460 5724 9512 -rect 5776 9500 5782 9512 -rect 6457 9503 6515 9509 -rect 6457 9500 6469 9503 -rect 5776 9472 6469 9500 -rect 5776 9460 5782 9472 -rect 6457 9469 6469 9472 -rect 6503 9469 6515 9503 -rect 7944 9486 7972 9596 -rect 8570 9568 8576 9580 -rect 8531 9540 8576 9568 -rect 8570 9528 8576 9540 -rect 8628 9528 8634 9580 -rect 8846 9568 8852 9580 -rect 8807 9540 8852 9568 -rect 8846 9528 8852 9540 -rect 8904 9528 8910 9580 -rect 8941 9571 8999 9577 -rect 8941 9537 8953 9571 -rect 8987 9568 8999 9571 -rect 8987 9540 9628 9568 -rect 8987 9537 8999 9540 -rect 8941 9531 8999 9537 -rect 6457 9463 6515 9469 -rect 9122 9460 9128 9512 -rect 9180 9500 9186 9512 -rect 9217 9503 9275 9509 -rect 9217 9500 9229 9503 -rect 9180 9472 9229 9500 -rect 9180 9460 9186 9472 -rect 9217 9469 9229 9472 -rect 9263 9469 9275 9503 -rect 9217 9463 9275 9469 -rect 9493 9503 9551 9509 -rect 9493 9469 9505 9503 -rect 9539 9469 9551 9503 -rect 9493 9463 9551 9469 +rect 3421 9463 3479 9469 +rect 3528 9472 3985 9500 +rect 1302 9392 1308 9444 +rect 1360 9432 1366 9444 rect 1397 9435 1455 9441 -rect 1397 9401 1409 9435 -rect 1443 9432 1455 9435 -rect 1854 9432 1860 9444 -rect 1443 9404 1860 9432 -rect 1443 9401 1455 9404 +rect 1397 9432 1409 9435 +rect 1360 9404 1409 9432 +rect 1360 9392 1366 9404 +rect 1397 9401 1409 9404 +rect 1443 9401 1455 9435 +rect 3142 9432 3148 9444 +rect 3103 9404 3148 9432 rect 1397 9395 1455 9401 -rect 1854 9392 1860 9404 -rect 1912 9392 1918 9444 -rect 3050 9432 3056 9444 -rect 2714 9404 3056 9432 -rect 3050 9392 3056 9404 -rect 3108 9392 3114 9444 -rect 3145 9435 3203 9441 -rect 3145 9401 3157 9435 -rect 3191 9401 3203 9435 -rect 3145 9395 3203 9401 -rect 1305 9367 1363 9373 -rect 1305 9333 1317 9367 -rect 1351 9364 1363 9367 +rect 3142 9392 3148 9404 +rect 3200 9392 3206 9444 +rect 3234 9392 3240 9444 +rect 3292 9432 3298 9444 +rect 3436 9432 3464 9463 +rect 3292 9404 3464 9432 +rect 3292 9392 3298 9404 +rect 1213 9367 1271 9373 +rect 1213 9333 1225 9367 +rect 1259 9364 1271 9367 rect 2774 9364 2780 9376 -rect 1351 9336 2780 9364 -rect 1351 9333 1363 9336 -rect 1305 9327 1363 9333 +rect 1259 9336 2780 9364 +rect 1259 9333 1271 9336 +rect 1213 9327 1271 9333 rect 2774 9324 2780 9336 rect 2832 9324 2838 9376 -rect 3160 9364 3188 9395 -rect 4338 9392 4344 9444 -rect 4396 9392 4402 9444 -rect 6009 9435 6067 9441 -rect 6009 9401 6021 9435 -rect 6055 9432 6067 9435 -rect 6055 9404 6776 9432 -rect 6055 9401 6067 9404 -rect 6009 9395 6067 9401 -rect 5902 9364 5908 9376 -rect 3160 9336 5908 9364 -rect 5902 9324 5908 9336 -rect 5960 9324 5966 9376 -rect 6178 9324 6184 9376 -rect 6236 9364 6242 9376 -rect 6454 9364 6460 9376 -rect 6236 9336 6460 9364 -rect 6236 9324 6242 9336 -rect 6454 9324 6460 9336 -rect 6512 9324 6518 9376 -rect 6748 9364 6776 9404 -rect 6822 9392 6828 9444 -rect 6880 9441 6886 9444 -rect 6880 9432 6890 9441 -rect 6880 9404 6925 9432 -rect 6880 9395 6890 9404 -rect 6880 9392 6886 9395 -rect 8110 9392 8116 9444 -rect 8168 9432 8174 9444 -rect 9508 9432 9536 9463 -rect 8168 9404 9536 9432 -rect 8168 9392 8174 9404 -rect 8128 9364 8156 9392 -rect 6748 9336 8156 9364 -rect 8570 9324 8576 9376 -rect 8628 9364 8634 9376 -rect 9490 9364 9496 9376 -rect 8628 9336 9496 9364 -rect 8628 9324 8634 9336 -rect 9490 9324 9496 9336 -rect 9548 9364 9554 9376 -rect 9600 9364 9628 9540 -rect 9858 9500 9864 9512 -rect 9819 9472 9864 9500 -rect 9858 9460 9864 9472 -rect 9916 9460 9922 9512 -rect 9677 9435 9735 9441 -rect 9677 9401 9689 9435 -rect 9723 9432 9735 9435 -rect 10042 9432 10048 9444 -rect 9723 9404 10048 9432 -rect 9723 9401 9735 9404 -rect 9677 9395 9735 9401 -rect 10042 9392 10048 9404 -rect 10100 9392 10106 9444 -rect 9548 9336 9628 9364 -rect 9548 9324 9554 9336 -rect 920 9274 10304 9296 +rect 2958 9324 2964 9376 +rect 3016 9364 3022 9376 +rect 3528 9364 3556 9472 +rect 3973 9469 3985 9472 +rect 4019 9469 4031 9503 +rect 3973 9463 4031 9469 +rect 5166 9460 5172 9512 +rect 5224 9500 5230 9512 +rect 5445 9503 5503 9509 +rect 5445 9500 5457 9503 +rect 5224 9472 5457 9500 +rect 5224 9460 5230 9472 +rect 5445 9469 5457 9472 +rect 5491 9469 5503 9503 +rect 5445 9463 5503 9469 +rect 5810 9460 5816 9512 +rect 5868 9500 5874 9512 +rect 6450 9503 6508 9509 +rect 6450 9500 6462 9503 +rect 5868 9472 6462 9500 +rect 5868 9460 5874 9472 +rect 6450 9469 6462 9472 +rect 6496 9469 6508 9503 +rect 6450 9463 6508 9469 +rect 6549 9503 6607 9509 +rect 6549 9469 6561 9503 +rect 6595 9469 6607 9503 +rect 8036 9500 8064 9540 +rect 8294 9528 8300 9580 +rect 8352 9568 8358 9580 +rect 9324 9577 9352 9676 +rect 8573 9571 8631 9577 +rect 8573 9568 8585 9571 +rect 8352 9540 8585 9568 +rect 8352 9528 8358 9540 +rect 8573 9537 8585 9540 +rect 8619 9537 8631 9571 +rect 8573 9531 8631 9537 +rect 9309 9571 9367 9577 +rect 9309 9537 9321 9571 +rect 9355 9537 9367 9571 +rect 9309 9531 9367 9537 +rect 9490 9528 9496 9580 +rect 9548 9568 9554 9580 +rect 9585 9571 9643 9577 +rect 9585 9568 9597 9571 +rect 9548 9540 9597 9568 +rect 9548 9528 9554 9540 +rect 9585 9537 9597 9540 +rect 9631 9537 9643 9571 +rect 9950 9568 9956 9580 +rect 9911 9540 9956 9568 +rect 9585 9531 9643 9537 +rect 9950 9528 9956 9540 +rect 10008 9528 10014 9580 +rect 8036 9472 9076 9500 +rect 6549 9463 6607 9469 +rect 4522 9392 4528 9444 +rect 4580 9392 4586 9444 +rect 6564 9432 6592 9463 +rect 6730 9432 6736 9444 +rect 6564 9404 6736 9432 +rect 6730 9392 6736 9404 +rect 6788 9392 6794 9444 +rect 6825 9435 6883 9441 +rect 6825 9401 6837 9435 +rect 6871 9432 6883 9435 +rect 7098 9432 7104 9444 +rect 6871 9404 7104 9432 +rect 6871 9401 6883 9404 +rect 6825 9395 6883 9401 +rect 7098 9392 7104 9404 +rect 7156 9392 7162 9444 +rect 7282 9392 7288 9444 +rect 7340 9392 7346 9444 +rect 3016 9336 3556 9364 +rect 3016 9324 3022 9336 +rect 4062 9324 4068 9376 +rect 4120 9364 4126 9376 +rect 5166 9364 5172 9376 +rect 4120 9336 5172 9364 +rect 4120 9324 4126 9336 +rect 5166 9324 5172 9336 +rect 5224 9324 5230 9376 +rect 5626 9324 5632 9376 +rect 5684 9364 5690 9376 +rect 5994 9364 6000 9376 +rect 5684 9336 6000 9364 +rect 5684 9324 5690 9336 +rect 5994 9324 6000 9336 +rect 6052 9364 6058 9376 +rect 8846 9364 8852 9376 +rect 6052 9336 8852 9364 +rect 6052 9324 6058 9336 +rect 8846 9324 8852 9336 +rect 8904 9324 8910 9376 +rect 9048 9364 9076 9472 +rect 9214 9460 9220 9512 +rect 9272 9500 9278 9512 +rect 9861 9503 9919 9509 +rect 9861 9500 9873 9503 +rect 9272 9472 9873 9500 +rect 9272 9460 9278 9472 +rect 9861 9469 9873 9472 +rect 9907 9469 9919 9503 +rect 9861 9463 9919 9469 +rect 9122 9392 9128 9444 +rect 9180 9432 9186 9444 +rect 9493 9435 9551 9441 +rect 9493 9432 9505 9435 +rect 9180 9404 9505 9432 +rect 9180 9392 9186 9404 +rect 9493 9401 9505 9404 +rect 9539 9401 9551 9435 +rect 9493 9395 9551 9401 +rect 10226 9364 10232 9376 +rect 9048 9336 10232 9364 +rect 10226 9324 10232 9336 +rect 10284 9324 10290 9376 +rect 920 9274 10396 9296 rect 920 9222 5066 9274 rect 5118 9222 5130 9274 rect 5182 9222 5194 9274 rect 5246 9222 5258 9274 rect 5310 9222 5322 9274 -rect 5374 9222 10304 9274 -rect 920 9200 10304 9222 -rect 1118 9120 1124 9172 -rect 1176 9160 1182 9172 -rect 1213 9163 1271 9169 -rect 1213 9160 1225 9163 -rect 1176 9132 1225 9160 -rect 1176 9120 1182 9132 -rect 1213 9129 1225 9132 -rect 1259 9129 1271 9163 -rect 4338 9160 4344 9172 -rect 1213 9123 1271 9129 -rect 1688 9132 4344 9160 -rect 1228 9024 1256 9123 -rect 1688 9101 1716 9132 -rect 4338 9120 4344 9132 -rect 4396 9120 4402 9172 -rect 7742 9120 7748 9172 -rect 7800 9120 7806 9172 -rect 9030 9160 9036 9172 -rect 8128 9132 8800 9160 -rect 8991 9132 9036 9160 -rect 1673 9095 1731 9101 -rect 1673 9061 1685 9095 -rect 1719 9061 1731 9095 -rect 1673 9055 1731 9061 -rect 2406 9052 2412 9104 -rect 2464 9092 2470 9104 -rect 2685 9095 2743 9101 -rect 2685 9092 2697 9095 -rect 2464 9064 2697 9092 -rect 2464 9052 2470 9064 -rect 2685 9061 2697 9064 -rect 2731 9061 2743 9095 +rect 5374 9222 10396 9274 +rect 920 9200 10396 9222 +rect 3970 9120 3976 9172 +rect 4028 9160 4034 9172 +rect 4028 9132 8340 9160 +rect 4028 9120 4034 9132 +rect 3234 9092 3240 9104 +rect 2700 9064 3240 9092 +rect 1302 9024 1308 9036 +rect 1263 8996 1308 9024 +rect 1302 8984 1308 8996 +rect 1360 8984 1366 9036 +rect 2700 9033 2728 9064 +rect 3234 9052 3240 9064 +rect 3292 9052 3298 9104 rect 6181 9095 6239 9101 -rect 4278 9064 6132 9092 -rect 2685 9055 2743 9061 -rect 1489 9027 1547 9033 -rect 1489 9024 1501 9027 -rect 1228 8996 1501 9024 -rect 1489 8993 1501 8996 -rect 1535 8993 1547 9027 -rect 1489 8987 1547 8993 -rect 1854 8984 1860 9036 -rect 1912 9024 1918 9036 -rect 2041 9027 2099 9033 -rect 2041 9024 2053 9027 -rect 1912 8996 2053 9024 -rect 1912 8984 1918 8996 -rect 2041 8993 2053 8996 -rect 2087 8993 2099 9027 -rect 2041 8987 2099 8993 -rect 5626 8984 5632 9036 -rect 5684 9024 5690 9036 -rect 5997 9027 6055 9033 -rect 5997 9024 6009 9027 -rect 5684 8996 6009 9024 -rect 5684 8984 5690 8996 -rect 5997 8993 6009 8996 -rect 6043 8993 6055 9027 -rect 6104 9024 6132 9064 rect 6181 9061 6193 9095 rect 6227 9092 6239 9095 -rect 6454 9092 6460 9104 -rect 6227 9064 6460 9092 +rect 6270 9092 6276 9104 +rect 6227 9064 6276 9092 rect 6227 9061 6239 9064 rect 6181 9055 6239 9061 -rect 6454 9052 6460 9064 -rect 6512 9052 6518 9104 -rect 7650 9092 7656 9104 -rect 7498 9064 7656 9092 -rect 7650 9052 7656 9064 -rect 7708 9052 7714 9104 -rect 7760 9092 7788 9120 -rect 7922 9095 7980 9101 -rect 7922 9092 7934 9095 -rect 7760 9064 7934 9092 -rect 7922 9061 7934 9064 -rect 7968 9061 7980 9095 -rect 7922 9055 7980 9061 -rect 8018 9052 8024 9104 -rect 8076 9092 8082 9104 -rect 8128 9092 8156 9132 -rect 8076 9064 8156 9092 -rect 8772 9092 8800 9132 -rect 9030 9120 9036 9132 -rect 9088 9120 9094 9172 -rect 9122 9092 9128 9104 -rect 8772 9064 9128 9092 -rect 8076 9052 8082 9064 -rect 6362 9024 6368 9036 -rect 6104 8996 6368 9024 -rect 5997 8987 6055 8993 -rect 6362 8984 6368 8996 -rect 6420 8984 6426 9036 -rect 8481 9027 8539 9033 -rect 8481 8993 8493 9027 -rect 8527 9024 8539 9027 -rect 8570 9024 8576 9036 -rect 8527 8996 8576 9024 -rect 8527 8993 8539 8996 -rect 8481 8987 8539 8993 -rect 8570 8984 8576 8996 -rect 8628 8984 8634 9036 -rect 8772 9033 8800 9064 -rect 9122 9052 9128 9064 -rect 9180 9052 9186 9104 -rect 8757 9027 8815 9033 -rect 8757 8993 8769 9027 -rect 8803 8993 8815 9027 -rect 8757 8987 8815 8993 -rect 8941 9027 8999 9033 -rect 8941 8993 8953 9027 -rect 8987 8993 8999 9027 -rect 8941 8987 8999 8993 -rect 1762 8956 1768 8968 -rect 1723 8928 1768 8956 -rect 1762 8916 1768 8928 -rect 1820 8916 1826 8968 -rect 2777 8959 2835 8965 -rect 2777 8925 2789 8959 -rect 2823 8925 2835 8959 -rect 2777 8919 2835 8925 -rect 3053 8959 3111 8965 -rect 3053 8925 3065 8959 -rect 3099 8956 3111 8959 -rect 3786 8956 3792 8968 -rect 3099 8928 3792 8956 -rect 3099 8925 3111 8928 -rect 3053 8919 3111 8925 -rect 2792 8820 2820 8919 -rect 3786 8916 3792 8928 -rect 3844 8916 3850 8968 -rect 4801 8959 4859 8965 -rect 4801 8925 4813 8959 -rect 4847 8956 4859 8959 -rect 5445 8959 5503 8965 -rect 5445 8956 5457 8959 -rect 4847 8928 5457 8956 -rect 4847 8925 4859 8928 -rect 4801 8919 4859 8925 -rect 5445 8925 5457 8928 -rect 5491 8925 5503 8959 -rect 5445 8919 5503 8925 +rect 6270 9052 6276 9064 +rect 6328 9052 6334 9104 +rect 7466 9052 7472 9104 +rect 7524 9052 7530 9104 +rect 7929 9095 7987 9101 +rect 7929 9061 7941 9095 +rect 7975 9092 7987 9095 +rect 8018 9092 8024 9104 +rect 7975 9064 8024 9092 +rect 7975 9061 7987 9064 +rect 7929 9055 7987 9061 +rect 8018 9052 8024 9064 +rect 8076 9052 8082 9104 +rect 8312 9101 8340 9132 +rect 8297 9095 8355 9101 +rect 8297 9061 8309 9095 +rect 8343 9061 8355 9095 +rect 8297 9055 8355 9061 +rect 1857 9027 1915 9033 +rect 1857 8993 1869 9027 +rect 1903 9024 1915 9027 +rect 2685 9027 2743 9033 +rect 1903 8996 2636 9024 +rect 1903 8993 1915 8996 +rect 1857 8987 1915 8993 +rect 1946 8956 1952 8968 +rect 1907 8928 1952 8956 +rect 1946 8916 1952 8928 +rect 2004 8916 2010 8968 +rect 2608 8956 2636 8996 +rect 2685 8993 2697 9027 +rect 2731 8993 2743 9027 +rect 2685 8987 2743 8993 +rect 4062 8984 4068 9036 +rect 4120 8984 4126 9036 +rect 4614 8984 4620 9036 +rect 4672 9024 4678 9036 +rect 5629 9027 5687 9033 +rect 5629 9024 5641 9027 +rect 4672 8996 5641 9024 +rect 4672 8984 4678 8996 +rect 5629 8993 5641 8996 +rect 5675 9024 5687 9027 +rect 5675 8996 6776 9024 +rect 5675 8993 5687 8996 +rect 5629 8987 5687 8993 +rect 2958 8956 2964 8968 +rect 2608 8928 2964 8956 +rect 2958 8916 2964 8928 +rect 3016 8916 3022 8968 +rect 4709 8959 4767 8965 +rect 4709 8925 4721 8959 +rect 4755 8956 4767 8959 +rect 5353 8959 5411 8965 +rect 5353 8956 5365 8959 +rect 4755 8928 5365 8956 +rect 4755 8925 4767 8928 +rect 4709 8919 4767 8925 +rect 5353 8925 5365 8928 +rect 5399 8925 5411 8959 +rect 5353 8919 5411 8925 rect 5813 8959 5871 8965 rect 5813 8925 5825 8959 rect 5859 8956 5871 8959 -rect 5902 8956 5908 8968 -rect 5859 8928 5908 8956 +rect 6270 8956 6276 8968 +rect 5859 8928 6276 8956 rect 5859 8925 5871 8928 rect 5813 8919 5871 8925 -rect 5902 8916 5908 8928 -rect 5960 8916 5966 8968 -rect 7926 8916 7932 8968 -rect 7984 8956 7990 8968 +rect 6270 8916 6276 8928 +rect 6328 8916 6334 8968 +rect 6748 8956 6776 8996 +rect 7282 8956 7288 8968 +rect 6748 8928 7288 8956 +rect 7282 8916 7288 8928 +rect 7340 8916 7346 8968 rect 8205 8959 8263 8965 -rect 8205 8956 8217 8959 -rect 7984 8928 8217 8956 -rect 7984 8916 7990 8928 -rect 8205 8925 8217 8928 +rect 8205 8925 8217 8959 rect 8251 8925 8263 8959 rect 8205 8919 8263 8925 rect 4430 8848 4436 8900 rect 4488 8888 4494 8900 -rect 5350 8888 5356 8900 -rect 4488 8860 5356 8888 +rect 6086 8888 6092 8900 +rect 4488 8860 6092 8888 rect 4488 8848 4494 8860 -rect 5350 8848 5356 8860 -rect 5408 8848 5414 8900 -rect 8956 8888 8984 8987 -rect 9030 8984 9036 9036 -rect 9088 9024 9094 9036 -rect 9398 9024 9404 9036 -rect 9088 8996 9404 9024 -rect 9088 8984 9094 8996 -rect 9398 8984 9404 8996 -rect 9456 8984 9462 9036 -rect 9677 9027 9735 9033 -rect 9677 8993 9689 9027 -rect 9723 9024 9735 9027 -rect 9766 9024 9772 9036 -rect 9723 8996 9772 9024 -rect 9723 8993 9735 8996 -rect 9677 8987 9735 8993 -rect 9766 8984 9772 8996 -rect 9824 8984 9830 9036 -rect 9122 8916 9128 8968 -rect 9180 8956 9186 8968 -rect 9861 8959 9919 8965 -rect 9861 8956 9873 8959 -rect 9180 8928 9873 8956 -rect 9180 8916 9186 8928 -rect 9861 8925 9873 8928 -rect 9907 8925 9919 8959 -rect 9861 8919 9919 8925 -rect 9398 8888 9404 8900 -rect 8128 8860 8984 8888 -rect 9060 8860 9404 8888 -rect 3050 8820 3056 8832 -rect 2792 8792 3056 8820 -rect 3050 8780 3056 8792 -rect 3108 8820 3114 8832 -rect 3418 8820 3424 8832 -rect 3108 8792 3424 8820 -rect 3108 8780 3114 8792 -rect 3418 8780 3424 8792 -rect 3476 8780 3482 8832 -rect 3786 8780 3792 8832 -rect 3844 8820 3850 8832 -rect 4893 8823 4951 8829 -rect 4893 8820 4905 8823 -rect 3844 8792 4905 8820 -rect 3844 8780 3850 8792 -rect 4893 8789 4905 8792 -rect 4939 8789 4951 8823 -rect 4893 8783 4951 8789 -rect 5534 8780 5540 8832 -rect 5592 8820 5598 8832 -rect 5629 8823 5687 8829 -rect 5629 8820 5641 8823 -rect 5592 8792 5641 8820 -rect 5592 8780 5598 8792 -rect 5629 8789 5641 8792 -rect 5675 8789 5687 8823 -rect 5629 8783 5687 8789 -rect 7374 8780 7380 8832 -rect 7432 8820 7438 8832 -rect 8128 8820 8156 8860 -rect 8386 8820 8392 8832 -rect 7432 8792 8156 8820 -rect 8347 8792 8392 8820 -rect 7432 8780 7438 8792 -rect 8386 8780 8392 8792 -rect 8444 8780 8450 8832 -rect 8478 8780 8484 8832 -rect 8536 8820 8542 8832 -rect 9060 8820 9088 8860 -rect 9398 8848 9404 8860 -rect 9456 8848 9462 8900 -rect 8536 8792 9088 8820 -rect 8536 8780 8542 8792 -rect 9122 8780 9128 8832 -rect 9180 8820 9186 8832 -rect 9309 8823 9367 8829 -rect 9309 8820 9321 8823 -rect 9180 8792 9321 8820 -rect 9180 8780 9186 8792 -rect 9309 8789 9321 8792 -rect 9355 8789 9367 8823 -rect 9309 8783 9367 8789 -rect 920 8730 10304 8752 +rect 6086 8848 6092 8860 +rect 6144 8848 6150 8900 +rect 6178 8848 6184 8900 +rect 6236 8888 6242 8900 +rect 8220 8888 8248 8919 +rect 8846 8888 8852 8900 +rect 6236 8860 6960 8888 +rect 8220 8860 8852 8888 +rect 6236 8848 6242 8860 +rect 2314 8780 2320 8832 +rect 2372 8820 2378 8832 +rect 2593 8823 2651 8829 +rect 2593 8820 2605 8823 +rect 2372 8792 2605 8820 +rect 2372 8780 2378 8792 +rect 2593 8789 2605 8792 +rect 2639 8789 2651 8823 +rect 2593 8783 2651 8789 +rect 3142 8780 3148 8832 +rect 3200 8820 3206 8832 +rect 4246 8820 4252 8832 +rect 3200 8792 4252 8820 +rect 3200 8780 3206 8792 +rect 4246 8780 4252 8792 +rect 4304 8780 4310 8832 +rect 4798 8820 4804 8832 +rect 4759 8792 4804 8820 +rect 4798 8780 4804 8792 +rect 4856 8780 4862 8832 +rect 5997 8823 6055 8829 +rect 5997 8789 6009 8823 +rect 6043 8820 6055 8823 +rect 6730 8820 6736 8832 +rect 6043 8792 6736 8820 +rect 6043 8789 6055 8792 +rect 5997 8783 6055 8789 +rect 6730 8780 6736 8792 +rect 6788 8780 6794 8832 +rect 6932 8820 6960 8860 +rect 8846 8848 8852 8860 +rect 8904 8888 8910 8900 +rect 9585 8891 9643 8897 +rect 9585 8888 9597 8891 +rect 8904 8860 9597 8888 +rect 8904 8848 8910 8860 +rect 9585 8857 9597 8860 +rect 9631 8857 9643 8891 +rect 9585 8851 9643 8857 +rect 7374 8820 7380 8832 +rect 6932 8792 7380 8820 +rect 7374 8780 7380 8792 +rect 7432 8780 7438 8832 +rect 7466 8780 7472 8832 +rect 7524 8820 7530 8832 +rect 9766 8820 9772 8832 +rect 7524 8792 9772 8820 +rect 7524 8780 7530 8792 +rect 9766 8780 9772 8792 +rect 9824 8780 9830 8832 +rect 920 8730 10396 8752 rect 920 8678 2566 8730 rect 2618 8678 2630 8730 rect 2682 8678 2694 8730 @@ -1742,344 +1685,378 @@ rect 7618 8678 7630 8730 rect 7682 8678 7694 8730 rect 7746 8678 7758 8730 rect 7810 8678 7822 8730 -rect 7874 8678 10304 8730 -rect 920 8656 10304 8678 -rect 1305 8619 1363 8625 -rect 1305 8585 1317 8619 -rect 1351 8616 1363 8619 -rect 1394 8616 1400 8628 -rect 1351 8588 1400 8616 -rect 1351 8585 1363 8588 -rect 1305 8579 1363 8585 -rect 1394 8576 1400 8588 -rect 1452 8576 1458 8628 -rect 6089 8619 6147 8625 -rect 3068 8588 6040 8616 -rect 2682 8480 2688 8492 -rect 2643 8452 2688 8480 -rect 2682 8440 2688 8452 -rect 2740 8440 2746 8492 -rect 1210 8412 1216 8424 -rect 1171 8384 1216 8412 -rect 1210 8372 1216 8384 -rect 1268 8372 1274 8424 -rect 3068 8421 3096 8588 -rect 5442 8548 5448 8560 -rect 5000 8520 5448 8548 -rect 3053 8415 3111 8421 -rect 3053 8381 3065 8415 -rect 3099 8381 3111 8415 -rect 3053 8375 3111 8381 +rect 7874 8678 10396 8730 +rect 920 8656 10396 8678 +rect 2133 8619 2191 8625 +rect 2133 8585 2145 8619 +rect 2179 8616 2191 8619 +rect 3970 8616 3976 8628 +rect 2179 8588 3976 8616 +rect 2179 8585 2191 8588 +rect 2133 8579 2191 8585 +rect 3970 8576 3976 8588 +rect 4028 8576 4034 8628 +rect 4522 8576 4528 8628 +rect 4580 8616 4586 8628 +rect 5718 8616 5724 8628 +rect 4580 8588 5724 8616 +rect 4580 8576 4586 8588 +rect 5718 8576 5724 8588 +rect 5776 8576 5782 8628 +rect 8662 8616 8668 8628 +rect 5828 8588 8668 8616 +rect 3786 8548 3792 8560 +rect 3620 8520 3792 8548 +rect 1397 8483 1455 8489 +rect 1397 8449 1409 8483 +rect 1443 8480 1455 8483 +rect 3142 8480 3148 8492 +rect 1443 8452 3148 8480 +rect 1443 8449 1455 8452 +rect 1397 8443 1455 8449 +rect 3142 8440 3148 8452 +rect 3200 8440 3206 8492 +rect 3620 8480 3648 8520 +rect 3786 8508 3792 8520 +rect 3844 8508 3850 8560 +rect 4430 8508 4436 8560 +rect 4488 8548 4494 8560 +rect 5828 8548 5856 8588 +rect 8662 8576 8668 8588 +rect 8720 8576 8726 8628 +rect 9674 8576 9680 8628 +rect 9732 8616 9738 8628 +rect 9861 8619 9919 8625 +rect 9861 8616 9873 8619 +rect 9732 8588 9873 8616 +rect 9732 8576 9738 8588 +rect 9861 8585 9873 8588 +rect 9907 8585 9919 8619 +rect 9861 8579 9919 8585 +rect 4488 8520 5856 8548 +rect 4488 8508 4494 8520 +rect 8294 8508 8300 8560 +rect 8352 8548 8358 8560 +rect 8757 8551 8815 8557 +rect 8757 8548 8769 8551 +rect 8352 8520 8769 8548 +rect 8352 8508 8358 8520 +rect 8757 8517 8769 8520 +rect 8803 8517 8815 8551 +rect 10226 8548 10232 8560 +rect 8757 8511 8815 8517 +rect 9692 8520 10232 8548 +rect 5442 8480 5448 8492 +rect 3436 8452 3648 8480 +rect 5403 8452 5448 8480 +rect 1581 8415 1639 8421 +rect 1581 8381 1593 8415 +rect 1627 8412 1639 8415 +rect 2130 8412 2136 8424 +rect 1627 8384 2136 8412 +rect 1627 8381 1639 8384 +rect 1581 8375 1639 8381 +rect 2130 8372 2136 8384 +rect 2188 8372 2194 8424 +rect 3436 8421 3464 8452 +rect 5442 8440 5448 8452 +rect 5500 8440 5506 8492 +rect 5994 8440 6000 8492 +rect 6052 8480 6058 8492 +rect 6273 8483 6331 8489 +rect 6273 8480 6285 8483 +rect 6052 8452 6285 8480 +rect 6052 8440 6058 8452 +rect 6273 8449 6285 8452 +rect 6319 8480 6331 8483 +rect 6546 8480 6552 8492 +rect 6319 8452 6552 8480 +rect 6319 8449 6331 8452 +rect 6273 8443 6331 8449 +rect 6546 8440 6552 8452 +rect 6604 8480 6610 8492 +rect 8846 8480 8852 8492 +rect 6604 8452 8852 8480 +rect 6604 8440 6610 8452 +rect 8846 8440 8852 8452 +rect 8904 8440 8910 8492 +rect 9692 8489 9720 8520 +rect 10226 8508 10232 8520 +rect 10284 8508 10290 8560 +rect 9677 8483 9735 8489 +rect 9677 8449 9689 8483 +rect 9723 8449 9735 8483 +rect 9677 8443 9735 8449 +rect 3421 8415 3479 8421 +rect 3421 8381 3433 8415 +rect 3467 8381 3479 8415 rect 3605 8415 3663 8421 -rect 3605 8381 3617 8415 +rect 3605 8412 3617 8415 +rect 3421 8375 3479 8381 +rect 3528 8384 3617 8412 +rect 1213 8347 1271 8353 +rect 1213 8313 1225 8347 +rect 1259 8344 1271 8347 +rect 1302 8344 1308 8356 +rect 1259 8316 1308 8344 +rect 1259 8313 1271 8316 +rect 1213 8307 1271 8313 +rect 1302 8304 1308 8316 +rect 1360 8304 1366 8356 +rect 1486 8304 1492 8356 +rect 1544 8344 1550 8356 +rect 3528 8344 3556 8384 +rect 3605 8381 3617 8384 rect 3651 8381 3663 8415 -rect 5000 8398 5028 8520 -rect 5442 8508 5448 8520 -rect 5500 8548 5506 8560 -rect 5902 8548 5908 8560 -rect 5500 8520 5908 8548 -rect 5500 8508 5506 8520 -rect 5902 8508 5908 8520 -rect 5960 8508 5966 8560 -rect 5350 8440 5356 8492 -rect 5408 8480 5414 8492 -rect 5721 8483 5779 8489 -rect 5721 8480 5733 8483 -rect 5408 8452 5733 8480 -rect 5408 8440 5414 8452 -rect 5721 8449 5733 8452 -rect 5767 8449 5779 8483 -rect 5721 8443 5779 8449 -rect 5902 8412 5908 8424 -rect 5863 8384 5908 8412 rect 3605 8375 3663 8381 -rect 3050 8236 3056 8288 -rect 3108 8276 3114 8288 -rect 3620 8276 3648 8375 -rect 5902 8372 5908 8384 -rect 5960 8372 5966 8424 -rect 3878 8344 3884 8356 -rect 3839 8316 3884 8344 -rect 3878 8304 3884 8316 -rect 3936 8304 3942 8356 -rect 5626 8344 5632 8356 -rect 5587 8316 5632 8344 -rect 5626 8304 5632 8316 -rect 5684 8304 5690 8356 -rect 6012 8344 6040 8588 -rect 6089 8585 6101 8619 -rect 6135 8616 6147 8619 -rect 7834 8616 7840 8628 -rect 6135 8588 7840 8616 -rect 6135 8585 6147 8588 -rect 6089 8579 6147 8585 -rect 7834 8576 7840 8588 -rect 7892 8576 7898 8628 -rect 8018 8508 8024 8560 -rect 8076 8548 8082 8560 -rect 8481 8551 8539 8557 -rect 8481 8548 8493 8551 -rect 8076 8520 8493 8548 -rect 8076 8508 8082 8520 -rect 8481 8517 8493 8520 -rect 8527 8517 8539 8551 -rect 8481 8511 8539 8517 -rect 9214 8508 9220 8560 -rect 9272 8548 9278 8560 -rect 9582 8548 9588 8560 -rect 9272 8520 9588 8548 -rect 9272 8508 9278 8520 -rect 9582 8508 9588 8520 -rect 9640 8508 9646 8560 -rect 6178 8480 6184 8492 -rect 6139 8452 6184 8480 -rect 6178 8440 6184 8452 -rect 6236 8440 6242 8492 -rect 6457 8483 6515 8489 -rect 6457 8449 6469 8483 -rect 6503 8480 6515 8483 -rect 7098 8480 7104 8492 -rect 6503 8452 7104 8480 -rect 6503 8449 6515 8452 -rect 6457 8443 6515 8449 -rect 7098 8440 7104 8452 -rect 7156 8440 7162 8492 -rect 8205 8483 8263 8489 -rect 8205 8449 8217 8483 -rect 8251 8480 8263 8483 -rect 9309 8483 9367 8489 -rect 9309 8480 9321 8483 -rect 8251 8452 9321 8480 -rect 8251 8449 8263 8452 -rect 8205 8443 8263 8449 -rect 9309 8449 9321 8452 -rect 9355 8449 9367 8483 -rect 9309 8443 9367 8449 -rect 7558 8372 7564 8424 -rect 7616 8412 7622 8424 -rect 8018 8412 8024 8424 -rect 7616 8384 8024 8412 -rect 7616 8372 7622 8384 -rect 8018 8372 8024 8384 -rect 8076 8372 8082 8424 -rect 8294 8412 8300 8424 -rect 8255 8384 8300 8412 -rect 8294 8372 8300 8384 -rect 8352 8412 8358 8424 -rect 8570 8412 8576 8424 -rect 8352 8384 8576 8412 -rect 8352 8372 8358 8384 -rect 8570 8372 8576 8384 -rect 8628 8372 8634 8424 -rect 9493 8415 9551 8421 -rect 9493 8381 9505 8415 -rect 9539 8412 9551 8415 -rect 9582 8412 9588 8424 -rect 9539 8384 9588 8412 -rect 9539 8381 9551 8384 -rect 9493 8375 9551 8381 -rect 9582 8372 9588 8384 -rect 9640 8372 9646 8424 -rect 9677 8415 9735 8421 -rect 9677 8381 9689 8415 -rect 9723 8412 9735 8415 -rect 10410 8412 10416 8424 -rect 9723 8384 10416 8412 -rect 9723 8381 9735 8384 -rect 9677 8375 9735 8381 -rect 10410 8372 10416 8384 -rect 10468 8372 10474 8424 -rect 6012 8316 6868 8344 -rect 3108 8248 3648 8276 -rect 3108 8236 3114 8248 -rect 4154 8236 4160 8288 -rect 4212 8276 4218 8288 -rect 4522 8276 4528 8288 -rect 4212 8248 4528 8276 -rect 4212 8236 4218 8248 -rect 4522 8236 4528 8248 -rect 4580 8236 4586 8288 -rect 6840 8276 6868 8316 -rect 7834 8304 7840 8356 -rect 7892 8344 7898 8356 -rect 8757 8347 8815 8353 -rect 8757 8344 8769 8347 -rect 7892 8316 8769 8344 -rect 7892 8304 7898 8316 -rect 8757 8313 8769 8316 -rect 8803 8313 8815 8347 -rect 9858 8344 9864 8356 -rect 9819 8316 9864 8344 -rect 8757 8307 8815 8313 -rect 9858 8304 9864 8316 -rect 9916 8304 9922 8356 -rect 11054 8304 11060 8356 -rect 11112 8344 11118 8356 -rect 13814 8344 13820 8356 -rect 11112 8316 13820 8344 -rect 11112 8304 11118 8316 -rect 13814 8304 13820 8316 -rect 13872 8304 13878 8356 -rect 8294 8276 8300 8288 -rect 6840 8248 8300 8276 -rect 8294 8236 8300 8248 -rect 8352 8236 8358 8288 -rect 920 8186 10304 8208 +rect 3786 8372 3792 8424 +rect 3844 8412 3850 8424 +rect 4065 8415 4123 8421 +rect 4065 8412 4077 8415 +rect 3844 8384 4077 8412 +rect 3844 8372 3850 8384 +rect 4065 8381 4077 8384 +rect 4111 8381 4123 8415 +rect 6178 8412 6184 8424 +rect 6139 8384 6184 8412 +rect 4065 8375 4123 8381 +rect 6178 8372 6184 8384 +rect 6236 8372 6242 8424 +rect 7926 8372 7932 8424 +rect 7984 8412 7990 8424 +rect 8389 8415 8447 8421 +rect 8389 8412 8401 8415 +rect 7984 8384 8401 8412 +rect 7984 8372 7990 8384 +rect 8389 8381 8401 8384 +rect 8435 8381 8447 8415 +rect 8389 8375 8447 8381 +rect 8478 8372 8484 8424 +rect 8536 8412 8542 8424 +rect 8754 8412 8760 8424 +rect 8536 8384 8760 8412 +rect 8536 8372 8542 8384 +rect 8754 8372 8760 8384 +rect 8812 8372 8818 8424 +rect 9309 8415 9367 8421 +rect 9309 8381 9321 8415 +rect 9355 8381 9367 8415 +rect 9309 8375 9367 8381 +rect 1544 8316 3556 8344 +rect 3881 8347 3939 8353 +rect 1544 8304 1550 8316 +rect 3881 8313 3893 8347 +rect 3927 8344 3939 8347 +rect 4246 8344 4252 8356 +rect 3927 8316 4252 8344 +rect 3927 8313 3939 8316 +rect 3881 8307 3939 8313 +rect 4246 8304 4252 8316 +rect 4304 8304 4310 8356 +rect 6546 8344 6552 8356 +rect 5184 8316 5396 8344 +rect 6507 8316 6552 8344 +rect 3326 8236 3332 8288 +rect 3384 8276 3390 8288 +rect 5184 8276 5212 8316 +rect 3384 8248 5212 8276 +rect 5368 8276 5396 8316 +rect 6546 8304 6552 8316 +rect 6604 8304 6610 8356 +rect 7558 8304 7564 8356 +rect 7616 8304 7622 8356 +rect 8297 8347 8355 8353 +rect 8297 8313 8309 8347 +rect 8343 8344 8355 8347 +rect 9324 8344 9352 8375 +rect 9766 8372 9772 8424 +rect 9824 8412 9830 8424 +rect 9953 8415 10011 8421 +rect 9953 8412 9965 8415 +rect 9824 8384 9965 8412 +rect 9824 8372 9830 8384 +rect 9953 8381 9965 8384 +rect 9999 8381 10011 8415 +rect 9953 8375 10011 8381 +rect 8343 8316 9352 8344 +rect 8343 8313 8355 8316 +rect 8297 8307 8355 8313 +rect 7834 8276 7840 8288 +rect 5368 8248 7840 8276 +rect 3384 8236 3390 8248 +rect 7834 8236 7840 8248 +rect 7892 8236 7898 8288 +rect 8478 8276 8484 8288 +rect 8439 8248 8484 8276 +rect 8478 8236 8484 8248 +rect 8536 8236 8542 8288 +rect 920 8186 10396 8208 rect 920 8134 5066 8186 rect 5118 8134 5130 8186 rect 5182 8134 5194 8186 rect 5246 8134 5258 8186 rect 5310 8134 5322 8186 -rect 5374 8134 10304 8186 -rect 920 8112 10304 8134 -rect 4154 8072 4160 8084 -rect 3344 8044 4160 8072 -rect 2958 8004 2964 8016 -rect 2919 7976 2964 8004 -rect 2958 7964 2964 7976 -rect 3016 7964 3022 8016 -rect 3344 8013 3372 8044 -rect 4154 8032 4160 8044 -rect 4212 8032 4218 8084 -rect 5718 8072 5724 8084 -rect 4816 8044 5724 8072 -rect 3329 8007 3387 8013 -rect 3329 7973 3341 8007 -rect 3375 7973 3387 8007 -rect 4816 8004 4844 8044 -rect 5718 8032 5724 8044 -rect 5776 8032 5782 8084 -rect 6914 8032 6920 8084 -rect 6972 8072 6978 8084 -rect 7285 8075 7343 8081 -rect 7285 8072 7297 8075 -rect 6972 8044 7297 8072 -rect 6972 8032 6978 8044 -rect 7285 8041 7297 8044 -rect 7331 8041 7343 8075 -rect 7285 8035 7343 8041 -rect 8294 8032 8300 8084 -rect 8352 8072 8358 8084 -rect 9869 8075 9927 8081 -rect 9869 8072 9881 8075 -rect 8352 8044 9881 8072 -rect 8352 8032 8358 8044 -rect 9869 8041 9881 8044 -rect 9915 8041 9927 8075 -rect 9869 8035 9927 8041 -rect 4554 7976 4844 8004 -rect 3329 7967 3387 7973 -rect 4890 7964 4896 8016 -rect 4948 8004 4954 8016 -rect 4948 7976 7512 8004 -rect 4948 7964 4954 7976 -rect 5626 7896 5632 7948 -rect 5684 7936 5690 7948 -rect 5721 7939 5779 7945 -rect 5721 7936 5733 7939 -rect 5684 7908 5733 7936 -rect 5684 7896 5690 7908 -rect 5721 7905 5733 7908 -rect 5767 7905 5779 7939 -rect 5902 7936 5908 7948 -rect 5863 7908 5908 7936 -rect 5721 7899 5779 7905 -rect 5902 7896 5908 7908 -rect 5960 7896 5966 7948 -rect 6178 7936 6184 7948 -rect 6139 7908 6184 7936 -rect 6178 7896 6184 7908 -rect 6236 7896 6242 7948 -rect 6362 7896 6368 7948 -rect 6420 7936 6426 7948 -rect 7374 7936 7380 7948 -rect 6420 7908 7380 7936 -rect 6420 7896 6426 7908 -rect 7374 7896 7380 7908 -rect 7432 7896 7438 7948 -rect 7484 7945 7512 7976 -rect 8846 7964 8852 8016 -rect 8904 7964 8910 8016 -rect 7469 7939 7527 7945 -rect 7469 7905 7481 7939 -rect 7515 7905 7527 7939 -rect 7834 7936 7840 7948 -rect 7795 7908 7840 7936 -rect 7469 7899 7527 7905 -rect 7834 7896 7840 7908 -rect 7892 7896 7898 7948 -rect 9309 7939 9367 7945 -rect 9309 7905 9321 7939 -rect 9355 7936 9367 7939 -rect 9858 7936 9864 7948 -rect 9355 7908 9864 7936 -rect 9355 7905 9367 7908 -rect 9309 7899 9367 7905 -rect 9858 7896 9864 7908 -rect 9916 7896 9922 7948 -rect 3050 7868 3056 7880 -rect 3011 7840 3056 7868 -rect 3050 7828 3056 7840 -rect 3108 7828 3114 7880 -rect 4890 7828 4896 7880 -rect 4948 7868 4954 7880 -rect 5077 7871 5135 7877 -rect 5077 7868 5089 7871 -rect 4948 7840 5089 7868 -rect 4948 7828 4954 7840 -rect 5077 7837 5089 7840 -rect 5123 7837 5135 7871 -rect 5077 7831 5135 7837 -rect 5994 7828 6000 7880 -rect 6052 7868 6058 7880 -rect 6270 7868 6276 7880 -rect 6052 7840 6276 7868 -rect 6052 7828 6058 7840 -rect 6270 7828 6276 7840 -rect 6328 7828 6334 7880 -rect 6454 7868 6460 7880 -rect 6415 7840 6460 7868 -rect 6454 7828 6460 7840 -rect 6512 7828 6518 7880 -rect 6914 7828 6920 7880 -rect 6972 7868 6978 7880 -rect 7852 7868 7880 7896 -rect 6972 7840 7880 7868 -rect 6972 7828 6978 7840 -rect 1673 7735 1731 7741 -rect 1673 7701 1685 7735 -rect 1719 7732 1731 7735 -rect 2038 7732 2044 7744 -rect 1719 7704 2044 7732 -rect 1719 7701 1731 7704 -rect 1673 7695 1731 7701 -rect 2038 7692 2044 7704 -rect 2096 7692 2102 7744 -rect 3970 7692 3976 7744 -rect 4028 7732 4034 7744 -rect 5169 7735 5227 7741 -rect 5169 7732 5181 7735 -rect 4028 7704 5181 7732 -rect 4028 7692 4034 7704 -rect 5169 7701 5181 7704 -rect 5215 7701 5227 7735 +rect 5374 8134 10396 8186 +rect 920 8112 10396 8134 +rect 1305 8075 1363 8081 +rect 1305 8041 1317 8075 +rect 1351 8072 1363 8075 +rect 1351 8044 7604 8072 +rect 1351 8041 1363 8044 +rect 1305 8035 1363 8041 +rect 2222 7964 2228 8016 +rect 2280 8004 2286 8016 +rect 2280 7976 3556 8004 +rect 2280 7964 2286 7976 +rect 1397 7939 1455 7945 +rect 1397 7905 1409 7939 +rect 1443 7936 1455 7939 +rect 1762 7936 1768 7948 +rect 1443 7908 1768 7936 +rect 1443 7905 1455 7908 +rect 1397 7899 1455 7905 +rect 1762 7896 1768 7908 +rect 1820 7896 1826 7948 +rect 3326 7936 3332 7948 +rect 3287 7908 3332 7936 +rect 3326 7896 3332 7908 +rect 3384 7896 3390 7948 +rect 3528 7936 3556 7976 +rect 4614 7964 4620 8016 +rect 4672 7964 4678 8016 +rect 6270 7964 6276 8016 +rect 6328 8004 6334 8016 +rect 7466 8004 7472 8016 +rect 6328 7976 7472 8004 +rect 6328 7964 6334 7976 +rect 3528 7908 4016 7936 +rect 1118 7828 1124 7880 +rect 1176 7868 1182 7880 +rect 3513 7871 3571 7877 +rect 3513 7868 3525 7871 +rect 1176 7840 3525 7868 +rect 1176 7828 1182 7840 +rect 3513 7837 3525 7840 +rect 3559 7837 3571 7871 +rect 3881 7871 3939 7877 +rect 3881 7868 3893 7871 +rect 3513 7831 3571 7837 +rect 3620 7840 3893 7868 +rect 2498 7800 2504 7812 +rect 2459 7772 2504 7800 +rect 2498 7760 2504 7772 +rect 2556 7760 2562 7812 +rect 3142 7692 3148 7744 +rect 3200 7732 3206 7744 +rect 3620 7732 3648 7840 +rect 3881 7837 3893 7840 +rect 3927 7837 3939 7871 +rect 3988 7868 4016 7908 +rect 4890 7896 4896 7948 +rect 4948 7936 4954 7948 +rect 7024 7945 7052 7976 +rect 7466 7964 7472 7976 +rect 7524 7964 7530 8016 +rect 5353 7939 5411 7945 +rect 5353 7936 5365 7939 +rect 4948 7908 5365 7936 +rect 4948 7896 4954 7908 +rect 5353 7905 5365 7908 +rect 5399 7905 5411 7939 +rect 5353 7899 5411 7905 +rect 7009 7939 7067 7945 +rect 7009 7905 7021 7939 +rect 7055 7905 7067 7939 +rect 7282 7936 7288 7948 +rect 7243 7908 7288 7936 +rect 7009 7899 7067 7905 +rect 7282 7896 7288 7908 +rect 7340 7896 7346 7948 +rect 7576 7945 7604 8044 +rect 7834 8032 7840 8084 +rect 7892 8072 7898 8084 +rect 9961 8075 10019 8081 +rect 9961 8072 9973 8075 +rect 7892 8044 9973 8072 +rect 7892 8032 7898 8044 +rect 9961 8041 9973 8044 +rect 10007 8041 10019 8075 +rect 9961 8035 10019 8041 +rect 9674 8004 9680 8016 +rect 9062 7976 9680 8004 +rect 9674 7964 9680 7976 +rect 9732 7964 9738 8016 +rect 7561 7939 7619 7945 +rect 7561 7905 7573 7939 +rect 7607 7905 7619 7939 +rect 9398 7936 9404 7948 +rect 9359 7908 9404 7936 +rect 7561 7899 7619 7905 +rect 9398 7896 9404 7908 +rect 9456 7896 9462 7948 +rect 4246 7868 4252 7880 +rect 3988 7840 4252 7868 +rect 3881 7831 3939 7837 +rect 4246 7828 4252 7840 +rect 4304 7828 4310 7880 +rect 5626 7828 5632 7880 +rect 5684 7868 5690 7880 +rect 6181 7871 6239 7877 +rect 6181 7868 6193 7871 +rect 5684 7840 6193 7868 +rect 5684 7828 5690 7840 +rect 6181 7837 6193 7840 +rect 6227 7837 6239 7871 +rect 6181 7831 6239 7837 +rect 7929 7871 7987 7877 +rect 7929 7837 7941 7871 +rect 7975 7868 7987 7871 +rect 8294 7868 8300 7880 +rect 7975 7840 8300 7868 +rect 7975 7837 7987 7840 +rect 7929 7831 7987 7837 +rect 8294 7828 8300 7840 +rect 8352 7828 8358 7880 +rect 6546 7760 6552 7812 +rect 6604 7800 6610 7812 +rect 6825 7803 6883 7809 +rect 6825 7800 6837 7803 +rect 6604 7772 6837 7800 +rect 6604 7760 6610 7772 +rect 6825 7769 6837 7772 +rect 6871 7800 6883 7803 +rect 6871 7772 7236 7800 +rect 6871 7769 6883 7772 +rect 6825 7763 6883 7769 +rect 4798 7732 4804 7744 +rect 3200 7704 4804 7732 +rect 3200 7692 3206 7704 +rect 4798 7692 4804 7704 +rect 4856 7692 4862 7744 +rect 5917 7735 5975 7741 +rect 5917 7701 5929 7735 +rect 5963 7732 5975 7735 rect 6270 7732 6276 7744 -rect 6231 7704 6276 7732 -rect 5169 7695 5227 7701 +rect 5963 7704 6276 7732 +rect 5963 7701 5975 7704 +rect 5917 7695 5975 7701 rect 6270 7692 6276 7704 rect 6328 7692 6334 7744 -rect 7098 7732 7104 7744 -rect 7059 7704 7104 7732 -rect 7098 7692 7104 7704 -rect 7156 7692 7162 7744 -rect 7374 7692 7380 7744 -rect 7432 7732 7438 7744 +rect 7208 7732 7236 7772 +rect 7282 7760 7288 7812 +rect 7340 7800 7346 7812 +rect 7377 7803 7435 7809 +rect 7377 7800 7389 7803 +rect 7340 7772 7389 7800 +rect 7340 7760 7346 7772 +rect 7377 7769 7389 7772 +rect 7423 7769 7435 7803 +rect 7377 7763 7435 7769 rect 8202 7732 8208 7744 -rect 7432 7704 8208 7732 -rect 7432 7692 7438 7704 +rect 7208 7704 8208 7732 rect 8202 7692 8208 7704 rect 8260 7692 8266 7744 -rect 920 7642 10304 7664 +rect 920 7642 10396 7664 rect 920 7590 2566 7642 rect 2618 7590 2630 7642 rect 2682 7590 2694 7642 @@ -2090,412 +2067,363 @@ rect 7618 7590 7630 7642 rect 7682 7590 7694 7642 rect 7746 7590 7758 7642 rect 7810 7590 7822 7642 -rect 7874 7590 10304 7642 -rect 920 7568 10304 7590 -rect 1660 7531 1718 7537 -rect 1660 7497 1672 7531 -rect 1706 7528 1718 7531 -rect 3970 7528 3976 7540 -rect 1706 7500 3976 7528 -rect 1706 7497 1718 7500 -rect 1660 7491 1718 7497 -rect 3970 7488 3976 7500 -rect 4028 7488 4034 7540 -rect 6822 7528 6828 7540 -rect 6472 7500 6828 7528 -rect 1302 7460 1308 7472 -rect 1263 7432 1308 7460 -rect 1302 7420 1308 7432 -rect 1360 7420 1366 7472 -rect 5718 7420 5724 7472 -rect 5776 7460 5782 7472 -rect 6273 7463 6331 7469 -rect 6273 7460 6285 7463 -rect 5776 7432 6285 7460 -rect 5776 7420 5782 7432 -rect 6273 7429 6285 7432 -rect 6319 7429 6331 7463 -rect 6273 7423 6331 7429 +rect 7874 7590 10396 7642 +rect 920 7568 10396 7590 +rect 2682 7488 2688 7540 +rect 2740 7528 2746 7540 +rect 3789 7531 3847 7537 +rect 3789 7528 3801 7531 +rect 2740 7500 3801 7528 +rect 2740 7488 2746 7500 +rect 3789 7497 3801 7500 +rect 3835 7528 3847 7531 +rect 4062 7528 4068 7540 +rect 3835 7500 4068 7528 +rect 3835 7497 3847 7500 +rect 3789 7491 3847 7497 +rect 4062 7488 4068 7500 +rect 4120 7488 4126 7540 +rect 4154 7488 4160 7540 +rect 4212 7528 4218 7540 +rect 4212 7500 8524 7528 +rect 4212 7488 4218 7500 +rect 3694 7420 3700 7472 +rect 3752 7460 3758 7472 +rect 4706 7460 4712 7472 +rect 3752 7432 4712 7460 +rect 3752 7420 3758 7432 +rect 4706 7420 4712 7432 +rect 4764 7420 4770 7472 +rect 6178 7469 6184 7472 +rect 6173 7460 6184 7469 +rect 6139 7432 6184 7460 +rect 6173 7423 6184 7432 +rect 6178 7420 6184 7423 +rect 6236 7420 6242 7472 +rect 8496 7460 8524 7500 +rect 8570 7488 8576 7540 +rect 8628 7528 8634 7540 +rect 8849 7531 8907 7537 +rect 8849 7528 8861 7531 +rect 8628 7500 8861 7528 +rect 8628 7488 8634 7500 +rect 8849 7497 8861 7500 +rect 8895 7497 8907 7531 +rect 8849 7491 8907 7497 +rect 8496 7432 8708 7460 rect 1397 7395 1455 7401 rect 1397 7361 1409 7395 rect 1443 7392 1455 7395 -rect 3050 7392 3056 7404 -rect 1443 7364 3056 7392 +rect 1946 7392 1952 7404 +rect 1443 7364 1952 7392 rect 1443 7361 1455 7364 rect 1397 7355 1455 7361 -rect 3050 7352 3056 7364 -rect 3108 7352 3114 7404 -rect 3970 7352 3976 7404 -rect 4028 7392 4034 7404 -rect 4028 7364 4073 7392 -rect 4028 7352 4034 7364 -rect 6086 7352 6092 7404 -rect 6144 7352 6150 7404 -rect 3602 7324 3608 7336 -rect 3563 7296 3608 7324 -rect 3602 7284 3608 7296 -rect 3660 7284 3666 7336 -rect 5445 7327 5503 7333 -rect 5445 7293 5457 7327 -rect 5491 7324 5503 7327 -rect 5534 7324 5540 7336 -rect 5491 7296 5540 7324 -rect 5491 7293 5503 7296 -rect 5445 7287 5503 7293 -rect 5534 7284 5540 7296 -rect 5592 7284 5598 7336 -rect 2314 7216 2320 7268 -rect 2372 7216 2378 7268 -rect 3418 7256 3424 7268 -rect 3379 7228 3424 7256 -rect 3418 7216 3424 7228 -rect 3476 7216 3482 7268 -rect 4430 7216 4436 7268 -rect 4488 7216 4494 7268 -rect 6104 7256 6132 7352 -rect 6472 7333 6500 7500 -rect 6822 7488 6828 7500 -rect 6880 7528 6886 7540 -rect 8294 7528 8300 7540 -rect 6880 7500 8300 7528 -rect 6880 7488 6886 7500 -rect 8294 7488 8300 7500 -rect 8352 7528 8358 7540 -rect 8570 7528 8576 7540 -rect 8352 7500 8576 7528 -rect 8352 7488 8358 7500 -rect 8570 7488 8576 7500 -rect 8628 7488 8634 7540 -rect 8849 7531 8907 7537 -rect 8849 7497 8861 7531 -rect 8895 7528 8907 7531 -rect 9214 7528 9220 7540 -rect 8895 7500 9220 7528 -rect 8895 7497 8907 7500 -rect 8849 7491 8907 7497 -rect 9214 7488 9220 7500 -rect 9272 7488 9278 7540 -rect 6825 7395 6883 7401 -rect 6825 7361 6837 7395 -rect 6871 7392 6883 7395 -rect 6914 7392 6920 7404 -rect 6871 7364 6920 7392 -rect 6871 7361 6883 7364 -rect 6825 7355 6883 7361 -rect 6914 7352 6920 7364 -rect 6972 7352 6978 7404 -rect 8018 7352 8024 7404 -rect 8076 7352 8082 7404 -rect 8570 7352 8576 7404 -rect 8628 7392 8634 7404 -rect 9677 7395 9735 7401 -rect 9677 7392 9689 7395 -rect 8628 7364 9689 7392 -rect 8628 7352 8634 7364 -rect 9677 7361 9689 7364 -rect 9723 7392 9735 7395 -rect 9723 7364 12434 7392 -rect 9723 7361 9735 7364 -rect 9677 7355 9735 7361 -rect 6457 7327 6515 7333 -rect 6457 7293 6469 7327 -rect 6503 7293 6515 7327 -rect 6457 7287 6515 7293 -rect 6549 7327 6607 7333 -rect 6549 7293 6561 7327 -rect 6595 7293 6607 7327 -rect 6549 7287 6607 7293 -rect 6564 7256 6592 7287 -rect 6104 7228 6592 7256 -rect 8036 7256 8064 7352 -rect 8202 7284 8208 7336 -rect 8260 7324 8266 7336 -rect 8941 7327 8999 7333 -rect 8941 7324 8953 7327 -rect 8260 7296 8953 7324 -rect 8260 7284 8266 7296 -rect 8941 7293 8953 7296 -rect 8987 7293 8999 7327 -rect 8941 7287 8999 7293 -rect 9401 7327 9459 7333 -rect 9401 7293 9413 7327 -rect 9447 7293 9459 7327 -rect 9401 7287 9459 7293 -rect 8570 7256 8576 7268 -rect 8036 7242 8156 7256 -rect 8050 7228 8156 7242 -rect 8531 7228 8576 7256 -rect 2038 7148 2044 7200 -rect 2096 7188 2102 7200 -rect 3326 7188 3332 7200 -rect 2096 7160 3332 7188 -rect 2096 7148 2102 7160 -rect 3326 7148 3332 7160 -rect 3384 7148 3390 7200 -rect 5994 7148 6000 7200 -rect 6052 7197 6058 7200 -rect 6052 7188 6063 7197 -rect 8128 7188 8156 7228 -rect 8570 7216 8576 7228 -rect 8628 7216 8634 7268 -rect 9416 7256 9444 7287 -rect 9490 7284 9496 7336 -rect 9548 7324 9554 7336 -rect 9585 7327 9643 7333 -rect 9585 7324 9597 7327 -rect 9548 7296 9597 7324 -rect 9548 7284 9554 7296 -rect 9585 7293 9597 7296 -rect 9631 7293 9643 7327 -rect 12406 7324 12434 7364 -rect 13538 7324 13544 7336 -rect 12406 7296 13544 7324 -rect 9585 7287 9643 7293 -rect 13538 7284 13544 7296 -rect 13596 7284 13602 7336 -rect 9766 7256 9772 7268 -rect 9416 7228 9772 7256 -rect 9766 7216 9772 7228 -rect 9824 7216 9830 7268 -rect 9214 7188 9220 7200 -rect 6052 7160 6097 7188 -rect 8128 7160 9220 7188 -rect 6052 7151 6063 7160 -rect 6052 7148 6058 7151 -rect 9214 7148 9220 7160 -rect 9272 7148 9278 7200 -rect 920 7098 10304 7120 +rect 1946 7352 1952 7364 +rect 2004 7352 2010 7404 +rect 3142 7392 3148 7404 +rect 3103 7364 3148 7392 +rect 3142 7352 3148 7364 +rect 3200 7352 3206 7404 +rect 3973 7395 4031 7401 +rect 3973 7361 3985 7395 +rect 4019 7392 4031 7395 +rect 5626 7392 5632 7404 +rect 4019 7364 5632 7392 +rect 4019 7361 4031 7364 +rect 3973 7355 4031 7361 +rect 5626 7352 5632 7364 +rect 5684 7352 5690 7404 +rect 5994 7392 6000 7404 +rect 5955 7364 6000 7392 +rect 5994 7352 6000 7364 +rect 6052 7352 6058 7404 +rect 8202 7392 8208 7404 +rect 8163 7364 8208 7392 +rect 8202 7352 8208 7364 +rect 8260 7352 8266 7404 +rect 8478 7352 8484 7404 +rect 8536 7392 8542 7404 +rect 8573 7395 8631 7401 +rect 8573 7392 8585 7395 +rect 8536 7364 8585 7392 +rect 8536 7352 8542 7364 +rect 8573 7361 8585 7364 +rect 8619 7361 8631 7395 +rect 8573 7355 8631 7361 +rect 3421 7327 3479 7333 +rect 3421 7293 3433 7327 +rect 3467 7293 3479 7327 +rect 3421 7287 3479 7293 +rect 3605 7327 3663 7333 +rect 3605 7293 3617 7327 +rect 3651 7324 3663 7327 +rect 4246 7324 4252 7336 +rect 3651 7296 4252 7324 +rect 3651 7293 3663 7296 +rect 3605 7287 3663 7293 +rect 2682 7216 2688 7268 +rect 2740 7216 2746 7268 +rect 3234 7216 3240 7268 +rect 3292 7256 3298 7268 +rect 3436 7256 3464 7287 +rect 4246 7284 4252 7296 +rect 4304 7284 4310 7336 +rect 6730 7324 6736 7336 +rect 6691 7296 6736 7324 +rect 6730 7284 6736 7296 +rect 6788 7284 6794 7336 +rect 8680 7324 8708 7432 +rect 8757 7327 8815 7333 +rect 8757 7324 8769 7327 +rect 8680 7296 8769 7324 +rect 8757 7293 8769 7296 +rect 8803 7293 8815 7327 +rect 8757 7287 8815 7293 +rect 9122 7284 9128 7336 +rect 9180 7324 9186 7336 +rect 9493 7327 9551 7333 +rect 9493 7324 9505 7327 +rect 9180 7296 9505 7324 +rect 9180 7284 9186 7296 +rect 9493 7293 9505 7296 +rect 9539 7293 9551 7327 +rect 9674 7324 9680 7336 +rect 9635 7296 9680 7324 +rect 9493 7287 9551 7293 +rect 9674 7284 9680 7296 +rect 9732 7284 9738 7336 +rect 9769 7327 9827 7333 +rect 9769 7293 9781 7327 +rect 9815 7324 9827 7327 +rect 9815 7296 16574 7324 +rect 9815 7293 9827 7296 +rect 9769 7287 9827 7293 +rect 5442 7256 5448 7268 +rect 3292 7228 3464 7256 +rect 5290 7228 5448 7256 +rect 3292 7216 3298 7228 +rect 5442 7216 5448 7228 +rect 5500 7216 5506 7268 +rect 5626 7216 5632 7268 +rect 5684 7256 5690 7268 +rect 5721 7259 5779 7265 +rect 5721 7256 5733 7259 +rect 5684 7228 5733 7256 +rect 5684 7216 5690 7228 +rect 5721 7225 5733 7228 +rect 5767 7225 5779 7259 +rect 5721 7219 5779 7225 +rect 7282 7216 7288 7268 +rect 7340 7216 7346 7268 +rect 8570 7216 8576 7268 +rect 8628 7256 8634 7268 +rect 9033 7259 9091 7265 +rect 9033 7256 9045 7259 +rect 8628 7228 9045 7256 +rect 8628 7216 8634 7228 +rect 9033 7225 9045 7228 +rect 9079 7225 9091 7259 +rect 9033 7219 9091 7225 +rect 1305 7191 1363 7197 +rect 1305 7157 1317 7191 +rect 1351 7188 1363 7191 +rect 9784 7188 9812 7287 +rect 1351 7160 9812 7188 +rect 16546 7188 16574 7296 +rect 17954 7188 17960 7200 +rect 16546 7160 17960 7188 +rect 1351 7157 1363 7160 +rect 1305 7151 1363 7157 +rect 17954 7148 17960 7160 +rect 18012 7148 18018 7200 +rect 920 7098 10396 7120 rect 920 7046 5066 7098 rect 5118 7046 5130 7098 rect 5182 7046 5194 7098 rect 5246 7046 5258 7098 rect 5310 7046 5322 7098 -rect 5374 7046 10304 7098 -rect 920 7024 10304 7046 -rect 5534 6916 5540 6928 -rect 5447 6888 5540 6916 -rect 5534 6876 5540 6888 -rect 5592 6916 5598 6928 -rect 5718 6916 5724 6928 -rect 5592 6888 5724 6916 -rect 5592 6876 5598 6888 -rect 5718 6876 5724 6888 -rect 5776 6876 5782 6928 -rect 5997 6919 6055 6925 -rect 5997 6885 6009 6919 -rect 6043 6916 6055 6919 -rect 6454 6916 6460 6928 -rect 6043 6888 6460 6916 -rect 6043 6885 6055 6888 -rect 5997 6879 6055 6885 -rect 6454 6876 6460 6888 -rect 6512 6876 6518 6928 -rect 8386 6916 8392 6928 -rect 8142 6888 8392 6916 -rect 8386 6876 8392 6888 -rect 8444 6876 8450 6928 -rect 1210 6848 1216 6860 -rect 1171 6820 1216 6848 -rect 1210 6808 1216 6820 -rect 1268 6808 1274 6860 -rect 1305 6851 1363 6857 -rect 1305 6817 1317 6851 -rect 1351 6848 1363 6851 -rect 1486 6848 1492 6860 -rect 1351 6820 1492 6848 -rect 1351 6817 1363 6820 -rect 1305 6811 1363 6817 -rect 1486 6808 1492 6820 -rect 1544 6808 1550 6860 -rect 1581 6851 1639 6857 -rect 1581 6817 1593 6851 -rect 1627 6817 1639 6851 -rect 1581 6811 1639 6817 -rect 2133 6851 2191 6857 -rect 2133 6817 2145 6851 -rect 2179 6848 2191 6851 -rect 2222 6848 2228 6860 -rect 2179 6820 2228 6848 -rect 2179 6817 2191 6820 -rect 2133 6811 2191 6817 -rect 1596 6712 1624 6811 -rect 2222 6808 2228 6820 -rect 2280 6808 2286 6860 -rect 3050 6808 3056 6860 -rect 3108 6848 3114 6860 -rect 5736 6848 5764 6876 -rect 3108 6820 3924 6848 -rect 5736 6820 5856 6848 -rect 3108 6808 3114 6820 -rect 3896 6792 3924 6820 -rect 1857 6783 1915 6789 -rect 1857 6749 1869 6783 -rect 1903 6780 1915 6783 -rect 2406 6780 2412 6792 -rect 1903 6752 2412 6780 -rect 1903 6749 1915 6752 -rect 1857 6743 1915 6749 -rect 2406 6740 2412 6752 -rect 2464 6780 2470 6792 -rect 3694 6780 3700 6792 -rect 2464 6752 3700 6780 -rect 2464 6740 2470 6752 -rect 3694 6740 3700 6752 -rect 3752 6740 3758 6792 -rect 3878 6740 3884 6792 -rect 3936 6780 3942 6792 -rect 3973 6783 4031 6789 -rect 3973 6780 3985 6783 -rect 3936 6752 3985 6780 -rect 3936 6740 3942 6752 -rect 3973 6749 3985 6752 -rect 4019 6749 4031 6783 -rect 3973 6743 4031 6749 -rect 4249 6783 4307 6789 -rect 4249 6749 4261 6783 -rect 4295 6780 4307 6783 -rect 5718 6780 5724 6792 -rect 4295 6752 5724 6780 -rect 4295 6749 4307 6752 -rect 4249 6743 4307 6749 -rect 5718 6740 5724 6752 -rect 5776 6740 5782 6792 -rect 2314 6712 2320 6724 -rect 1596 6684 2320 6712 -rect 2314 6672 2320 6684 -rect 2372 6672 2378 6724 -rect 5828 6712 5856 6820 -rect 6270 6808 6276 6860 -rect 6328 6848 6334 6860 +rect 5374 7046 10396 7098 +rect 920 7024 10396 7046 +rect 1670 6944 1676 6996 +rect 1728 6984 1734 6996 +rect 2038 6984 2044 6996 +rect 1728 6956 2044 6984 +rect 1728 6944 1734 6956 +rect 2038 6944 2044 6956 +rect 2096 6984 2102 6996 +rect 3786 6984 3792 6996 +rect 2096 6956 3792 6984 +rect 2096 6944 2102 6956 +rect 3786 6944 3792 6956 +rect 3844 6944 3850 6996 +rect 4338 6944 4344 6996 +rect 4396 6984 4402 6996 +rect 5442 6984 5448 6996 +rect 4396 6956 5448 6984 +rect 4396 6944 4402 6956 +rect 5442 6944 5448 6956 +rect 5500 6944 5506 6996 +rect 7558 6944 7564 6996 +rect 7616 6984 7622 6996 +rect 8202 6984 8208 6996 +rect 7616 6956 8208 6984 +rect 7616 6944 7622 6956 +rect 8202 6944 8208 6956 +rect 8260 6944 8266 6996 +rect 4890 6876 4896 6928 +rect 4948 6876 4954 6928 +rect 8220 6916 8248 6944 +rect 8142 6888 8248 6916 +rect 8294 6876 8300 6928 +rect 8352 6916 8358 6928 +rect 8573 6919 8631 6925 +rect 8573 6916 8585 6919 +rect 8352 6888 8585 6916 +rect 8352 6876 8358 6888 +rect 8573 6885 8585 6888 +rect 8619 6885 8631 6919 +rect 8573 6879 8631 6885 +rect 3142 6848 3148 6860 +rect 2806 6820 3148 6848 +rect 3142 6808 3148 6820 +rect 3200 6808 3206 6860 +rect 3881 6851 3939 6857 +rect 3881 6848 3893 6851 +rect 3344 6820 3893 6848 +rect 1394 6780 1400 6792 +rect 1355 6752 1400 6780 +rect 1394 6740 1400 6752 +rect 1452 6740 1458 6792 +rect 1673 6783 1731 6789 +rect 1673 6749 1685 6783 +rect 1719 6780 1731 6783 +rect 2314 6780 2320 6792 +rect 1719 6752 2320 6780 +rect 1719 6749 1731 6752 +rect 1673 6743 1731 6749 +rect 2314 6740 2320 6752 +rect 2372 6780 2378 6792 +rect 2372 6752 2728 6780 +rect 2372 6740 2378 6752 +rect 2700 6712 2728 6752 +rect 3344 6712 3372 6820 +rect 3881 6817 3893 6820 +rect 3927 6817 3939 6851 +rect 3881 6811 3939 6817 +rect 4798 6808 4804 6860 +rect 4856 6848 4862 6860 +rect 5353 6851 5411 6857 +rect 5353 6848 5365 6851 +rect 4856 6820 5365 6848 +rect 4856 6808 4862 6820 +rect 5353 6817 5365 6820 +rect 5399 6817 5411 6851 rect 6641 6851 6699 6857 rect 6641 6848 6653 6851 -rect 6328 6820 6653 6848 -rect 6328 6808 6334 6820 +rect 5353 6811 5411 6817 +rect 5552 6820 6653 6848 +rect 3421 6783 3479 6789 +rect 3421 6749 3433 6783 +rect 3467 6749 3479 6783 +rect 3421 6743 3479 6749 +rect 2700 6684 3372 6712 +rect 1302 6644 1308 6656 +rect 1263 6616 1308 6644 +rect 1302 6604 1308 6616 +rect 1360 6604 1366 6656 +rect 3436 6644 3464 6743 +rect 3510 6740 3516 6792 +rect 3568 6780 3574 6792 +rect 3568 6752 3613 6780 +rect 3568 6740 3574 6752 +rect 3786 6740 3792 6792 +rect 3844 6780 3850 6792 +rect 4522 6780 4528 6792 +rect 3844 6752 4528 6780 +rect 3844 6740 3850 6752 +rect 4522 6740 4528 6752 +rect 4580 6740 4586 6792 +rect 3878 6644 3884 6656 +rect 3436 6616 3884 6644 +rect 3878 6604 3884 6616 +rect 3936 6604 3942 6656 +rect 4522 6604 4528 6656 +rect 4580 6644 4586 6656 +rect 5552 6644 5580 6820 rect 6641 6817 6653 6820 rect 6687 6817 6699 6851 rect 6641 6811 6699 6817 -rect 7009 6851 7067 6857 -rect 7009 6817 7021 6851 -rect 7055 6848 7067 6851 -rect 7098 6848 7104 6860 -rect 7055 6820 7104 6848 -rect 7055 6817 7067 6820 -rect 7009 6811 7067 6817 -rect 7098 6808 7104 6820 -rect 7156 6808 7162 6860 -rect 8478 6848 8484 6860 -rect 8439 6820 8484 6848 -rect 8478 6808 8484 6820 -rect 8536 6808 8542 6860 -rect 8938 6808 8944 6860 -rect 8996 6848 9002 6860 -rect 9045 6851 9103 6857 -rect 9045 6848 9057 6851 -rect 8996 6820 9057 6848 -rect 8996 6808 9002 6820 -rect 9045 6817 9057 6820 -rect 9091 6817 9103 6851 -rect 9045 6811 9103 6817 -rect 9214 6808 9220 6860 -rect 9272 6848 9278 6860 -rect 9677 6851 9735 6857 -rect 9677 6848 9689 6851 -rect 9272 6820 9689 6848 -rect 9272 6808 9278 6820 -rect 9677 6817 9689 6820 -rect 9723 6848 9735 6851 -rect 10226 6848 10232 6860 -rect 9723 6820 10232 6848 -rect 9723 6817 9735 6820 -rect 9677 6811 9735 6817 -rect 10226 6808 10232 6820 -rect 10284 6808 10290 6860 -rect 5902 6740 5908 6792 -rect 5960 6780 5966 6792 -rect 6181 6783 6239 6789 -rect 6181 6780 6193 6783 -rect 5960 6752 6193 6780 -rect 5960 6740 5966 6752 -rect 6181 6749 6193 6752 -rect 6227 6749 6239 6783 -rect 6181 6743 6239 6749 +rect 8846 6808 8852 6860 +rect 8904 6848 8910 6860 +rect 9217 6851 9275 6857 +rect 8904 6820 8949 6848 +rect 8904 6808 8910 6820 +rect 9217 6817 9229 6851 +rect 9263 6848 9275 6851 +rect 9306 6848 9312 6860 +rect 9263 6820 9312 6848 +rect 9263 6817 9275 6820 +rect 9217 6811 9275 6817 +rect 9306 6808 9312 6820 +rect 9364 6808 9370 6860 +rect 9490 6848 9496 6860 +rect 9451 6820 9496 6848 +rect 9490 6808 9496 6820 +rect 9548 6808 9554 6860 +rect 5718 6740 5724 6792 +rect 5776 6780 5782 6792 +rect 6273 6783 6331 6789 +rect 6273 6780 6285 6783 +rect 5776 6752 6285 6780 +rect 5776 6740 5782 6752 +rect 6273 6749 6285 6752 +rect 6319 6749 6331 6783 +rect 6273 6743 6331 6749 rect 6365 6783 6423 6789 rect 6365 6749 6377 6783 -rect 6411 6749 6423 6783 -rect 9398 6780 9404 6792 -rect 9359 6752 9404 6780 +rect 6411 6780 6423 6783 +rect 6546 6780 6552 6792 +rect 6411 6752 6552 6780 +rect 6411 6749 6423 6752 rect 6365 6743 6423 6749 -rect 6380 6712 6408 6743 -rect 9398 6740 9404 6752 -rect 9456 6780 9462 6792 +rect 6546 6740 6552 6752 +rect 6604 6740 6610 6792 +rect 6825 6783 6883 6789 +rect 6825 6749 6837 6783 +rect 6871 6780 6883 6783 +rect 7374 6780 7380 6792 +rect 6871 6752 7380 6780 +rect 6871 6749 6883 6752 +rect 6825 6743 6883 6749 +rect 7374 6740 7380 6752 +rect 7432 6740 7438 6792 rect 9861 6783 9919 6789 -rect 9861 6780 9873 6783 -rect 9456 6752 9873 6780 -rect 9456 6740 9462 6752 -rect 9861 6749 9873 6752 -rect 9907 6780 9919 6783 -rect 10318 6780 10324 6792 -rect 9907 6752 10324 6780 -rect 9907 6749 9919 6752 +rect 9861 6749 9873 6783 +rect 9907 6749 9919 6783 rect 9861 6743 9919 6749 -rect 10318 6740 10324 6752 -rect 10376 6740 10382 6792 -rect 2746 6684 3740 6712 -rect 5828 6684 6408 6712 -rect 1949 6647 2007 6653 -rect 1949 6613 1961 6647 -rect 1995 6644 2007 6647 -rect 2746 6644 2774 6684 -rect 1995 6616 2774 6644 -rect 1995 6613 2007 6616 -rect 1949 6607 2007 6613 -rect 3510 6604 3516 6656 -rect 3568 6644 3574 6656 -rect 3605 6647 3663 6653 -rect 3605 6644 3617 6647 -rect 3568 6616 3617 6644 -rect 3568 6604 3574 6616 -rect 3605 6613 3617 6616 -rect 3651 6613 3663 6647 -rect 3712 6644 3740 6684 -rect 8938 6672 8944 6724 -rect 8996 6712 9002 6724 -rect 9582 6712 9588 6724 -rect 8996 6684 9588 6712 -rect 8996 6672 9002 6684 -rect 9582 6672 9588 6684 -rect 9640 6672 9646 6724 -rect 4706 6644 4712 6656 -rect 3712 6616 4712 6644 -rect 3605 6607 3663 6613 -rect 4706 6604 4712 6616 -rect 4764 6604 4770 6656 -rect 6270 6604 6276 6656 -rect 6328 6644 6334 6656 -rect 6549 6647 6607 6653 -rect 6549 6644 6561 6647 -rect 6328 6616 6561 6644 -rect 6328 6604 6334 6616 -rect 6549 6613 6561 6616 -rect 6595 6613 6607 6647 -rect 6549 6607 6607 6613 -rect 7006 6604 7012 6656 -rect 7064 6644 7070 6656 -rect 7466 6644 7472 6656 -rect 7064 6616 7472 6644 -rect 7064 6604 7070 6616 -rect 7466 6604 7472 6616 -rect 7524 6604 7530 6656 -rect 9214 6604 9220 6656 -rect 9272 6644 9278 6656 -rect 9309 6647 9367 6653 -rect 9309 6644 9321 6647 -rect 9272 6616 9321 6644 -rect 9272 6604 9278 6616 -rect 9309 6613 9321 6616 -rect 9355 6613 9367 6647 -rect 9309 6607 9367 6613 -rect 920 6554 10304 6576 +rect 4580 6616 5580 6644 +rect 5917 6647 5975 6653 +rect 4580 6604 4586 6616 +rect 5917 6613 5929 6647 +rect 5963 6644 5975 6647 +rect 6178 6644 6184 6656 +rect 5963 6616 6184 6644 +rect 5963 6613 5975 6616 +rect 5917 6607 5975 6613 +rect 6178 6604 6184 6616 +rect 6236 6604 6242 6656 +rect 6564 6644 6592 6740 +rect 9122 6712 9128 6724 +rect 9083 6684 9128 6712 +rect 9122 6672 9128 6684 +rect 9180 6672 9186 6724 +rect 9490 6672 9496 6724 +rect 9548 6712 9554 6724 +rect 9876 6712 9904 6743 +rect 9548 6684 9904 6712 +rect 9548 6672 9554 6684 +rect 6564 6616 10456 6644 +rect 920 6554 10396 6576 rect 920 6502 2566 6554 rect 2618 6502 2630 6554 rect 2682 6502 2694 6554 @@ -2506,429 +2434,510 @@ rect 7618 6502 7630 6554 rect 7682 6502 7694 6554 rect 7746 6502 7758 6554 rect 7810 6502 7822 6554 -rect 7874 6502 10304 6554 -rect 920 6480 10304 6502 -rect 1305 6443 1363 6449 -rect 1305 6409 1317 6443 -rect 1351 6440 1363 6443 -rect 2958 6440 2964 6452 -rect 1351 6412 2964 6440 -rect 1351 6409 1363 6412 -rect 1305 6403 1363 6409 -rect 2958 6400 2964 6412 -rect 3016 6400 3022 6452 -rect 3344 6412 3740 6440 -rect 2314 6332 2320 6384 -rect 2372 6372 2378 6384 -rect 2774 6372 2780 6384 -rect 2372 6344 2780 6372 -rect 2372 6332 2378 6344 -rect 2774 6332 2780 6344 -rect 2832 6332 2838 6384 -rect 3344 6304 3372 6412 -rect 3418 6332 3424 6384 -rect 3476 6372 3482 6384 -rect 3712 6372 3740 6412 -rect 4154 6400 4160 6452 -rect 4212 6440 4218 6452 -rect 4249 6443 4307 6449 -rect 4249 6440 4261 6443 -rect 4212 6412 4261 6440 -rect 4212 6400 4218 6412 -rect 4249 6409 4261 6412 -rect 4295 6409 4307 6443 -rect 5626 6440 5632 6452 -rect 4249 6403 4307 6409 -rect 4356 6412 5632 6440 -rect 4356 6372 4384 6412 -rect 5626 6400 5632 6412 -rect 5684 6440 5690 6452 -rect 6178 6440 6184 6452 -rect 5684 6412 6184 6440 -rect 5684 6400 5690 6412 -rect 6178 6400 6184 6412 -rect 6236 6400 6242 6452 -rect 7282 6400 7288 6452 -rect 7340 6440 7346 6452 -rect 7926 6440 7932 6452 -rect 7340 6412 7932 6440 -rect 7340 6400 7346 6412 -rect 7926 6400 7932 6412 -rect 7984 6400 7990 6452 -rect 3476 6344 3648 6372 -rect 3712 6344 4384 6372 -rect 3476 6332 3482 6344 -rect 1412 6276 3372 6304 -rect 1412 6248 1440 6276 -rect 1394 6236 1400 6248 -rect 1307 6208 1400 6236 -rect 1394 6196 1400 6208 -rect 1452 6196 1458 6248 -rect 3620 6245 3648 6344 -rect 4356 6245 4384 6344 -rect 4433 6307 4491 6313 -rect 4433 6273 4445 6307 -rect 4479 6304 4491 6307 -rect 5353 6307 5411 6313 -rect 5353 6304 5365 6307 -rect 4479 6276 5365 6304 -rect 4479 6273 4491 6276 -rect 4433 6267 4491 6273 -rect 5353 6273 5365 6276 -rect 5399 6273 5411 6307 -rect 5718 6304 5724 6316 -rect 5679 6276 5724 6304 -rect 5353 6267 5411 6273 -rect 5718 6264 5724 6276 -rect 5776 6304 5782 6316 -rect 5902 6304 5908 6316 -rect 5776 6276 5908 6304 -rect 5776 6264 5782 6276 -rect 5902 6264 5908 6276 -rect 5960 6264 5966 6316 -rect 8570 6304 8576 6316 -rect 8531 6276 8576 6304 -rect 8570 6264 8576 6276 -rect 8628 6264 8634 6316 -rect 1857 6239 1915 6245 -rect 1857 6205 1869 6239 -rect 1903 6236 1915 6239 -rect 3605 6239 3663 6245 -rect 1903 6208 3556 6236 -rect 1903 6205 1915 6208 -rect 1857 6199 1915 6205 -rect 1210 6128 1216 6180 -rect 1268 6168 1274 6180 -rect 1872 6168 1900 6199 -rect 1268 6140 1900 6168 -rect 1268 6128 1274 6140 -rect 2406 6128 2412 6180 -rect 2464 6168 2470 6180 -rect 3142 6168 3148 6180 -rect 2464 6140 3148 6168 -rect 2464 6128 2470 6140 -rect 3142 6128 3148 6140 -rect 3200 6128 3206 6180 -rect 3326 6128 3332 6180 -rect 3384 6168 3390 6180 -rect 3421 6171 3479 6177 -rect 3421 6168 3433 6171 -rect 3384 6140 3433 6168 -rect 3384 6128 3390 6140 -rect 3421 6137 3433 6140 -rect 3467 6137 3479 6171 -rect 3528 6168 3556 6208 -rect 3605 6205 3617 6239 -rect 3651 6205 3663 6239 -rect 3605 6199 3663 6205 -rect 4341 6239 4399 6245 -rect 4341 6205 4353 6239 -rect 4387 6205 4399 6239 -rect 4341 6199 4399 6205 -rect 4890 6196 4896 6248 -rect 4948 6236 4954 6248 -rect 5169 6239 5227 6245 -rect 5169 6236 5181 6239 -rect 4948 6208 5181 6236 -rect 4948 6196 4954 6208 -rect 5169 6205 5181 6208 -rect 5215 6205 5227 6239 -rect 7190 6236 7196 6248 -rect 7151 6208 7196 6236 -rect 5169 6199 5227 6205 -rect 7190 6196 7196 6208 -rect 7248 6196 7254 6248 -rect 7757 6239 7815 6245 -rect 7757 6205 7769 6239 -rect 7803 6236 7815 6239 -rect 7926 6236 7932 6248 -rect 7803 6208 7932 6236 -rect 7803 6205 7815 6208 -rect 7757 6199 7815 6205 -rect 7926 6196 7932 6208 -rect 7984 6196 7990 6248 -rect 9122 6236 9128 6248 -rect 9083 6208 9128 6236 -rect 9122 6196 9128 6208 -rect 9180 6196 9186 6248 -rect 9398 6236 9404 6248 -rect 9359 6208 9404 6236 -rect 9398 6196 9404 6208 -rect 9456 6196 9462 6248 -rect 9582 6196 9588 6248 -rect 9640 6236 9646 6248 -rect 9769 6239 9827 6245 -rect 9769 6236 9781 6239 -rect 9640 6208 9781 6236 -rect 9640 6196 9646 6208 -rect 9769 6205 9781 6208 -rect 9815 6205 9827 6239 -rect 9769 6199 9827 6205 -rect 7006 6168 7012 6180 -rect 3528 6140 4752 6168 -rect 6854 6140 7012 6168 -rect 3421 6131 3479 6137 -rect 1489 6103 1547 6109 -rect 1489 6069 1501 6103 -rect 1535 6100 1547 6103 -rect 3694 6100 3700 6112 -rect 1535 6072 3700 6100 -rect 1535 6069 1547 6072 -rect 1489 6063 1547 6069 -rect 3694 6060 3700 6072 -rect 3752 6060 3758 6112 -rect 4338 6060 4344 6112 -rect 4396 6100 4402 6112 -rect 4617 6103 4675 6109 -rect 4617 6100 4629 6103 -rect 4396 6072 4629 6100 -rect 4396 6060 4402 6072 -rect 4617 6069 4629 6072 -rect 4663 6069 4675 6103 -rect 4724 6100 4752 6140 -rect 7006 6128 7012 6140 -rect 7064 6128 7070 6180 -rect 8570 6128 8576 6180 -rect 8628 6168 8634 6180 -rect 8849 6171 8907 6177 -rect 8849 6168 8861 6171 -rect 8628 6140 8861 6168 -rect 8628 6128 8634 6140 -rect 8849 6137 8861 6140 -rect 8895 6137 8907 6171 -rect 8849 6131 8907 6137 -rect 5718 6100 5724 6112 -rect 4724 6072 5724 6100 -rect 4617 6063 4675 6069 -rect 5718 6060 5724 6072 -rect 5776 6100 5782 6112 -rect 6362 6100 6368 6112 -rect 5776 6072 6368 6100 -rect 5776 6060 5782 6072 -rect 6362 6060 6368 6072 -rect 6420 6060 6426 6112 -rect 920 6010 10304 6032 +rect 7874 6502 10396 6554 +rect 920 6480 10396 6502 +rect 1210 6440 1216 6452 +rect 1171 6412 1216 6440 +rect 1210 6400 1216 6412 +rect 1268 6400 1274 6452 +rect 2866 6400 2872 6452 +rect 2924 6440 2930 6452 +rect 3142 6440 3148 6452 +rect 2924 6412 3148 6440 +rect 2924 6400 2930 6412 +rect 3142 6400 3148 6412 +rect 3200 6400 3206 6452 +rect 6914 6440 6920 6452 +rect 3804 6412 6920 6440 +rect 3510 6332 3516 6384 +rect 3568 6372 3574 6384 +rect 3697 6375 3755 6381 +rect 3697 6372 3709 6375 +rect 3568 6344 3709 6372 +rect 3568 6332 3574 6344 +rect 3697 6341 3709 6344 +rect 3743 6341 3755 6375 +rect 3697 6335 3755 6341 +rect 1302 6264 1308 6316 +rect 1360 6304 1366 6316 +rect 2774 6304 2780 6316 +rect 1360 6276 2780 6304 +rect 1360 6264 1366 6276 +rect 2774 6264 2780 6276 +rect 2832 6264 2838 6316 +rect 3804 6304 3832 6412 +rect 6914 6400 6920 6412 +rect 6972 6400 6978 6452 +rect 7466 6400 7472 6452 +rect 7524 6440 7530 6452 +rect 8018 6440 8024 6452 +rect 7524 6412 8024 6440 +rect 7524 6400 7530 6412 +rect 8018 6400 8024 6412 +rect 8076 6440 8082 6452 +rect 8481 6443 8539 6449 +rect 8481 6440 8493 6443 +rect 8076 6412 8493 6440 +rect 8076 6400 8082 6412 +rect 8481 6409 8493 6412 +rect 8527 6409 8539 6443 +rect 8481 6403 8539 6409 +rect 9214 6400 9220 6452 +rect 9272 6440 9278 6452 +rect 9309 6443 9367 6449 +rect 9309 6440 9321 6443 +rect 9272 6412 9321 6440 +rect 9272 6400 9278 6412 +rect 9309 6409 9321 6412 +rect 9355 6409 9367 6443 +rect 9309 6403 9367 6409 +rect 9493 6443 9551 6449 +rect 9493 6409 9505 6443 +rect 9539 6409 9551 6443 +rect 9493 6403 9551 6409 +rect 10045 6443 10103 6449 +rect 10045 6409 10057 6443 +rect 10091 6440 10103 6443 +rect 10428 6440 10456 6616 +rect 10091 6412 10456 6440 +rect 10091 6409 10103 6412 +rect 10045 6403 10103 6409 +rect 4890 6372 4896 6384 +rect 4851 6344 4896 6372 +rect 4890 6332 4896 6344 +rect 4948 6332 4954 6384 +rect 8110 6332 8116 6384 +rect 8168 6372 8174 6384 +rect 8757 6375 8815 6381 +rect 8757 6372 8769 6375 +rect 8168 6344 8769 6372 +rect 8168 6332 8174 6344 +rect 8757 6341 8769 6344 +rect 8803 6341 8815 6375 +rect 9508 6372 9536 6403 +rect 8757 6335 8815 6341 +rect 9048 6344 9536 6372 +rect 3436 6276 3832 6304 +rect 1397 6239 1455 6245 +rect 1397 6205 1409 6239 +rect 1443 6205 1455 6239 +rect 1397 6199 1455 6205 +rect 1412 6168 1440 6199 +rect 1486 6196 1492 6248 +rect 1544 6236 1550 6248 +rect 1581 6239 1639 6245 +rect 1581 6236 1593 6239 +rect 1544 6208 1593 6236 +rect 1544 6196 1550 6208 +rect 1581 6205 1593 6208 +rect 1627 6236 1639 6239 +rect 3050 6236 3056 6248 +rect 1627 6208 3056 6236 +rect 1627 6205 1639 6208 +rect 1581 6199 1639 6205 +rect 3050 6196 3056 6208 +rect 3108 6196 3114 6248 +rect 3436 6245 3464 6276 +rect 3878 6264 3884 6316 +rect 3936 6304 3942 6316 +rect 3936 6276 3981 6304 +rect 3936 6264 3942 6276 +rect 4246 6264 4252 6316 +rect 4304 6304 4310 6316 +rect 5261 6307 5319 6313 +rect 5261 6304 5273 6307 +rect 4304 6276 5273 6304 +rect 4304 6264 4310 6276 +rect 5261 6273 5273 6276 +rect 5307 6273 5319 6307 +rect 5626 6304 5632 6316 +rect 5587 6276 5632 6304 +rect 5261 6267 5319 6273 +rect 5626 6264 5632 6276 +rect 5684 6264 5690 6316 +rect 7374 6264 7380 6316 +rect 7432 6304 7438 6316 +rect 7837 6307 7895 6313 +rect 7837 6304 7849 6307 +rect 7432 6276 7849 6304 +rect 7432 6264 7438 6276 +rect 7837 6273 7849 6276 +rect 7883 6273 7895 6307 +rect 7837 6267 7895 6273 +rect 8662 6264 8668 6316 +rect 8720 6304 8726 6316 +rect 9048 6304 9076 6344 +rect 8720 6276 9076 6304 +rect 9125 6307 9183 6313 +rect 8720 6264 8726 6276 +rect 9125 6273 9137 6307 +rect 9171 6304 9183 6307 +rect 9674 6304 9680 6316 +rect 9171 6276 9680 6304 +rect 9171 6273 9183 6276 +rect 9125 6267 9183 6273 +rect 9674 6264 9680 6276 +rect 9732 6264 9738 6316 +rect 3421 6239 3479 6245 +rect 3421 6205 3433 6239 +rect 3467 6236 3479 6239 +rect 3510 6236 3516 6248 +rect 3467 6208 3516 6236 +rect 3467 6205 3479 6208 +rect 3421 6199 3479 6205 +rect 3510 6196 3516 6208 +rect 3568 6196 3574 6248 +rect 3789 6233 3847 6239 +rect 3789 6230 3801 6233 +rect 3712 6202 3801 6230 +rect 1670 6168 1676 6180 +rect 1412 6140 1676 6168 +rect 1670 6128 1676 6140 +rect 1728 6128 1734 6180 +rect 1762 6128 1768 6180 +rect 1820 6168 1826 6180 +rect 3712 6168 3740 6202 +rect 3789 6199 3801 6202 +rect 3835 6230 3847 6233 +rect 3835 6202 3924 6230 +rect 3835 6199 3847 6202 +rect 3789 6193 3847 6199 +rect 1820 6140 3740 6168 +rect 3896 6168 3924 6202 +rect 4154 6196 4160 6248 +rect 4212 6236 4218 6248 +rect 4617 6239 4675 6245 +rect 4617 6236 4629 6239 +rect 4212 6208 4629 6236 +rect 4212 6196 4218 6208 +rect 4617 6205 4629 6208 +rect 4663 6236 4675 6239 +rect 4706 6236 4712 6248 +rect 4663 6208 4712 6236 +rect 4663 6205 4675 6208 +rect 4617 6199 4675 6205 +rect 4706 6196 4712 6208 +rect 4764 6196 4770 6248 +rect 4982 6196 4988 6248 +rect 5040 6236 5046 6248 +rect 5077 6239 5135 6245 +rect 5077 6236 5089 6239 +rect 5040 6208 5089 6236 +rect 5040 6196 5046 6208 +rect 5077 6205 5089 6208 +rect 5123 6205 5135 6239 +rect 5077 6199 5135 6205 +rect 6546 6196 6552 6248 +rect 6604 6236 6610 6248 +rect 7101 6239 7159 6245 +rect 7101 6236 7113 6239 +rect 6604 6208 7113 6236 +rect 6604 6196 6610 6208 +rect 7101 6205 7113 6208 +rect 7147 6205 7159 6239 +rect 8938 6236 8944 6248 +rect 8899 6208 8944 6236 +rect 7101 6199 7159 6205 +rect 8938 6196 8944 6208 +rect 8996 6196 9002 6248 +rect 9858 6236 9864 6248 +rect 9819 6208 9864 6236 +rect 9858 6196 9864 6208 +rect 9916 6196 9922 6248 +rect 4430 6168 4436 6180 +rect 3896 6140 4436 6168 +rect 1820 6128 1826 6140 +rect 4430 6128 4436 6140 +rect 4488 6128 4494 6180 +rect 6914 6168 6920 6180 +rect 6762 6140 6920 6168 +rect 6914 6128 6920 6140 +rect 6972 6128 6978 6180 +rect 8956 6168 8984 6196 +rect 9447 6171 9505 6177 +rect 9447 6168 9459 6171 +rect 8956 6140 9459 6168 +rect 9447 6137 9459 6140 +rect 9493 6137 9505 6171 +rect 9447 6131 9505 6137 +rect 2133 6103 2191 6109 +rect 2133 6069 2145 6103 +rect 2179 6100 2191 6103 +rect 3786 6100 3792 6112 +rect 2179 6072 3792 6100 +rect 2179 6069 2191 6072 +rect 2133 6063 2191 6069 +rect 3786 6060 3792 6072 +rect 3844 6060 3850 6112 +rect 3970 6060 3976 6112 +rect 4028 6100 4034 6112 +rect 4154 6100 4160 6112 +rect 4028 6072 4160 6100 +rect 4028 6060 4034 6072 +rect 4154 6060 4160 6072 +rect 4212 6060 4218 6112 +rect 4522 6100 4528 6112 +rect 4483 6072 4528 6100 +rect 4522 6060 4528 6072 +rect 4580 6060 4586 6112 +rect 7665 6103 7723 6109 +rect 7665 6069 7677 6103 +rect 7711 6100 7723 6103 +rect 8018 6100 8024 6112 +rect 7711 6072 8024 6100 +rect 7711 6069 7723 6072 +rect 7665 6063 7723 6069 +rect 8018 6060 8024 6072 +rect 8076 6060 8082 6112 +rect 8754 6060 8760 6112 +rect 8812 6100 8818 6112 +rect 9766 6100 9772 6112 +rect 8812 6072 9772 6100 +rect 8812 6060 8818 6072 +rect 9766 6060 9772 6072 +rect 9824 6060 9830 6112 +rect 920 6010 10396 6032 rect 920 5958 5066 6010 rect 5118 5958 5130 6010 rect 5182 5958 5194 6010 rect 5246 5958 5258 6010 rect 5310 5958 5322 6010 -rect 5374 5958 10304 6010 -rect 920 5936 10304 5958 -rect 1489 5899 1547 5905 -rect 1489 5865 1501 5899 -rect 1535 5896 1547 5899 -rect 3602 5896 3608 5908 -rect 1535 5868 3608 5896 -rect 1535 5865 1547 5868 -rect 1489 5859 1547 5865 -rect 3602 5856 3608 5868 -rect 3660 5856 3666 5908 -rect 13630 5896 13636 5908 -rect 3988 5868 13636 5896 -rect 1673 5831 1731 5837 -rect 1673 5797 1685 5831 -rect 1719 5828 1731 5831 -rect 2222 5828 2228 5840 -rect 1719 5800 2228 5828 -rect 1719 5797 1731 5800 -rect 1673 5791 1731 5797 -rect 2222 5788 2228 5800 -rect 2280 5788 2286 5840 -rect 1394 5760 1400 5772 -rect 1355 5732 1400 5760 -rect 1394 5720 1400 5732 -rect 1452 5720 1458 5772 -rect 3605 5763 3663 5769 -rect 3605 5760 3617 5763 -rect 2746 5732 3617 5760 -rect 1305 5695 1363 5701 -rect 1305 5661 1317 5695 -rect 1351 5692 1363 5695 -rect 2746 5692 2774 5732 -rect 3605 5729 3617 5732 -rect 3651 5760 3663 5763 -rect 3988 5760 4016 5868 -rect 13630 5856 13636 5868 -rect 13688 5856 13694 5908 +rect 5374 5958 10396 6010 +rect 920 5936 10396 5958 +rect 3142 5856 3148 5908 +rect 3200 5896 3206 5908 +rect 3697 5899 3755 5905 +rect 3697 5896 3709 5899 +rect 3200 5868 3709 5896 +rect 3200 5856 3206 5868 +rect 3697 5865 3709 5868 +rect 3743 5865 3755 5899 +rect 3697 5859 3755 5865 +rect 3970 5856 3976 5908 +rect 4028 5896 4034 5908 +rect 4890 5896 4896 5908 +rect 4028 5868 4896 5896 +rect 4028 5856 4034 5868 +rect 4890 5856 4896 5868 +rect 4948 5896 4954 5908 +rect 11054 5896 11060 5908 +rect 4948 5868 11060 5896 +rect 4948 5856 4954 5868 +rect 11054 5856 11060 5868 +rect 11112 5856 11118 5908 +rect 1394 5788 1400 5840 +rect 1452 5828 1458 5840 +rect 1765 5831 1823 5837 +rect 1765 5828 1777 5831 +rect 1452 5800 1777 5828 +rect 1452 5788 1458 5800 +rect 1765 5797 1777 5800 +rect 1811 5797 1823 5831 +rect 1765 5791 1823 5797 +rect 3421 5831 3479 5837 +rect 3421 5797 3433 5831 +rect 3467 5828 3479 5831 +rect 4154 5828 4160 5840 +rect 3467 5800 4160 5828 +rect 3467 5797 3479 5800 +rect 3421 5791 3479 5797 +rect 1213 5763 1271 5769 +rect 1213 5729 1225 5763 +rect 1259 5760 1271 5763 +rect 1302 5760 1308 5772 +rect 1259 5732 1308 5760 +rect 1259 5729 1271 5732 +rect 1213 5723 1271 5729 +rect 1302 5720 1308 5732 +rect 1360 5720 1366 5772 +rect 1397 5695 1455 5701 +rect 1397 5661 1409 5695 +rect 1443 5692 1455 5695 +rect 1780 5692 1808 5791 +rect 4154 5788 4160 5800 +rect 4212 5788 4218 5840 rect 4249 5831 4307 5837 rect 4249 5797 4261 5831 rect 4295 5828 4307 5831 -rect 4338 5828 4344 5840 -rect 4295 5800 4344 5828 +rect 4522 5828 4528 5840 +rect 4295 5800 4528 5828 rect 4295 5797 4307 5800 rect 4249 5791 4307 5797 -rect 4338 5788 4344 5800 -rect 4396 5788 4402 5840 -rect 5534 5828 5540 5840 -rect 5474 5800 5540 5828 -rect 5534 5788 5540 5800 -rect 5592 5828 5598 5840 -rect 6549 5831 6607 5837 -rect 5592 5800 6408 5828 -rect 5592 5788 5598 5800 -rect 6380 5769 6408 5800 -rect 6549 5797 6561 5831 -rect 6595 5828 6607 5831 -rect 7190 5828 7196 5840 -rect 6595 5800 7196 5828 -rect 6595 5797 6607 5800 -rect 6549 5791 6607 5797 -rect 7190 5788 7196 5800 -rect 7248 5788 7254 5840 -rect 9392 5831 9450 5837 -rect 9392 5797 9404 5831 -rect 9438 5828 9450 5831 -rect 9438 5800 9628 5828 -rect 9438 5797 9450 5800 -rect 9392 5791 9450 5797 -rect 3651 5732 4016 5760 -rect 6365 5763 6423 5769 -rect 3651 5729 3663 5732 -rect 3605 5723 3663 5729 -rect 6365 5729 6377 5763 -rect 6411 5760 6423 5763 -rect 6822 5760 6828 5772 -rect 6411 5732 6828 5760 -rect 6411 5729 6423 5732 -rect 6365 5723 6423 5729 -rect 6822 5720 6828 5732 -rect 6880 5720 6886 5772 -rect 8570 5760 8576 5772 -rect 8531 5732 8576 5760 -rect 8570 5720 8576 5732 -rect 8628 5720 8634 5772 -rect 9030 5760 9036 5772 -rect 8991 5732 9036 5760 -rect 9030 5720 9036 5732 -rect 9088 5720 9094 5772 -rect 9600 5760 9628 5800 -rect 9674 5788 9680 5840 -rect 9732 5828 9738 5840 -rect 9769 5831 9827 5837 -rect 9769 5828 9781 5831 -rect 9732 5800 9781 5828 -rect 9732 5788 9738 5800 -rect 9769 5797 9781 5800 -rect 9815 5828 9827 5831 -rect 9858 5828 9864 5840 -rect 9815 5800 9864 5828 -rect 9815 5797 9827 5800 -rect 9769 5791 9827 5797 -rect 9858 5788 9864 5800 -rect 9916 5788 9922 5840 -rect 10134 5760 10140 5772 -rect 9324 5722 9444 5750 -rect 9600 5732 10140 5760 -rect 1351 5664 2774 5692 -rect 3421 5695 3479 5701 -rect 1351 5661 1363 5664 -rect 1305 5655 1363 5661 -rect 3421 5661 3433 5695 -rect 3467 5692 3479 5695 -rect 3878 5692 3884 5704 -rect 3467 5664 3884 5692 -rect 3467 5661 3479 5664 -rect 3421 5655 3479 5661 -rect 3878 5652 3884 5664 -rect 3936 5692 3942 5704 -rect 3973 5695 4031 5701 -rect 3973 5692 3985 5695 -rect 3936 5664 3985 5692 -rect 3936 5652 3942 5664 -rect 3973 5661 3985 5664 -rect 4019 5661 4031 5695 +rect 4522 5788 4528 5800 +rect 4580 5788 4586 5840 +rect 4982 5788 4988 5840 +rect 5040 5788 5046 5840 +rect 6546 5828 6552 5840 +rect 6507 5800 6552 5828 +rect 6546 5788 6552 5800 +rect 6604 5788 6610 5840 +rect 8202 5788 8208 5840 +rect 8260 5828 8266 5840 +rect 8260 5800 9260 5828 +rect 8260 5788 8266 5800 +rect 3878 5720 3884 5772 +rect 3936 5760 3942 5772 +rect 3936 5732 3981 5760 +rect 3936 5720 3942 5732 +rect 5810 5720 5816 5772 +rect 5868 5760 5874 5772 +rect 8573 5763 8631 5769 +rect 5868 5732 6408 5760 +rect 5868 5720 5874 5732 +rect 3234 5692 3240 5704 +rect 1443 5664 1532 5692 +rect 1780 5664 3240 5692 +rect 1443 5661 1455 5664 +rect 1397 5655 1455 5661 +rect 1504 5556 1532 5664 +rect 3234 5652 3240 5664 +rect 3292 5692 3298 5704 +rect 3970 5692 3976 5704 +rect 3292 5664 3976 5692 +rect 3292 5652 3298 5664 +rect 3970 5652 3976 5664 +rect 4028 5652 4034 5704 +rect 4798 5692 4804 5704 +rect 4080 5664 4804 5692 +rect 1581 5627 1639 5633 +rect 1581 5593 1593 5627 +rect 1627 5624 1639 5627 +rect 4080 5624 4108 5664 +rect 4798 5652 4804 5664 +rect 4856 5652 4862 5704 rect 5994 5692 6000 5704 rect 5955 5664 6000 5692 -rect 3973 5655 4031 5661 rect 5994 5652 6000 5664 rect 6052 5652 6058 5704 +rect 6086 5652 6092 5704 +rect 6144 5692 6150 5704 +rect 6380 5701 6408 5732 +rect 8573 5729 8585 5763 +rect 8619 5760 8631 5763 +rect 9122 5760 9128 5772 +rect 8619 5732 9128 5760 +rect 8619 5729 8631 5732 +rect 8573 5723 8631 5729 +rect 9122 5720 9128 5732 +rect 9180 5720 9186 5772 +rect 9232 5769 9260 5800 +rect 9306 5788 9312 5840 +rect 9364 5828 9370 5840 +rect 9677 5831 9735 5837 +rect 9677 5828 9689 5831 +rect 9364 5800 9689 5828 +rect 9364 5788 9370 5800 +rect 9677 5797 9689 5800 +rect 9723 5797 9735 5831 +rect 9677 5791 9735 5797 +rect 9217 5763 9275 5769 +rect 9217 5729 9229 5763 +rect 9263 5729 9275 5763 +rect 9217 5723 9275 5729 +rect 9493 5763 9551 5769 +rect 9493 5729 9505 5763 +rect 9539 5729 9551 5763 +rect 9953 5763 10011 5769 +rect 9953 5760 9965 5763 +rect 9493 5723 9551 5729 +rect 9692 5732 9965 5760 rect 6181 5695 6239 5701 -rect 6181 5661 6193 5695 +rect 6181 5692 6193 5695 +rect 6144 5664 6193 5692 +rect 6144 5652 6150 5664 +rect 6181 5661 6193 5664 rect 6227 5661 6239 5695 rect 6181 5655 6239 5661 +rect 6365 5695 6423 5701 +rect 6365 5661 6377 5695 +rect 6411 5692 6423 5695 +rect 6546 5692 6552 5704 +rect 6411 5664 6552 5692 +rect 6411 5661 6423 5664 +rect 6365 5655 6423 5661 +rect 1627 5596 4108 5624 +rect 6196 5624 6224 5655 +rect 6546 5652 6552 5664 +rect 6604 5652 6610 5704 rect 7929 5695 7987 5701 rect 7929 5661 7941 5695 rect 7975 5692 7987 5695 -rect 9324 5692 9352 5722 -rect 7975 5664 9352 5692 -rect 9416 5692 9444 5722 -rect 10134 5720 10140 5732 -rect 10192 5720 10198 5772 -rect 13722 5692 13728 5704 -rect 9416 5664 13728 5692 +rect 8110 5692 8116 5704 +rect 7975 5664 8116 5692 rect 7975 5661 7987 5664 rect 7929 5655 7987 5661 -rect 2774 5584 2780 5636 -rect 2832 5624 2838 5636 -rect 3510 5624 3516 5636 -rect 2832 5596 3516 5624 -rect 2832 5584 2838 5596 -rect 3510 5584 3516 5596 -rect 3568 5584 3574 5636 -rect 5350 5584 5356 5636 -rect 5408 5624 5414 5636 -rect 6196 5624 6224 5655 -rect 13722 5652 13728 5664 -rect 13780 5652 13786 5704 -rect 7190 5624 7196 5636 -rect 5408 5596 7196 5624 -rect 5408 5584 5414 5596 -rect 7190 5584 7196 5596 -rect 7248 5584 7254 5636 -rect 8570 5584 8576 5636 -rect 8628 5624 8634 5636 -rect 9217 5627 9275 5633 -rect 8628 5596 8984 5624 -rect 8628 5584 8634 5596 -rect 3142 5516 3148 5568 -rect 3200 5556 3206 5568 -rect 3418 5556 3424 5568 -rect 3200 5528 3424 5556 -rect 3200 5516 3206 5528 -rect 3418 5516 3424 5528 -rect 3476 5516 3482 5568 -rect 3789 5559 3847 5565 -rect 3789 5525 3801 5559 -rect 3835 5556 3847 5559 -rect 6546 5556 6552 5568 -rect 3835 5528 6552 5556 -rect 3835 5525 3847 5528 -rect 3789 5519 3847 5525 -rect 6546 5516 6552 5528 -rect 6604 5516 6610 5568 +rect 8110 5652 8116 5664 +rect 8168 5652 8174 5704 +rect 8754 5652 8760 5704 +rect 8812 5692 8818 5704 +rect 8941 5695 8999 5701 +rect 8941 5692 8953 5695 +rect 8812 5664 8953 5692 +rect 8812 5652 8818 5664 +rect 8941 5661 8953 5664 +rect 8987 5661 8999 5695 +rect 8941 5655 8999 5661 +rect 6822 5624 6828 5636 +rect 6196 5596 6828 5624 +rect 1627 5593 1639 5596 +rect 1581 5587 1639 5593 +rect 6822 5584 6828 5596 +rect 6880 5584 6886 5636 +rect 2866 5556 2872 5568 +rect 1504 5528 2872 5556 +rect 2866 5516 2872 5528 +rect 2924 5556 2930 5568 +rect 3878 5556 3884 5568 +rect 2924 5528 3884 5556 +rect 2924 5516 2930 5528 +rect 3878 5516 3884 5528 +rect 3936 5556 3942 5568 +rect 4982 5556 4988 5568 +rect 3936 5528 4988 5556 +rect 3936 5516 3942 5528 +rect 4982 5516 4988 5528 +rect 5040 5516 5046 5568 rect 8478 5516 8484 5568 rect 8536 5556 8542 5568 -rect 8846 5556 8852 5568 -rect 8536 5528 8852 5556 +rect 8849 5559 8907 5565 +rect 8849 5556 8861 5559 +rect 8536 5528 8861 5556 rect 8536 5516 8542 5528 -rect 8846 5516 8852 5528 -rect 8904 5516 8910 5568 -rect 8956 5556 8984 5596 -rect 9217 5593 9229 5627 -rect 9263 5624 9275 5627 -rect 9674 5624 9680 5636 -rect 9263 5596 9680 5624 -rect 9263 5593 9275 5596 -rect 9217 5587 9275 5593 -rect 9674 5584 9680 5596 -rect 9732 5584 9738 5636 -rect 9401 5559 9459 5565 -rect 9401 5556 9413 5559 -rect 8956 5528 9413 5556 -rect 9401 5525 9413 5528 -rect 9447 5525 9459 5559 -rect 9401 5519 9459 5525 -rect 9490 5516 9496 5568 -rect 9548 5556 9554 5568 -rect 9861 5559 9919 5565 -rect 9861 5556 9873 5559 -rect 9548 5528 9873 5556 -rect 9548 5516 9554 5528 -rect 9861 5525 9873 5528 -rect 9907 5525 9919 5559 -rect 9861 5519 9919 5525 -rect 920 5466 10304 5488 +rect 8849 5525 8861 5528 +rect 8895 5525 8907 5559 +rect 8849 5519 8907 5525 +rect 9122 5516 9128 5568 +rect 9180 5556 9186 5568 +rect 9232 5556 9260 5723 +rect 9306 5652 9312 5704 +rect 9364 5692 9370 5704 +rect 9508 5692 9536 5723 +rect 9692 5704 9720 5732 +rect 9953 5729 9965 5732 +rect 9999 5760 10011 5763 +rect 10042 5760 10048 5772 +rect 9999 5732 10048 5760 +rect 9999 5729 10011 5732 +rect 9953 5723 10011 5729 +rect 10042 5720 10048 5732 +rect 10100 5720 10106 5772 +rect 9364 5664 9536 5692 +rect 9364 5652 9370 5664 +rect 9674 5652 9680 5704 +rect 9732 5652 9738 5704 +rect 9646 5596 16574 5624 +rect 9180 5528 9260 5556 +rect 9180 5516 9186 5528 +rect 9306 5516 9312 5568 +rect 9364 5556 9370 5568 +rect 9646 5556 9674 5596 +rect 9364 5528 9674 5556 +rect 16546 5568 16574 5596 +rect 16546 5528 16580 5568 +rect 9364 5516 9370 5528 +rect 16574 5516 16580 5528 +rect 16632 5516 16638 5568 +rect 920 5466 10396 5488 rect 920 5414 2566 5466 rect 2618 5414 2630 5466 rect 2682 5414 2694 5466 @@ -2939,745 +2948,726 @@ rect 7618 5414 7630 5466 rect 7682 5414 7694 5466 rect 7746 5414 7758 5466 rect 7810 5414 7822 5466 -rect 7874 5414 10304 5466 -rect 920 5392 10304 5414 -rect 3513 5355 3571 5361 -rect 3513 5321 3525 5355 -rect 3559 5352 3571 5355 -rect 4246 5352 4252 5364 -rect 3559 5324 4252 5352 -rect 3559 5321 3571 5324 -rect 3513 5315 3571 5321 -rect 4246 5312 4252 5324 -rect 4304 5312 4310 5364 -rect 4522 5312 4528 5364 -rect 4580 5352 4586 5364 -rect 5350 5352 5356 5364 -rect 4580 5324 5356 5352 -rect 4580 5312 4586 5324 -rect 5350 5312 5356 5324 -rect 5408 5312 5414 5364 -rect 5534 5312 5540 5364 -rect 5592 5352 5598 5364 -rect 11054 5352 11060 5364 -rect 5592 5324 9536 5352 -rect 5592 5312 5598 5324 -rect 5813 5287 5871 5293 -rect 5813 5253 5825 5287 -rect 5859 5284 5871 5287 -rect 5902 5284 5908 5296 -rect 5859 5256 5908 5284 -rect 5859 5253 5871 5256 -rect 5813 5247 5871 5253 -rect 5902 5244 5908 5256 -rect 5960 5244 5966 5296 -rect 6733 5287 6791 5293 -rect 6733 5253 6745 5287 -rect 6779 5284 6791 5287 -rect 6914 5284 6920 5296 -rect 6779 5256 6920 5284 -rect 6779 5253 6791 5256 -rect 6733 5247 6791 5253 -rect 6914 5244 6920 5256 -rect 6972 5244 6978 5296 +rect 7874 5414 10396 5466 +rect 920 5392 10396 5414 +rect 1670 5312 1676 5364 +rect 1728 5352 1734 5364 +rect 2774 5352 2780 5364 +rect 1728 5324 2780 5352 +rect 1728 5312 1734 5324 +rect 2774 5312 2780 5324 +rect 2832 5312 2838 5364 +rect 3694 5352 3700 5364 +rect 3655 5324 3700 5352 +rect 3694 5312 3700 5324 +rect 3752 5312 3758 5364 +rect 5626 5312 5632 5364 +rect 5684 5352 5690 5364 +rect 5721 5355 5779 5361 +rect 5721 5352 5733 5355 +rect 5684 5324 5733 5352 +rect 5684 5312 5690 5324 +rect 5721 5321 5733 5324 +rect 5767 5321 5779 5355 +rect 5721 5315 5779 5321 +rect 7006 5312 7012 5364 +rect 7064 5352 7070 5364 +rect 7650 5352 7656 5364 +rect 7064 5324 7656 5352 +rect 7064 5312 7070 5324 +rect 7650 5312 7656 5324 +rect 7708 5312 7714 5364 +rect 8110 5312 8116 5364 +rect 8168 5352 8174 5364 +rect 9306 5352 9312 5364 +rect 8168 5324 9312 5352 +rect 8168 5312 8174 5324 +rect 9306 5312 9312 5324 +rect 9364 5312 9370 5364 +rect 5810 5244 5816 5296 +rect 5868 5284 5874 5296 +rect 6454 5284 6460 5296 +rect 5868 5256 6460 5284 +rect 5868 5244 5874 5256 +rect 6454 5244 6460 5256 +rect 6512 5244 6518 5296 rect 8294 5244 8300 5296 rect 8352 5284 8358 5296 -rect 9317 5287 9375 5293 -rect 9317 5284 9329 5287 -rect 8352 5256 9329 5284 +rect 9409 5287 9467 5293 +rect 9409 5284 9421 5287 +rect 8352 5256 9421 5284 rect 8352 5244 8358 5256 -rect 9317 5253 9329 5256 -rect 9363 5253 9375 5287 -rect 9508 5284 9536 5324 -rect 9646 5324 11060 5352 -rect 9646 5284 9674 5324 -rect 11054 5312 11060 5324 -rect 11112 5312 11118 5364 -rect 9508 5256 9674 5284 -rect 9861 5287 9919 5293 -rect 9317 5247 9375 5253 -rect 9861 5253 9873 5287 -rect 9907 5284 9919 5287 -rect 9950 5284 9956 5296 -rect 9907 5256 9956 5284 -rect 9907 5253 9919 5256 -rect 9861 5247 9919 5253 -rect 9950 5244 9956 5256 -rect 10008 5244 10014 5296 -rect 5077 5219 5135 5225 -rect 5077 5185 5089 5219 -rect 5123 5216 5135 5219 -rect 5123 5188 5948 5216 -rect 5123 5185 5135 5188 -rect 5077 5179 5135 5185 -rect 5920 5160 5948 5188 -rect 5994 5176 6000 5228 -rect 6052 5216 6058 5228 -rect 6365 5219 6423 5225 -rect 6365 5216 6377 5219 -rect 6052 5188 6377 5216 -rect 6052 5176 6058 5188 -rect 6365 5185 6377 5188 -rect 6411 5185 6423 5219 -rect 7282 5216 7288 5228 -rect 7243 5188 7288 5216 -rect 6365 5179 6423 5185 -rect 7282 5176 7288 5188 -rect 7340 5176 7346 5228 -rect 9490 5216 9496 5228 -rect 9451 5188 9496 5216 -rect 9490 5176 9496 5188 -rect 9548 5176 9554 5228 -rect 2958 5108 2964 5160 -rect 3016 5148 3022 5160 -rect 3142 5148 3148 5160 -rect 3016 5120 3148 5148 -rect 3016 5108 3022 5120 -rect 3142 5108 3148 5120 -rect 3200 5148 3206 5160 -rect 3329 5151 3387 5157 -rect 3329 5148 3341 5151 -rect 3200 5120 3341 5148 -rect 3200 5108 3206 5120 -rect 3329 5117 3341 5120 -rect 3375 5117 3387 5151 -rect 3329 5111 3387 5117 -rect 5537 5151 5595 5157 -rect 5537 5117 5549 5151 -rect 5583 5117 5595 5151 -rect 5537 5111 5595 5117 -rect 5353 5083 5411 5089 -rect 5353 5049 5365 5083 -rect 5399 5049 5411 5083 -rect 5552 5080 5580 5111 -rect 5902 5108 5908 5160 -rect 5960 5108 5966 5160 -rect 6546 5148 6552 5160 -rect 6507 5120 6552 5148 -rect 6546 5108 6552 5120 -rect 6604 5108 6610 5160 -rect 6914 5148 6920 5160 -rect 6875 5120 6920 5148 -rect 6914 5108 6920 5120 -rect 6972 5108 6978 5160 -rect 8757 5151 8815 5157 -rect 8757 5117 8769 5151 -rect 8803 5148 8815 5151 -rect 9306 5148 9312 5160 -rect 8803 5120 9312 5148 -rect 8803 5117 8815 5120 -rect 8757 5111 8815 5117 -rect 9306 5108 9312 5120 -rect 9364 5108 9370 5160 -rect 9677 5151 9735 5157 -rect 9677 5117 9689 5151 -rect 9723 5148 9735 5151 -rect 10134 5148 10140 5160 -rect 9723 5120 10140 5148 -rect 9723 5117 9735 5120 -rect 9677 5111 9735 5117 -rect 10134 5108 10140 5120 -rect 10192 5108 10198 5160 -rect 9214 5080 9220 5092 -rect 5552 5052 6868 5080 -rect 8418 5052 9220 5080 -rect 5353 5043 5411 5049 -rect 5368 5012 5396 5043 -rect 6178 5012 6184 5024 -rect 5368 4984 6184 5012 -rect 6178 4972 6184 4984 -rect 6236 4972 6242 5024 -rect 6840 5012 6868 5052 -rect 9214 5040 9220 5052 -rect 9272 5040 9278 5092 -rect 9950 5040 9956 5092 -rect 10008 5080 10014 5092 -rect 10226 5080 10232 5092 -rect 10008 5052 10232 5080 -rect 10008 5040 10014 5052 -rect 10226 5040 10232 5052 -rect 10284 5040 10290 5092 -rect 8478 5012 8484 5024 -rect 6840 4984 8484 5012 -rect 8478 4972 8484 4984 -rect 8536 4972 8542 5024 -rect 8938 5012 8944 5024 -rect 8899 4984 8944 5012 -rect 8938 4972 8944 4984 -rect 8996 4972 9002 5024 -rect 3036 4922 10304 4944 +rect 9409 5253 9421 5256 +rect 9455 5253 9467 5287 +rect 9409 5247 9467 5253 +rect 2958 5176 2964 5228 +rect 3016 5216 3022 5228 +rect 3329 5219 3387 5225 +rect 3329 5216 3341 5219 +rect 3016 5188 3341 5216 +rect 3016 5176 3022 5188 +rect 3329 5185 3341 5188 +rect 3375 5185 3387 5219 +rect 3329 5179 3387 5185 +rect 3513 5219 3571 5225 +rect 3513 5185 3525 5219 +rect 3559 5216 3571 5219 +rect 3878 5216 3884 5228 +rect 3559 5188 3884 5216 +rect 3559 5185 3571 5188 +rect 3513 5179 3571 5185 +rect 3878 5176 3884 5188 +rect 3936 5176 3942 5228 +rect 4430 5176 4436 5228 +rect 4488 5216 4494 5228 +rect 5537 5219 5595 5225 +rect 5537 5216 5549 5219 +rect 4488 5188 5549 5216 +rect 4488 5176 4494 5188 +rect 5537 5185 5549 5188 +rect 5583 5216 5595 5219 +rect 7926 5216 7932 5228 +rect 5583 5188 7932 5216 +rect 5583 5185 5595 5188 +rect 5537 5179 5595 5185 +rect 7926 5176 7932 5188 +rect 7984 5176 7990 5228 +rect 9769 5219 9827 5225 +rect 9769 5185 9781 5219 +rect 9815 5216 9827 5219 +rect 10318 5216 10324 5228 +rect 9815 5188 10324 5216 +rect 9815 5185 9827 5188 +rect 9769 5179 9827 5185 +rect 10318 5176 10324 5188 +rect 10376 5176 10382 5228 +rect 2866 5108 2872 5160 +rect 2924 5148 2930 5160 +rect 3786 5148 3792 5160 +rect 2924 5120 3792 5148 +rect 2924 5108 2930 5120 +rect 3786 5108 3792 5120 +rect 3844 5108 3850 5160 +rect 5994 5108 6000 5160 +rect 6052 5148 6058 5160 +rect 6273 5151 6331 5157 +rect 6273 5148 6285 5151 +rect 6052 5120 6285 5148 +rect 6052 5108 6058 5120 +rect 6273 5117 6285 5120 +rect 6319 5117 6331 5151 +rect 6454 5148 6460 5160 +rect 6415 5120 6460 5148 +rect 6273 5111 6331 5117 +rect 6454 5108 6460 5120 +rect 6512 5108 6518 5160 +rect 6546 5108 6552 5160 +rect 6604 5148 6610 5160 +rect 6641 5151 6699 5157 +rect 6641 5148 6653 5151 +rect 6604 5120 6653 5148 +rect 6604 5108 6610 5120 +rect 6641 5117 6653 5120 +rect 6687 5117 6699 5151 +rect 7006 5148 7012 5160 +rect 6967 5120 7012 5148 +rect 6641 5111 6699 5117 +rect 7006 5108 7012 5120 +rect 7064 5108 7070 5160 +rect 7377 5151 7435 5157 +rect 7377 5117 7389 5151 +rect 7423 5148 7435 5151 +rect 7466 5148 7472 5160 +rect 7423 5120 7472 5148 +rect 7423 5117 7435 5120 +rect 7377 5111 7435 5117 +rect 7466 5108 7472 5120 +rect 7524 5108 7530 5160 +rect 8849 5151 8907 5157 +rect 8849 5117 8861 5151 +rect 8895 5148 8907 5151 +rect 9585 5151 9643 5157 +rect 9585 5148 9597 5151 +rect 8895 5120 9597 5148 +rect 8895 5117 8907 5120 +rect 8849 5111 8907 5117 +rect 9585 5117 9597 5120 +rect 9631 5117 9643 5151 +rect 9585 5111 9643 5117 +rect 9953 5151 10011 5157 +rect 9953 5117 9965 5151 +rect 9999 5117 10011 5151 +rect 9953 5111 10011 5117 +rect 8484 5092 8536 5098 +rect 6086 5040 6092 5092 +rect 6144 5080 6150 5092 +rect 6825 5083 6883 5089 +rect 6825 5080 6837 5083 +rect 6144 5052 6837 5080 +rect 6144 5040 6150 5052 +rect 6825 5049 6837 5052 +rect 6871 5049 6883 5083 +rect 6825 5043 6883 5049 +rect 9766 5040 9772 5092 +rect 9824 5080 9830 5092 +rect 9968 5080 9996 5111 +rect 10134 5080 10140 5092 +rect 9824 5052 10140 5080 +rect 9824 5040 9830 5052 +rect 10134 5040 10140 5052 +rect 10192 5040 10198 5092 +rect 8484 5034 8536 5040 +rect 9030 5012 9036 5024 +rect 8991 4984 9036 5012 +rect 9030 4972 9036 4984 +rect 9088 4972 9094 5024 +rect 3036 4922 10396 4944 rect 3036 4870 5066 4922 rect 5118 4870 5130 4922 rect 5182 4870 5194 4922 rect 5246 4870 5258 4922 rect 5310 4870 5322 4922 -rect 5374 4870 10304 4922 -rect 3036 4848 10304 4870 -rect 3804 4780 4844 4808 -rect 3804 4749 3832 4780 -rect 3789 4743 3847 4749 -rect 3789 4709 3801 4743 -rect 3835 4709 3847 4743 -rect 4816 4740 4844 4780 -rect 5902 4768 5908 4820 -rect 5960 4808 5966 4820 -rect 11238 4808 11244 4820 -rect 5960 4780 11244 4808 -rect 5960 4768 5966 4780 -rect 11238 4768 11244 4780 -rect 11296 4768 11302 4820 -rect 7006 4740 7012 4752 -rect 4816 4712 4922 4740 -rect 6967 4712 7012 4740 -rect 3789 4703 3847 4709 -rect 7006 4700 7012 4712 -rect 7064 4700 7070 4752 -rect 7116 4712 7972 4740 -rect 3510 4632 3516 4684 -rect 3568 4672 3574 4684 -rect 3605 4675 3663 4681 -rect 3605 4672 3617 4675 -rect 3568 4644 3617 4672 -rect 3568 4632 3574 4644 -rect 3605 4641 3617 4644 -rect 3651 4641 3663 4675 -rect 3605 4635 3663 4641 -rect 3694 4632 3700 4684 -rect 3752 4672 3758 4684 -rect 4157 4675 4215 4681 -rect 4157 4672 4169 4675 -rect 3752 4644 4169 4672 -rect 3752 4632 3758 4644 -rect 4157 4641 4169 4644 -rect 4203 4641 4215 4675 -rect 4157 4635 4215 4641 -rect 4338 4632 4344 4684 -rect 4396 4672 4402 4684 -rect 4525 4675 4583 4681 -rect 4525 4672 4537 4675 -rect 4396 4644 4537 4672 -rect 4396 4632 4402 4644 -rect 4525 4641 4537 4644 -rect 4571 4641 4583 4675 -rect 4525 4635 4583 4641 -rect 5997 4675 6055 4681 -rect 5997 4641 6009 4675 -rect 6043 4672 6055 4675 -rect 6270 4672 6276 4684 -rect 6043 4644 6276 4672 -rect 6043 4641 6055 4644 -rect 5997 4635 6055 4641 -rect 6270 4632 6276 4644 -rect 6328 4632 6334 4684 -rect 6822 4672 6828 4684 -rect 6783 4644 6828 4672 -rect 6822 4632 6828 4644 -rect 6880 4632 6886 4684 -rect 7116 4672 7144 4712 -rect 7282 4672 7288 4684 -rect 6932 4644 7144 4672 -rect 7243 4644 7288 4672 -rect 1762 4564 1768 4616 -rect 1820 4604 1826 4616 -rect 2958 4604 2964 4616 -rect 1820 4576 2964 4604 -rect 1820 4564 1826 4576 -rect 2958 4564 2964 4576 -rect 3016 4564 3022 4616 -rect 3881 4607 3939 4613 -rect 3881 4604 3893 4607 -rect 3712 4576 3893 4604 -rect 3712 4548 3740 4576 -rect 3881 4573 3893 4576 -rect 3927 4604 3939 4607 -rect 3970 4604 3976 4616 -rect 3927 4576 3976 4604 -rect 3927 4573 3939 4576 -rect 3881 4567 3939 4573 -rect 3970 4564 3976 4576 -rect 4028 4564 4034 4616 -rect 6178 4564 6184 4616 -rect 6236 4604 6242 4616 -rect 6932 4604 6960 4644 -rect 7282 4632 7288 4644 -rect 7340 4632 7346 4684 -rect 7374 4632 7380 4684 -rect 7432 4672 7438 4684 -rect 7837 4675 7895 4681 -rect 7837 4672 7849 4675 -rect 7432 4644 7849 4672 -rect 7432 4632 7438 4644 -rect 7837 4641 7849 4644 -rect 7883 4641 7895 4675 -rect 7944 4672 7972 4712 -rect 9030 4700 9036 4752 -rect 9088 4740 9094 4752 -rect 9214 4740 9220 4752 -rect 9088 4712 9220 4740 -rect 9088 4700 9094 4712 -rect 9214 4700 9220 4712 -rect 9272 4700 9278 4752 -rect 10042 4740 10048 4752 -rect 9324 4712 10048 4740 -rect 9324 4672 9352 4712 -rect 10042 4700 10048 4712 -rect 10100 4700 10106 4752 -rect 9490 4672 9496 4684 -rect 7944 4644 9352 4672 -rect 9451 4644 9496 4672 -rect 7837 4635 7895 4641 -rect 9490 4632 9496 4644 -rect 9548 4632 9554 4684 -rect 6236 4576 6960 4604 -rect 6236 4564 6242 4576 -rect 7098 4564 7104 4616 -rect 7156 4604 7162 4616 -rect 7561 4607 7619 4613 -rect 7561 4604 7573 4607 -rect 7156 4576 7573 4604 -rect 7156 4564 7162 4576 -rect 7561 4573 7573 4576 -rect 7607 4573 7619 4607 -rect 7561 4567 7619 4573 -rect 8018 4564 8024 4616 -rect 8076 4604 8082 4616 -rect 8297 4607 8355 4613 -rect 8297 4604 8309 4607 -rect 8076 4576 8309 4604 -rect 8076 4564 8082 4576 -rect 8297 4573 8309 4576 -rect 8343 4573 8355 4607 -rect 8297 4567 8355 4573 -rect 3694 4496 3700 4548 -rect 3752 4496 3758 4548 -rect 7469 4539 7527 4545 -rect 7469 4536 7481 4539 -rect 7208 4508 7481 4536 -rect 3421 4471 3479 4477 -rect 3421 4437 3433 4471 -rect 3467 4468 3479 4471 -rect 4522 4468 4528 4480 -rect 3467 4440 4528 4468 -rect 3467 4437 3479 4440 -rect 3421 4431 3479 4437 -rect 4522 4428 4528 4440 -rect 4580 4428 4586 4480 -rect 6362 4428 6368 4480 -rect 6420 4468 6426 4480 -rect 6557 4471 6615 4477 -rect 6557 4468 6569 4471 -rect 6420 4440 6569 4468 -rect 6420 4428 6426 4440 -rect 6557 4437 6569 4440 -rect 6603 4437 6615 4471 -rect 6557 4431 6615 4437 -rect 6730 4428 6736 4480 -rect 6788 4468 6794 4480 -rect 7208 4468 7236 4508 -rect 7469 4505 7481 4508 -rect 7515 4505 7527 4539 -rect 7469 4499 7527 4505 -rect 9769 4539 9827 4545 -rect 9769 4505 9781 4539 -rect 9815 4536 9827 4539 -rect 13446 4536 13452 4548 -rect 9815 4508 13452 4536 -rect 9815 4505 9827 4508 -rect 9769 4499 9827 4505 -rect 13446 4496 13452 4508 -rect 13504 4496 13510 4548 -rect 6788 4440 7236 4468 -rect 6788 4428 6794 4440 -rect 7282 4428 7288 4480 -rect 7340 4468 7346 4480 -rect 8021 4471 8079 4477 -rect 8021 4468 8033 4471 -rect 7340 4440 8033 4468 -rect 7340 4428 7346 4440 -rect 8021 4437 8033 4440 -rect 8067 4437 8079 4471 -rect 8021 4431 8079 4437 -rect 3036 4378 10304 4400 +rect 5374 4870 10396 4922 +rect 3036 4848 10396 4870 +rect 3970 4768 3976 4820 +rect 4028 4808 4034 4820 +rect 4028 4780 6224 4808 +rect 4028 4768 4034 4780 +rect 4798 4700 4804 4752 +rect 4856 4700 4862 4752 +rect 2958 4632 2964 4684 +rect 3016 4672 3022 4684 +rect 3234 4672 3240 4684 +rect 3016 4644 3240 4672 +rect 3016 4632 3022 4644 +rect 3234 4632 3240 4644 +rect 3292 4672 3298 4684 +rect 3421 4675 3479 4681 +rect 3421 4672 3433 4675 +rect 3292 4644 3433 4672 +rect 3292 4632 3298 4644 +rect 3421 4641 3433 4644 +rect 3467 4641 3479 4675 +rect 3878 4672 3884 4684 +rect 3839 4644 3884 4672 +rect 3421 4635 3479 4641 +rect 3878 4632 3884 4644 +rect 3936 4632 3942 4684 +rect 4433 4675 4491 4681 +rect 4433 4641 4445 4675 +rect 4479 4672 4491 4675 +rect 4522 4672 4528 4684 +rect 4479 4644 4528 4672 +rect 4479 4641 4491 4644 +rect 4433 4635 4491 4641 +rect 4522 4632 4528 4644 +rect 4580 4632 4586 4684 +rect 5905 4675 5963 4681 +rect 5905 4641 5917 4675 +rect 5951 4672 5963 4675 +rect 6086 4672 6092 4684 +rect 5951 4644 6092 4672 +rect 5951 4641 5963 4644 +rect 5905 4635 5963 4641 +rect 6086 4632 6092 4644 +rect 6144 4632 6150 4684 +rect 4065 4607 4123 4613 +rect 4065 4573 4077 4607 +rect 4111 4604 4123 4607 +rect 4154 4604 4160 4616 +rect 4111 4576 4160 4604 +rect 4111 4573 4123 4576 +rect 4065 4567 4123 4573 +rect 4154 4564 4160 4576 +rect 4212 4564 4218 4616 +rect 6196 4604 6224 4780 +rect 7006 4768 7012 4820 +rect 7064 4808 7070 4820 +rect 7377 4811 7435 4817 +rect 7377 4808 7389 4811 +rect 7064 4780 7389 4808 +rect 7064 4768 7070 4780 +rect 7377 4777 7389 4780 +rect 7423 4777 7435 4811 +rect 9582 4808 9588 4820 +rect 9543 4780 9588 4808 +rect 7377 4771 7435 4777 +rect 9582 4768 9588 4780 +rect 9640 4768 9646 4820 +rect 7926 4740 7932 4752 +rect 7484 4712 7932 4740 +rect 6546 4632 6552 4684 +rect 6604 4672 6610 4684 +rect 6733 4675 6791 4681 +rect 6733 4672 6745 4675 +rect 6604 4644 6745 4672 +rect 6604 4632 6610 4644 +rect 6733 4641 6745 4644 +rect 6779 4641 6791 4675 +rect 6733 4635 6791 4641 +rect 6822 4632 6828 4684 +rect 6880 4672 6886 4684 +rect 7484 4681 7512 4712 +rect 7926 4700 7932 4712 +rect 7984 4700 7990 4752 +rect 7009 4675 7067 4681 +rect 7009 4672 7021 4675 +rect 6880 4644 7021 4672 +rect 6880 4632 6886 4644 +rect 7009 4641 7021 4644 +rect 7055 4641 7067 4675 +rect 7009 4635 7067 4641 +rect 7469 4675 7527 4681 +rect 7469 4641 7481 4675 +rect 7515 4641 7527 4675 +rect 7650 4672 7656 4684 +rect 7611 4644 7656 4672 +rect 7469 4635 7527 4641 +rect 7650 4632 7656 4644 +rect 7708 4632 7714 4684 +rect 8297 4675 8355 4681 +rect 8297 4672 8309 4675 +rect 7760 4644 8309 4672 +rect 7760 4604 7788 4644 +rect 8297 4641 8309 4644 +rect 8343 4641 8355 4675 +rect 8297 4635 8355 4641 +rect 6196 4576 7788 4604 +rect 7929 4607 7987 4613 +rect 7929 4573 7941 4607 +rect 7975 4573 7987 4607 +rect 7929 4567 7987 4573 +rect 8021 4607 8079 4613 +rect 8021 4573 8033 4607 +rect 8067 4604 8079 4607 +rect 8386 4604 8392 4616 +rect 8067 4576 8392 4604 +rect 8067 4573 8079 4576 +rect 8021 4567 8079 4573 +rect 5994 4496 6000 4548 +rect 6052 4536 6058 4548 +rect 6638 4536 6644 4548 +rect 6052 4508 6644 4536 +rect 6052 4496 6058 4508 +rect 6638 4496 6644 4508 +rect 6696 4496 6702 4548 +rect 6914 4536 6920 4548 +rect 6875 4508 6920 4536 +rect 6914 4496 6920 4508 +rect 6972 4496 6978 4548 +rect 7282 4496 7288 4548 +rect 7340 4536 7346 4548 +rect 7944 4536 7972 4567 +rect 8386 4564 8392 4576 +rect 8444 4564 8450 4616 +rect 7340 4508 7972 4536 +rect 7340 4496 7346 4508 +rect 3789 4471 3847 4477 +rect 3789 4437 3801 4471 +rect 3835 4468 3847 4471 +rect 4614 4468 4620 4480 +rect 3835 4440 4620 4468 +rect 3835 4437 3847 4440 +rect 3789 4431 3847 4437 +rect 4614 4428 4620 4440 +rect 4672 4428 4678 4480 +rect 6086 4428 6092 4480 +rect 6144 4468 6150 4480 +rect 6465 4471 6523 4477 +rect 6465 4468 6477 4471 +rect 6144 4440 6477 4468 +rect 6144 4428 6150 4440 +rect 6465 4437 6477 4440 +rect 6511 4437 6523 4471 +rect 6465 4431 6523 4437 +rect 3036 4378 10396 4400 rect 3036 4326 7566 4378 rect 7618 4326 7630 4378 rect 7682 4326 7694 4378 rect 7746 4326 7758 4378 rect 7810 4326 7822 4378 -rect 7874 4326 10304 4378 -rect 3036 4304 10304 4326 +rect 7874 4326 10396 4378 +rect 3036 4304 10396 4326 +rect 3786 4088 3792 4140 +rect 3844 4128 3850 4140 +rect 4890 4128 4896 4140 +rect 3844 4100 4896 4128 +rect 3844 4088 3850 4100 +rect 4890 4088 4896 4100 +rect 4948 4088 4954 4140 rect 5077 4131 5135 4137 rect 5077 4097 5089 4131 rect 5123 4128 5135 4131 -rect 5626 4128 5632 4140 -rect 5123 4100 5632 4128 +rect 9398 4128 9404 4140 +rect 5123 4100 7972 4128 +rect 9359 4100 9404 4128 rect 5123 4097 5135 4100 rect 5077 4091 5135 4097 -rect 5626 4088 5632 4100 -rect 5684 4128 5690 4140 -rect 5813 4131 5871 4137 -rect 5684 4100 5764 4128 -rect 5684 4088 5690 4100 -rect 3326 4060 3332 4072 -rect 3287 4032 3332 4060 -rect 3326 4020 3332 4032 -rect 3384 4020 3390 4072 -rect 3418 4020 3424 4072 -rect 3476 4060 3482 4072 +rect 3329 4063 3387 4069 +rect 3329 4029 3341 4063 +rect 3375 4060 3387 4063 +rect 4338 4060 4344 4072 +rect 3375 4032 4344 4060 +rect 3375 4029 3387 4032 +rect 3329 4023 3387 4029 +rect 4338 4020 4344 4032 +rect 4396 4020 4402 4072 rect 5169 4063 5227 4069 -rect 5169 4060 5181 4063 -rect 3476 4032 5181 4060 -rect 3476 4020 3482 4032 -rect 5169 4029 5181 4032 +rect 5169 4029 5181 4063 rect 5215 4029 5227 4063 +rect 5350 4060 5356 4072 +rect 5311 4032 5356 4060 rect 5169 4023 5227 4029 -rect 5353 4063 5411 4069 -rect 5353 4029 5365 4063 -rect 5399 4060 5411 4063 -rect 5442 4060 5448 4072 -rect 5399 4032 5448 4060 -rect 5399 4029 5411 4032 -rect 5353 4023 5411 4029 -rect 3234 3952 3240 4004 -rect 3292 3992 3298 4004 -rect 3510 3992 3516 4004 -rect 3292 3964 3516 3992 -rect 3292 3952 3298 3964 -rect 3510 3952 3516 3964 -rect 3568 3992 3574 4004 -rect 5368 3992 5396 4023 -rect 5442 4020 5448 4032 -rect 5500 4020 5506 4072 -rect 5736 4069 5764 4100 -rect 5813 4097 5825 4131 -rect 5859 4128 5871 4131 -rect 6914 4128 6920 4140 -rect 5859 4100 6920 4128 -rect 5859 4097 5871 4100 -rect 5813 4091 5871 4097 -rect 6914 4088 6920 4100 -rect 6972 4088 6978 4140 -rect 7929 4131 7987 4137 -rect 7929 4097 7941 4131 -rect 7975 4128 7987 4131 -rect 13722 4128 13728 4140 -rect 7975 4100 13728 4128 -rect 7975 4097 7987 4100 -rect 7929 4091 7987 4097 -rect 13722 4088 13728 4100 -rect 13780 4088 13786 4140 +rect 4890 3952 4896 4004 +rect 4948 3992 4954 4004 +rect 5184 3992 5212 4023 +rect 5350 4020 5356 4032 +rect 5408 4020 5414 4072 +rect 5534 4060 5540 4072 +rect 5495 4032 5540 4060 +rect 5534 4020 5540 4032 +rect 5592 4020 5598 4072 +rect 5626 4020 5632 4072 +rect 5684 4060 5690 4072 rect 5721 4063 5779 4069 -rect 5721 4029 5733 4063 -rect 5767 4029 5779 4063 -rect 6086 4060 6092 4072 -rect 6047 4032 6092 4060 +rect 5721 4060 5733 4063 +rect 5684 4032 5733 4060 +rect 5684 4020 5690 4032 +rect 5721 4029 5733 4032 +rect 5767 4060 5779 4063 +rect 5810 4060 5816 4072 +rect 5767 4032 5816 4060 +rect 5767 4029 5779 4032 rect 5721 4023 5779 4029 -rect 6086 4020 6092 4032 -rect 6144 4020 6150 4072 -rect 8202 4060 8208 4072 -rect 8163 4032 8208 4060 -rect 8202 4020 8208 4032 -rect 8260 4020 8266 4072 -rect 9953 4063 10011 4069 -rect 9953 4029 9965 4063 -rect 9999 4060 10011 4063 -rect 11146 4060 11152 4072 -rect 9999 4032 11152 4060 -rect 9999 4029 10011 4032 -rect 9953 4023 10011 4029 -rect 11146 4020 11152 4032 -rect 11204 4020 11210 4072 -rect 3568 3964 5396 3992 -rect 5537 3995 5595 4001 -rect 3568 3952 3574 3964 -rect 5537 3961 5549 3995 -rect 5583 3992 5595 3995 -rect 5902 3992 5908 4004 -rect 5583 3964 5908 3992 -rect 5583 3961 5595 3964 -rect 5537 3955 5595 3961 -rect 5902 3952 5908 3964 -rect 5960 3952 5966 4004 -rect 2682 3884 2688 3936 -rect 2740 3924 2746 3936 -rect 8018 3924 8024 3936 -rect 2740 3896 8024 3924 -rect 2740 3884 2746 3896 -rect 8018 3884 8024 3896 -rect 8076 3884 8082 3936 -rect 3036 3834 10304 3856 +rect 5810 4020 5816 4032 +rect 5868 4020 5874 4072 +rect 6270 4060 6276 4072 +rect 6231 4032 6276 4060 +rect 6270 4020 6276 4032 +rect 6328 4020 6334 4072 +rect 5442 3992 5448 4004 +rect 4948 3964 5448 3992 +rect 4948 3952 4954 3964 +rect 5442 3952 5448 3964 +rect 5500 3952 5506 4004 +rect 5905 3927 5963 3933 +rect 5905 3893 5917 3927 +rect 5951 3924 5963 3927 +rect 5994 3924 6000 3936 +rect 5951 3896 6000 3924 +rect 5951 3893 5963 3896 +rect 5905 3887 5963 3893 +rect 5994 3884 6000 3896 +rect 6052 3884 6058 3936 +rect 7944 3924 7972 4100 +rect 9398 4088 9404 4100 +rect 9456 4088 9462 4140 +rect 8481 4063 8539 4069 +rect 8481 4029 8493 4063 +rect 8527 4060 8539 4063 +rect 8570 4060 8576 4072 +rect 8527 4032 8576 4060 +rect 8527 4029 8539 4032 +rect 8481 4023 8539 4029 +rect 8570 4020 8576 4032 +rect 8628 4020 8634 4072 +rect 12406 4032 16574 4060 +rect 8021 3995 8079 4001 +rect 8021 3961 8033 3995 +rect 8067 3992 8079 3995 +rect 12406 3992 12434 4032 +rect 8067 3964 12434 3992 +rect 16546 4004 16574 4032 +rect 16546 3964 16580 4004 +rect 8067 3961 8079 3964 +rect 8021 3955 8079 3961 +rect 16574 3952 16580 3964 +rect 16632 3952 16638 4004 +rect 22094 3924 22100 3936 +rect 7944 3896 22100 3924 +rect 22094 3884 22100 3896 +rect 22152 3884 22158 3936 +rect 3036 3834 10396 3856 rect 3036 3782 5066 3834 rect 5118 3782 5130 3834 rect 5182 3782 5194 3834 rect 5246 3782 5258 3834 rect 5310 3782 5322 3834 -rect 5374 3782 10304 3834 -rect 3036 3760 10304 3782 -rect 3418 3720 3424 3732 -rect 3379 3692 3424 3720 -rect 3418 3680 3424 3692 -rect 3476 3680 3482 3732 -rect 4706 3680 4712 3732 -rect 4764 3720 4770 3732 -rect 4764 3692 5120 3720 -rect 4764 3680 4770 3692 -rect 5092 3652 5120 3692 -rect 5442 3680 5448 3732 -rect 5500 3720 5506 3732 -rect 13814 3720 13820 3732 -rect 5500 3692 13820 3720 -rect 5500 3680 5506 3692 -rect 13814 3680 13820 3692 -rect 13872 3680 13878 3732 -rect 5014 3624 5120 3652 -rect 5994 3612 6000 3664 -rect 6052 3652 6058 3664 -rect 9398 3652 9404 3664 -rect 6052 3624 8892 3652 -rect 9359 3624 9404 3652 -rect 6052 3612 6058 3624 -rect 5353 3587 5411 3593 -rect 5353 3553 5365 3587 -rect 5399 3584 5411 3587 -rect 5902 3584 5908 3596 -rect 5399 3556 5908 3584 -rect 5399 3553 5411 3556 -rect 5353 3547 5411 3553 -rect 5902 3544 5908 3556 -rect 5960 3544 5966 3596 -rect 6549 3587 6607 3593 -rect 6549 3553 6561 3587 -rect 6595 3584 6607 3587 -rect 6638 3584 6644 3596 -rect 6595 3556 6644 3584 -rect 6595 3553 6607 3556 -rect 6549 3547 6607 3553 -rect 6638 3544 6644 3556 -rect 6696 3544 6702 3596 -rect 8386 3544 8392 3596 -rect 8444 3584 8450 3596 -rect 8864 3593 8892 3624 -rect 9398 3612 9404 3624 -rect 9456 3612 9462 3664 -rect 9766 3652 9772 3664 -rect 9508 3624 9772 3652 -rect 8573 3587 8631 3593 -rect 8573 3584 8585 3587 -rect 8444 3556 8585 3584 -rect 8444 3544 8450 3556 -rect 8573 3553 8585 3556 -rect 8619 3553 8631 3587 -rect 8573 3547 8631 3553 -rect 8849 3587 8907 3593 -rect 8849 3553 8861 3587 -rect 8895 3553 8907 3587 -rect 8849 3547 8907 3553 -rect 9125 3587 9183 3593 -rect 9125 3553 9137 3587 -rect 9171 3553 9183 3587 -rect 9125 3547 9183 3553 -rect 9309 3587 9367 3593 -rect 9309 3553 9321 3587 -rect 9355 3584 9367 3587 -rect 9508 3584 9536 3624 -rect 9766 3612 9772 3624 -rect 9824 3612 9830 3664 -rect 9674 3584 9680 3596 -rect 9355 3556 9536 3584 -rect 9635 3556 9680 3584 -rect 9355 3553 9367 3556 -rect 9309 3547 9367 3553 -rect 3510 3516 3516 3528 -rect 3471 3488 3516 3516 -rect 3510 3476 3516 3488 -rect 3568 3476 3574 3528 +rect 5374 3782 10396 3834 +rect 3036 3760 10396 3782 +rect 6638 3680 6644 3732 +rect 6696 3720 6702 3732 +rect 8941 3723 8999 3729 +rect 8941 3720 8953 3723 +rect 6696 3692 8953 3720 +rect 6696 3680 6702 3692 +rect 8941 3689 8953 3692 +rect 8987 3689 8999 3723 +rect 8941 3683 8999 3689 +rect 9490 3680 9496 3732 +rect 9548 3720 9554 3732 +rect 9585 3723 9643 3729 +rect 9585 3720 9597 3723 +rect 9548 3692 9597 3720 +rect 9548 3680 9554 3692 +rect 9585 3689 9597 3692 +rect 9631 3689 9643 3723 +rect 9585 3683 9643 3689 +rect 3878 3612 3884 3664 +rect 3936 3612 3942 3664 +rect 9030 3612 9036 3664 +rect 9088 3652 9094 3664 +rect 9125 3655 9183 3661 +rect 9125 3652 9137 3655 +rect 9088 3624 9137 3652 +rect 9088 3612 9094 3624 +rect 9125 3621 9137 3624 +rect 9171 3621 9183 3655 +rect 9125 3615 9183 3621 +rect 3513 3587 3571 3593 +rect 3513 3553 3525 3587 +rect 3559 3584 3571 3587 +rect 3896 3584 3924 3612 +rect 3559 3556 3924 3584 +rect 3973 3587 4031 3593 +rect 3559 3553 3571 3556 +rect 3513 3547 3571 3553 +rect 3973 3553 3985 3587 +rect 4019 3584 4031 3587 +rect 4062 3584 4068 3596 +rect 4019 3556 4068 3584 +rect 4019 3553 4031 3556 +rect 3973 3547 4031 3553 +rect 4062 3544 4068 3556 +rect 4120 3544 4126 3596 +rect 6086 3584 6092 3596 +rect 6047 3556 6092 3584 +rect 6086 3544 6092 3556 +rect 6144 3544 6150 3596 +rect 6362 3584 6368 3596 +rect 6323 3556 6368 3584 +rect 6362 3544 6368 3556 +rect 6420 3544 6426 3596 +rect 8757 3587 8815 3593 +rect 8757 3553 8769 3587 +rect 8803 3584 8815 3587 +rect 9582 3584 9588 3596 +rect 8803 3556 9588 3584 +rect 8803 3553 8815 3556 +rect 8757 3547 8815 3553 +rect 9582 3544 9588 3556 +rect 9640 3544 9646 3596 +rect 9766 3584 9772 3596 +rect 9727 3556 9772 3584 +rect 9766 3544 9772 3556 +rect 9824 3544 9830 3596 rect 3881 3519 3939 3525 rect 3881 3485 3893 3519 rect 3927 3516 3939 3519 -rect 4154 3516 4160 3528 -rect 3927 3488 4160 3516 +rect 4798 3516 4804 3528 +rect 3927 3488 4804 3516 rect 3927 3485 3939 3488 rect 3881 3479 3939 3485 -rect 4154 3476 4160 3488 -rect 4212 3476 4218 3528 -rect 8662 3476 8668 3528 -rect 8720 3516 8726 3528 -rect 8757 3519 8815 3525 -rect 8757 3516 8769 3519 -rect 8720 3488 8769 3516 -rect 8720 3476 8726 3488 -rect 8757 3485 8769 3488 -rect 8803 3485 8815 3519 -rect 9140 3516 9168 3547 -rect 9674 3544 9680 3556 -rect 9732 3544 9738 3596 -rect 9398 3516 9404 3528 -rect 9140 3488 9404 3516 -rect 8757 3479 8815 3485 -rect 9398 3476 9404 3488 -rect 9456 3476 9462 3528 -rect 9766 3516 9772 3528 -rect 9727 3488 9772 3516 -rect 9766 3476 9772 3488 -rect 9824 3476 9830 3528 +rect 4798 3476 4804 3488 +rect 4856 3476 4862 3528 +rect 5074 3516 5080 3528 +rect 5035 3488 5080 3516 +rect 5074 3476 5080 3488 +rect 5132 3476 5138 3528 +rect 8573 3519 8631 3525 +rect 8573 3516 8585 3519 +rect 5184 3488 8585 3516 +rect 2682 3408 2688 3460 +rect 2740 3448 2746 3460 +rect 5184 3448 5212 3488 +rect 8573 3485 8585 3488 +rect 8619 3485 8631 3519 +rect 16666 3516 16672 3528 +rect 8573 3479 8631 3485 +rect 9324 3488 16672 3516 +rect 2740 3420 5212 3448 rect 8113 3451 8171 3457 -rect 5736 3420 6776 3448 -rect 3142 3340 3148 3392 -rect 3200 3380 3206 3392 -rect 5736 3380 5764 3420 -rect 3200 3352 5764 3380 -rect 5917 3383 5975 3389 -rect 3200 3340 3206 3352 -rect 5917 3349 5929 3383 -rect 5963 3380 5975 3383 -rect 6638 3380 6644 3392 -rect 5963 3352 6644 3380 -rect 5963 3349 5975 3352 -rect 5917 3343 5975 3349 -rect 6638 3340 6644 3352 -rect 6696 3340 6702 3392 -rect 6748 3380 6776 3420 +rect 2740 3408 2746 3420 rect 8113 3417 8125 3451 rect 8159 3448 8171 3451 -rect 8159 3420 12434 3448 +rect 9324 3448 9352 3488 +rect 16666 3476 16672 3488 +rect 16724 3476 16730 3528 +rect 8159 3420 9352 3448 +rect 9401 3451 9459 3457 rect 8159 3417 8171 3420 rect 8113 3411 8171 3417 +rect 9401 3417 9413 3451 +rect 9447 3417 9459 3451 +rect 9401 3411 9459 3417 +rect 4982 3340 4988 3392 +rect 5040 3380 5046 3392 rect 8389 3383 8447 3389 rect 8389 3380 8401 3383 -rect 6748 3352 8401 3380 +rect 5040 3352 8401 3380 +rect 5040 3340 5046 3352 rect 8389 3349 8401 3352 -rect 8435 3380 8447 3383 -rect 8478 3380 8484 3392 -rect 8435 3352 8484 3380 -rect 8435 3349 8447 3352 +rect 8435 3349 8447 3383 rect 8389 3343 8447 3349 -rect 8478 3340 8484 3352 -rect 8536 3340 8542 3392 -rect 9398 3340 9404 3392 -rect 9456 3380 9462 3392 -rect 10410 3380 10416 3392 -rect 9456 3352 10416 3380 -rect 9456 3340 9462 3352 -rect 10410 3340 10416 3352 -rect 10468 3340 10474 3392 -rect 12406 3380 12434 3420 -rect 13538 3380 13544 3392 -rect 12406 3352 13544 3380 -rect 13538 3340 13544 3352 -rect 13596 3340 13602 3392 -rect 3036 3290 10304 3312 +rect 9214 3340 9220 3392 +rect 9272 3380 9278 3392 +rect 9416 3380 9444 3411 +rect 9272 3352 9444 3380 +rect 9272 3340 9278 3352 +rect 9490 3340 9496 3392 +rect 9548 3380 9554 3392 +rect 9953 3383 10011 3389 +rect 9953 3380 9965 3383 +rect 9548 3352 9965 3380 +rect 9548 3340 9554 3352 +rect 9953 3349 9965 3352 +rect 9999 3349 10011 3383 +rect 9953 3343 10011 3349 +rect 3036 3290 10396 3312 rect 3036 3238 7566 3290 rect 7618 3238 7630 3290 rect 7682 3238 7694 3290 rect 7746 3238 7758 3290 rect 7810 3238 7822 3290 -rect 7874 3238 10304 3290 -rect 3036 3216 10304 3238 -rect 3510 3136 3516 3188 -rect 3568 3176 3574 3188 +rect 7874 3238 10396 3290 +rect 3036 3216 10396 3238 +rect 3602 3136 3608 3188 +rect 3660 3176 3666 3188 rect 5261 3179 5319 3185 rect 5261 3176 5273 3179 -rect 3568 3148 5273 3176 -rect 3568 3136 3574 3148 +rect 3660 3148 5273 3176 +rect 3660 3136 3666 3148 rect 5261 3145 5273 3148 rect 5307 3145 5319 3179 -rect 5810 3176 5816 3188 -rect 5771 3148 5816 3176 +rect 5994 3176 6000 3188 +rect 5907 3148 6000 3176 rect 5261 3139 5319 3145 -rect 5810 3136 5816 3148 -rect 5868 3136 5874 3188 -rect 6822 3176 6828 3188 -rect 6783 3148 6828 3176 -rect 6822 3136 6828 3148 -rect 6880 3136 6886 3188 -rect 9953 3179 10011 3185 -rect 9953 3145 9965 3179 -rect 9999 3176 10011 3179 -rect 11330 3176 11336 3188 -rect 9999 3148 11336 3176 -rect 9999 3145 10011 3148 -rect 9953 3139 10011 3145 -rect 11330 3136 11336 3148 -rect 11388 3136 11394 3188 -rect 13630 3136 13636 3188 -rect 13688 3176 13694 3188 -rect 16850 3176 16856 3188 -rect 13688 3148 16856 3176 -rect 13688 3136 13694 3148 -rect 16850 3136 16856 3148 -rect 16908 3136 16914 3188 -rect 3418 3068 3424 3120 -rect 3476 3108 3482 3120 -rect 5445 3111 5503 3117 -rect 5445 3108 5457 3111 -rect 3476 3080 5457 3108 -rect 3476 3068 3482 3080 -rect 5445 3077 5457 3080 -rect 5491 3077 5503 3111 -rect 5445 3071 5503 3077 -rect 5077 3043 5135 3049 -rect 5077 3009 5089 3043 -rect 5123 3040 5135 3043 -rect 5534 3040 5540 3052 -rect 5123 3012 5540 3040 -rect 5123 3009 5135 3012 -rect 5077 3003 5135 3009 -rect 5534 3000 5540 3012 -rect 5592 3000 5598 3052 -rect 8570 3040 8576 3052 -rect 7944 3012 8576 3040 +rect 5994 3136 6000 3148 +rect 6052 3176 6058 3188 +rect 6730 3176 6736 3188 +rect 6052 3148 6736 3176 +rect 6052 3136 6058 3148 +rect 6730 3136 6736 3148 +rect 6788 3136 6794 3188 +rect 4338 3068 4344 3120 +rect 4396 3108 4402 3120 +rect 4617 3111 4675 3117 +rect 4617 3108 4629 3111 +rect 4396 3080 4629 3108 +rect 4396 3068 4402 3080 +rect 4617 3077 4629 3080 +rect 4663 3108 4675 3111 +rect 4982 3108 4988 3120 +rect 4663 3080 4988 3108 +rect 4663 3077 4675 3080 +rect 4617 3071 4675 3077 +rect 4982 3068 4988 3080 +rect 5040 3068 5046 3120 +rect 5537 3111 5595 3117 +rect 5537 3077 5549 3111 +rect 5583 3108 5595 3111 +rect 7282 3108 7288 3120 +rect 5583 3080 7288 3108 +rect 5583 3077 5595 3080 +rect 5537 3071 5595 3077 +rect 7282 3068 7288 3080 +rect 7340 3108 7346 3120 +rect 9214 3108 9220 3120 +rect 7340 3080 9220 3108 +rect 7340 3068 7346 3080 +rect 9214 3068 9220 3080 +rect 9272 3068 9278 3120 +rect 4062 3000 4068 3052 +rect 4120 3040 4126 3052 +rect 5721 3043 5779 3049 +rect 5721 3040 5733 3043 +rect 4120 3012 5733 3040 +rect 4120 3000 4126 3012 +rect 5721 3009 5733 3012 +rect 5767 3040 5779 3043 +rect 6454 3040 6460 3052 +rect 5767 3012 6460 3040 +rect 5767 3009 5779 3012 +rect 5721 3003 5779 3009 +rect 6454 3000 6460 3012 +rect 6512 3000 6518 3052 +rect 6822 3040 6828 3052 +rect 6783 3012 6828 3040 +rect 6822 3000 6828 3012 +rect 6880 3000 6886 3052 +rect 7190 3000 7196 3052 +rect 7248 3040 7254 3052 +rect 7248 3012 8156 3040 +rect 7248 3000 7254 3012 +rect 2866 2932 2872 2984 +rect 2924 2972 2930 2984 +rect 3329 2975 3387 2981 +rect 3329 2972 3341 2975 +rect 2924 2944 3341 2972 +rect 2924 2932 2930 2944 +rect 3329 2941 3341 2944 +rect 3375 2941 3387 2975 +rect 3329 2935 3387 2941 +rect 4982 2932 4988 2984 +rect 5040 2972 5046 2984 +rect 8128 2981 8156 3012 rect 5353 2975 5411 2981 -rect 5353 2941 5365 2975 -rect 5399 2972 5411 2975 -rect 5626 2972 5632 2984 -rect 5399 2944 5632 2972 -rect 5399 2941 5411 2944 +rect 5353 2972 5365 2975 +rect 5040 2944 5365 2972 +rect 5040 2932 5046 2944 +rect 5353 2941 5365 2944 +rect 5399 2941 5411 2975 rect 5353 2935 5411 2941 -rect 5626 2932 5632 2944 -rect 5684 2932 5690 2984 -rect 5718 2932 5724 2984 -rect 5776 2972 5782 2984 -rect 7944 2981 7972 3012 -rect 8570 3000 8576 3012 -rect 8628 3000 8634 3052 -rect 13814 3000 13820 3052 -rect 13872 3040 13878 3052 -rect 16574 3040 16580 3052 -rect 13872 3012 16580 3040 -rect 13872 3000 13878 3012 -rect 16574 3000 16580 3012 -rect 16632 3000 16638 3052 -rect 7929 2975 7987 2981 -rect 5776 2944 5821 2972 -rect 5776 2932 5782 2944 -rect 7929 2941 7941 2975 -rect 7975 2941 7987 2975 -rect 8110 2972 8116 2984 -rect 8071 2944 8116 2972 -rect 7929 2935 7987 2941 -rect 8110 2932 8116 2944 -rect 8168 2932 8174 2984 -rect 3329 2907 3387 2913 -rect 3329 2873 3341 2907 -rect 3375 2904 3387 2907 -rect 5736 2904 5764 2932 -rect 3375 2876 5764 2904 -rect 3375 2873 3387 2876 -rect 3329 2867 3387 2873 -rect 8386 2864 8392 2916 -rect 8444 2904 8450 2916 -rect 8570 2904 8576 2916 -rect 8444 2876 8576 2904 -rect 8444 2864 8450 2876 -rect 8570 2864 8576 2876 -rect 8628 2864 8634 2916 -rect 3036 2746 10304 2768 +rect 8021 2975 8079 2981 +rect 8021 2941 8033 2975 +rect 8067 2941 8079 2975 +rect 8021 2935 8079 2941 +rect 8113 2975 8171 2981 +rect 8113 2941 8125 2975 +rect 8159 2941 8171 2975 +rect 8113 2935 8171 2941 +rect 8036 2904 8064 2935 +rect 8662 2904 8668 2916 +rect 8036 2876 8668 2904 +rect 8662 2864 8668 2876 +rect 8720 2864 8726 2916 +rect 10045 2907 10103 2913 +rect 10045 2873 10057 2907 +rect 10091 2904 10103 2907 +rect 16850 2904 16856 2916 +rect 10091 2876 16856 2904 +rect 10091 2873 10103 2876 +rect 10045 2867 10103 2873 +rect 16850 2864 16856 2876 +rect 16908 2864 16914 2916 +rect 3036 2746 10396 2768 rect 3036 2694 5066 2746 rect 5118 2694 5130 2746 rect 5182 2694 5194 2746 rect 5246 2694 5258 2746 rect 5310 2694 5322 2746 -rect 5374 2694 10304 2746 -rect 3036 2672 10304 2694 +rect 5374 2694 10396 2746 +rect 3036 2672 10396 2694 +rect 2774 2592 2780 2644 +rect 2832 2632 2838 2644 +rect 3789 2635 3847 2641 +rect 3789 2632 3801 2635 +rect 2832 2604 3801 2632 +rect 2832 2592 2838 2604 +rect 3789 2601 3801 2604 +rect 3835 2601 3847 2635 +rect 3789 2595 3847 2601 +rect 4157 2635 4215 2641 +rect 4157 2601 4169 2635 +rect 4203 2632 4215 2635 +rect 4246 2632 4252 2644 +rect 4203 2604 4252 2632 +rect 4203 2601 4215 2604 +rect 4157 2595 4215 2601 +rect 4246 2592 4252 2604 +rect 4304 2592 4310 2644 +rect 4430 2592 4436 2644 +rect 4488 2592 4494 2644 rect 4982 2592 4988 2644 rect 5040 2632 5046 2644 rect 5169 2635 5227 2641 @@ -3685,77 +3675,84 @@ rect 5169 2632 5181 2635 rect 5040 2604 5181 2632 rect 5040 2592 5046 2604 rect 5169 2601 5181 2604 -rect 5215 2601 5227 2635 +rect 5215 2632 5227 2635 +rect 5353 2635 5411 2641 +rect 5353 2632 5365 2635 +rect 5215 2604 5365 2632 +rect 5215 2601 5227 2604 rect 5169 2595 5227 2601 -rect 7193 2635 7251 2641 -rect 7193 2601 7205 2635 -rect 7239 2632 7251 2635 -rect 9493 2635 9551 2641 -rect 7239 2604 9444 2632 -rect 7239 2601 7251 2604 -rect 7193 2595 7251 2601 -rect 3329 2567 3387 2573 -rect 3329 2533 3341 2567 -rect 3375 2564 3387 2567 -rect 3602 2564 3608 2576 -rect 3375 2536 3608 2564 -rect 3375 2533 3387 2536 -rect 3329 2527 3387 2533 -rect 3602 2524 3608 2536 -rect 3660 2524 3666 2576 -rect 5077 2567 5135 2573 -rect 5077 2533 5089 2567 -rect 5123 2564 5135 2567 -rect 5442 2564 5448 2576 -rect 5123 2536 5448 2564 -rect 5123 2533 5135 2536 -rect 5077 2527 5135 2533 -rect 5442 2524 5448 2536 -rect 5500 2524 5506 2576 -rect 8662 2564 8668 2576 -rect 8623 2536 8668 2564 -rect 8662 2524 8668 2536 -rect 8720 2524 8726 2576 -rect 8938 2524 8944 2576 -rect 8996 2564 9002 2576 -rect 9033 2567 9091 2573 -rect 9033 2564 9045 2567 -rect 8996 2536 9045 2564 -rect 8996 2524 9002 2536 -rect 9033 2533 9045 2536 -rect 9079 2533 9091 2567 -rect 9416 2564 9444 2604 -rect 9493 2601 9505 2635 -rect 9539 2632 9551 2635 -rect 9582 2632 9588 2644 -rect 9539 2604 9588 2632 -rect 9539 2601 9551 2604 -rect 9493 2595 9551 2601 -rect 9582 2592 9588 2604 -rect 9640 2592 9646 2644 -rect 9861 2635 9919 2641 -rect 9861 2601 9873 2635 -rect 9907 2632 9919 2635 -rect 10410 2632 10416 2644 -rect 9907 2604 10416 2632 -rect 9907 2601 9919 2604 -rect 9861 2595 9919 2601 -rect 10410 2592 10416 2604 -rect 10468 2592 10474 2644 -rect 11054 2564 11060 2576 -rect 9416 2536 11060 2564 -rect 9033 2527 9091 2533 -rect 11054 2524 11060 2536 -rect 11112 2524 11118 2576 -rect 2958 2456 2964 2508 -rect 3016 2496 3022 2508 -rect 5813 2499 5871 2505 -rect 5813 2496 5825 2499 -rect 3016 2468 5825 2496 -rect 3016 2456 3022 2468 -rect 5813 2465 5825 2468 -rect 5859 2465 5871 2499 -rect 5813 2459 5871 2465 +rect 5353 2601 5365 2604 +rect 5399 2601 5411 2635 +rect 5994 2632 6000 2644 +rect 5955 2604 6000 2632 +rect 5353 2595 5411 2601 +rect 5994 2592 6000 2604 +rect 6052 2592 6058 2644 +rect 7282 2592 7288 2644 +rect 7340 2632 7346 2644 +rect 8941 2635 8999 2641 +rect 8941 2632 8953 2635 +rect 7340 2604 8953 2632 +rect 7340 2592 7346 2604 +rect 4448 2564 4476 2592 +rect 4080 2536 4568 2564 +rect 3602 2496 3608 2508 +rect 3563 2468 3608 2496 +rect 3602 2456 3608 2468 +rect 3660 2456 3666 2508 +rect 3786 2456 3792 2508 +rect 3844 2496 3850 2508 +rect 4080 2505 4108 2536 +rect 3973 2499 4031 2505 +rect 3973 2496 3985 2499 +rect 3844 2468 3985 2496 +rect 3844 2456 3850 2468 +rect 3973 2465 3985 2468 +rect 4019 2465 4031 2499 +rect 3973 2459 4031 2465 +rect 4065 2499 4123 2505 +rect 4065 2465 4077 2499 +rect 4111 2465 4123 2499 +rect 4065 2459 4123 2465 +rect 4154 2456 4160 2508 +rect 4212 2496 4218 2508 +rect 4540 2505 4568 2536 +rect 4433 2499 4491 2505 +rect 4433 2496 4445 2499 +rect 4212 2468 4445 2496 +rect 4212 2456 4218 2468 +rect 4433 2465 4445 2468 +rect 4479 2465 4491 2499 +rect 4433 2459 4491 2465 +rect 4525 2499 4583 2505 +rect 4525 2465 4537 2499 +rect 4571 2465 4583 2499 +rect 4525 2459 4583 2465 +rect 4801 2499 4859 2505 +rect 4801 2465 4813 2499 +rect 4847 2465 4859 2499 +rect 4801 2459 4859 2465 +rect 3326 2388 3332 2440 +rect 3384 2428 3390 2440 +rect 4709 2431 4767 2437 +rect 4709 2428 4721 2431 +rect 3384 2400 4721 2428 +rect 3384 2388 3390 2400 +rect 4709 2397 4721 2400 +rect 4755 2397 4767 2431 +rect 4709 2391 4767 2397 +rect 3970 2320 3976 2372 +rect 4028 2360 4034 2372 +rect 4816 2360 4844 2459 +rect 4890 2456 4896 2508 +rect 4948 2496 4954 2508 +rect 4985 2499 5043 2505 +rect 4985 2496 4997 2499 +rect 4948 2468 4997 2496 +rect 4948 2456 4954 2468 +rect 4985 2465 4997 2468 +rect 5031 2465 5043 2499 +rect 4985 2459 5043 2465 rect 8113 2499 8171 2505 rect 8113 2465 8125 2499 rect 8159 2496 8171 2499 @@ -3765,322 +3762,420 @@ rect 8159 2465 8171 2468 rect 8113 2459 8171 2465 rect 8294 2456 8300 2468 rect 8352 2456 8358 2508 -rect 8478 2496 8484 2508 -rect 8439 2468 8484 2496 -rect 8478 2456 8484 2468 -rect 8536 2456 8542 2508 -rect 8570 2456 8576 2508 -rect 8628 2496 8634 2508 -rect 9677 2499 9735 2505 -rect 9677 2496 9689 2499 -rect 8628 2468 9689 2496 -rect 8628 2456 8634 2468 -rect 9677 2465 9689 2468 -rect 9723 2465 9735 2499 -rect 9677 2459 9735 2465 -rect 8757 2431 8815 2437 -rect 8757 2428 8769 2431 -rect 6886 2400 8769 2428 -rect 5537 2363 5595 2369 -rect 5537 2329 5549 2363 -rect 5583 2360 5595 2363 -rect 5721 2363 5779 2369 -rect 5721 2360 5733 2363 -rect 5583 2332 5733 2360 -rect 5583 2329 5595 2332 -rect 5537 2323 5595 2329 -rect 5721 2329 5733 2332 -rect 5767 2360 5779 2363 -rect 6546 2360 6552 2372 -rect 5767 2332 6552 2360 -rect 5767 2329 5779 2332 -rect 5721 2323 5779 2329 -rect 6546 2320 6552 2332 -rect 6604 2360 6610 2372 -rect 6886 2360 6914 2400 -rect 8757 2397 8769 2400 -rect 8803 2397 8815 2431 -rect 8757 2391 8815 2397 -rect 6604 2332 6914 2360 -rect 9401 2363 9459 2369 -rect 6604 2320 6610 2332 -rect 9401 2329 9413 2363 -rect 9447 2360 9459 2363 -rect 9674 2360 9680 2372 -rect 9447 2332 9680 2360 -rect 9447 2329 9459 2332 -rect 9401 2323 9459 2329 -rect 9674 2320 9680 2332 -rect 9732 2320 9738 2372 -rect 4614 2252 4620 2304 -rect 4672 2292 4678 2304 -rect 5997 2295 6055 2301 -rect 5997 2292 6009 2295 -rect 4672 2264 6009 2292 -rect 4672 2252 4678 2264 -rect 5997 2261 6009 2264 -rect 6043 2261 6055 2295 -rect 5997 2255 6055 2261 -rect 3036 2202 10304 2224 +rect 8386 2456 8392 2508 +rect 8444 2494 8450 2508 +rect 8573 2499 8631 2505 +rect 8573 2494 8585 2499 +rect 8444 2466 8585 2494 +rect 8444 2456 8450 2466 +rect 8573 2465 8585 2466 +rect 8619 2465 8631 2499 +rect 8573 2459 8631 2465 +rect 7469 2431 7527 2437 +rect 4028 2332 4844 2360 +rect 5368 2400 6592 2428 +rect 4028 2320 4034 2332 +rect 3421 2295 3479 2301 +rect 3421 2261 3433 2295 +rect 3467 2292 3479 2295 +rect 5368 2292 5396 2400 +rect 5626 2292 5632 2304 +rect 3467 2264 5396 2292 +rect 5587 2264 5632 2292 +rect 3467 2261 3479 2264 +rect 3421 2255 3479 2261 +rect 5626 2252 5632 2264 +rect 5684 2252 5690 2304 +rect 5810 2252 5816 2304 +rect 5868 2292 5874 2304 +rect 5905 2295 5963 2301 +rect 5905 2292 5917 2295 +rect 5868 2264 5917 2292 +rect 5868 2252 5874 2264 +rect 5905 2261 5917 2264 +rect 5951 2292 5963 2295 +rect 6086 2292 6092 2304 +rect 5951 2264 6092 2292 +rect 5951 2261 5963 2264 +rect 5905 2255 5963 2261 +rect 6086 2252 6092 2264 +rect 6144 2252 6150 2304 +rect 6564 2292 6592 2400 +rect 7469 2397 7481 2431 +rect 7515 2428 7527 2431 +rect 8680 2428 8708 2604 +rect 8941 2601 8953 2604 +rect 8987 2601 8999 2635 +rect 8941 2595 8999 2601 +rect 9582 2592 9588 2644 +rect 9640 2632 9646 2644 +rect 9861 2635 9919 2641 +rect 9861 2632 9873 2635 +rect 9640 2604 9873 2632 +rect 9640 2592 9646 2604 +rect 9861 2601 9873 2604 +rect 9907 2601 9919 2635 +rect 9861 2595 9919 2601 +rect 10042 2592 10048 2644 +rect 10100 2592 10106 2644 +rect 8846 2524 8852 2576 +rect 8904 2564 8910 2576 +rect 9493 2567 9551 2573 +rect 9493 2564 9505 2567 +rect 8904 2536 9505 2564 +rect 8904 2524 8910 2536 +rect 9493 2533 9505 2536 +rect 9539 2533 9551 2567 +rect 10060 2564 10088 2592 +rect 9493 2527 9551 2533 +rect 9600 2536 10088 2564 +rect 8754 2456 8760 2508 +rect 8812 2496 8818 2508 +rect 9309 2499 9367 2505 +rect 9309 2496 9321 2499 +rect 8812 2468 8857 2496 +rect 8956 2468 9321 2496 +rect 8812 2456 8818 2468 +rect 8956 2428 8984 2468 +rect 9309 2465 9321 2468 +rect 9355 2465 9367 2499 +rect 9309 2459 9367 2465 +rect 7515 2400 8524 2428 +rect 8680 2400 8984 2428 +rect 7515 2397 7527 2400 +rect 7469 2391 7527 2397 +rect 8110 2320 8116 2372 +rect 8168 2360 8174 2372 +rect 8389 2363 8447 2369 +rect 8389 2360 8401 2363 +rect 8168 2332 8401 2360 +rect 8168 2320 8174 2332 +rect 8389 2329 8401 2332 +rect 8435 2329 8447 2363 +rect 8496 2360 8524 2400 +rect 9030 2388 9036 2440 +rect 9088 2428 9094 2440 +rect 9125 2431 9183 2437 +rect 9125 2428 9137 2431 +rect 9088 2400 9137 2428 +rect 9088 2388 9094 2400 +rect 9125 2397 9137 2400 +rect 9171 2428 9183 2431 +rect 9214 2428 9220 2440 +rect 9171 2400 9220 2428 +rect 9171 2397 9183 2400 +rect 9125 2391 9183 2397 +rect 9214 2388 9220 2400 +rect 9272 2388 9278 2440 +rect 9600 2428 9628 2536 +rect 10042 2496 10048 2508 +rect 10003 2468 10048 2496 +rect 10042 2456 10048 2468 +rect 10100 2496 10106 2508 +rect 16942 2496 16948 2508 +rect 10100 2468 16948 2496 +rect 10100 2456 10106 2468 +rect 16942 2456 16948 2468 +rect 17000 2456 17006 2508 +rect 9324 2400 9628 2428 +rect 9324 2360 9352 2400 +rect 9766 2388 9772 2440 +rect 9824 2388 9830 2440 +rect 9784 2360 9812 2388 +rect 8496 2332 9352 2360 +rect 9600 2332 9812 2360 +rect 8389 2323 8447 2329 +rect 9600 2292 9628 2332 +rect 6564 2264 9628 2292 +rect 9677 2295 9735 2301 +rect 9677 2261 9689 2295 +rect 9723 2292 9735 2295 +rect 9766 2292 9772 2304 +rect 9723 2264 9772 2292 +rect 9723 2261 9735 2264 +rect 9677 2255 9735 2261 +rect 9766 2252 9772 2264 +rect 9824 2292 9830 2304 +rect 10134 2292 10140 2304 +rect 9824 2264 10140 2292 +rect 9824 2252 9830 2264 +rect 10134 2252 10140 2264 +rect 10192 2252 10198 2304 +rect 3036 2202 10396 2224 rect 3036 2150 7566 2202 rect 7618 2150 7630 2202 rect 7682 2150 7694 2202 rect 7746 2150 7758 2202 rect 7810 2150 7822 2202 -rect 7874 2150 10304 2202 -rect 3036 2128 10304 2150 -rect 4062 2088 4068 2100 -rect 4023 2060 4068 2088 -rect 4062 2048 4068 2060 -rect 4120 2048 4126 2100 -rect 5905 2091 5963 2097 -rect 5905 2057 5917 2091 -rect 5951 2088 5963 2091 -rect 6086 2088 6092 2100 -rect 5951 2060 6092 2088 -rect 5951 2057 5963 2060 -rect 5905 2051 5963 2057 -rect 6086 2048 6092 2060 -rect 6144 2048 6150 2100 -rect 3789 2023 3847 2029 -rect 3789 1989 3801 2023 -rect 3835 2020 3847 2023 -rect 4706 2020 4712 2032 -rect 3835 1992 4712 2020 -rect 3835 1989 3847 1992 -rect 3789 1983 3847 1989 -rect 4706 1980 4712 1992 -rect 4764 1980 4770 2032 -rect 3694 1912 3700 1964 -rect 3752 1952 3758 1964 -rect 4249 1955 4307 1961 -rect 4249 1952 4261 1955 -rect 3752 1924 4261 1952 -rect 3752 1912 3758 1924 -rect 4249 1921 4261 1924 -rect 4295 1921 4307 1955 -rect 4249 1915 4307 1921 -rect 9309 1955 9367 1961 -rect 9309 1921 9321 1955 -rect 9355 1952 9367 1955 -rect 9355 1924 16574 1952 -rect 9355 1921 9367 1924 -rect 9309 1915 9367 1921 -rect 3142 1844 3148 1896 -rect 3200 1884 3206 1896 -rect 3421 1887 3479 1893 -rect 3421 1884 3433 1887 -rect 3200 1856 3433 1884 -rect 3200 1844 3206 1856 -rect 3421 1853 3433 1856 -rect 3467 1853 3479 1887 -rect 3786 1884 3792 1896 -rect 3747 1856 3792 1884 -rect 3421 1847 3479 1853 -rect 3436 1816 3464 1847 -rect 3786 1844 3792 1856 -rect 3844 1844 3850 1896 -rect 3878 1844 3884 1896 -rect 3936 1884 3942 1896 -rect 3973 1887 4031 1893 -rect 3973 1884 3985 1887 -rect 3936 1856 3985 1884 -rect 3936 1844 3942 1856 -rect 3973 1853 3985 1856 -rect 4019 1853 4031 1887 -rect 6362 1884 6368 1896 -rect 6323 1856 6368 1884 -rect 3973 1847 4031 1853 -rect 6362 1844 6368 1856 -rect 6420 1844 6426 1896 -rect 7466 1844 7472 1896 -rect 7524 1884 7530 1896 -rect 8202 1884 8208 1896 -rect 7524 1856 8208 1884 -rect 7524 1844 7530 1856 -rect 8202 1844 8208 1856 -rect 8260 1844 8266 1896 +rect 7874 2150 10396 2202 +rect 3036 2128 10396 2150 +rect 3234 2048 3240 2100 +rect 3292 2088 3298 2100 +rect 3329 2091 3387 2097 +rect 3329 2088 3341 2091 +rect 3292 2060 3341 2088 +rect 3292 2048 3298 2060 +rect 3329 2057 3341 2060 +rect 3375 2057 3387 2091 +rect 3510 2088 3516 2100 +rect 3471 2060 3516 2088 +rect 3329 2051 3387 2057 +rect 3510 2048 3516 2060 +rect 3568 2048 3574 2100 +rect 3878 2048 3884 2100 +rect 3936 2088 3942 2100 +rect 3973 2091 4031 2097 +rect 3973 2088 3985 2091 +rect 3936 2060 3985 2088 +rect 3936 2048 3942 2060 +rect 3973 2057 3985 2060 +rect 4019 2057 4031 2091 +rect 3973 2051 4031 2057 +rect 4062 2048 4068 2100 +rect 4120 2088 4126 2100 +rect 4157 2091 4215 2097 +rect 4157 2088 4169 2091 +rect 4120 2060 4169 2088 +rect 4120 2048 4126 2060 +rect 4157 2057 4169 2060 +rect 4203 2057 4215 2091 +rect 4157 2051 4215 2057 +rect 4433 2091 4491 2097 +rect 4433 2057 4445 2091 +rect 4479 2088 4491 2091 +rect 4614 2088 4620 2100 +rect 4479 2060 4620 2088 +rect 4479 2057 4491 2060 +rect 4433 2051 4491 2057 +rect 4614 2048 4620 2060 +rect 4672 2048 4678 2100 +rect 5997 2091 6055 2097 +rect 5997 2057 6009 2091 +rect 6043 2088 6055 2091 +rect 10042 2088 10048 2100 +rect 6043 2060 10048 2088 +rect 6043 2057 6055 2060 +rect 5997 2051 6055 2057 +rect 10042 2048 10048 2060 +rect 10100 2048 10106 2100 +rect 3050 1980 3056 2032 +rect 3108 2020 3114 2032 +rect 3697 2023 3755 2029 +rect 3697 2020 3709 2023 +rect 3108 1992 3709 2020 +rect 3108 1980 3114 1992 +rect 3697 1989 3709 1992 +rect 3743 1989 3755 2023 +rect 3697 1983 3755 1989 +rect 8110 1980 8116 2032 +rect 8168 2020 8174 2032 +rect 16574 2020 16580 2032 +rect 8168 1992 16580 2020 +rect 8168 1980 8174 1992 +rect 16574 1980 16580 1992 +rect 16632 1980 16638 2032 +rect 6822 1952 6828 1964 +rect 6783 1924 6828 1952 +rect 6822 1912 6828 1924 +rect 6880 1912 6886 1964 +rect 22278 1952 22284 1964 +rect 6932 1924 22284 1952 +rect 3602 1844 3608 1896 +rect 3660 1884 3666 1896 +rect 4617 1887 4675 1893 +rect 4617 1884 4629 1887 +rect 3660 1856 4629 1884 +rect 3660 1844 3666 1856 +rect 4617 1853 4629 1856 +rect 4663 1884 4675 1887 +rect 6932 1884 6960 1924 +rect 22278 1912 22284 1924 +rect 22336 1912 22342 1964 +rect 8018 1884 8024 1896 +rect 4663 1856 6960 1884 +rect 7979 1856 8024 1884 +rect 4663 1853 4675 1856 +rect 4617 1847 4675 1853 +rect 8018 1844 8024 1856 +rect 8076 1844 8082 1896 +rect 8113 1887 8171 1893 +rect 8113 1853 8125 1887 +rect 8159 1884 8171 1887 rect 9858 1884 9864 1896 +rect 8159 1856 9720 1884 rect 9819 1856 9864 1884 +rect 8159 1853 8171 1856 +rect 8113 1847 8171 1853 +rect 8570 1776 8576 1828 +rect 8628 1816 8634 1828 +rect 9306 1816 9312 1828 +rect 8628 1788 9312 1816 +rect 8628 1776 8634 1788 +rect 9306 1776 9312 1788 +rect 9364 1776 9370 1828 +rect 9692 1816 9720 1856 rect 9858 1844 9864 1856 rect 9916 1844 9922 1896 -rect 4433 1819 4491 1825 -rect 4433 1816 4445 1819 -rect 3436 1788 4445 1816 -rect 4433 1785 4445 1788 -rect 4479 1816 4491 1819 -rect 4614 1816 4620 1828 -rect 4479 1788 4620 1816 -rect 4479 1785 4491 1788 -rect 4433 1779 4491 1785 -rect 4614 1776 4620 1788 -rect 4672 1776 4678 1828 -rect 5537 1819 5595 1825 -rect 5537 1785 5549 1819 -rect 5583 1816 5595 1819 -rect 7929 1819 7987 1825 -rect 5583 1788 6914 1816 -rect 5583 1785 5595 1788 -rect 5537 1779 5595 1785 -rect 6886 1748 6914 1788 -rect 7929 1785 7941 1819 -rect 7975 1816 7987 1819 -rect 16546 1816 16574 1924 -rect 16850 1816 16856 1828 -rect 7975 1788 11744 1816 -rect 16546 1788 16856 1816 -rect 7975 1785 7987 1788 -rect 7929 1779 7987 1785 -rect 9398 1748 9404 1760 -rect 6886 1720 9404 1748 -rect 9398 1708 9404 1720 -rect 9456 1708 9462 1760 -rect 11716 1748 11744 1788 -rect 16850 1776 16856 1788 -rect 16908 1776 16914 1828 -rect 16574 1748 16580 1760 -rect 11716 1720 16580 1748 -rect 16574 1708 16580 1720 -rect 16632 1708 16638 1760 -rect 3036 1658 10304 1680 +rect 16758 1816 16764 1828 +rect 9692 1788 16764 1816 +rect 16758 1776 16764 1788 +rect 16816 1776 16822 1828 +rect 5813 1751 5871 1757 +rect 5813 1717 5825 1751 +rect 5859 1748 5871 1751 +rect 10042 1748 10048 1760 +rect 5859 1720 10048 1748 +rect 5859 1717 5871 1720 +rect 5813 1711 5871 1717 +rect 10042 1708 10048 1720 +rect 10100 1708 10106 1760 +rect 3036 1658 10396 1680 rect 3036 1606 5066 1658 rect 5118 1606 5130 1658 rect 5182 1606 5194 1658 rect 5246 1606 5258 1658 rect 5310 1606 5322 1658 -rect 5374 1606 10304 1658 -rect 3036 1584 10304 1606 +rect 5374 1606 10396 1658 +rect 3036 1584 10396 1606 +rect 3234 1504 3240 1556 +rect 3292 1544 3298 1556 +rect 3329 1547 3387 1553 +rect 3329 1544 3341 1547 +rect 3292 1516 3341 1544 +rect 3292 1504 3298 1516 +rect 3329 1513 3341 1516 +rect 3375 1513 3387 1547 +rect 3329 1507 3387 1513 rect 3605 1547 3663 1553 rect 3605 1513 3617 1547 rect 3651 1544 3663 1547 -rect 3786 1544 3792 1556 -rect 3651 1516 3792 1544 +rect 5534 1544 5540 1556 +rect 3651 1516 5540 1544 rect 3651 1513 3663 1516 rect 3605 1507 3663 1513 -rect 3786 1504 3792 1516 -rect 3844 1504 3850 1556 -rect 7193 1547 7251 1553 -rect 7193 1513 7205 1547 -rect 7239 1544 7251 1547 -rect 8941 1547 8999 1553 -rect 7239 1516 8892 1544 -rect 7239 1513 7251 1516 -rect 7193 1507 7251 1513 -rect 1946 1436 1952 1488 -rect 2004 1476 2010 1488 -rect 4430 1476 4436 1488 -rect 2004 1448 4436 1476 -rect 2004 1436 2010 1448 -rect 4430 1436 4436 1448 -rect 4488 1436 4494 1488 -rect 8665 1479 8723 1485 -rect 8665 1476 8677 1479 -rect 6104 1448 8677 1476 -rect 6104 1417 6132 1448 -rect 8665 1445 8677 1448 -rect 8711 1445 8723 1479 -rect 8864 1476 8892 1516 -rect 8941 1513 8953 1547 -rect 8987 1544 8999 1547 -rect 10134 1544 10140 1556 -rect 8987 1516 10140 1544 -rect 8987 1513 8999 1516 -rect 8941 1507 8999 1513 -rect 10134 1504 10140 1516 -rect 10192 1504 10198 1556 -rect 11146 1476 11152 1488 -rect 8864 1448 11152 1476 -rect 8665 1439 8723 1445 -rect 11146 1436 11152 1448 -rect 11204 1436 11210 1488 -rect 6089 1411 6147 1417 -rect 6089 1377 6101 1411 -rect 6135 1377 6147 1411 -rect 7926 1408 7932 1420 -rect 7887 1380 7932 1408 -rect 6089 1371 6147 1377 -rect 7926 1368 7932 1380 -rect 7984 1368 7990 1420 -rect 8202 1368 8208 1420 -rect 8260 1408 8266 1420 -rect 8754 1408 8760 1420 -rect 8260 1380 8616 1408 -rect 8715 1380 8760 1408 -rect 8260 1368 8266 1380 -rect 2958 1300 2964 1352 -rect 3016 1340 3022 1352 -rect 3329 1343 3387 1349 -rect 3329 1340 3341 1343 -rect 3016 1312 3341 1340 -rect 3016 1300 3022 1312 -rect 3329 1309 3341 1312 -rect 3375 1309 3387 1343 -rect 8478 1340 8484 1352 -rect 8439 1312 8484 1340 -rect 3329 1303 3387 1309 -rect 8478 1300 8484 1312 -rect 8536 1300 8542 1352 -rect 8389 1275 8447 1281 -rect 8389 1241 8401 1275 -rect 8435 1272 8447 1275 -rect 8588 1272 8616 1380 -rect 8754 1368 8760 1380 -rect 8812 1368 8818 1420 -rect 9398 1408 9404 1420 -rect 9311 1380 9404 1408 -rect 9398 1368 9404 1380 -rect 9456 1408 9462 1420 -rect 22186 1408 22192 1420 -rect 9456 1380 22192 1408 -rect 9456 1368 9462 1380 -rect 22186 1368 22192 1380 -rect 22244 1368 22250 1420 +rect 5534 1504 5540 1516 +rect 5592 1504 5598 1556 +rect 8110 1544 8116 1556 +rect 8071 1516 8116 1544 +rect 8110 1504 8116 1516 +rect 8168 1504 8174 1556 +rect 8938 1504 8944 1556 +rect 8996 1544 9002 1556 +rect 9033 1547 9091 1553 +rect 9033 1544 9045 1547 +rect 8996 1516 9045 1544 +rect 8996 1504 9002 1516 +rect 9033 1513 9045 1516 +rect 9079 1513 9091 1547 +rect 9033 1507 9091 1513 +rect 9122 1504 9128 1556 +rect 9180 1544 9186 1556 +rect 9677 1547 9735 1553 +rect 9677 1544 9689 1547 +rect 9180 1516 9689 1544 +rect 9180 1504 9186 1516 +rect 9677 1513 9689 1516 +rect 9723 1513 9735 1547 +rect 9677 1507 9735 1513 +rect 9214 1476 9220 1488 +rect 6012 1448 9220 1476 +rect 6012 1417 6040 1448 +rect 9214 1436 9220 1448 +rect 9272 1436 9278 1488 +rect 5997 1411 6055 1417 +rect 5997 1377 6009 1411 +rect 6043 1377 6055 1411 +rect 6178 1408 6184 1420 +rect 6139 1380 6184 1408 +rect 5997 1371 6055 1377 +rect 6178 1368 6184 1380 +rect 6236 1368 6242 1420 +rect 8846 1408 8852 1420 +rect 8807 1380 8852 1408 +rect 8846 1368 8852 1380 +rect 8904 1368 8910 1420 +rect 9493 1411 9551 1417 +rect 9493 1377 9505 1411 +rect 9539 1408 9551 1411 +rect 9582 1408 9588 1420 +rect 9539 1380 9588 1408 +rect 9539 1377 9551 1380 +rect 9493 1371 9551 1377 +rect 9582 1368 9588 1380 +rect 9640 1368 9646 1420 +rect 9861 1411 9919 1417 +rect 9861 1377 9873 1411 +rect 9907 1408 9919 1411 +rect 9953 1411 10011 1417 +rect 9953 1408 9965 1411 +rect 9907 1380 9965 1408 +rect 9907 1377 9919 1380 +rect 9861 1371 9919 1377 +rect 9953 1377 9965 1380 +rect 9999 1408 10011 1411 +rect 10318 1408 10324 1420 +rect 9999 1380 10324 1408 +rect 9999 1377 10011 1380 +rect 9953 1371 10011 1377 +rect 5442 1340 5448 1352 +rect 5403 1312 5448 1340 +rect 5442 1300 5448 1312 +rect 5500 1300 5506 1352 +rect 6086 1300 6092 1352 +rect 6144 1340 6150 1352 +rect 8481 1343 8539 1349 +rect 8481 1340 8493 1343 +rect 6144 1312 8493 1340 +rect 6144 1300 6150 1312 +rect 8481 1309 8493 1312 +rect 8527 1309 8539 1343 +rect 8481 1303 8539 1309 rect 9306 1300 9312 1352 rect 9364 1340 9370 1352 -rect 9493 1343 9551 1349 -rect 9493 1340 9505 1343 -rect 9364 1312 9505 1340 +rect 9876 1340 9904 1371 +rect 10318 1368 10324 1380 +rect 10376 1368 10382 1420 +rect 9364 1312 9904 1340 rect 9364 1300 9370 1312 -rect 9493 1309 9505 1312 -rect 9539 1309 9551 1343 -rect 9493 1303 9551 1309 -rect 9677 1343 9735 1349 -rect 9677 1309 9689 1343 -rect 9723 1309 9735 1343 -rect 9858 1340 9864 1352 -rect 9819 1312 9864 1340 -rect 9677 1303 9735 1309 -rect 9217 1275 9275 1281 -rect 9217 1272 9229 1275 -rect 8435 1244 8524 1272 -rect 8588 1244 9229 1272 -rect 8435 1241 8447 1244 -rect 8389 1235 8447 1241 -rect 4982 1204 4988 1216 -rect 4943 1176 4988 1204 -rect 4982 1164 4988 1176 -rect 5040 1164 5046 1216 -rect 8496 1204 8524 1244 -rect 9217 1241 9229 1244 -rect 9263 1241 9275 1275 -rect 9692 1272 9720 1303 -rect 9858 1300 9864 1312 -rect 9916 1340 9922 1352 -rect 10318 1340 10324 1352 -rect 9916 1312 10324 1340 -rect 9916 1300 9922 1312 -rect 10318 1300 10324 1312 -rect 10376 1300 10382 1352 -rect 9950 1272 9956 1284 -rect 9692 1244 9956 1272 -rect 9217 1235 9275 1241 -rect 9950 1232 9956 1244 -rect 10008 1232 10014 1284 +rect 8754 1272 8760 1284 +rect 2746 1244 8760 1272 +rect 2314 1164 2320 1216 +rect 2372 1204 2378 1216 +rect 2746 1204 2774 1244 +rect 8754 1232 8760 1244 +rect 8812 1232 8818 1284 +rect 10226 1272 10232 1284 +rect 9140 1244 10232 1272 +rect 2372 1176 2774 1204 +rect 2372 1164 2378 1176 +rect 5626 1164 5632 1216 +rect 5684 1204 5690 1216 +rect 8297 1207 8355 1213 +rect 8297 1204 8309 1207 +rect 5684 1176 8309 1204 +rect 5684 1164 5690 1176 +rect 8297 1173 8309 1176 +rect 8343 1204 8355 1207 +rect 8570 1204 8576 1216 +rect 8343 1176 8576 1204 +rect 8343 1173 8355 1176 +rect 8297 1167 8355 1173 +rect 8570 1164 8576 1176 +rect 8628 1164 8634 1216 +rect 8665 1207 8723 1213 +rect 8665 1173 8677 1207 +rect 8711 1204 8723 1207 +rect 9140 1204 9168 1244 +rect 10226 1232 10232 1244 +rect 10284 1232 10290 1284 rect 9306 1204 9312 1216 -rect 8496 1176 9312 1204 +rect 8711 1176 9168 1204 +rect 9267 1176 9312 1204 +rect 8711 1173 8723 1176 +rect 8665 1167 8723 1173 rect 9306 1164 9312 1176 rect 9364 1164 9370 1216 -rect 920 1114 10304 1136 +rect 920 1114 10396 1136 rect 920 1062 2566 1114 rect 2618 1062 2630 1114 rect 2682 1062 2694 1114 @@ -4091,8 +4186,8 @@ rect 7618 1062 7630 1114 rect 7682 1062 7694 1114 rect 7746 1062 7758 1114 rect 7810 1062 7822 1114 -rect 7874 1062 10304 1114 -rect 920 1040 10304 1062 +rect 7874 1062 10396 1114 +rect 920 1040 10396 1062 rect 1118 960 1124 1012 rect 1176 1000 1182 1012 rect 1305 1003 1363 1009 @@ -4101,218 +4196,240 @@ rect 1176 972 1317 1000 rect 1176 960 1182 972 rect 1305 969 1317 972 rect 1351 969 1363 1003 -rect 1946 1000 1952 1012 -rect 1907 972 1952 1000 rect 1305 963 1363 969 -rect 1946 960 1952 972 -rect 2004 960 2010 1012 -rect 3050 1000 3056 1012 -rect 2056 972 3056 1000 -rect 2056 805 2084 972 -rect 3050 960 3056 972 -rect 3108 1000 3114 1012 +rect 1857 1003 1915 1009 +rect 1857 969 1869 1003 +rect 1903 1000 1915 1003 +rect 2406 1000 2412 1012 +rect 1903 972 2412 1000 +rect 1903 969 1915 972 +rect 1857 963 1915 969 +rect 2406 960 2412 972 +rect 2464 960 2470 1012 +rect 2958 1000 2964 1012 +rect 2871 972 2964 1000 +rect 2958 960 2964 972 +rect 3016 1000 3022 1012 +rect 3145 1003 3203 1009 +rect 3145 1000 3157 1003 +rect 3016 972 3157 1000 +rect 3016 960 3022 972 +rect 3145 969 3157 972 +rect 3191 1000 3203 1003 rect 3329 1003 3387 1009 rect 3329 1000 3341 1003 -rect 3108 972 3341 1000 -rect 3108 960 3114 972 +rect 3191 972 3341 1000 +rect 3191 969 3203 972 +rect 3145 963 3203 969 rect 3329 969 3341 972 -rect 3375 969 3387 1003 +rect 3375 1000 3387 1003 +rect 3697 1003 3755 1009 +rect 3697 1000 3709 1003 +rect 3375 972 3709 1000 +rect 3375 969 3387 972 rect 3329 963 3387 969 -rect 6549 1003 6607 1009 -rect 6549 969 6561 1003 -rect 6595 1000 6607 1003 +rect 3697 969 3709 972 +rect 3743 1000 3755 1003 +rect 3881 1003 3939 1009 +rect 3881 1000 3893 1003 +rect 3743 972 3893 1000 +rect 3743 969 3755 972 +rect 3697 963 3755 969 +rect 3881 969 3893 972 +rect 3927 1000 3939 1003 +rect 4982 1000 4988 1012 +rect 3927 972 4988 1000 +rect 3927 969 3939 972 +rect 3881 963 3939 969 +rect 4982 960 4988 972 +rect 5040 960 5046 1012 rect 8754 1000 8760 1012 -rect 6595 972 8760 1000 -rect 6595 969 6607 972 -rect 6549 963 6607 969 +rect 8715 972 8760 1000 rect 8754 960 8760 972 rect 8812 960 8818 1012 rect 9030 1000 9036 1012 rect 8991 972 9036 1000 rect 9030 960 9036 972 rect 9088 960 9094 1012 -rect 9122 960 9128 1012 -rect 9180 1000 9186 1012 -rect 9490 1000 9496 1012 -rect 9180 972 9225 1000 -rect 9451 972 9496 1000 -rect 9180 960 9186 972 -rect 9490 960 9496 972 -rect 9548 960 9554 1012 -rect 9766 1000 9772 1012 -rect 9727 972 9772 1000 -rect 9766 960 9772 972 -rect 9824 960 9830 1012 -rect 2314 892 2320 944 -rect 2372 932 2378 944 -rect 2593 935 2651 941 -rect 2372 904 2544 932 -rect 2372 892 2378 904 -rect 2516 864 2544 904 -rect 2593 901 2605 935 -rect 2639 932 2651 935 -rect 4798 932 4804 944 -rect 2639 904 4804 932 -rect 2639 901 2651 904 -rect 2593 895 2651 901 -rect 4798 892 4804 904 -rect 4856 892 4862 944 -rect 6365 935 6423 941 -rect 6365 901 6377 935 -rect 6411 932 6423 935 -rect 7926 932 7932 944 -rect 6411 904 7932 932 -rect 6411 901 6423 904 -rect 6365 895 6423 901 -rect 7926 892 7932 904 -rect 7984 892 7990 944 -rect 8573 935 8631 941 -rect 8573 901 8585 935 -rect 8619 932 8631 935 -rect 16758 932 16764 944 -rect 8619 904 16764 932 -rect 8619 901 8631 904 -rect 8573 895 8631 901 -rect 16758 892 16764 904 -rect 16816 892 16822 944 -rect 2961 867 3019 873 -rect 2961 864 2973 867 -rect 2516 836 2973 864 -rect 2700 805 2728 836 -rect 2961 833 2973 836 -rect 3007 864 3019 867 -rect 3605 867 3663 873 -rect 3605 864 3617 867 -rect 3007 836 3617 864 -rect 3007 833 3019 836 -rect 2961 827 3019 833 -rect 3605 833 3617 836 -rect 3651 833 3663 867 -rect 3605 827 3663 833 -rect 5353 867 5411 873 -rect 5353 833 5365 867 -rect 5399 864 5411 867 -rect 5442 864 5448 876 -rect 5399 836 5448 864 -rect 5399 833 5411 836 -rect 5353 827 5411 833 -rect 5442 824 5448 836 -rect 5500 824 5506 876 -rect 8478 864 8484 876 -rect 6012 836 8484 864 -rect 6012 805 6040 836 -rect 8478 824 8484 836 -rect 8536 824 8542 876 -rect 1581 799 1639 805 -rect 1581 765 1593 799 -rect 1627 765 1639 799 -rect 1581 759 1639 765 +rect 9674 1000 9680 1012 +rect 9635 972 9680 1000 +rect 9674 960 9680 972 +rect 9732 960 9738 1012 +rect 9861 1003 9919 1009 +rect 9861 969 9873 1003 +rect 9907 1000 9919 1003 +rect 9950 1000 9956 1012 +rect 9907 972 9956 1000 +rect 9907 969 9919 972 +rect 9861 963 9919 969 +rect 9950 960 9956 972 +rect 10008 960 10014 1012 +rect 1581 935 1639 941 +rect 1581 901 1593 935 +rect 1627 932 1639 935 +rect 3418 932 3424 944 +rect 1627 904 3424 932 +rect 1627 901 1639 904 +rect 1581 895 1639 901 +rect 3418 892 3424 904 +rect 3476 892 3482 944 +rect 7653 935 7711 941 +rect 7653 901 7665 935 +rect 7699 932 7711 935 +rect 13814 932 13820 944 +rect 7699 904 13820 932 +rect 7699 901 7711 904 +rect 7653 895 7711 901 +rect 13814 892 13820 904 +rect 13872 892 13878 944 +rect 2133 867 2191 873 +rect 2133 833 2145 867 +rect 2179 864 2191 867 +rect 5902 864 5908 876 +rect 2179 836 5908 864 +rect 2179 833 2191 836 +rect 2133 827 2191 833 +rect 5902 824 5908 836 +rect 5960 824 5966 876 +rect 9125 867 9183 873 +rect 9125 864 9137 867 +rect 6012 836 9137 864 +rect 1213 799 1271 805 +rect 1213 765 1225 799 +rect 1259 796 1271 799 +rect 1489 799 1547 805 +rect 1489 796 1501 799 +rect 1259 768 1501 796 +rect 1259 765 1271 768 +rect 1213 759 1271 765 +rect 1489 765 1501 768 +rect 1535 796 1547 799 +rect 1765 799 1823 805 +rect 1765 796 1777 799 +rect 1535 768 1777 796 +rect 1535 765 1547 768 +rect 1489 759 1547 765 +rect 1765 765 1777 768 +rect 1811 796 1823 799 rect 2041 799 2099 805 -rect 2041 765 2053 799 -rect 2087 765 2099 799 +rect 2041 796 2053 799 +rect 1811 768 2053 796 +rect 1811 765 1823 768 +rect 1765 759 1823 765 +rect 2041 765 2053 768 +rect 2087 796 2099 799 +rect 2958 796 2964 808 +rect 2087 768 2964 796 +rect 2087 765 2099 768 rect 2041 759 2099 765 -rect 2225 799 2283 805 -rect 2225 765 2237 799 -rect 2271 765 2283 799 -rect 2225 759 2283 765 -rect 2685 799 2743 805 -rect 2685 765 2697 799 -rect 2731 765 2743 799 -rect 2685 759 2743 765 +rect 2958 756 2964 768 +rect 3016 756 3022 808 +rect 6012 805 6040 836 +rect 9125 833 9137 836 +rect 9171 833 9183 867 +rect 9125 827 9183 833 +rect 9493 867 9551 873 +rect 9493 833 9505 867 +rect 9539 864 9551 867 +rect 9766 864 9772 876 +rect 9539 836 9772 864 +rect 9539 833 9551 836 +rect 9493 827 9551 833 +rect 9766 824 9772 836 +rect 9824 824 9830 876 rect 5997 799 6055 805 rect 5997 765 6009 799 rect 6043 765 6055 799 -rect 6638 796 6644 808 -rect 6599 768 6644 796 rect 5997 759 6055 765 -rect 1596 728 1624 759 -rect 2130 728 2136 740 -rect 1596 700 2136 728 -rect 2130 688 2136 700 -rect 2188 688 2194 740 -rect 1118 620 1124 672 -rect 1176 660 1182 672 -rect 2240 660 2268 759 -rect 6638 756 6644 768 -rect 6696 756 6702 808 -rect 8846 756 8852 808 -rect 8904 796 8910 808 -rect 9401 799 9459 805 -rect 9401 796 9413 799 -rect 8904 768 9413 796 -rect 8904 756 8910 768 -rect 9401 765 9413 768 -rect 9447 765 9459 799 -rect 9401 759 9459 765 -rect 9953 799 10011 805 -rect 9953 765 9965 799 -rect 9999 796 10011 799 -rect 16666 796 16672 808 -rect 9999 768 16672 796 -rect 9999 765 10011 768 -rect 9953 759 10011 765 -rect 2314 688 2320 740 -rect 2372 728 2378 740 -rect 3418 728 3424 740 -rect 2372 700 3424 728 -rect 2372 688 2378 700 -rect 3418 688 3424 700 -rect 3476 688 3482 740 -rect 8757 731 8815 737 -rect 8757 728 8769 731 -rect 6886 700 8769 728 +rect 8573 799 8631 805 +rect 8573 765 8585 799 +rect 8619 796 8631 799 +rect 9306 796 9312 808 +rect 8619 768 9312 796 +rect 8619 765 8631 768 +rect 8573 759 8631 765 +rect 9306 756 9312 768 +rect 9364 756 9370 808 +rect 10042 796 10048 808 +rect 10003 768 10048 796 +rect 10042 756 10048 768 +rect 10100 756 10106 808 +rect 2409 731 2467 737 +rect 2409 697 2421 731 +rect 2455 728 2467 731 +rect 3050 728 3056 740 +rect 2455 700 3056 728 +rect 2455 697 2467 700 +rect 2409 691 2467 697 +rect 3050 688 3056 700 +rect 3108 688 3114 740 +rect 5626 728 5632 740 +rect 5000 700 5632 728 +rect 2314 620 2320 672 +rect 2372 660 2378 672 +rect 2501 663 2559 669 +rect 2501 660 2513 663 +rect 2372 632 2513 660 +rect 2372 620 2378 632 +rect 2501 629 2513 632 +rect 2547 629 2559 663 +rect 2501 623 2559 629 rect 2777 663 2835 669 -rect 2777 660 2789 663 -rect 1176 632 2789 660 -rect 1176 620 1182 632 -rect 2777 629 2789 632 +rect 2777 629 2789 663 rect 2823 660 2835 663 -rect 3142 660 3148 672 -rect 2823 632 3148 660 +rect 5000 660 5028 700 +rect 5626 688 5632 700 +rect 5684 728 5690 740 +rect 6273 731 6331 737 +rect 6273 728 6285 731 +rect 5684 700 6285 728 +rect 5684 688 5690 700 +rect 6273 697 6285 700 +rect 6319 697 6331 731 +rect 6273 691 6331 697 +rect 6549 731 6607 737 +rect 6549 697 6561 731 +rect 6595 728 6607 731 +rect 8846 728 8852 740 +rect 6595 700 8852 728 +rect 6595 697 6607 700 +rect 6549 691 6607 697 +rect 8846 688 8852 700 +rect 8904 688 8910 740 +rect 2823 632 5028 660 +rect 5077 663 5135 669 rect 2823 629 2835 632 rect 2777 623 2835 629 -rect 3142 620 3148 632 -rect 3200 620 3206 672 -rect 3970 660 3976 672 -rect 3931 632 3976 660 -rect 3970 620 3976 632 -rect 4028 620 4034 672 -rect 6086 620 6092 672 -rect 6144 660 6150 672 -rect 6886 660 6914 700 -rect 8757 697 8769 700 -rect 8803 697 8815 731 -rect 8757 691 8815 697 -rect 6144 632 6914 660 -rect 6144 620 6150 632 -rect 7926 620 7932 672 -rect 7984 660 7990 672 -rect 9858 660 9864 672 -rect 7984 632 9864 660 -rect 7984 620 7990 632 -rect 9858 620 9864 632 -rect 9916 620 9922 672 -rect 920 570 10304 592 +rect 5077 629 5089 663 +rect 5123 660 5135 663 +rect 5442 660 5448 672 +rect 5123 632 5448 660 +rect 5123 629 5135 632 +rect 5077 623 5135 629 +rect 5442 620 5448 632 +rect 5500 620 5506 672 +rect 9214 620 9220 672 +rect 9272 660 9278 672 +rect 9309 663 9367 669 +rect 9309 660 9321 663 +rect 9272 632 9321 660 +rect 9272 620 9278 632 +rect 9309 629 9321 632 +rect 9355 629 9367 663 +rect 9309 623 9367 629 +rect 920 570 10396 592 rect 920 518 5066 570 rect 5118 518 5130 570 rect 5182 518 5194 570 rect 5246 518 5258 570 rect 5310 518 5322 570 -rect 5374 518 10304 570 -rect 920 496 10304 518 -rect 3970 416 3976 468 -rect 4028 456 4034 468 -rect 10336 456 10364 768 -rect 16666 756 16672 768 -rect 16724 756 16730 808 -rect 4028 428 10364 456 -rect 4028 416 4034 428 +rect 5374 518 10396 570 +rect 920 496 10396 518 << via1 >> -rect 4344 12112 4396 12164 -rect 4804 12112 4856 12164 -rect 4712 12044 4764 12096 -rect 6000 12044 6052 12096 -rect 9496 12044 9548 12096 +rect 1216 12180 1268 12232 +rect 6092 12180 6144 12232 +rect 2688 12044 2740 12096 +rect 3608 12044 3660 12096 rect 2566 11942 2618 11994 rect 2630 11942 2682 11994 rect 2694 11942 2746 11994 @@ -4323,93 +4440,94 @@ rect 7630 11942 7682 11994 rect 7694 11942 7746 11994 rect 7758 11942 7810 11994 rect 7822 11942 7874 11994 -rect 1768 11840 1820 11892 -rect 2320 11840 2372 11892 -rect 1400 11772 1452 11824 +rect 6368 11840 6420 11892 +rect 6460 11840 6512 11892 +rect 7288 11840 7340 11892 +rect 2688 11772 2740 11824 rect 3700 11772 3752 11824 -rect 6092 11772 6144 11824 -rect 1124 11636 1176 11688 -rect 3884 11704 3936 11756 -rect 11152 11772 11204 11824 -rect 2136 11636 2188 11688 -rect 3700 11636 3752 11688 +rect 7012 11704 7064 11756 +rect 8208 11747 8260 11756 +rect 8208 11713 8217 11747 +rect 8217 11713 8251 11747 +rect 8251 11713 8260 11747 +rect 8208 11704 8260 11713 +rect 3608 11679 3660 11688 +rect 3608 11645 3617 11679 +rect 3617 11645 3651 11679 +rect 3651 11645 3660 11679 +rect 3608 11636 3660 11645 +rect 3792 11679 3844 11688 +rect 3792 11645 3801 11679 +rect 3801 11645 3835 11679 +rect 3835 11645 3844 11679 +rect 3792 11636 3844 11645 +rect 4068 11636 4120 11688 rect 4252 11679 4304 11688 rect 4252 11645 4261 11679 rect 4261 11645 4295 11679 rect 4295 11645 4304 11679 rect 4252 11636 4304 11645 -rect 2228 11500 2280 11552 -rect 4804 11568 4856 11620 -rect 4896 11500 4948 11552 -rect 6368 11679 6420 11688 -rect 6368 11645 6377 11679 -rect 6377 11645 6411 11679 -rect 6411 11645 6420 11679 -rect 6368 11636 6420 11645 -rect 5448 11568 5500 11620 -rect 6920 11704 6972 11756 -rect 9496 11747 9548 11756 -rect 9496 11713 9505 11747 -rect 9505 11713 9539 11747 -rect 9539 11713 9548 11747 -rect 9496 11704 9548 11713 -rect 8944 11636 8996 11688 -rect 10416 11636 10468 11688 -rect 9220 11568 9272 11620 -rect 9772 11568 9824 11620 +rect 6092 11636 6144 11688 +rect 6460 11636 6512 11688 +rect 6644 11679 6696 11688 +rect 6644 11645 6653 11679 +rect 6653 11645 6687 11679 +rect 6687 11645 6696 11679 +rect 6644 11636 6696 11645 +rect 6920 11636 6972 11688 +rect 13820 11840 13872 11892 +rect 17960 11840 18012 11892 +rect 2504 11543 2556 11552 +rect 2504 11509 2513 11543 +rect 2513 11509 2547 11543 +rect 2547 11509 2556 11543 +rect 2504 11500 2556 11509 +rect 4160 11500 4212 11552 +rect 5448 11500 5500 11552 +rect 7472 11568 7524 11620 +rect 8484 11568 8536 11620 +rect 6828 11500 6880 11552 +rect 8852 11500 8904 11552 rect 5066 11398 5118 11450 rect 5130 11398 5182 11450 rect 5194 11398 5246 11450 rect 5258 11398 5310 11450 rect 5322 11398 5374 11450 -rect 1400 11296 1452 11348 +rect 3884 11296 3936 11348 +rect 3976 11296 4028 11348 +rect 2688 11228 2740 11280 rect 3148 11228 3200 11280 -rect 1216 11203 1268 11212 -rect 1216 11169 1225 11203 -rect 1225 11169 1259 11203 -rect 1259 11169 1268 11203 -rect 1216 11160 1268 11169 -rect 4988 11296 5040 11348 -rect 8300 11296 8352 11348 -rect 4528 11228 4580 11280 -rect 8760 11228 8812 11280 -rect 3884 11160 3936 11212 -rect 4712 11160 4764 11212 -rect 5816 11160 5868 11212 -rect 4068 11092 4120 11144 -rect 5908 11092 5960 11144 +rect 4344 11296 4396 11348 +rect 6276 11228 6328 11280 +rect 3700 11160 3752 11212 +rect 4804 11160 4856 11212 +rect 8392 11228 8444 11280 +rect 2136 11092 2188 11144 +rect 3424 11135 3476 11144 +rect 3424 11101 3433 11135 +rect 3433 11101 3467 11135 +rect 3467 11101 3476 11135 +rect 3424 11092 3476 11101 +rect 3608 11092 3660 11144 +rect 6368 11092 6420 11144 rect 6736 11135 6788 11144 rect 6736 11101 6745 11135 rect 6745 11101 6779 11135 rect 6779 11101 6788 11135 rect 6736 11092 6788 11101 -rect 6920 11135 6972 11144 -rect 6920 11101 6929 11135 -rect 6929 11101 6963 11135 -rect 6963 11101 6972 11135 -rect 6920 11092 6972 11101 -rect 7932 11160 7984 11212 -rect 9864 11160 9916 11212 -rect 8484 11092 8536 11144 -rect 1308 11067 1360 11076 -rect 1308 11033 1317 11067 -rect 1317 11033 1351 11067 -rect 1351 11033 1360 11067 -rect 1308 11024 1360 11033 -rect 6644 11024 6696 11076 -rect 1584 10999 1636 11008 -rect 1584 10965 1593 10999 -rect 1593 10965 1627 10999 -rect 1627 10965 1636 10999 -rect 1584 10956 1636 10965 -rect 7288 10999 7340 11008 -rect 7288 10965 7297 10999 -rect 7297 10965 7331 10999 -rect 7331 10965 7340 10999 -rect 7288 10956 7340 10965 -rect 9680 11024 9732 11076 -rect 8576 10956 8628 11008 -rect 9312 10956 9364 11008 +rect 7932 11135 7984 11144 +rect 7932 11101 7941 11135 +rect 7941 11101 7975 11135 +rect 7975 11101 7984 11135 +rect 7932 11092 7984 11101 +rect 8944 11160 8996 11212 +rect 8576 11092 8628 11144 +rect 2688 10956 2740 11008 +rect 3332 10956 3384 11008 +rect 3516 10956 3568 11008 +rect 3792 10956 3844 11008 +rect 5632 11024 5684 11076 +rect 9864 11024 9916 11076 rect 2566 10854 2618 10906 rect 2630 10854 2682 10906 rect 2694 10854 2746 10906 @@ -4420,88 +4538,98 @@ rect 7630 10854 7682 10906 rect 7694 10854 7746 10906 rect 7758 10854 7810 10906 rect 7822 10854 7874 10906 -rect 8484 10752 8536 10804 -rect 9128 10752 9180 10804 -rect 8852 10684 8904 10736 -rect 4896 10616 4948 10668 +rect 1308 10795 1360 10804 +rect 1308 10761 1317 10795 +rect 1317 10761 1351 10795 +rect 1351 10761 1360 10795 +rect 1308 10752 1360 10761 +rect 1400 10659 1452 10668 +rect 1400 10625 1409 10659 +rect 1409 10625 1443 10659 +rect 1443 10625 1452 10659 +rect 1400 10616 1452 10625 +rect 6920 10752 6972 10804 +rect 7196 10752 7248 10804 +rect 7380 10684 7432 10736 +rect 7932 10752 7984 10804 rect 6736 10616 6788 10668 -rect 1216 10591 1268 10600 -rect 1216 10557 1225 10591 -rect 1225 10557 1259 10591 -rect 1259 10557 1268 10591 -rect 1216 10548 1268 10557 -rect 1584 10548 1636 10600 -rect 3516 10548 3568 10600 -rect 2504 10455 2556 10464 -rect 2504 10421 2513 10455 -rect 2513 10421 2547 10455 -rect 2547 10421 2556 10455 -rect 2504 10412 2556 10421 -rect 5540 10480 5592 10532 -rect 5632 10412 5684 10464 -rect 5908 10548 5960 10600 -rect 7288 10548 7340 10600 -rect 8392 10548 8444 10600 -rect 8852 10548 8904 10600 -rect 9956 10684 10008 10736 -rect 9312 10659 9364 10668 -rect 9312 10625 9321 10659 -rect 9321 10625 9355 10659 -rect 9355 10625 9364 10659 -rect 9312 10616 9364 10625 -rect 6736 10480 6788 10532 -rect 9036 10480 9088 10532 -rect 8208 10412 8260 10464 -rect 9588 10412 9640 10464 +rect 8300 10684 8352 10736 +rect 2780 10548 2832 10600 +rect 3240 10548 3292 10600 +rect 5908 10591 5960 10600 +rect 5908 10557 5917 10591 +rect 5917 10557 5951 10591 +rect 5951 10557 5960 10591 +rect 5908 10548 5960 10557 +rect 6276 10591 6328 10600 +rect 6276 10557 6285 10591 +rect 6285 10557 6319 10591 +rect 6319 10557 6328 10591 +rect 6276 10548 6328 10557 +rect 7472 10548 7524 10600 +rect 9128 10548 9180 10600 +rect 9588 10591 9640 10600 +rect 9588 10557 9597 10591 +rect 9597 10557 9631 10591 +rect 9631 10557 9640 10591 +rect 9588 10548 9640 10557 +rect 2964 10412 3016 10464 +rect 3056 10412 3108 10464 +rect 5356 10480 5408 10532 +rect 4712 10412 4764 10464 +rect 8668 10412 8720 10464 rect 5066 10310 5118 10362 rect 5130 10310 5182 10362 rect 5194 10310 5246 10362 rect 5258 10310 5310 10362 rect 5322 10310 5374 10362 -rect 940 10208 992 10260 -rect 1492 10208 1544 10260 -rect 3056 10140 3108 10192 -rect 6552 10208 6604 10260 -rect 4620 10140 4672 10192 -rect 8668 10140 8720 10192 -rect 9864 10183 9916 10192 -rect 9864 10149 9873 10183 -rect 9873 10149 9907 10183 -rect 9907 10149 9916 10183 -rect 9864 10140 9916 10149 -rect 4804 10072 4856 10124 -rect 6828 10115 6880 10124 -rect 6828 10081 6837 10115 -rect 6837 10081 6871 10115 -rect 6871 10081 6880 10115 -rect 6828 10072 6880 10081 -rect 9772 10072 9824 10124 -rect 2412 10004 2464 10056 -rect 6184 10047 6236 10056 -rect 6184 10013 6193 10047 -rect 6193 10013 6227 10047 -rect 6227 10013 6236 10047 -rect 6184 10004 6236 10013 -rect 6920 10047 6972 10056 -rect 6920 10013 6929 10047 -rect 6929 10013 6963 10047 -rect 6963 10013 6972 10047 -rect 6920 10004 6972 10013 -rect 9496 10047 9548 10056 -rect 9496 10013 9505 10047 -rect 9505 10013 9539 10047 -rect 9539 10013 9548 10047 -rect 9496 10004 9548 10013 -rect 10416 10004 10468 10056 -rect 5632 9936 5684 9988 -rect 7012 9936 7064 9988 -rect 3424 9868 3476 9920 -rect 9312 9911 9364 9920 -rect 9312 9877 9329 9911 -rect 9329 9877 9363 9911 -rect 9363 9877 9364 9911 -rect 9312 9868 9364 9877 -rect 9864 9868 9916 9920 +rect 6276 10208 6328 10260 +rect 1400 10115 1452 10124 +rect 1400 10081 1409 10115 +rect 1409 10081 1443 10115 +rect 1443 10081 1452 10115 +rect 1400 10072 1452 10081 +rect 2136 10004 2188 10056 +rect 3516 10140 3568 10192 +rect 5632 10140 5684 10192 +rect 8116 10208 8168 10260 +rect 8484 10140 8536 10192 +rect 3700 10072 3752 10124 +rect 7012 10115 7064 10124 +rect 7012 10081 7021 10115 +rect 7021 10081 7055 10115 +rect 7055 10081 7064 10115 +rect 7012 10072 7064 10081 +rect 8668 10072 8720 10124 +rect 3516 10047 3568 10056 +rect 3516 10013 3525 10047 +rect 3525 10013 3559 10047 +rect 3559 10013 3568 10047 +rect 3516 10004 3568 10013 +rect 3884 10047 3936 10056 +rect 2964 9936 3016 9988 +rect 3884 10013 3893 10047 +rect 3893 10013 3927 10047 +rect 3927 10013 3936 10047 +rect 3884 10004 3936 10013 +rect 5540 10004 5592 10056 +rect 6276 10047 6328 10056 +rect 6276 10013 6285 10047 +rect 6285 10013 6319 10047 +rect 6319 10013 6328 10047 +rect 6276 10004 6328 10013 +rect 7104 10004 7156 10056 +rect 9404 10004 9456 10056 +rect 9772 10047 9824 10056 +rect 9772 10013 9781 10047 +rect 9781 10013 9815 10047 +rect 9815 10013 9824 10047 +rect 9772 10004 9824 10013 +rect 10232 10004 10284 10056 +rect 3792 9868 3844 9920 +rect 3884 9868 3936 9920 +rect 7932 9868 7984 9920 +rect 8760 9868 8812 9920 rect 2566 9766 2618 9818 rect 2630 9766 2682 9818 rect 2694 9766 2746 9818 @@ -4512,115 +4640,90 @@ rect 7630 9766 7682 9818 rect 7694 9766 7746 9818 rect 7758 9766 7810 9818 rect 7822 9766 7874 9818 -rect 3056 9664 3108 9716 -rect 5540 9664 5592 9716 -rect 5724 9596 5776 9648 -rect 6000 9596 6052 9648 -rect 6552 9664 6604 9716 -rect 9496 9664 9548 9716 -rect 6460 9596 6512 9648 +rect 3700 9664 3752 9716 +rect 4988 9596 5040 9648 rect 7932 9596 7984 9648 -rect 10416 9596 10468 9648 -rect 1308 9528 1360 9580 -rect 6092 9528 6144 9580 -rect 7840 9528 7892 9580 -rect 3424 9503 3476 9512 -rect 3424 9469 3433 9503 -rect 3433 9469 3467 9503 -rect 3467 9469 3476 9503 -rect 3424 9460 3476 9469 -rect 3884 9460 3936 9512 -rect 5448 9503 5500 9512 -rect 5448 9469 5457 9503 -rect 5457 9469 5491 9503 -rect 5491 9469 5500 9503 -rect 5448 9460 5500 9469 -rect 5724 9460 5776 9512 -rect 8576 9571 8628 9580 -rect 8576 9537 8585 9571 -rect 8585 9537 8619 9571 -rect 8619 9537 8628 9571 -rect 8576 9528 8628 9537 -rect 8852 9571 8904 9580 -rect 8852 9537 8861 9571 -rect 8861 9537 8895 9571 -rect 8895 9537 8904 9571 -rect 8852 9528 8904 9537 -rect 9128 9460 9180 9512 -rect 1860 9392 1912 9444 -rect 3056 9392 3108 9444 +rect 2412 9528 2464 9580 +rect 5724 9528 5776 9580 +rect 7288 9528 7340 9580 +rect 7472 9528 7524 9580 +rect 7564 9528 7616 9580 +rect 2044 9460 2096 9512 +rect 1308 9392 1360 9444 +rect 3148 9435 3200 9444 +rect 3148 9401 3157 9435 +rect 3157 9401 3191 9435 +rect 3191 9401 3200 9435 +rect 3148 9392 3200 9401 +rect 3240 9392 3292 9444 rect 2780 9324 2832 9376 -rect 4344 9392 4396 9444 -rect 5908 9324 5960 9376 -rect 6184 9324 6236 9376 -rect 6460 9324 6512 9376 -rect 6828 9435 6880 9444 -rect 6828 9401 6844 9435 -rect 6844 9401 6878 9435 -rect 6878 9401 6880 9435 -rect 6828 9392 6880 9401 -rect 8116 9392 8168 9444 -rect 8576 9324 8628 9376 -rect 9496 9324 9548 9376 -rect 9864 9503 9916 9512 -rect 9864 9469 9873 9503 -rect 9873 9469 9907 9503 -rect 9907 9469 9916 9503 -rect 9864 9460 9916 9469 -rect 10048 9392 10100 9444 +rect 2964 9324 3016 9376 +rect 5172 9460 5224 9512 +rect 5816 9460 5868 9512 +rect 8300 9528 8352 9580 +rect 9496 9528 9548 9580 +rect 9956 9571 10008 9580 +rect 9956 9537 9965 9571 +rect 9965 9537 9999 9571 +rect 9999 9537 10008 9571 +rect 9956 9528 10008 9537 +rect 4528 9392 4580 9444 +rect 6736 9392 6788 9444 +rect 7104 9392 7156 9444 +rect 7288 9392 7340 9444 +rect 4068 9324 4120 9376 +rect 5172 9324 5224 9376 +rect 5632 9324 5684 9376 +rect 6000 9324 6052 9376 +rect 8852 9324 8904 9376 +rect 9220 9460 9272 9512 +rect 9128 9392 9180 9444 +rect 10232 9324 10284 9376 rect 5066 9222 5118 9274 rect 5130 9222 5182 9274 rect 5194 9222 5246 9274 rect 5258 9222 5310 9274 rect 5322 9222 5374 9274 -rect 1124 9120 1176 9172 -rect 4344 9120 4396 9172 -rect 7748 9120 7800 9172 -rect 9036 9163 9088 9172 -rect 2412 9052 2464 9104 -rect 1860 8984 1912 9036 -rect 5632 8984 5684 9036 -rect 6460 9052 6512 9104 -rect 7656 9052 7708 9104 +rect 3976 9120 4028 9172 +rect 1308 9027 1360 9036 +rect 1308 8993 1317 9027 +rect 1317 8993 1351 9027 +rect 1351 8993 1360 9027 +rect 1308 8984 1360 8993 +rect 3240 9052 3292 9104 +rect 6276 9052 6328 9104 +rect 7472 9052 7524 9104 rect 8024 9052 8076 9104 -rect 9036 9129 9045 9163 -rect 9045 9129 9079 9163 -rect 9079 9129 9088 9163 -rect 9036 9120 9088 9129 -rect 6368 8984 6420 9036 -rect 8576 8984 8628 9036 -rect 9128 9052 9180 9104 -rect 1768 8959 1820 8968 -rect 1768 8925 1777 8959 -rect 1777 8925 1811 8959 -rect 1811 8925 1820 8959 -rect 1768 8916 1820 8925 -rect 3792 8916 3844 8968 -rect 5908 8916 5960 8968 -rect 7932 8916 7984 8968 +rect 1952 8959 2004 8968 +rect 1952 8925 1961 8959 +rect 1961 8925 1995 8959 +rect 1995 8925 2004 8959 +rect 1952 8916 2004 8925 +rect 4068 8984 4120 9036 +rect 4620 8984 4672 9036 +rect 2964 8959 3016 8968 +rect 2964 8925 2973 8959 +rect 2973 8925 3007 8959 +rect 3007 8925 3016 8959 +rect 2964 8916 3016 8925 +rect 6276 8916 6328 8968 +rect 7288 8916 7340 8968 rect 4436 8848 4488 8900 -rect 5356 8848 5408 8900 -rect 9036 8984 9088 9036 -rect 9404 9027 9456 9036 -rect 9404 8993 9413 9027 -rect 9413 8993 9447 9027 -rect 9447 8993 9456 9027 -rect 9404 8984 9456 8993 -rect 9772 8984 9824 9036 -rect 9128 8916 9180 8968 -rect 3056 8780 3108 8832 -rect 3424 8780 3476 8832 -rect 3792 8780 3844 8832 -rect 5540 8780 5592 8832 +rect 6092 8848 6144 8900 +rect 6184 8848 6236 8900 +rect 2320 8780 2372 8832 +rect 3148 8780 3200 8832 +rect 4252 8780 4304 8832 +rect 4804 8823 4856 8832 +rect 4804 8789 4813 8823 +rect 4813 8789 4847 8823 +rect 4847 8789 4856 8823 +rect 4804 8780 4856 8789 +rect 6736 8780 6788 8832 +rect 8852 8848 8904 8900 rect 7380 8780 7432 8832 -rect 8392 8823 8444 8832 -rect 8392 8789 8401 8823 -rect 8401 8789 8435 8823 -rect 8435 8789 8444 8823 -rect 8392 8780 8444 8789 -rect 8484 8780 8536 8832 -rect 9404 8848 9456 8900 -rect 9128 8780 9180 8832 +rect 7472 8780 7524 8832 +rect 9772 8780 9824 8832 rect 2566 8678 2618 8730 rect 2630 8678 2682 8730 rect 2694 8678 2746 8730 @@ -4631,133 +4734,93 @@ rect 7630 8678 7682 8730 rect 7694 8678 7746 8730 rect 7758 8678 7810 8730 rect 7822 8678 7874 8730 -rect 1400 8576 1452 8628 -rect 2688 8483 2740 8492 -rect 2688 8449 2697 8483 -rect 2697 8449 2731 8483 -rect 2731 8449 2740 8483 -rect 2688 8440 2740 8449 -rect 1216 8415 1268 8424 -rect 1216 8381 1225 8415 -rect 1225 8381 1259 8415 -rect 1259 8381 1268 8415 -rect 1216 8372 1268 8381 -rect 5448 8508 5500 8560 -rect 5908 8508 5960 8560 -rect 5356 8440 5408 8492 -rect 5908 8415 5960 8424 -rect 3056 8236 3108 8288 -rect 5908 8381 5917 8415 -rect 5917 8381 5951 8415 -rect 5951 8381 5960 8415 -rect 5908 8372 5960 8381 -rect 3884 8347 3936 8356 -rect 3884 8313 3893 8347 -rect 3893 8313 3927 8347 -rect 3927 8313 3936 8347 -rect 3884 8304 3936 8313 -rect 5632 8347 5684 8356 -rect 5632 8313 5641 8347 -rect 5641 8313 5675 8347 -rect 5675 8313 5684 8347 -rect 5632 8304 5684 8313 -rect 7840 8576 7892 8628 -rect 8024 8508 8076 8560 -rect 9220 8508 9272 8560 -rect 9588 8508 9640 8560 -rect 6184 8483 6236 8492 -rect 6184 8449 6193 8483 -rect 6193 8449 6227 8483 -rect 6227 8449 6236 8483 -rect 6184 8440 6236 8449 -rect 7104 8440 7156 8492 -rect 7564 8372 7616 8424 -rect 8024 8372 8076 8424 -rect 8300 8415 8352 8424 -rect 8300 8381 8309 8415 -rect 8309 8381 8343 8415 -rect 8343 8381 8352 8415 -rect 8300 8372 8352 8381 -rect 8576 8372 8628 8424 -rect 9588 8372 9640 8424 -rect 10416 8372 10468 8424 -rect 4160 8236 4212 8288 -rect 4528 8236 4580 8288 -rect 7840 8304 7892 8356 -rect 9864 8347 9916 8356 -rect 9864 8313 9873 8347 -rect 9873 8313 9907 8347 -rect 9907 8313 9916 8347 -rect 9864 8304 9916 8313 -rect 11060 8304 11112 8356 -rect 13820 8304 13872 8356 -rect 8300 8236 8352 8288 +rect 3976 8576 4028 8628 +rect 4528 8576 4580 8628 +rect 5724 8576 5776 8628 +rect 3148 8440 3200 8492 +rect 3792 8508 3844 8560 +rect 4436 8508 4488 8560 +rect 8668 8576 8720 8628 +rect 9680 8576 9732 8628 +rect 8300 8508 8352 8560 +rect 5448 8483 5500 8492 +rect 2136 8372 2188 8424 +rect 5448 8449 5457 8483 +rect 5457 8449 5491 8483 +rect 5491 8449 5500 8483 +rect 5448 8440 5500 8449 +rect 6000 8440 6052 8492 +rect 6552 8440 6604 8492 +rect 8852 8440 8904 8492 +rect 10232 8508 10284 8560 +rect 1308 8304 1360 8356 +rect 1492 8304 1544 8356 +rect 3792 8372 3844 8424 +rect 6184 8415 6236 8424 +rect 6184 8381 6193 8415 +rect 6193 8381 6227 8415 +rect 6227 8381 6236 8415 +rect 6184 8372 6236 8381 +rect 7932 8372 7984 8424 +rect 8484 8372 8536 8424 +rect 8760 8372 8812 8424 +rect 4252 8304 4304 8356 +rect 6552 8347 6604 8356 +rect 3332 8236 3384 8288 +rect 6552 8313 6561 8347 +rect 6561 8313 6595 8347 +rect 6595 8313 6604 8347 +rect 6552 8304 6604 8313 +rect 7564 8304 7616 8356 +rect 9772 8372 9824 8424 +rect 7840 8236 7892 8288 +rect 8484 8279 8536 8288 +rect 8484 8245 8493 8279 +rect 8493 8245 8527 8279 +rect 8527 8245 8536 8279 +rect 8484 8236 8536 8245 rect 5066 8134 5118 8186 rect 5130 8134 5182 8186 rect 5194 8134 5246 8186 rect 5258 8134 5310 8186 rect 5322 8134 5374 8186 -rect 2964 8007 3016 8016 -rect 2964 7973 2973 8007 -rect 2973 7973 3007 8007 -rect 3007 7973 3016 8007 -rect 2964 7964 3016 7973 -rect 4160 8032 4212 8084 -rect 5724 8032 5776 8084 -rect 6920 8032 6972 8084 -rect 8300 8032 8352 8084 -rect 4896 7964 4948 8016 -rect 5632 7896 5684 7948 -rect 5908 7939 5960 7948 -rect 5908 7905 5917 7939 -rect 5917 7905 5951 7939 -rect 5951 7905 5960 7939 -rect 5908 7896 5960 7905 -rect 6184 7939 6236 7948 -rect 6184 7905 6193 7939 -rect 6193 7905 6227 7939 -rect 6227 7905 6236 7939 -rect 6184 7896 6236 7905 -rect 6368 7896 6420 7948 -rect 7380 7939 7432 7948 -rect 7380 7905 7389 7939 -rect 7389 7905 7423 7939 -rect 7423 7905 7432 7939 -rect 7380 7896 7432 7905 -rect 8852 7964 8904 8016 -rect 7840 7939 7892 7948 -rect 7840 7905 7849 7939 -rect 7849 7905 7883 7939 -rect 7883 7905 7892 7939 -rect 7840 7896 7892 7905 -rect 9864 7896 9916 7948 -rect 3056 7871 3108 7880 -rect 3056 7837 3065 7871 -rect 3065 7837 3099 7871 -rect 3099 7837 3108 7871 -rect 3056 7828 3108 7837 -rect 4896 7828 4948 7880 -rect 6000 7828 6052 7880 -rect 6276 7828 6328 7880 -rect 6460 7871 6512 7880 -rect 6460 7837 6469 7871 -rect 6469 7837 6503 7871 -rect 6503 7837 6512 7871 -rect 6460 7828 6512 7837 -rect 6920 7828 6972 7880 -rect 2044 7692 2096 7744 -rect 3976 7692 4028 7744 -rect 6276 7735 6328 7744 -rect 6276 7701 6285 7735 -rect 6285 7701 6319 7735 -rect 6319 7701 6328 7735 -rect 6276 7692 6328 7701 -rect 7104 7735 7156 7744 -rect 7104 7701 7113 7735 -rect 7113 7701 7147 7735 -rect 7147 7701 7156 7735 -rect 7104 7692 7156 7701 -rect 7380 7692 7432 7744 +rect 2228 7964 2280 8016 +rect 1768 7896 1820 7948 +rect 3332 7939 3384 7948 +rect 3332 7905 3341 7939 +rect 3341 7905 3375 7939 +rect 3375 7905 3384 7939 +rect 3332 7896 3384 7905 +rect 4620 7964 4672 8016 +rect 6276 7964 6328 8016 +rect 1124 7828 1176 7880 +rect 2504 7803 2556 7812 +rect 2504 7769 2513 7803 +rect 2513 7769 2547 7803 +rect 2547 7769 2556 7803 +rect 2504 7760 2556 7769 +rect 3148 7692 3200 7744 +rect 4896 7896 4948 7948 +rect 7472 7964 7524 8016 +rect 7288 7939 7340 7948 +rect 7288 7905 7297 7939 +rect 7297 7905 7331 7939 +rect 7331 7905 7340 7939 +rect 7288 7896 7340 7905 +rect 7840 8032 7892 8084 +rect 9680 7964 9732 8016 +rect 9404 7939 9456 7948 +rect 9404 7905 9413 7939 +rect 9413 7905 9447 7939 +rect 9447 7905 9456 7939 +rect 9404 7896 9456 7905 +rect 4252 7828 4304 7880 +rect 5632 7828 5684 7880 +rect 8300 7828 8352 7880 +rect 6552 7760 6604 7812 +rect 4804 7692 4856 7744 +rect 6276 7692 6328 7744 +rect 7288 7760 7340 7812 rect 8208 7692 8260 7744 rect 2566 7590 2618 7642 rect 2630 7590 2682 7642 @@ -4769,104 +4832,111 @@ rect 7630 7590 7682 7642 rect 7694 7590 7746 7642 rect 7758 7590 7810 7642 rect 7822 7590 7874 7642 -rect 3976 7488 4028 7540 -rect 1308 7463 1360 7472 -rect 1308 7429 1317 7463 -rect 1317 7429 1351 7463 -rect 1351 7429 1360 7463 -rect 1308 7420 1360 7429 -rect 5724 7420 5776 7472 -rect 3056 7352 3108 7404 -rect 3976 7395 4028 7404 -rect 3976 7361 3985 7395 -rect 3985 7361 4019 7395 -rect 4019 7361 4028 7395 -rect 3976 7352 4028 7361 -rect 6092 7352 6144 7404 -rect 3608 7327 3660 7336 -rect 3608 7293 3617 7327 -rect 3617 7293 3651 7327 -rect 3651 7293 3660 7327 -rect 3608 7284 3660 7293 -rect 5540 7284 5592 7336 -rect 2320 7216 2372 7268 -rect 3424 7259 3476 7268 -rect 3424 7225 3433 7259 -rect 3433 7225 3467 7259 -rect 3467 7225 3476 7259 -rect 3424 7216 3476 7225 -rect 4436 7216 4488 7268 -rect 6828 7488 6880 7540 -rect 8300 7488 8352 7540 +rect 2688 7488 2740 7540 +rect 4068 7488 4120 7540 +rect 4160 7488 4212 7540 +rect 3700 7420 3752 7472 +rect 4712 7420 4764 7472 +rect 6184 7463 6236 7472 +rect 6184 7429 6185 7463 +rect 6185 7429 6219 7463 +rect 6219 7429 6236 7463 +rect 6184 7420 6236 7429 rect 8576 7488 8628 7540 -rect 9220 7488 9272 7540 -rect 6920 7352 6972 7404 -rect 8024 7352 8076 7404 -rect 8576 7352 8628 7404 -rect 8208 7284 8260 7336 -rect 8576 7259 8628 7268 -rect 2044 7148 2096 7200 -rect 3332 7148 3384 7200 -rect 6000 7191 6052 7200 -rect 6000 7157 6017 7191 -rect 6017 7157 6051 7191 -rect 6051 7157 6052 7191 -rect 8576 7225 8585 7259 -rect 8585 7225 8619 7259 -rect 8619 7225 8628 7259 -rect 8576 7216 8628 7225 -rect 9496 7284 9548 7336 -rect 13544 7284 13596 7336 -rect 9772 7216 9824 7268 -rect 6000 7148 6052 7157 -rect 9220 7148 9272 7200 +rect 1952 7352 2004 7404 +rect 3148 7395 3200 7404 +rect 3148 7361 3157 7395 +rect 3157 7361 3191 7395 +rect 3191 7361 3200 7395 +rect 3148 7352 3200 7361 +rect 5632 7352 5684 7404 +rect 6000 7395 6052 7404 +rect 6000 7361 6009 7395 +rect 6009 7361 6043 7395 +rect 6043 7361 6052 7395 +rect 6000 7352 6052 7361 +rect 8208 7395 8260 7404 +rect 8208 7361 8217 7395 +rect 8217 7361 8251 7395 +rect 8251 7361 8260 7395 +rect 8208 7352 8260 7361 +rect 8484 7352 8536 7404 +rect 2688 7216 2740 7268 +rect 3240 7216 3292 7268 +rect 4252 7284 4304 7336 +rect 6736 7327 6788 7336 +rect 6736 7293 6745 7327 +rect 6745 7293 6779 7327 +rect 6779 7293 6788 7327 +rect 6736 7284 6788 7293 +rect 9128 7284 9180 7336 +rect 9680 7327 9732 7336 +rect 9680 7293 9689 7327 +rect 9689 7293 9723 7327 +rect 9723 7293 9732 7327 +rect 9680 7284 9732 7293 +rect 5448 7216 5500 7268 +rect 5632 7216 5684 7268 +rect 7288 7216 7340 7268 +rect 8576 7216 8628 7268 +rect 17960 7148 18012 7200 rect 5066 7046 5118 7098 rect 5130 7046 5182 7098 rect 5194 7046 5246 7098 rect 5258 7046 5310 7098 rect 5322 7046 5374 7098 -rect 5540 6876 5592 6928 -rect 5724 6876 5776 6928 -rect 6460 6876 6512 6928 -rect 8392 6876 8444 6928 -rect 1216 6851 1268 6860 -rect 1216 6817 1225 6851 -rect 1225 6817 1259 6851 -rect 1259 6817 1268 6851 -rect 1216 6808 1268 6817 -rect 1492 6808 1544 6860 -rect 2228 6808 2280 6860 -rect 3056 6808 3108 6860 -rect 2412 6740 2464 6792 -rect 3700 6740 3752 6792 -rect 3884 6740 3936 6792 +rect 1676 6944 1728 6996 +rect 2044 6944 2096 6996 +rect 3792 6944 3844 6996 +rect 4344 6944 4396 6996 +rect 5448 6944 5500 6996 +rect 7564 6944 7616 6996 +rect 8208 6944 8260 6996 +rect 4896 6876 4948 6928 +rect 8300 6876 8352 6928 +rect 3148 6808 3200 6860 +rect 1400 6783 1452 6792 +rect 1400 6749 1409 6783 +rect 1409 6749 1443 6783 +rect 1443 6749 1452 6783 +rect 1400 6740 1452 6749 +rect 2320 6740 2372 6792 +rect 4804 6808 4856 6860 +rect 1308 6647 1360 6656 +rect 1308 6613 1317 6647 +rect 1317 6613 1351 6647 +rect 1351 6613 1360 6647 +rect 1308 6604 1360 6613 +rect 3516 6783 3568 6792 +rect 3516 6749 3525 6783 +rect 3525 6749 3559 6783 +rect 3559 6749 3568 6783 +rect 3516 6740 3568 6749 +rect 3792 6740 3844 6792 +rect 4528 6740 4580 6792 +rect 3884 6604 3936 6656 +rect 4528 6604 4580 6656 +rect 8852 6851 8904 6860 +rect 8852 6817 8861 6851 +rect 8861 6817 8895 6851 +rect 8895 6817 8904 6851 +rect 8852 6808 8904 6817 +rect 9312 6808 9364 6860 +rect 9496 6851 9548 6860 +rect 9496 6817 9505 6851 +rect 9505 6817 9539 6851 +rect 9539 6817 9548 6851 +rect 9496 6808 9548 6817 rect 5724 6740 5776 6792 -rect 2320 6672 2372 6724 -rect 6276 6808 6328 6860 -rect 7104 6808 7156 6860 -rect 8484 6851 8536 6860 -rect 8484 6817 8493 6851 -rect 8493 6817 8527 6851 -rect 8527 6817 8536 6851 -rect 8484 6808 8536 6817 -rect 8944 6808 8996 6860 -rect 9220 6808 9272 6860 -rect 10232 6808 10284 6860 -rect 5908 6740 5960 6792 -rect 9404 6783 9456 6792 -rect 9404 6749 9413 6783 -rect 9413 6749 9447 6783 -rect 9447 6749 9456 6783 -rect 9404 6740 9456 6749 -rect 10324 6740 10376 6792 -rect 3516 6604 3568 6656 -rect 8944 6672 8996 6724 -rect 9588 6672 9640 6724 -rect 4712 6604 4764 6656 -rect 6276 6604 6328 6656 -rect 7012 6604 7064 6656 -rect 7472 6604 7524 6656 -rect 9220 6604 9272 6656 +rect 6552 6740 6604 6792 +rect 7380 6740 7432 6792 +rect 6184 6604 6236 6656 +rect 9128 6715 9180 6724 +rect 9128 6681 9137 6715 +rect 9137 6681 9171 6715 +rect 9171 6681 9180 6715 +rect 9128 6672 9180 6681 +rect 9496 6672 9548 6724 rect 2566 6502 2618 6554 rect 2630 6502 2682 6554 rect 2694 6502 2746 6554 @@ -4877,116 +4947,127 @@ rect 7630 6502 7682 6554 rect 7694 6502 7746 6554 rect 7758 6502 7810 6554 rect 7822 6502 7874 6554 -rect 2964 6400 3016 6452 -rect 2320 6332 2372 6384 -rect 2780 6332 2832 6384 -rect 3424 6332 3476 6384 -rect 4160 6400 4212 6452 -rect 5632 6400 5684 6452 -rect 6184 6400 6236 6452 -rect 7288 6400 7340 6452 -rect 7932 6443 7984 6452 -rect 7932 6409 7941 6443 -rect 7941 6409 7975 6443 -rect 7975 6409 7984 6443 -rect 7932 6400 7984 6409 -rect 1400 6239 1452 6248 -rect 1400 6205 1409 6239 -rect 1409 6205 1443 6239 -rect 1443 6205 1452 6239 -rect 1400 6196 1452 6205 -rect 5724 6307 5776 6316 -rect 5724 6273 5733 6307 -rect 5733 6273 5767 6307 -rect 5767 6273 5776 6307 -rect 5724 6264 5776 6273 -rect 5908 6264 5960 6316 -rect 8576 6307 8628 6316 -rect 8576 6273 8585 6307 -rect 8585 6273 8619 6307 -rect 8619 6273 8628 6307 -rect 8576 6264 8628 6273 -rect 1216 6128 1268 6180 -rect 2412 6128 2464 6180 -rect 3148 6128 3200 6180 -rect 3332 6128 3384 6180 -rect 4896 6196 4948 6248 -rect 7196 6239 7248 6248 -rect 7196 6205 7205 6239 -rect 7205 6205 7239 6239 -rect 7239 6205 7248 6239 -rect 7196 6196 7248 6205 -rect 7932 6196 7984 6248 -rect 9128 6239 9180 6248 -rect 9128 6205 9137 6239 -rect 9137 6205 9171 6239 -rect 9171 6205 9180 6239 -rect 9128 6196 9180 6205 -rect 9404 6239 9456 6248 -rect 9404 6205 9413 6239 -rect 9413 6205 9447 6239 -rect 9447 6205 9456 6239 -rect 9404 6196 9456 6205 -rect 9588 6196 9640 6248 -rect 3700 6060 3752 6112 -rect 4344 6060 4396 6112 -rect 7012 6128 7064 6180 -rect 8576 6128 8628 6180 -rect 5724 6060 5776 6112 -rect 6368 6060 6420 6112 +rect 1216 6443 1268 6452 +rect 1216 6409 1225 6443 +rect 1225 6409 1259 6443 +rect 1259 6409 1268 6443 +rect 1216 6400 1268 6409 +rect 2872 6400 2924 6452 +rect 3148 6400 3200 6452 +rect 3516 6332 3568 6384 +rect 1308 6264 1360 6316 +rect 2780 6264 2832 6316 +rect 6920 6400 6972 6452 +rect 7472 6400 7524 6452 +rect 8024 6400 8076 6452 +rect 9220 6400 9272 6452 +rect 4896 6375 4948 6384 +rect 4896 6341 4905 6375 +rect 4905 6341 4939 6375 +rect 4939 6341 4948 6375 +rect 4896 6332 4948 6341 +rect 8116 6332 8168 6384 +rect 1492 6196 1544 6248 +rect 3056 6196 3108 6248 +rect 3884 6307 3936 6316 +rect 3884 6273 3893 6307 +rect 3893 6273 3927 6307 +rect 3927 6273 3936 6307 +rect 3884 6264 3936 6273 +rect 4252 6264 4304 6316 +rect 5632 6307 5684 6316 +rect 5632 6273 5641 6307 +rect 5641 6273 5675 6307 +rect 5675 6273 5684 6307 +rect 5632 6264 5684 6273 +rect 7380 6264 7432 6316 +rect 8668 6264 8720 6316 +rect 9680 6264 9732 6316 +rect 3516 6196 3568 6248 +rect 1676 6128 1728 6180 +rect 1768 6128 1820 6180 +rect 4160 6196 4212 6248 +rect 4712 6196 4764 6248 +rect 4988 6196 5040 6248 +rect 6552 6196 6604 6248 +rect 8944 6239 8996 6248 +rect 8944 6205 8953 6239 +rect 8953 6205 8987 6239 +rect 8987 6205 8996 6239 +rect 8944 6196 8996 6205 +rect 9864 6239 9916 6248 +rect 9864 6205 9873 6239 +rect 9873 6205 9907 6239 +rect 9907 6205 9916 6239 +rect 9864 6196 9916 6205 +rect 4436 6128 4488 6180 +rect 6920 6128 6972 6180 +rect 3792 6060 3844 6112 +rect 3976 6060 4028 6112 +rect 4160 6060 4212 6112 +rect 4528 6103 4580 6112 +rect 4528 6069 4537 6103 +rect 4537 6069 4571 6103 +rect 4571 6069 4580 6103 +rect 4528 6060 4580 6069 +rect 8024 6060 8076 6112 +rect 8760 6060 8812 6112 +rect 9772 6060 9824 6112 rect 5066 5958 5118 6010 rect 5130 5958 5182 6010 rect 5194 5958 5246 6010 rect 5258 5958 5310 6010 rect 5322 5958 5374 6010 -rect 3608 5856 3660 5908 -rect 2228 5788 2280 5840 -rect 1400 5763 1452 5772 -rect 1400 5729 1409 5763 -rect 1409 5729 1443 5763 -rect 1443 5729 1452 5763 -rect 1400 5720 1452 5729 -rect 13636 5856 13688 5908 -rect 4344 5788 4396 5840 -rect 5540 5788 5592 5840 -rect 7196 5788 7248 5840 -rect 6828 5720 6880 5772 -rect 8576 5763 8628 5772 -rect 8576 5729 8585 5763 -rect 8585 5729 8619 5763 -rect 8619 5729 8628 5763 -rect 8576 5720 8628 5729 -rect 9036 5763 9088 5772 -rect 9036 5729 9045 5763 -rect 9045 5729 9079 5763 -rect 9079 5729 9088 5763 -rect 9036 5720 9088 5729 -rect 9680 5788 9732 5840 -rect 9864 5788 9916 5840 -rect 3884 5652 3936 5704 +rect 3148 5856 3200 5908 +rect 3976 5856 4028 5908 +rect 4896 5856 4948 5908 +rect 11060 5856 11112 5908 +rect 1400 5788 1452 5840 +rect 1308 5720 1360 5772 +rect 4160 5788 4212 5840 +rect 4528 5788 4580 5840 +rect 4988 5788 5040 5840 +rect 6552 5831 6604 5840 +rect 6552 5797 6561 5831 +rect 6561 5797 6595 5831 +rect 6595 5797 6604 5831 +rect 6552 5788 6604 5797 +rect 8208 5788 8260 5840 +rect 3884 5763 3936 5772 +rect 3884 5729 3893 5763 +rect 3893 5729 3927 5763 +rect 3927 5729 3936 5763 +rect 3884 5720 3936 5729 +rect 5816 5720 5868 5772 +rect 3240 5652 3292 5704 +rect 3976 5695 4028 5704 +rect 3976 5661 3985 5695 +rect 3985 5661 4019 5695 +rect 4019 5661 4028 5695 +rect 3976 5652 4028 5661 +rect 4804 5652 4856 5704 rect 6000 5695 6052 5704 rect 6000 5661 6009 5695 rect 6009 5661 6043 5695 rect 6043 5661 6052 5695 rect 6000 5652 6052 5661 -rect 10140 5720 10192 5772 -rect 2780 5584 2832 5636 -rect 3516 5584 3568 5636 -rect 5356 5584 5408 5636 -rect 13728 5652 13780 5704 -rect 7196 5584 7248 5636 -rect 8576 5584 8628 5636 -rect 3148 5516 3200 5568 -rect 3424 5516 3476 5568 -rect 6552 5516 6604 5568 +rect 6092 5652 6144 5704 +rect 9128 5720 9180 5772 +rect 9312 5788 9364 5840 +rect 6552 5652 6604 5704 +rect 8116 5652 8168 5704 +rect 8760 5652 8812 5704 +rect 6828 5584 6880 5636 +rect 2872 5516 2924 5568 +rect 3884 5516 3936 5568 +rect 4988 5516 5040 5568 rect 8484 5516 8536 5568 -rect 8852 5559 8904 5568 -rect 8852 5525 8861 5559 -rect 8861 5525 8895 5559 -rect 8895 5525 8904 5559 -rect 8852 5516 8904 5525 -rect 9680 5584 9732 5636 -rect 9496 5516 9548 5568 +rect 9128 5516 9180 5568 +rect 9312 5652 9364 5704 +rect 10048 5720 10100 5772 +rect 9680 5652 9732 5704 +rect 9312 5516 9364 5568 +rect 16580 5516 16632 5568 rect 2566 5414 2618 5466 rect 2630 5414 2682 5466 rect 2694 5414 2746 5466 @@ -4997,332 +5078,334 @@ rect 7630 5414 7682 5466 rect 7694 5414 7746 5466 rect 7758 5414 7810 5466 rect 7822 5414 7874 5466 -rect 4252 5312 4304 5364 -rect 4528 5312 4580 5364 -rect 5356 5312 5408 5364 -rect 5540 5312 5592 5364 -rect 5908 5244 5960 5296 -rect 6920 5244 6972 5296 +rect 1676 5312 1728 5364 +rect 2780 5312 2832 5364 +rect 3700 5355 3752 5364 +rect 3700 5321 3709 5355 +rect 3709 5321 3743 5355 +rect 3743 5321 3752 5355 +rect 3700 5312 3752 5321 +rect 5632 5312 5684 5364 +rect 7012 5312 7064 5364 +rect 7656 5312 7708 5364 +rect 8116 5312 8168 5364 +rect 9312 5312 9364 5364 +rect 5816 5244 5868 5296 +rect 6460 5244 6512 5296 rect 8300 5244 8352 5296 -rect 11060 5312 11112 5364 -rect 9956 5244 10008 5296 -rect 6000 5176 6052 5228 -rect 7288 5219 7340 5228 -rect 7288 5185 7297 5219 -rect 7297 5185 7331 5219 -rect 7331 5185 7340 5219 -rect 7288 5176 7340 5185 -rect 9496 5219 9548 5228 -rect 9496 5185 9505 5219 -rect 9505 5185 9539 5219 -rect 9539 5185 9548 5219 -rect 9496 5176 9548 5185 -rect 2964 5108 3016 5160 -rect 3148 5108 3200 5160 -rect 5908 5108 5960 5160 -rect 6552 5151 6604 5160 -rect 6552 5117 6561 5151 -rect 6561 5117 6595 5151 -rect 6595 5117 6604 5151 -rect 6552 5108 6604 5117 -rect 6920 5151 6972 5160 -rect 6920 5117 6929 5151 -rect 6929 5117 6963 5151 -rect 6963 5117 6972 5151 -rect 6920 5108 6972 5117 -rect 9312 5108 9364 5160 -rect 10140 5108 10192 5160 -rect 6184 4972 6236 5024 -rect 9220 5040 9272 5092 -rect 9956 5040 10008 5092 -rect 10232 5040 10284 5092 -rect 8484 4972 8536 5024 -rect 8944 5015 8996 5024 -rect 8944 4981 8953 5015 -rect 8953 4981 8987 5015 -rect 8987 4981 8996 5015 -rect 8944 4972 8996 4981 +rect 2964 5176 3016 5228 +rect 3884 5176 3936 5228 +rect 4436 5176 4488 5228 +rect 7932 5176 7984 5228 +rect 10324 5176 10376 5228 +rect 2872 5108 2924 5160 +rect 3792 5151 3844 5160 +rect 3792 5117 3801 5151 +rect 3801 5117 3835 5151 +rect 3835 5117 3844 5151 +rect 3792 5108 3844 5117 +rect 6000 5108 6052 5160 +rect 6460 5151 6512 5160 +rect 6460 5117 6469 5151 +rect 6469 5117 6503 5151 +rect 6503 5117 6512 5151 +rect 6460 5108 6512 5117 +rect 6552 5108 6604 5160 +rect 7012 5151 7064 5160 +rect 7012 5117 7021 5151 +rect 7021 5117 7055 5151 +rect 7055 5117 7064 5151 +rect 7012 5108 7064 5117 +rect 7472 5108 7524 5160 +rect 6092 5040 6144 5092 +rect 8484 5040 8536 5092 +rect 9772 5040 9824 5092 +rect 10140 5040 10192 5092 +rect 9036 5015 9088 5024 +rect 9036 4981 9045 5015 +rect 9045 4981 9079 5015 +rect 9079 4981 9088 5015 +rect 9036 4972 9088 4981 rect 5066 4870 5118 4922 rect 5130 4870 5182 4922 rect 5194 4870 5246 4922 rect 5258 4870 5310 4922 rect 5322 4870 5374 4922 -rect 5908 4768 5960 4820 -rect 11244 4768 11296 4820 -rect 7012 4743 7064 4752 -rect 7012 4709 7021 4743 -rect 7021 4709 7055 4743 -rect 7055 4709 7064 4743 -rect 7012 4700 7064 4709 -rect 3516 4632 3568 4684 -rect 3700 4632 3752 4684 -rect 4344 4632 4396 4684 -rect 6276 4632 6328 4684 -rect 6828 4675 6880 4684 -rect 6828 4641 6837 4675 -rect 6837 4641 6871 4675 -rect 6871 4641 6880 4675 -rect 6828 4632 6880 4641 -rect 7288 4675 7340 4684 -rect 1768 4564 1820 4616 -rect 2964 4564 3016 4616 -rect 3976 4564 4028 4616 -rect 6184 4564 6236 4616 -rect 7288 4641 7297 4675 -rect 7297 4641 7331 4675 -rect 7331 4641 7340 4675 -rect 7288 4632 7340 4641 -rect 7380 4632 7432 4684 -rect 9036 4700 9088 4752 -rect 9220 4700 9272 4752 -rect 10048 4700 10100 4752 -rect 9496 4675 9548 4684 -rect 9496 4641 9505 4675 -rect 9505 4641 9539 4675 -rect 9539 4641 9548 4675 -rect 9496 4632 9548 4641 -rect 7104 4564 7156 4616 -rect 8024 4564 8076 4616 -rect 3700 4496 3752 4548 -rect 4528 4428 4580 4480 -rect 6368 4428 6420 4480 -rect 6736 4428 6788 4480 -rect 13452 4496 13504 4548 -rect 7288 4428 7340 4480 +rect 3976 4768 4028 4820 +rect 4804 4700 4856 4752 +rect 2964 4632 3016 4684 +rect 3240 4632 3292 4684 +rect 3884 4675 3936 4684 +rect 3884 4641 3893 4675 +rect 3893 4641 3927 4675 +rect 3927 4641 3936 4675 +rect 3884 4632 3936 4641 +rect 4528 4632 4580 4684 +rect 6092 4632 6144 4684 +rect 4160 4564 4212 4616 +rect 7012 4768 7064 4820 +rect 9588 4811 9640 4820 +rect 9588 4777 9597 4811 +rect 9597 4777 9631 4811 +rect 9631 4777 9640 4811 +rect 9588 4768 9640 4777 +rect 6552 4632 6604 4684 +rect 6828 4632 6880 4684 +rect 7932 4700 7984 4752 +rect 7656 4675 7708 4684 +rect 7656 4641 7665 4675 +rect 7665 4641 7699 4675 +rect 7699 4641 7708 4675 +rect 7656 4632 7708 4641 +rect 6000 4496 6052 4548 +rect 6644 4496 6696 4548 +rect 6920 4539 6972 4548 +rect 6920 4505 6929 4539 +rect 6929 4505 6963 4539 +rect 6963 4505 6972 4539 +rect 6920 4496 6972 4505 +rect 7288 4496 7340 4548 +rect 8392 4564 8444 4616 +rect 4620 4428 4672 4480 +rect 6092 4428 6144 4480 rect 7566 4326 7618 4378 rect 7630 4326 7682 4378 rect 7694 4326 7746 4378 rect 7758 4326 7810 4378 rect 7822 4326 7874 4378 -rect 5632 4088 5684 4140 -rect 3332 4063 3384 4072 -rect 3332 4029 3341 4063 -rect 3341 4029 3375 4063 -rect 3375 4029 3384 4063 -rect 3332 4020 3384 4029 -rect 3424 4020 3476 4072 -rect 3240 3952 3292 4004 -rect 3516 3952 3568 4004 -rect 5448 4020 5500 4072 -rect 6920 4088 6972 4140 -rect 13728 4088 13780 4140 -rect 6092 4063 6144 4072 -rect 6092 4029 6101 4063 -rect 6101 4029 6135 4063 -rect 6135 4029 6144 4063 -rect 6092 4020 6144 4029 -rect 8208 4063 8260 4072 -rect 8208 4029 8217 4063 -rect 8217 4029 8251 4063 -rect 8251 4029 8260 4063 -rect 8208 4020 8260 4029 -rect 11152 4020 11204 4072 -rect 5908 3952 5960 4004 -rect 2688 3884 2740 3936 -rect 8024 3884 8076 3936 +rect 3792 4088 3844 4140 +rect 4896 4088 4948 4140 +rect 9404 4131 9456 4140 +rect 4344 4020 4396 4072 +rect 5356 4063 5408 4072 +rect 4896 3952 4948 4004 +rect 5356 4029 5365 4063 +rect 5365 4029 5399 4063 +rect 5399 4029 5408 4063 +rect 5356 4020 5408 4029 +rect 5540 4063 5592 4072 +rect 5540 4029 5549 4063 +rect 5549 4029 5583 4063 +rect 5583 4029 5592 4063 +rect 5540 4020 5592 4029 +rect 5632 4020 5684 4072 +rect 5816 4020 5868 4072 +rect 6276 4063 6328 4072 +rect 6276 4029 6285 4063 +rect 6285 4029 6319 4063 +rect 6319 4029 6328 4063 +rect 6276 4020 6328 4029 +rect 5448 3952 5500 4004 +rect 6000 3884 6052 3936 +rect 9404 4097 9413 4131 +rect 9413 4097 9447 4131 +rect 9447 4097 9456 4131 +rect 9404 4088 9456 4097 +rect 8576 4020 8628 4072 +rect 16580 3952 16632 4004 +rect 22100 3884 22152 3936 rect 5066 3782 5118 3834 rect 5130 3782 5182 3834 rect 5194 3782 5246 3834 rect 5258 3782 5310 3834 rect 5322 3782 5374 3834 -rect 3424 3723 3476 3732 -rect 3424 3689 3433 3723 -rect 3433 3689 3467 3723 -rect 3467 3689 3476 3723 -rect 3424 3680 3476 3689 -rect 4712 3680 4764 3732 -rect 5448 3680 5500 3732 -rect 13820 3680 13872 3732 -rect 6000 3612 6052 3664 -rect 9404 3655 9456 3664 -rect 5908 3544 5960 3596 -rect 6644 3544 6696 3596 -rect 8392 3544 8444 3596 -rect 9404 3621 9413 3655 -rect 9413 3621 9447 3655 -rect 9447 3621 9456 3655 -rect 9404 3612 9456 3621 -rect 9772 3612 9824 3664 -rect 9680 3587 9732 3596 -rect 3516 3519 3568 3528 -rect 3516 3485 3525 3519 -rect 3525 3485 3559 3519 -rect 3559 3485 3568 3519 -rect 3516 3476 3568 3485 -rect 4160 3476 4212 3528 -rect 8668 3476 8720 3528 -rect 9680 3553 9689 3587 -rect 9689 3553 9723 3587 -rect 9723 3553 9732 3587 -rect 9680 3544 9732 3553 -rect 9404 3476 9456 3528 -rect 9772 3519 9824 3528 -rect 9772 3485 9781 3519 -rect 9781 3485 9815 3519 -rect 9815 3485 9824 3519 -rect 9772 3476 9824 3485 -rect 3148 3340 3200 3392 -rect 6644 3340 6696 3392 -rect 8484 3340 8536 3392 -rect 9404 3340 9456 3392 -rect 10416 3340 10468 3392 -rect 13544 3340 13596 3392 +rect 6644 3680 6696 3732 +rect 9496 3680 9548 3732 +rect 3884 3612 3936 3664 +rect 9036 3612 9088 3664 +rect 4068 3544 4120 3596 +rect 6092 3587 6144 3596 +rect 6092 3553 6101 3587 +rect 6101 3553 6135 3587 +rect 6135 3553 6144 3587 +rect 6092 3544 6144 3553 +rect 6368 3587 6420 3596 +rect 6368 3553 6377 3587 +rect 6377 3553 6411 3587 +rect 6411 3553 6420 3587 +rect 6368 3544 6420 3553 +rect 9588 3544 9640 3596 +rect 9772 3587 9824 3596 +rect 9772 3553 9781 3587 +rect 9781 3553 9815 3587 +rect 9815 3553 9824 3587 +rect 9772 3544 9824 3553 +rect 4804 3476 4856 3528 +rect 5080 3519 5132 3528 +rect 5080 3485 5089 3519 +rect 5089 3485 5123 3519 +rect 5123 3485 5132 3519 +rect 5080 3476 5132 3485 +rect 2688 3408 2740 3460 +rect 16672 3476 16724 3528 +rect 4988 3340 5040 3392 +rect 9220 3340 9272 3392 +rect 9496 3340 9548 3392 rect 7566 3238 7618 3290 rect 7630 3238 7682 3290 rect 7694 3238 7746 3290 rect 7758 3238 7810 3290 rect 7822 3238 7874 3290 -rect 3516 3136 3568 3188 -rect 5816 3179 5868 3188 -rect 5816 3145 5825 3179 -rect 5825 3145 5859 3179 -rect 5859 3145 5868 3179 -rect 5816 3136 5868 3145 -rect 6828 3179 6880 3188 -rect 6828 3145 6837 3179 -rect 6837 3145 6871 3179 -rect 6871 3145 6880 3179 -rect 6828 3136 6880 3145 -rect 11336 3136 11388 3188 -rect 13636 3136 13688 3188 -rect 16856 3136 16908 3188 -rect 3424 3068 3476 3120 -rect 5540 3000 5592 3052 -rect 5632 2932 5684 2984 -rect 5724 2975 5776 2984 -rect 5724 2941 5733 2975 -rect 5733 2941 5767 2975 -rect 5767 2941 5776 2975 -rect 8576 3000 8628 3052 -rect 13820 3000 13872 3052 -rect 16580 3000 16632 3052 -rect 5724 2932 5776 2941 -rect 8116 2975 8168 2984 -rect 8116 2941 8125 2975 -rect 8125 2941 8159 2975 -rect 8159 2941 8168 2975 -rect 8116 2932 8168 2941 -rect 8392 2864 8444 2916 -rect 8576 2864 8628 2916 +rect 3608 3136 3660 3188 +rect 6000 3179 6052 3188 +rect 6000 3145 6009 3179 +rect 6009 3145 6043 3179 +rect 6043 3145 6052 3179 +rect 6000 3136 6052 3145 +rect 6736 3136 6788 3188 +rect 4344 3068 4396 3120 +rect 4988 3068 5040 3120 +rect 7288 3068 7340 3120 +rect 9220 3068 9272 3120 +rect 4068 3000 4120 3052 +rect 6460 3000 6512 3052 +rect 6828 3043 6880 3052 +rect 6828 3009 6837 3043 +rect 6837 3009 6871 3043 +rect 6871 3009 6880 3043 +rect 6828 3000 6880 3009 +rect 7196 3000 7248 3052 +rect 2872 2932 2924 2984 +rect 4988 2932 5040 2984 +rect 8668 2864 8720 2916 +rect 16856 2864 16908 2916 rect 5066 2694 5118 2746 rect 5130 2694 5182 2746 rect 5194 2694 5246 2746 rect 5258 2694 5310 2746 rect 5322 2694 5374 2746 +rect 2780 2592 2832 2644 +rect 4252 2592 4304 2644 +rect 4436 2592 4488 2644 rect 4988 2592 5040 2644 -rect 3608 2524 3660 2576 -rect 5448 2524 5500 2576 -rect 8668 2567 8720 2576 -rect 8668 2533 8677 2567 -rect 8677 2533 8711 2567 -rect 8711 2533 8720 2567 -rect 8668 2524 8720 2533 -rect 8944 2524 8996 2576 -rect 9588 2592 9640 2644 -rect 10416 2592 10468 2644 -rect 11060 2524 11112 2576 -rect 2964 2456 3016 2508 +rect 6000 2635 6052 2644 +rect 6000 2601 6009 2635 +rect 6009 2601 6043 2635 +rect 6043 2601 6052 2635 +rect 6000 2592 6052 2601 +rect 7288 2592 7340 2644 +rect 3608 2499 3660 2508 +rect 3608 2465 3617 2499 +rect 3617 2465 3651 2499 +rect 3651 2465 3660 2499 +rect 3608 2456 3660 2465 +rect 3792 2456 3844 2508 +rect 4160 2456 4212 2508 +rect 3332 2388 3384 2440 +rect 3976 2320 4028 2372 +rect 4896 2456 4948 2508 rect 8300 2456 8352 2508 -rect 8484 2499 8536 2508 -rect 8484 2465 8493 2499 -rect 8493 2465 8527 2499 -rect 8527 2465 8536 2499 -rect 8484 2456 8536 2465 -rect 8576 2456 8628 2508 -rect 6552 2320 6604 2372 -rect 9680 2320 9732 2372 -rect 4620 2252 4672 2304 +rect 8392 2456 8444 2508 +rect 5632 2295 5684 2304 +rect 5632 2261 5641 2295 +rect 5641 2261 5675 2295 +rect 5675 2261 5684 2295 +rect 5632 2252 5684 2261 +rect 5816 2252 5868 2304 +rect 6092 2252 6144 2304 +rect 9588 2592 9640 2644 +rect 10048 2592 10100 2644 +rect 8852 2524 8904 2576 +rect 8760 2499 8812 2508 +rect 8760 2465 8769 2499 +rect 8769 2465 8803 2499 +rect 8803 2465 8812 2499 +rect 8760 2456 8812 2465 +rect 8116 2320 8168 2372 +rect 9036 2388 9088 2440 +rect 9220 2388 9272 2440 +rect 10048 2499 10100 2508 +rect 10048 2465 10057 2499 +rect 10057 2465 10091 2499 +rect 10091 2465 10100 2499 +rect 10048 2456 10100 2465 +rect 16948 2456 17000 2508 +rect 9772 2388 9824 2440 +rect 9772 2252 9824 2304 +rect 10140 2252 10192 2304 rect 7566 2150 7618 2202 rect 7630 2150 7682 2202 rect 7694 2150 7746 2202 rect 7758 2150 7810 2202 rect 7822 2150 7874 2202 -rect 4068 2091 4120 2100 -rect 4068 2057 4077 2091 -rect 4077 2057 4111 2091 -rect 4111 2057 4120 2091 -rect 4068 2048 4120 2057 -rect 6092 2048 6144 2100 -rect 4712 1980 4764 2032 -rect 3700 1912 3752 1964 -rect 3148 1844 3200 1896 -rect 3792 1887 3844 1896 -rect 3792 1853 3801 1887 -rect 3801 1853 3835 1887 -rect 3835 1853 3844 1887 -rect 3792 1844 3844 1853 -rect 3884 1844 3936 1896 -rect 6368 1887 6420 1896 -rect 6368 1853 6377 1887 -rect 6377 1853 6411 1887 -rect 6411 1853 6420 1887 -rect 6368 1844 6420 1853 -rect 7472 1844 7524 1896 -rect 8208 1844 8260 1896 +rect 3240 2048 3292 2100 +rect 3516 2091 3568 2100 +rect 3516 2057 3525 2091 +rect 3525 2057 3559 2091 +rect 3559 2057 3568 2091 +rect 3516 2048 3568 2057 +rect 3884 2048 3936 2100 +rect 4068 2048 4120 2100 +rect 4620 2048 4672 2100 +rect 10048 2048 10100 2100 +rect 3056 1980 3108 2032 +rect 8116 1980 8168 2032 +rect 16580 1980 16632 2032 +rect 6828 1955 6880 1964 +rect 6828 1921 6837 1955 +rect 6837 1921 6871 1955 +rect 6871 1921 6880 1955 +rect 6828 1912 6880 1921 +rect 3608 1844 3660 1896 +rect 22284 1912 22336 1964 +rect 8024 1887 8076 1896 +rect 8024 1853 8033 1887 +rect 8033 1853 8067 1887 +rect 8067 1853 8076 1887 +rect 8024 1844 8076 1853 rect 9864 1887 9916 1896 +rect 8576 1776 8628 1828 +rect 9312 1776 9364 1828 rect 9864 1853 9873 1887 rect 9873 1853 9907 1887 rect 9907 1853 9916 1887 rect 9864 1844 9916 1853 -rect 4620 1819 4672 1828 -rect 4620 1785 4629 1819 -rect 4629 1785 4663 1819 -rect 4663 1785 4672 1819 -rect 4620 1776 4672 1785 -rect 9404 1708 9456 1760 -rect 16856 1776 16908 1828 -rect 16580 1708 16632 1760 +rect 16764 1776 16816 1828 +rect 10048 1708 10100 1760 rect 5066 1606 5118 1658 rect 5130 1606 5182 1658 rect 5194 1606 5246 1658 rect 5258 1606 5310 1658 rect 5322 1606 5374 1658 -rect 3792 1547 3844 1556 -rect 3792 1513 3801 1547 -rect 3801 1513 3835 1547 -rect 3835 1513 3844 1547 -rect 3792 1504 3844 1513 -rect 1952 1436 2004 1488 -rect 4436 1436 4488 1488 -rect 10140 1504 10192 1556 -rect 11152 1436 11204 1488 -rect 7932 1411 7984 1420 -rect 7932 1377 7941 1411 -rect 7941 1377 7975 1411 -rect 7975 1377 7984 1411 -rect 7932 1368 7984 1377 -rect 8208 1368 8260 1420 -rect 8760 1411 8812 1420 -rect 2964 1300 3016 1352 -rect 8484 1343 8536 1352 -rect 8484 1309 8493 1343 -rect 8493 1309 8527 1343 -rect 8527 1309 8536 1343 -rect 8484 1300 8536 1309 -rect 8760 1377 8769 1411 -rect 8769 1377 8803 1411 -rect 8803 1377 8812 1411 -rect 8760 1368 8812 1377 -rect 9404 1411 9456 1420 -rect 9404 1377 9413 1411 -rect 9413 1377 9447 1411 -rect 9447 1377 9456 1411 -rect 9404 1368 9456 1377 -rect 22192 1368 22244 1420 +rect 3240 1504 3292 1556 +rect 5540 1504 5592 1556 +rect 8116 1547 8168 1556 +rect 8116 1513 8125 1547 +rect 8125 1513 8159 1547 +rect 8159 1513 8168 1547 +rect 8116 1504 8168 1513 +rect 8944 1504 8996 1556 +rect 9128 1504 9180 1556 +rect 9220 1436 9272 1488 +rect 6184 1411 6236 1420 +rect 6184 1377 6193 1411 +rect 6193 1377 6227 1411 +rect 6227 1377 6236 1411 +rect 6184 1368 6236 1377 +rect 8852 1411 8904 1420 +rect 8852 1377 8861 1411 +rect 8861 1377 8895 1411 +rect 8895 1377 8904 1411 +rect 8852 1368 8904 1377 +rect 9588 1368 9640 1420 +rect 5448 1343 5500 1352 +rect 5448 1309 5457 1343 +rect 5457 1309 5491 1343 +rect 5491 1309 5500 1343 +rect 5448 1300 5500 1309 +rect 6092 1300 6144 1352 rect 9312 1300 9364 1352 -rect 9864 1343 9916 1352 -rect 4988 1207 5040 1216 -rect 4988 1173 4997 1207 -rect 4997 1173 5031 1207 -rect 5031 1173 5040 1207 -rect 4988 1164 5040 1173 -rect 9864 1309 9873 1343 -rect 9873 1309 9907 1343 -rect 9907 1309 9916 1343 -rect 9864 1300 9916 1309 -rect 10324 1300 10376 1352 -rect 9956 1232 10008 1284 -rect 9312 1164 9364 1216 +rect 10324 1368 10376 1420 +rect 2320 1164 2372 1216 +rect 8760 1232 8812 1284 +rect 5632 1164 5684 1216 +rect 8576 1164 8628 1216 +rect 10232 1232 10284 1284 +rect 9312 1207 9364 1216 +rect 9312 1173 9321 1207 +rect 9321 1173 9355 1207 +rect 9355 1173 9364 1207 +rect 9312 1164 9364 1173 rect 2566 1062 2618 1114 rect 2630 1062 2682 1114 rect 2694 1062 2746 1114 @@ -5334,134 +5417,99 @@ rect 7694 1062 7746 1114 rect 7758 1062 7810 1114 rect 7822 1062 7874 1114 rect 1124 960 1176 1012 -rect 1952 1003 2004 1012 -rect 1952 969 1961 1003 -rect 1961 969 1995 1003 -rect 1995 969 2004 1003 -rect 1952 960 2004 969 -rect 3056 960 3108 1012 -rect 8760 960 8812 1012 +rect 2412 960 2464 1012 +rect 2964 1003 3016 1012 +rect 2964 969 2973 1003 +rect 2973 969 3007 1003 +rect 3007 969 3016 1003 +rect 2964 960 3016 969 +rect 4988 960 5040 1012 +rect 8760 1003 8812 1012 +rect 8760 969 8769 1003 +rect 8769 969 8803 1003 +rect 8803 969 8812 1003 +rect 8760 960 8812 969 rect 9036 1003 9088 1012 rect 9036 969 9045 1003 rect 9045 969 9079 1003 rect 9079 969 9088 1003 rect 9036 960 9088 969 -rect 9128 1003 9180 1012 -rect 9128 969 9137 1003 -rect 9137 969 9171 1003 -rect 9171 969 9180 1003 -rect 9496 1003 9548 1012 -rect 9128 960 9180 969 -rect 9496 969 9505 1003 -rect 9505 969 9539 1003 -rect 9539 969 9548 1003 -rect 9496 960 9548 969 -rect 9772 1003 9824 1012 -rect 9772 969 9781 1003 -rect 9781 969 9815 1003 -rect 9815 969 9824 1003 -rect 9772 960 9824 969 -rect 2320 892 2372 944 -rect 4804 892 4856 944 -rect 7932 892 7984 944 -rect 16764 892 16816 944 -rect 5448 824 5500 876 -rect 8484 824 8536 876 -rect 6644 799 6696 808 -rect 2136 688 2188 740 -rect 1124 620 1176 672 -rect 6644 765 6653 799 -rect 6653 765 6687 799 -rect 6687 765 6696 799 -rect 6644 756 6696 765 -rect 8852 756 8904 808 -rect 2320 688 2372 740 -rect 3424 688 3476 740 -rect 3148 663 3200 672 -rect 3148 629 3157 663 -rect 3157 629 3191 663 -rect 3191 629 3200 663 -rect 3148 620 3200 629 -rect 3976 663 4028 672 -rect 3976 629 3985 663 -rect 3985 629 4019 663 -rect 4019 629 4028 663 -rect 3976 620 4028 629 -rect 6092 620 6144 672 -rect 7932 620 7984 672 -rect 9864 620 9916 672 +rect 9680 1003 9732 1012 +rect 9680 969 9689 1003 +rect 9689 969 9723 1003 +rect 9723 969 9732 1003 +rect 9680 960 9732 969 +rect 9956 960 10008 1012 +rect 3424 892 3476 944 +rect 13820 892 13872 944 +rect 5908 824 5960 876 +rect 2964 756 3016 808 +rect 9772 824 9824 876 +rect 9312 756 9364 808 +rect 10048 799 10100 808 +rect 10048 765 10057 799 +rect 10057 765 10091 799 +rect 10091 765 10100 799 +rect 10048 756 10100 765 +rect 3056 688 3108 740 +rect 2320 620 2372 672 +rect 5632 688 5684 740 +rect 8852 688 8904 740 +rect 5448 620 5500 672 +rect 9220 620 9272 672 rect 5066 518 5118 570 rect 5130 518 5182 570 rect 5194 518 5246 570 rect 5258 518 5310 570 rect 5322 518 5374 570 -rect 3976 416 4028 468 -rect 16672 756 16724 808 << obsm1 >> rect 24000 0 34000 13000 << metal2 >> -rect 938 12200 994 13000 +rect 938 12322 994 13000 +rect 938 12294 1256 12322 +rect 938 12200 994 12294 +rect 1228 12238 1256 12294 +rect 1216 12232 1268 12238 rect 1398 12200 1454 13000 rect 1858 12322 1914 13000 -rect 1858 12294 2176 12322 +rect 1504 12294 1914 12322 +rect 1216 12174 1268 12180 +rect 1412 11121 1440 12200 +rect 1398 11112 1454 11121 +rect 1398 11047 1454 11056 +rect 1308 10804 1360 10810 +rect 1412 10792 1440 11047 +rect 1360 10764 1440 10792 +rect 1308 10746 1360 10752 +rect 1400 10668 1452 10674 +rect 1400 10610 1452 10616 +rect 1412 10130 1440 10610 +rect 1400 10124 1452 10130 +rect 1400 10066 1452 10072 +rect 1308 9444 1360 9450 +rect 1308 9386 1360 9392 +rect 1214 9344 1270 9353 +rect 1214 9279 1270 9288 +rect 1124 7880 1176 7886 +rect 1124 7822 1176 7828 +rect 1136 1018 1164 7822 +rect 1228 6458 1256 9279 +rect 1320 9042 1348 9386 +rect 1308 9036 1360 9042 +rect 1308 8978 1360 8984 +rect 1504 8362 1532 12294 rect 1858 12200 1914 12294 -rect 952 11121 980 12200 -rect 1412 11830 1440 12200 -rect 1768 11892 1820 11898 -rect 1768 11834 1820 11840 -rect 1400 11824 1452 11830 -rect 1400 11766 1452 11772 -rect 1124 11688 1176 11694 -rect 1124 11630 1176 11636 -rect 938 11112 994 11121 -rect 938 11047 994 11056 -rect 952 10266 980 11047 -rect 940 10260 992 10266 -rect 940 10202 992 10208 -rect 1136 9178 1164 11630 -rect 1400 11348 1452 11354 -rect 1400 11290 1452 11296 -rect 1216 11212 1268 11218 -rect 1216 11154 1268 11160 -rect 1228 10606 1256 11154 -rect 1308 11076 1360 11082 -rect 1308 11018 1360 11024 -rect 1216 10600 1268 10606 -rect 1216 10542 1268 10548 -rect 1124 9172 1176 9178 -rect 1124 9114 1176 9120 -rect 1136 1018 1164 9114 -rect 1228 8430 1256 10542 -rect 1320 9586 1348 11018 -rect 1308 9580 1360 9586 -rect 1308 9522 1360 9528 -rect 1412 8634 1440 11290 -rect 1584 11008 1636 11014 -rect 1584 10950 1636 10956 -rect 1596 10606 1624 10950 -rect 1584 10600 1636 10606 -rect 1584 10542 1636 10548 -rect 1492 10260 1544 10266 -rect 1492 10202 1544 10208 -rect 1400 8628 1452 8634 -rect 1400 8570 1452 8576 -rect 1216 8424 1268 8430 -rect 1216 8366 1268 8372 -rect 1228 6866 1256 8366 -rect 1308 7472 1360 7478 -rect 1306 7440 1308 7449 -rect 1360 7440 1362 7449 -rect 1306 7375 1362 7384 -rect 1504 6866 1532 10202 -rect 1780 8974 1808 11834 -rect 2148 11694 2176 12294 -rect 2318 12200 2374 13000 +rect 2318 12322 2374 13000 rect 2778 12322 2834 13000 rect 3238 12322 3294 13000 rect 3698 12322 3754 13000 -rect 2778 12294 3188 12322 +rect 2318 12294 2728 12322 +rect 2318 12200 2374 12294 +rect 2700 12102 2728 12294 +rect 2778 12294 3004 12322 rect 2778 12200 2834 12294 -rect 2332 11898 2360 12200 +rect 2688 12096 2740 12102 +rect 2688 12038 2740 12044 rect 2566 11996 2874 12005 rect 2566 11994 2572 11996 rect 2628 11994 2652 11996 @@ -5476,47 +5524,22 @@ rect 2708 11940 2732 11942 rect 2788 11940 2812 11942 rect 2868 11940 2874 11942 rect 2566 11931 2874 11940 -rect 2320 11892 2372 11898 -rect 2320 11834 2372 11840 -rect 2136 11688 2188 11694 -rect 2136 11630 2188 11636 -rect 1860 9444 1912 9450 -rect 1860 9386 1912 9392 -rect 1872 9042 1900 9386 -rect 1860 9036 1912 9042 -rect 1860 8978 1912 8984 -rect 1768 8968 1820 8974 -rect 1768 8910 1820 8916 -rect 1216 6860 1268 6866 -rect 1216 6802 1268 6808 -rect 1492 6860 1544 6866 -rect 1492 6802 1544 6808 -rect 1228 6186 1256 6802 -rect 1400 6248 1452 6254 -rect 1400 6190 1452 6196 -rect 1216 6180 1268 6186 -rect 1216 6122 1268 6128 -rect 1412 5778 1440 6190 -rect 1400 5772 1452 5778 -rect 1400 5714 1452 5720 -rect 1780 4622 1808 8910 -rect 2044 7744 2096 7750 -rect 2044 7686 2096 7692 -rect 2056 7206 2084 7686 -rect 2044 7200 2096 7206 -rect 2044 7142 2096 7148 -rect 1768 4616 1820 4622 -rect 1768 4558 1820 4564 -rect 2148 4536 2176 11630 -rect 2228 11552 2280 11558 -rect 2228 11494 2280 11500 -rect 2240 6866 2268 11494 -rect 3160 11370 3188 12294 -rect 3238 12294 3648 12322 -rect 3238 12200 3294 12294 -rect 3160 11342 3280 11370 -rect 3148 11280 3200 11286 -rect 3148 11222 3200 11228 +rect 2688 11824 2740 11830 +rect 2688 11766 2740 11772 +rect 2502 11656 2558 11665 +rect 2502 11591 2558 11600 +rect 2516 11558 2544 11591 +rect 2504 11552 2556 11558 +rect 2504 11494 2556 11500 +rect 2700 11286 2728 11766 +rect 2688 11280 2740 11286 +rect 2688 11222 2740 11228 +rect 2136 11144 2188 11150 +rect 2136 11086 2188 11092 +rect 2148 10554 2176 11086 +rect 2700 11014 2728 11222 +rect 2688 11008 2740 11014 +rect 2688 10950 2740 10956 rect 2566 10908 2874 10917 rect 2566 10906 2572 10908 rect 2628 10906 2652 10908 @@ -5531,17 +5554,94 @@ rect 2708 10852 2732 10854 rect 2788 10852 2812 10854 rect 2868 10852 2874 10854 rect 2566 10843 2874 10852 -rect 2962 10704 3018 10713 -rect 2962 10639 3018 10648 -rect 2504 10464 2556 10470 -rect 2504 10406 2556 10412 -rect 2516 10169 2544 10406 -rect 2502 10160 2558 10169 -rect 2502 10095 2558 10104 -rect 2412 10056 2464 10062 -rect 2412 9998 2464 10004 -rect 2424 9110 2452 9998 +rect 2778 10704 2834 10713 +rect 2778 10639 2834 10648 +rect 2792 10606 2820 10639 +rect 2780 10600 2832 10606 +rect 2148 10526 2268 10554 +rect 2976 10554 3004 12294 +rect 3068 12294 3294 12322 +rect 3068 10577 3096 12294 +rect 3238 12200 3294 12294 +rect 3344 12294 3754 12322 +rect 3148 11280 3200 11286 +rect 3148 11222 3200 11228 +rect 2780 10542 2832 10548 +rect 2136 10056 2188 10062 +rect 2136 9998 2188 10004 +rect 2044 9512 2096 9518 +rect 2148 9500 2176 9998 +rect 2096 9472 2176 9500 +rect 2044 9454 2096 9460 +rect 1952 8968 2004 8974 +rect 1952 8910 2004 8916 +rect 1308 8356 1360 8362 +rect 1308 8298 1360 8304 +rect 1492 8356 1544 8362 +rect 1492 8298 1544 8304 +rect 1320 8265 1348 8298 +rect 1306 8256 1362 8265 +rect 1306 8191 1362 8200 +rect 1400 6792 1452 6798 +rect 1400 6734 1452 6740 +rect 1308 6656 1360 6662 +rect 1308 6598 1360 6604 +rect 1216 6452 1268 6458 +rect 1216 6394 1268 6400 +rect 1320 6322 1348 6598 +rect 1308 6316 1360 6322 +rect 1308 6258 1360 6264 +rect 1320 5778 1348 6258 +rect 1412 5846 1440 6734 +rect 1504 6254 1532 8298 +rect 1768 7948 1820 7954 +rect 1768 7890 1820 7896 +rect 1676 6996 1728 7002 +rect 1676 6938 1728 6944 +rect 1492 6248 1544 6254 +rect 1492 6190 1544 6196 +rect 1688 6186 1716 6938 +rect 1780 6186 1808 7890 +rect 1964 7410 1992 8910 +rect 1952 7404 2004 7410 +rect 1952 7346 2004 7352 +rect 2056 7002 2084 9454 +rect 2134 8528 2190 8537 +rect 2134 8463 2190 8472 +rect 2148 8430 2176 8463 +rect 2136 8424 2188 8430 +rect 2136 8366 2188 8372 +rect 2044 6996 2096 7002 +rect 2044 6938 2096 6944 +rect 1676 6180 1728 6186 +rect 1676 6122 1728 6128 +rect 1768 6180 1820 6186 +rect 1768 6122 1820 6128 +rect 1400 5840 1452 5846 +rect 1400 5782 1452 5788 +rect 1308 5772 1360 5778 +rect 1308 5714 1360 5720 +rect 1688 5370 1716 6122 +rect 1676 5364 1728 5370 +rect 1676 5306 1728 5312 +rect 2148 4536 2176 8366 +rect 2240 8022 2268 10526 +rect 2884 10526 3004 10554 +rect 3054 10568 3110 10577 +rect 2884 10033 2912 10526 +rect 3054 10503 3110 10512 +rect 2964 10464 3016 10470 +rect 2964 10406 3016 10412 +rect 3056 10464 3108 10470 +rect 3056 10406 3108 10412 +rect 2870 10024 2926 10033 +rect 2976 9994 3004 10406 +rect 2870 9959 2926 9968 +rect 2964 9988 3016 9994 +rect 2964 9930 3016 9936 +rect 2962 9888 3018 9897 rect 2566 9820 2874 9829 +rect 2962 9823 3018 9832 rect 2566 9818 2572 9820 rect 2628 9818 2652 9820 rect 2708 9818 2732 9820 @@ -5555,12 +5655,37 @@ rect 2708 9764 2732 9766 rect 2788 9764 2812 9766 rect 2868 9764 2874 9766 rect 2566 9755 2874 9764 +rect 2412 9580 2464 9586 +rect 2412 9522 2464 9528 +rect 2320 8832 2372 8838 +rect 2320 8774 2372 8780 +rect 2228 8016 2280 8022 +rect 2228 7958 2280 7964 +rect 2332 6798 2360 8774 +rect 2320 6792 2372 6798 +rect 2320 6734 2372 6740 +rect 2148 4508 2360 4536 +rect 2332 1222 2360 4508 +rect 2320 1216 2372 1222 +rect 2320 1158 2372 1164 +rect 1124 1012 1176 1018 +rect 1124 954 1176 960 +rect 2332 678 2360 1158 +rect 2424 1018 2452 9522 +rect 2778 9480 2834 9489 +rect 2976 9466 3004 9823 +rect 2778 9415 2834 9424 +rect 2884 9438 3004 9466 +rect 2792 9382 2820 9415 rect 2780 9376 2832 9382 -rect 2778 9344 2780 9353 -rect 2832 9344 2834 9353 -rect 2778 9279 2834 9288 -rect 2412 9104 2464 9110 -rect 2412 9046 2464 9052 +rect 2780 9318 2832 9324 +rect 2884 8820 2912 9438 +rect 2964 9376 3016 9382 +rect 2964 9318 3016 9324 +rect 2976 8974 3004 9318 +rect 2964 8968 3016 8974 +rect 2964 8910 3016 8916 +rect 2884 8792 3004 8820 rect 2566 8732 2874 8741 rect 2566 8730 2572 8732 rect 2628 8730 2652 8732 @@ -5575,27 +5700,10 @@ rect 2708 8676 2732 8678 rect 2788 8676 2812 8678 rect 2868 8676 2874 8678 rect 2566 8667 2874 8676 -rect 2688 8492 2740 8498 -rect 2688 8434 2740 8440 -rect 2700 7857 2728 8434 -rect 2976 8022 3004 10639 -rect 3056 10192 3108 10198 -rect 3056 10134 3108 10140 -rect 3068 9722 3096 10134 -rect 3056 9716 3108 9722 -rect 3056 9658 3108 9664 -rect 3068 9450 3096 9658 -rect 3056 9444 3108 9450 -rect 3056 9386 3108 9392 -rect 3056 8832 3108 8838 -rect 3056 8774 3108 8780 -rect 3068 8294 3096 8774 -rect 3056 8288 3108 8294 -rect 3056 8230 3108 8236 -rect 2964 8016 3016 8022 -rect 2964 7958 3016 7964 -rect 2686 7848 2742 7857 -rect 2686 7783 2742 7792 +rect 2502 7848 2558 7857 +rect 2502 7783 2504 7792 +rect 2556 7783 2558 7792 +rect 2504 7754 2556 7760 rect 2566 7644 2874 7653 rect 2566 7642 2572 7644 rect 2628 7642 2652 7644 @@ -5610,20 +5718,11 @@ rect 2708 7588 2732 7590 rect 2788 7588 2812 7590 rect 2868 7588 2874 7590 rect 2566 7579 2874 7588 -rect 2320 7268 2372 7274 -rect 2320 7210 2372 7216 -rect 2228 6860 2280 6866 -rect 2228 6802 2280 6808 -rect 2240 5846 2268 6802 -rect 2332 6730 2360 7210 -rect 2412 6792 2464 6798 -rect 2412 6734 2464 6740 -rect 2320 6724 2372 6730 -rect 2320 6666 2372 6672 -rect 2332 6390 2360 6666 -rect 2320 6384 2372 6390 -rect 2320 6326 2372 6332 -rect 2424 6186 2452 6734 +rect 2688 7540 2740 7546 +rect 2688 7482 2740 7488 +rect 2700 7274 2728 7482 +rect 2688 7268 2740 7274 +rect 2688 7210 2740 7216 rect 2566 6556 2874 6565 rect 2566 6554 2572 6556 rect 2628 6554 2652 6556 @@ -5638,39 +5737,15 @@ rect 2708 6500 2732 6502 rect 2788 6500 2812 6502 rect 2868 6500 2874 6502 rect 2566 6491 2874 6500 -rect 2976 6458 3004 7958 -rect 3068 7886 3096 8230 -rect 3056 7880 3108 7886 -rect 3056 7822 3108 7828 -rect 3068 7410 3096 7822 -rect 3056 7404 3108 7410 -rect 3056 7346 3108 7352 -rect 3068 6866 3096 7346 -rect 3056 6860 3108 6866 -rect 3056 6802 3108 6808 -rect 2964 6452 3016 6458 -rect 2964 6394 3016 6400 -rect 2780 6384 2832 6390 -rect 3160 6338 3188 11222 -rect 3252 9081 3280 11342 -rect 3516 10600 3568 10606 -rect 3516 10542 3568 10548 -rect 3424 9920 3476 9926 -rect 3424 9862 3476 9868 -rect 3436 9518 3464 9862 -rect 3424 9512 3476 9518 -rect 3424 9454 3476 9460 -rect 3238 9072 3294 9081 -rect 3238 9007 3294 9016 -rect 2780 6326 2832 6332 -rect 2412 6180 2464 6186 -rect 2412 6122 2464 6128 -rect 2228 5840 2280 5846 -rect 2228 5782 2280 5788 -rect 2792 5642 2820 6326 -rect 2976 6310 3188 6338 -rect 2780 5636 2832 5642 -rect 2780 5578 2832 5584 +rect 2872 6452 2924 6458 +rect 2872 6394 2924 6400 +rect 2778 6352 2834 6361 +rect 2778 6287 2780 6296 +rect 2832 6287 2834 6296 +rect 2780 6258 2832 6264 +rect 2884 5574 2912 6394 +rect 2872 5568 2924 5574 +rect 2872 5510 2924 5516 rect 2566 5468 2874 5477 rect 2566 5466 2572 5468 rect 2628 5466 2652 5468 @@ -5685,88 +5760,128 @@ rect 2708 5412 2732 5414 rect 2788 5412 2812 5414 rect 2868 5412 2874 5414 rect 2566 5403 2874 5412 -rect 2976 5166 3004 6310 -rect 3148 6180 3200 6186 -rect 3148 6122 3200 6128 -rect 3160 5574 3188 6122 -rect 3148 5568 3200 5574 -rect 3148 5510 3200 5516 -rect 3252 5386 3280 9007 -rect 3436 8838 3464 9454 -rect 3424 8832 3476 8838 -rect 3424 8774 3476 8780 -rect 3528 8537 3556 10542 -rect 3514 8528 3570 8537 -rect 3514 8463 3570 8472 -rect 3424 7268 3476 7274 -rect 3424 7210 3476 7216 -rect 3332 7200 3384 7206 -rect 3332 7142 3384 7148 -rect 3344 6186 3372 7142 -rect 3436 6390 3464 7210 -rect 3528 6662 3556 8463 -rect 3620 7426 3648 12294 -rect 3698 12294 4016 12322 +rect 2780 5364 2832 5370 +rect 2780 5306 2832 5312 +rect 2688 3460 2740 3466 +rect 2686 3428 2688 3437 +rect 2740 3428 2742 3437 +rect 2686 3363 2742 3372 +rect 2792 2650 2820 5306 +rect 2976 5234 3004 8792 +rect 3068 6338 3096 10406 +rect 3160 9450 3188 11222 +rect 3344 11098 3372 12294 rect 3698 12200 3754 12294 +rect 4158 12458 4214 13000 +rect 4158 12430 4476 12458 +rect 4158 12200 4214 12430 +rect 3608 12096 3660 12102 +rect 3608 12038 3660 12044 +rect 3620 11694 3648 12038 rect 3700 11824 3752 11830 rect 3700 11766 3752 11772 -rect 3712 11694 3740 11766 -rect 3884 11756 3936 11762 -rect 3884 11698 3936 11704 -rect 3700 11688 3752 11694 -rect 3700 11630 3752 11636 -rect 3712 8650 3740 11630 -rect 3896 11218 3924 11698 -rect 3884 11212 3936 11218 -rect 3884 11154 3936 11160 -rect 3896 9602 3924 11154 -rect 3804 9574 3924 9602 -rect 3804 8974 3832 9574 -rect 3884 9512 3936 9518 -rect 3884 9454 3936 9460 -rect 3792 8968 3844 8974 -rect 3792 8910 3844 8916 -rect 3792 8832 3844 8838 -rect 3896 8786 3924 9454 -rect 3844 8780 3924 8786 -rect 3792 8774 3924 8780 -rect 3804 8758 3924 8774 -rect 3712 8622 3832 8650 -rect 3620 7398 3740 7426 -rect 3608 7336 3660 7342 -rect 3608 7278 3660 7284 -rect 3516 6656 3568 6662 -rect 3516 6598 3568 6604 -rect 3424 6384 3476 6390 -rect 3424 6326 3476 6332 -rect 3332 6180 3384 6186 -rect 3332 6122 3384 6128 -rect 3068 5358 3280 5386 -rect 2964 5160 3016 5166 -rect 2964 5102 3016 5108 -rect 2964 4616 3016 4622 -rect 2964 4558 3016 4564 -rect 2148 4508 2360 4536 -rect 1952 1488 2004 1494 -rect 1952 1430 2004 1436 -rect 1964 1018 1992 1430 -rect 1124 1012 1176 1018 -rect 1124 954 1176 960 -rect 1952 1012 2004 1018 -rect 1952 954 2004 960 -rect 1136 678 1164 954 -rect 2332 950 2360 4508 -rect 2688 3936 2740 3942 -rect 2688 3878 2740 3884 -rect 2700 3437 2728 3878 -rect 2686 3428 2742 3437 -rect 2686 3363 2742 3372 -rect 2976 2514 3004 4558 -rect 2964 2508 3016 2514 -rect 2964 2450 3016 2456 -rect 2976 1358 3004 2450 -rect 2964 1352 3016 1358 -rect 2964 1294 3016 1300 +rect 3608 11688 3660 11694 +rect 3608 11630 3660 11636 +rect 3620 11257 3648 11630 +rect 3606 11248 3662 11257 +rect 3712 11218 3740 11766 +rect 3896 11750 4292 11778 +rect 3792 11688 3844 11694 +rect 3792 11630 3844 11636 +rect 3606 11183 3662 11192 +rect 3700 11212 3752 11218 +rect 3700 11154 3752 11160 +rect 3252 11070 3372 11098 +rect 3424 11144 3476 11150 +rect 3424 11086 3476 11092 +rect 3608 11144 3660 11150 +rect 3608 11086 3660 11092 +rect 3252 10690 3280 11070 +rect 3332 11008 3384 11014 +rect 3332 10950 3384 10956 +rect 3344 10849 3372 10950 +rect 3330 10840 3386 10849 +rect 3330 10775 3386 10784 +rect 3252 10662 3372 10690 +rect 3240 10600 3292 10606 +rect 3240 10542 3292 10548 +rect 3252 9450 3280 10542 +rect 3148 9444 3200 9450 +rect 3148 9386 3200 9392 +rect 3240 9444 3292 9450 +rect 3240 9386 3292 9392 +rect 3252 9110 3280 9386 +rect 3240 9104 3292 9110 +rect 3240 9046 3292 9052 +rect 3148 8832 3200 8838 +rect 3148 8774 3200 8780 +rect 3160 8498 3188 8774 +rect 3148 8492 3200 8498 +rect 3148 8434 3200 8440 +rect 3148 7744 3200 7750 +rect 3148 7686 3200 7692 +rect 3160 7410 3188 7686 +rect 3148 7404 3200 7410 +rect 3148 7346 3200 7352 +rect 3252 7274 3280 9046 +rect 3344 8401 3372 10662 +rect 3330 8392 3386 8401 +rect 3330 8327 3386 8336 +rect 3332 8288 3384 8294 +rect 3332 8230 3384 8236 +rect 3344 7954 3372 8230 +rect 3332 7948 3384 7954 +rect 3332 7890 3384 7896 +rect 3240 7268 3292 7274 +rect 3240 7210 3292 7216 +rect 3148 6860 3200 6866 +rect 3148 6802 3200 6808 +rect 3160 6458 3188 6802 +rect 3148 6452 3200 6458 +rect 3148 6394 3200 6400 +rect 3068 6310 3188 6338 +rect 3056 6248 3108 6254 +rect 3056 6190 3108 6196 +rect 2964 5228 3016 5234 +rect 2964 5170 3016 5176 +rect 2872 5160 2924 5166 +rect 2872 5102 2924 5108 +rect 2884 2990 2912 5102 +rect 2976 4690 3004 5170 +rect 2964 4684 3016 4690 +rect 2964 4626 3016 4632 +rect 2872 2984 2924 2990 +rect 2872 2926 2924 2932 +rect 2780 2644 2832 2650 +rect 2780 2586 2832 2592 +rect 3068 2038 3096 6190 +rect 3160 5914 3188 6310 +rect 3148 5908 3200 5914 +rect 3148 5850 3200 5856 +rect 3252 5710 3280 7210 +rect 3436 7018 3464 11086 +rect 3516 11008 3568 11014 +rect 3516 10950 3568 10956 +rect 3528 10198 3556 10950 +rect 3516 10192 3568 10198 +rect 3516 10134 3568 10140 +rect 3516 10056 3568 10062 +rect 3516 9998 3568 10004 +rect 3344 6990 3464 7018 +rect 3240 5704 3292 5710 +rect 3240 5646 3292 5652 +rect 3240 4684 3292 4690 +rect 3240 4626 3292 4632 +rect 3252 2106 3280 4626 +rect 3344 2446 3372 6990 +rect 3528 6882 3556 9998 +rect 3436 6854 3556 6882 +rect 3332 2440 3384 2446 +rect 3332 2382 3384 2388 +rect 3240 2100 3292 2106 +rect 3240 2042 3292 2048 +rect 3056 2032 3108 2038 +rect 3056 1974 3108 1980 rect 2566 1116 2874 1125 rect 2566 1114 2572 1116 rect 2628 1114 2652 1116 @@ -5781,200 +5896,206 @@ rect 2708 1060 2732 1062 rect 2788 1060 2812 1062 rect 2868 1060 2874 1062 rect 2566 1051 2874 1060 -rect 3068 1018 3096 5358 -rect 3148 5160 3200 5166 -rect 3148 5102 3200 5108 -rect 3160 3398 3188 5102 -rect 3344 4078 3372 6122 -rect 3528 5794 3556 6598 -rect 3620 5914 3648 7278 -rect 3712 6798 3740 7398 -rect 3700 6792 3752 6798 -rect 3700 6734 3752 6740 -rect 3700 6112 3752 6118 -rect 3700 6054 3752 6060 -rect 3608 5908 3660 5914 -rect 3608 5850 3660 5856 -rect 3528 5766 3648 5794 -rect 3516 5636 3568 5642 -rect 3516 5578 3568 5584 -rect 3424 5568 3476 5574 -rect 3424 5510 3476 5516 -rect 3436 4078 3464 5510 -rect 3528 4690 3556 5578 -rect 3516 4684 3568 4690 -rect 3516 4626 3568 4632 -rect 3332 4072 3384 4078 -rect 3332 4014 3384 4020 -rect 3424 4072 3476 4078 -rect 3424 4014 3476 4020 -rect 3240 4004 3292 4010 -rect 3240 3946 3292 3952 -rect 3148 3392 3200 3398 -rect 3148 3334 3200 3340 -rect 3252 2938 3280 3946 -rect 3436 3738 3464 4014 -rect 3528 4010 3556 4626 -rect 3516 4004 3568 4010 -rect 3516 3946 3568 3952 -rect 3424 3732 3476 3738 -rect 3424 3674 3476 3680 -rect 3436 3126 3464 3674 -rect 3516 3528 3568 3534 -rect 3516 3470 3568 3476 -rect 3528 3194 3556 3470 -rect 3516 3188 3568 3194 -rect 3516 3130 3568 3136 -rect 3424 3120 3476 3126 -rect 3424 3062 3476 3068 -rect 3252 2910 3464 2938 -rect 3148 1896 3200 1902 -rect 3148 1838 3200 1844 -rect 3056 1012 3108 1018 -rect 3056 954 3108 960 -rect 2320 944 2372 950 -rect 2320 886 2372 892 -rect 2148 746 2360 762 -rect 2136 740 2372 746 -rect 2188 734 2320 740 -rect 2136 682 2188 688 -rect 2320 682 2372 688 -rect 3160 678 3188 1838 -rect 3436 746 3464 2910 -rect 3620 2582 3648 5766 -rect 3712 4690 3740 6054 -rect 3700 4684 3752 4690 -rect 3700 4626 3752 4632 -rect 3700 4548 3752 4554 -rect 3700 4490 3752 4496 -rect 3608 2576 3660 2582 -rect 3608 2518 3660 2524 -rect 3712 1970 3740 4490 -rect 3700 1964 3752 1970 -rect 3700 1906 3752 1912 -rect 3804 1902 3832 8622 -rect 3896 8362 3924 8758 -rect 3884 8356 3936 8362 -rect 3884 8298 3936 8304 -rect 3988 7993 4016 12294 -rect 4158 12200 4214 13000 -rect 4618 12322 4674 13000 -rect 5078 12322 5134 13000 -rect 4448 12294 4674 12322 -rect 4068 11144 4120 11150 -rect 4068 11086 4120 11092 -rect 3974 7984 4030 7993 -rect 3896 7942 3974 7970 -rect 3896 6882 3924 7942 -rect 3974 7919 4030 7928 -rect 3976 7744 4028 7750 -rect 3976 7686 4028 7692 -rect 3988 7546 4016 7686 -rect 3976 7540 4028 7546 -rect 3976 7482 4028 7488 -rect 3988 7410 4016 7482 -rect 3976 7404 4028 7410 -rect 3976 7346 4028 7352 -rect 3896 6854 4016 6882 -rect 3884 6792 3936 6798 -rect 3884 6734 3936 6740 -rect 3896 5710 3924 6734 -rect 3884 5704 3936 5710 -rect 3884 5646 3936 5652 -rect 3896 1902 3924 5646 -rect 3988 4622 4016 6854 -rect 3976 4616 4028 4622 -rect 3976 4558 4028 4564 -rect 4080 2106 4108 11086 -rect 4172 8294 4200 12200 -rect 4344 12164 4396 12170 -rect 4344 12106 4396 12112 +rect 2412 1012 2464 1018 +rect 2412 954 2464 960 +rect 2964 1012 3016 1018 +rect 2964 954 3016 960 +rect 2976 814 3004 954 +rect 2964 808 3016 814 +rect 2964 750 3016 756 +rect 3068 746 3096 1974 +rect 3252 1562 3280 2042 +rect 3240 1556 3292 1562 +rect 3240 1498 3292 1504 +rect 3436 950 3464 6854 +rect 3516 6792 3568 6798 +rect 3516 6734 3568 6740 +rect 3528 6390 3556 6734 +rect 3516 6384 3568 6390 +rect 3516 6326 3568 6332 +rect 3516 6248 3568 6254 +rect 3516 6190 3568 6196 +rect 3528 2106 3556 6190 +rect 3620 3194 3648 11086 +rect 3804 11014 3832 11630 +rect 3896 11354 3924 11750 +rect 4264 11694 4292 11750 +rect 4068 11688 4120 11694 +rect 4068 11630 4120 11636 rect 4252 11688 4304 11694 rect 4252 11630 4304 11636 -rect 4160 8288 4212 8294 -rect 4160 8230 4212 8236 -rect 4160 8084 4212 8090 -rect 4160 8026 4212 8032 -rect 4172 6458 4200 8026 -rect 4160 6452 4212 6458 -rect 4160 6394 4212 6400 -rect 4172 3534 4200 6394 -rect 4264 5370 4292 11630 -rect 4356 9625 4384 12106 -rect 4342 9616 4398 9625 -rect 4342 9551 4398 9560 -rect 4344 9444 4396 9450 -rect 4344 9386 4396 9392 -rect 4356 9178 4384 9386 -rect 4344 9172 4396 9178 -rect 4344 9114 4396 9120 -rect 4448 8906 4476 12294 +rect 3884 11348 3936 11354 +rect 3884 11290 3936 11296 +rect 3976 11348 4028 11354 +rect 3976 11290 4028 11296 +rect 3792 11008 3844 11014 +rect 3792 10950 3844 10956 +rect 3700 10124 3752 10130 +rect 3700 10066 3752 10072 +rect 3712 9722 3740 10066 +rect 3884 10056 3936 10062 +rect 3884 9998 3936 10004 +rect 3896 9926 3924 9998 +rect 3792 9920 3844 9926 +rect 3792 9862 3844 9868 +rect 3884 9920 3936 9926 +rect 3884 9862 3936 9868 +rect 3700 9716 3752 9722 +rect 3700 9658 3752 9664 +rect 3804 9081 3832 9862 +rect 3988 9674 4016 11290 +rect 3896 9646 4016 9674 +rect 3790 9072 3846 9081 +rect 3790 9007 3846 9016 +rect 3804 8566 3832 9007 +rect 3896 8673 3924 9646 +rect 4080 9382 4108 11630 +rect 4160 11552 4212 11558 +rect 4160 11494 4212 11500 +rect 4068 9376 4120 9382 +rect 4068 9318 4120 9324 +rect 3976 9172 4028 9178 +rect 3976 9114 4028 9120 +rect 3882 8664 3938 8673 +rect 3988 8634 4016 9114 +rect 4068 9036 4120 9042 +rect 4068 8978 4120 8984 +rect 3882 8599 3938 8608 +rect 3976 8628 4028 8634 +rect 3976 8570 4028 8576 +rect 3792 8560 3844 8566 +rect 3792 8502 3844 8508 +rect 3792 8424 3844 8430 +rect 3792 8366 3844 8372 +rect 3882 8392 3938 8401 +rect 3700 7472 3752 7478 +rect 3700 7414 3752 7420 +rect 3712 5370 3740 7414 +rect 3804 7002 3832 8366 +rect 3882 8327 3938 8336 +rect 3792 6996 3844 7002 +rect 3792 6938 3844 6944 +rect 3804 6798 3832 6938 +rect 3792 6792 3844 6798 +rect 3896 6769 3924 8327 +rect 3792 6734 3844 6740 +rect 3882 6760 3938 6769 +rect 3882 6695 3938 6704 +rect 3884 6656 3936 6662 +rect 3884 6598 3936 6604 +rect 3896 6322 3924 6598 +rect 3884 6316 3936 6322 +rect 3884 6258 3936 6264 +rect 3988 6118 4016 8570 +rect 4080 7546 4108 8978 +rect 4172 7546 4200 11494 +rect 4344 11348 4396 11354 +rect 4344 11290 4396 11296 +rect 4356 11121 4384 11290 +rect 4342 11112 4398 11121 +rect 4342 11047 4398 11056 +rect 4250 8936 4306 8945 +rect 4250 8871 4306 8880 +rect 4264 8838 4292 8871 +rect 4252 8832 4304 8838 +rect 4252 8774 4304 8780 +rect 4250 8664 4306 8673 +rect 4250 8599 4306 8608 +rect 4264 8362 4292 8599 +rect 4252 8356 4304 8362 +rect 4252 8298 4304 8304 +rect 4252 7880 4304 7886 +rect 4252 7822 4304 7828 +rect 4068 7540 4120 7546 +rect 4068 7482 4120 7488 +rect 4160 7540 4212 7546 +rect 4160 7482 4212 7488 +rect 4080 7313 4108 7482 +rect 4066 7304 4122 7313 +rect 4066 7239 4122 7248 +rect 4066 6760 4122 6769 +rect 4066 6695 4122 6704 +rect 3792 6112 3844 6118 +rect 3792 6054 3844 6060 +rect 3976 6112 4028 6118 +rect 3976 6054 4028 6060 +rect 3700 5364 3752 5370 +rect 3700 5306 3752 5312 +rect 3804 5166 3832 6054 +rect 3976 5908 4028 5914 +rect 3976 5850 4028 5856 +rect 3988 5794 4016 5850 +rect 3896 5778 4016 5794 +rect 3884 5772 4016 5778 +rect 3936 5766 4016 5772 +rect 3884 5714 3936 5720 +rect 3976 5704 4028 5710 +rect 3976 5646 4028 5652 +rect 3884 5568 3936 5574 +rect 3884 5510 3936 5516 +rect 3896 5234 3924 5510 +rect 3884 5228 3936 5234 +rect 3884 5170 3936 5176 +rect 3792 5160 3844 5166 +rect 3792 5102 3844 5108 +rect 3896 4690 3924 5170 +rect 3988 4826 4016 5646 +rect 3976 4820 4028 4826 +rect 3976 4762 4028 4768 +rect 3884 4684 3936 4690 +rect 3884 4626 3936 4632 +rect 3792 4140 3844 4146 +rect 3792 4082 3844 4088 +rect 3804 3516 3832 4082 +rect 3896 3670 3924 4626 +rect 3884 3664 3936 3670 +rect 3884 3606 3936 3612 +rect 3804 3488 3924 3516 +rect 3608 3188 3660 3194 +rect 3608 3130 3660 3136 +rect 3790 2544 3846 2553 +rect 3608 2508 3660 2514 +rect 3790 2479 3792 2488 +rect 3608 2450 3660 2456 +rect 3844 2479 3846 2488 +rect 3792 2450 3844 2456 +rect 3516 2100 3568 2106 +rect 3516 2042 3568 2048 +rect 3620 1902 3648 2450 +rect 3896 2106 3924 3488 +rect 3988 2378 4016 4762 +rect 4080 3602 4108 6695 +rect 4172 6474 4200 7482 +rect 4264 7342 4292 7822 +rect 4252 7336 4304 7342 +rect 4252 7278 4304 7284 +rect 4264 6905 4292 7278 +rect 4356 7002 4384 11047 +rect 4448 8906 4476 12430 +rect 4618 12322 4674 13000 +rect 4618 12294 4752 12322 rect 4618 12200 4674 12294 -rect 4816 12294 5134 12322 -rect 4816 12170 4844 12294 -rect 5078 12200 5134 12294 -rect 5538 12200 5594 13000 -rect 5998 12200 6054 13000 -rect 6458 12200 6514 13000 -rect 9402 12336 9458 12345 -rect 9402 12271 9458 12280 -rect 4804 12164 4856 12170 -rect 4804 12106 4856 12112 -rect 4712 12096 4764 12102 -rect 4712 12038 4764 12044 -rect 4528 11280 4580 11286 -rect 4528 11222 4580 11228 -rect 4540 10282 4568 11222 -rect 4724 11218 4752 12038 -rect 4804 11620 4856 11626 -rect 4804 11562 4856 11568 -rect 5448 11620 5500 11626 -rect 5448 11562 5500 11568 -rect 4712 11212 4764 11218 -rect 4712 11154 4764 11160 -rect 4540 10254 4752 10282 -rect 4620 10192 4672 10198 -rect 4620 10134 4672 10140 +rect 4724 10470 4752 12294 +rect 5078 12200 5134 13000 +rect 5538 12322 5594 13000 +rect 5538 12294 5856 12322 +rect 5538 12200 5594 12294 +rect 5092 11540 5120 12200 +rect 4908 11512 5120 11540 +rect 5448 11552 5500 11558 +rect 4804 11212 4856 11218 +rect 4804 11154 4856 11160 +rect 4712 10464 4764 10470 +rect 4712 10406 4764 10412 +rect 4528 9444 4580 9450 +rect 4528 9386 4580 9392 rect 4436 8900 4488 8906 rect 4436 8842 4488 8848 -rect 4528 8288 4580 8294 -rect 4528 8230 4580 8236 -rect 4436 7268 4488 7274 -rect 4436 7210 4488 7216 -rect 4344 6112 4396 6118 -rect 4344 6054 4396 6060 -rect 4356 5846 4384 6054 -rect 4344 5840 4396 5846 -rect 4344 5782 4396 5788 -rect 4252 5364 4304 5370 -rect 4252 5306 4304 5312 -rect 4356 4690 4384 5782 -rect 4344 4684 4396 4690 -rect 4344 4626 4396 4632 -rect 4160 3528 4212 3534 -rect 4160 3470 4212 3476 -rect 4068 2100 4120 2106 -rect 4068 2042 4120 2048 -rect 3792 1896 3844 1902 -rect 3792 1838 3844 1844 -rect 3884 1896 3936 1902 -rect 3884 1838 3936 1844 -rect 3804 1562 3832 1838 -rect 3792 1556 3844 1562 -rect 3792 1498 3844 1504 -rect 4448 1494 4476 7210 -rect 4540 5370 4568 8230 -rect 4528 5364 4580 5370 -rect 4528 5306 4580 5312 -rect 4540 4486 4568 5306 -rect 4528 4480 4580 4486 -rect 4528 4422 4580 4428 -rect 4632 2774 4660 10134 -rect 4724 7018 4752 10254 -rect 4816 10130 4844 11562 -rect 4896 11552 4948 11558 -rect 4896 11494 4948 11500 -rect 4908 11370 4936 11494 +rect 4540 8634 4568 9386 +rect 4724 9058 4752 10406 +rect 4816 9353 4844 11154 +rect 4908 9489 4936 11512 +rect 5448 11494 5500 11500 rect 5066 11452 5374 11461 rect 5066 11450 5072 11452 rect 5128 11450 5152 11452 @@ -5989,43 +6110,10 @@ rect 5208 11396 5232 11398 rect 5288 11396 5312 11398 rect 5368 11396 5374 11398 rect 5066 11387 5374 11396 -rect 4908 11354 5028 11370 -rect 4908 11348 5040 11354 -rect 4908 11342 4988 11348 -rect 4908 10826 4936 11342 -rect 4988 11290 5040 11296 -rect 4908 10798 5028 10826 -rect 4896 10668 4948 10674 -rect 4896 10610 4948 10616 -rect 4804 10124 4856 10130 -rect 4804 10066 4856 10072 -rect 4908 8022 4936 10610 -rect 4896 8016 4948 8022 -rect 4896 7958 4948 7964 -rect 4896 7880 4948 7886 -rect 4896 7822 4948 7828 -rect 4724 6990 4844 7018 -rect 4712 6656 4764 6662 -rect 4712 6598 4764 6604 -rect 4724 3738 4752 6598 -rect 4712 3732 4764 3738 -rect 4712 3674 4764 3680 -rect 4632 2746 4752 2774 -rect 4620 2304 4672 2310 -rect 4620 2246 4672 2252 -rect 4632 1834 4660 2246 -rect 4724 2038 4752 2746 -rect 4712 2032 4764 2038 -rect 4712 1974 4764 1980 -rect 4620 1828 4672 1834 -rect 4620 1770 4672 1776 -rect 4436 1488 4488 1494 -rect 4436 1430 4488 1436 -rect 4816 950 4844 6990 -rect 4908 6254 4936 7822 -rect 4896 6248 4948 6254 -rect 4896 6190 4948 6196 -rect 5000 2650 5028 10798 +rect 5354 10568 5410 10577 +rect 5354 10503 5356 10512 +rect 5408 10503 5410 10512 +rect 5356 10474 5408 10480 rect 5066 10364 5374 10373 rect 5066 10362 5072 10364 rect 5128 10362 5152 10364 @@ -6040,34 +6128,128 @@ rect 5208 10308 5232 10310 rect 5288 10308 5312 10310 rect 5368 10308 5374 10310 rect 5066 10299 5374 10308 -rect 5460 9518 5488 11562 -rect 5552 10690 5580 12200 -rect 6012 12102 6040 12200 -rect 6000 12096 6052 12102 -rect 6000 12038 6052 12044 -rect 5816 11212 5868 11218 -rect 5816 11154 5868 11160 -rect 5552 10662 5764 10690 -rect 5540 10532 5592 10538 -rect 5540 10474 5592 10480 -rect 5552 10441 5580 10474 -rect 5632 10464 5684 10470 -rect 5538 10432 5594 10441 -rect 5632 10406 5684 10412 -rect 5538 10367 5594 10376 -rect 5552 9722 5580 10367 -rect 5644 9994 5672 10406 -rect 5632 9988 5684 9994 -rect 5632 9930 5684 9936 -rect 5540 9716 5592 9722 -rect 5540 9658 5592 9664 -rect 5736 9654 5764 10662 -rect 5724 9648 5776 9654 -rect 5724 9590 5776 9596 -rect 5448 9512 5500 9518 -rect 5448 9454 5500 9460 -rect 5724 9512 5776 9518 -rect 5724 9454 5776 9460 +rect 5460 10305 5488 11494 +rect 5632 11076 5684 11082 +rect 5632 11018 5684 11024 +rect 5538 10568 5594 10577 +rect 5538 10503 5594 10512 +rect 5446 10296 5502 10305 +rect 5446 10231 5502 10240 +rect 5552 10180 5580 10503 +rect 5644 10198 5672 11018 +rect 5368 10152 5580 10180 +rect 5632 10192 5684 10198 +rect 4988 9648 5040 9654 +rect 5368 9625 5396 10152 +rect 5632 10134 5684 10140 +rect 5540 10056 5592 10062 +rect 5540 9998 5592 10004 +rect 4988 9590 5040 9596 +rect 5354 9616 5410 9625 +rect 4894 9480 4950 9489 +rect 4894 9415 4950 9424 +rect 4802 9344 4858 9353 +rect 4802 9279 4858 9288 +rect 4632 9042 4752 9058 +rect 4620 9036 4752 9042 +rect 4672 9030 4752 9036 +rect 4620 8978 4672 8984 +rect 4804 8832 4856 8838 +rect 4804 8774 4856 8780 +rect 4528 8628 4580 8634 +rect 4528 8570 4580 8576 +rect 4436 8560 4488 8566 +rect 4436 8502 4488 8508 +rect 4448 8265 4476 8502 +rect 4434 8256 4490 8265 +rect 4434 8191 4490 8200 +rect 4620 8016 4672 8022 +rect 4620 7958 4672 7964 +rect 4344 6996 4396 7002 +rect 4344 6938 4396 6944 +rect 4250 6896 4306 6905 +rect 4250 6831 4306 6840 +rect 4528 6792 4580 6798 +rect 4528 6734 4580 6740 +rect 4540 6662 4568 6734 +rect 4528 6656 4580 6662 +rect 4528 6598 4580 6604 +rect 4172 6446 4384 6474 +rect 4158 6352 4214 6361 +rect 4158 6287 4214 6296 +rect 4252 6316 4304 6322 +rect 4172 6254 4200 6287 +rect 4252 6258 4304 6264 +rect 4160 6248 4212 6254 +rect 4160 6190 4212 6196 +rect 4160 6112 4212 6118 +rect 4160 6054 4212 6060 +rect 4172 5846 4200 6054 +rect 4160 5840 4212 5846 +rect 4160 5782 4212 5788 +rect 4160 4616 4212 4622 +rect 4160 4558 4212 4564 +rect 4068 3596 4120 3602 +rect 4068 3538 4120 3544 +rect 4080 3058 4108 3538 +rect 4068 3052 4120 3058 +rect 4068 2994 4120 3000 +rect 3976 2372 4028 2378 +rect 3976 2314 4028 2320 +rect 4080 2106 4108 2994 +rect 4172 2514 4200 4558 +rect 4264 2650 4292 6258 +rect 4356 4078 4384 6446 +rect 4436 6180 4488 6186 +rect 4436 6122 4488 6128 +rect 4448 5234 4476 6122 +rect 4528 6112 4580 6118 +rect 4528 6054 4580 6060 +rect 4540 5846 4568 6054 +rect 4528 5840 4580 5846 +rect 4528 5782 4580 5788 +rect 4436 5228 4488 5234 +rect 4436 5170 4488 5176 +rect 4344 4072 4396 4078 +rect 4344 4014 4396 4020 +rect 4356 3126 4384 4014 +rect 4344 3120 4396 3126 +rect 4344 3062 4396 3068 +rect 4448 2650 4476 5170 +rect 4540 4690 4568 5782 +rect 4528 4684 4580 4690 +rect 4528 4626 4580 4632 +rect 4632 4486 4660 7958 +rect 4816 7750 4844 8774 +rect 4896 7948 4948 7954 +rect 4896 7890 4948 7896 +rect 4804 7744 4856 7750 +rect 4804 7686 4856 7692 +rect 4908 7562 4936 7890 +rect 4724 7534 4936 7562 +rect 4724 7478 4752 7534 +rect 4712 7472 4764 7478 +rect 4712 7414 4764 7420 +rect 4896 6928 4948 6934 +rect 4896 6870 4948 6876 +rect 4804 6860 4856 6866 +rect 4804 6802 4856 6808 +rect 4712 6248 4764 6254 +rect 4712 6190 4764 6196 +rect 4620 4480 4672 4486 +rect 4620 4422 4672 4428 +rect 4724 2774 4752 6190 +rect 4816 5710 4844 6802 +rect 4908 6390 4936 6870 +rect 4896 6384 4948 6390 +rect 4896 6326 4948 6332 +rect 5000 6254 5028 9590 +rect 5354 9551 5410 9560 +rect 5172 9512 5224 9518 +rect 5172 9454 5224 9460 +rect 5184 9382 5212 9454 +rect 5172 9376 5224 9382 +rect 5172 9318 5224 9324 rect 5066 9276 5374 9285 rect 5066 9274 5072 9276 rect 5128 9274 5152 9276 @@ -6082,24 +6264,8 @@ rect 5208 9220 5232 9222 rect 5288 9220 5312 9222 rect 5368 9220 5374 9222 rect 5066 9211 5374 9220 -rect 5446 9208 5502 9217 -rect 5368 9152 5446 9160 -rect 5368 9143 5502 9152 -rect 5368 9132 5488 9143 -rect 5368 8906 5396 9132 -rect 5630 9072 5686 9081 -rect 5630 9007 5632 9016 -rect 5684 9007 5686 9016 -rect 5632 8978 5684 8984 -rect 5356 8900 5408 8906 -rect 5356 8842 5408 8848 -rect 5368 8498 5396 8842 -rect 5540 8832 5592 8838 -rect 5540 8774 5592 8780 -rect 5448 8560 5500 8566 -rect 5448 8502 5500 8508 -rect 5356 8492 5408 8498 -rect 5356 8434 5408 8440 +rect 5448 8492 5500 8498 +rect 5448 8434 5500 8440 rect 5066 8188 5374 8197 rect 5066 8186 5072 8188 rect 5128 8186 5152 8188 @@ -6114,7 +6280,15 @@ rect 5208 8132 5232 8134 rect 5288 8132 5312 8134 rect 5368 8132 5374 8134 rect 5066 8123 5374 8132 +rect 5460 7449 5488 8434 +rect 5446 7440 5502 7449 +rect 5446 7375 5502 7384 +rect 5448 7268 5500 7274 +rect 5448 7210 5500 7216 +rect 5460 7177 5488 7210 +rect 5446 7168 5502 7177 rect 5066 7100 5374 7109 +rect 5446 7103 5502 7112 rect 5066 7098 5072 7100 rect 5128 7098 5152 7100 rect 5208 7098 5232 7100 @@ -6128,6 +6302,19 @@ rect 5208 7044 5232 7046 rect 5288 7044 5312 7046 rect 5368 7044 5374 7046 rect 5066 7035 5374 7044 +rect 5448 6996 5500 7002 +rect 5448 6938 5500 6944 +rect 4988 6248 5040 6254 +rect 4988 6190 5040 6196 +rect 4896 5908 4948 5914 +rect 4896 5850 4948 5856 +rect 4804 5704 4856 5710 +rect 4804 5646 4856 5652 +rect 4804 4752 4856 4758 +rect 4804 4694 4856 4700 +rect 4816 3534 4844 4694 +rect 4908 4146 4936 5850 +rect 5000 5846 5028 6190 rect 5066 6012 5374 6021 rect 5066 6010 5072 6012 rect 5128 6010 5152 6012 @@ -6142,11 +6329,11 @@ rect 5208 5956 5232 5958 rect 5288 5956 5312 5958 rect 5368 5956 5374 5958 rect 5066 5947 5374 5956 -rect 5356 5636 5408 5642 -rect 5356 5578 5408 5584 -rect 5368 5370 5396 5578 -rect 5356 5364 5408 5370 -rect 5356 5306 5408 5312 +rect 4988 5840 5040 5846 +rect 4988 5782 5040 5788 +rect 5000 5574 5028 5782 +rect 4988 5568 5040 5574 +rect 4988 5510 5040 5516 rect 5066 4924 5374 4933 rect 5066 4922 5072 4924 rect 5128 4922 5152 4924 @@ -6161,38 +6348,82 @@ rect 5208 4868 5232 4870 rect 5288 4868 5312 4870 rect 5368 4868 5374 4870 rect 5066 4859 5374 4868 -rect 5460 4078 5488 8502 -rect 5552 7342 5580 8774 -rect 5632 8356 5684 8362 -rect 5632 8298 5684 8304 -rect 5644 7954 5672 8298 -rect 5736 8090 5764 9454 -rect 5724 8084 5776 8090 -rect 5724 8026 5776 8032 -rect 5632 7948 5684 7954 -rect 5632 7890 5684 7896 -rect 5736 7478 5764 8026 -rect 5724 7472 5776 7478 -rect 5724 7414 5776 7420 -rect 5540 7336 5592 7342 -rect 5540 7278 5592 7284 -rect 5736 6934 5764 7414 -rect 5540 6928 5592 6934 -rect 5540 6870 5592 6876 -rect 5724 6928 5776 6934 -rect 5724 6870 5776 6876 -rect 5552 5846 5580 6870 +rect 5354 4176 5410 4185 +rect 4896 4140 4948 4146 +rect 5354 4111 5410 4120 +rect 4896 4082 4948 4088 +rect 5368 4078 5396 4111 +rect 5356 4072 5408 4078 +rect 5356 4014 5408 4020 +rect 5460 4010 5488 6938 +rect 5552 4078 5580 9998 +rect 5828 9625 5856 12294 +rect 5998 12200 6054 13000 +rect 6458 12322 6514 13000 +rect 10046 12336 10102 12345 +rect 6458 12294 6592 12322 +rect 6092 12232 6144 12238 +rect 5908 10600 5960 10606 +rect 5908 10542 5960 10548 +rect 5814 9616 5870 9625 +rect 5724 9580 5776 9586 +rect 5814 9551 5870 9560 +rect 5724 9522 5776 9528 +rect 5632 9376 5684 9382 +rect 5632 9318 5684 9324 +rect 5644 8537 5672 9318 +rect 5736 8809 5764 9522 +rect 5816 9512 5868 9518 +rect 5816 9454 5868 9460 +rect 5722 8800 5778 8809 +rect 5722 8735 5778 8744 +rect 5724 8628 5776 8634 +rect 5724 8570 5776 8576 +rect 5630 8528 5686 8537 +rect 5630 8463 5686 8472 +rect 5632 7880 5684 7886 +rect 5632 7822 5684 7828 +rect 5644 7410 5672 7822 +rect 5632 7404 5684 7410 +rect 5632 7346 5684 7352 +rect 5632 7268 5684 7274 +rect 5632 7210 5684 7216 +rect 5644 6322 5672 7210 +rect 5736 6798 5764 8570 +rect 5828 7177 5856 9454 +rect 5814 7168 5870 7177 +rect 5814 7103 5870 7112 rect 5724 6792 5776 6798 rect 5724 6734 5776 6740 -rect 5632 6452 5684 6458 -rect 5632 6394 5684 6400 -rect 5540 5840 5592 5846 -rect 5540 5782 5592 5788 -rect 5540 5364 5592 5370 -rect 5540 5306 5592 5312 -rect 5448 4072 5500 4078 -rect 5448 4014 5500 4020 +rect 5722 6624 5778 6633 +rect 5722 6559 5778 6568 +rect 5632 6316 5684 6322 +rect 5632 6258 5684 6264 +rect 5644 5370 5672 6258 +rect 5632 5364 5684 5370 +rect 5632 5306 5684 5312 +rect 5540 4072 5592 4078 +rect 5540 4014 5592 4020 +rect 5632 4072 5684 4078 +rect 5632 4014 5684 4020 +rect 4896 4004 4948 4010 +rect 4896 3946 4948 3952 +rect 5448 4004 5500 4010 +rect 5448 3946 5500 3952 +rect 4804 3528 4856 3534 +rect 4804 3470 4856 3476 +rect 4632 2746 4752 2774 +rect 4252 2644 4304 2650 +rect 4252 2586 4304 2592 +rect 4436 2644 4488 2650 +rect 4436 2586 4488 2592 +rect 4160 2508 4212 2514 +rect 4160 2450 4212 2456 +rect 4632 2106 4660 2746 +rect 4908 2514 4936 3946 +rect 5538 3904 5594 3913 rect 5066 3836 5374 3845 +rect 5538 3839 5594 3848 rect 5066 3834 5072 3836 rect 5128 3834 5152 3836 rect 5208 3834 5232 3836 @@ -6206,8 +6437,19 @@ rect 5208 3780 5232 3782 rect 5288 3780 5312 3782 rect 5368 3780 5374 3782 rect 5066 3771 5374 3780 -rect 5448 3732 5500 3738 -rect 5448 3674 5500 3680 +rect 5080 3528 5132 3534 +rect 5078 3496 5080 3505 +rect 5132 3496 5134 3505 +rect 5078 3431 5134 3440 +rect 4988 3392 5040 3398 +rect 4988 3334 5040 3340 +rect 5000 3126 5028 3334 +rect 4988 3120 5040 3126 +rect 4988 3062 5040 3068 +rect 5000 2990 5028 3062 +rect 4988 2984 5040 2990 +rect 4988 2926 5040 2932 +rect 5000 2650 5028 2926 rect 5066 2748 5374 2757 rect 5066 2746 5072 2748 rect 5128 2746 5152 2748 @@ -6224,219 +6466,17 @@ rect 5368 2692 5374 2694 rect 5066 2683 5374 2692 rect 4988 2644 5040 2650 rect 4988 2586 5040 2592 -rect 5460 2582 5488 3674 -rect 5552 3058 5580 5306 -rect 5644 4146 5672 6394 -rect 5736 6322 5764 6734 -rect 5724 6316 5776 6322 -rect 5724 6258 5776 6264 -rect 5724 6112 5776 6118 -rect 5724 6054 5776 6060 -rect 5632 4140 5684 4146 -rect 5632 4082 5684 4088 -rect 5540 3052 5592 3058 -rect 5540 2994 5592 3000 -rect 5644 2990 5672 4082 -rect 5736 2990 5764 6054 -rect 5828 3194 5856 11154 -rect 5908 11144 5960 11150 -rect 5908 11086 5960 11092 -rect 5920 10606 5948 11086 -rect 5908 10600 5960 10606 -rect 5908 10542 5960 10548 -rect 5920 9382 5948 10542 -rect 6012 10146 6040 12038 -rect 6092 11824 6144 11830 -rect 6144 11784 6408 11812 -rect 6092 11766 6144 11772 -rect 6380 11694 6408 11784 -rect 6368 11688 6420 11694 -rect 6368 11630 6420 11636 -rect 6380 10577 6408 11630 -rect 6366 10568 6422 10577 -rect 6366 10503 6422 10512 -rect 6012 10118 6316 10146 -rect 6184 10056 6236 10062 -rect 6184 9998 6236 10004 -rect 6000 9648 6052 9654 -rect 6000 9590 6052 9596 -rect 5908 9376 5960 9382 -rect 5908 9318 5960 9324 -rect 6012 9058 6040 9590 -rect 6092 9580 6144 9586 -rect 6092 9522 6144 9528 -rect 5920 9030 6040 9058 -rect 5920 8974 5948 9030 -rect 5908 8968 5960 8974 -rect 5908 8910 5960 8916 -rect 5920 8566 5948 8910 -rect 5908 8560 5960 8566 -rect 5908 8502 5960 8508 -rect 6104 8480 6132 9522 -rect 6196 9382 6224 9998 -rect 6184 9376 6236 9382 -rect 6184 9318 6236 9324 -rect 6182 8528 6238 8537 -rect 6104 8472 6182 8480 -rect 6104 8452 6184 8472 -rect 5908 8424 5960 8430 -rect 5906 8392 5908 8401 -rect 5960 8392 5962 8401 -rect 5906 8327 5962 8336 -rect 5906 7984 5962 7993 -rect 5906 7919 5908 7928 -rect 5960 7919 5962 7928 -rect 5908 7890 5960 7896 -rect 5920 6798 5948 7890 -rect 6000 7880 6052 7886 -rect 6000 7822 6052 7828 -rect 6012 7290 6040 7822 -rect 6104 7410 6132 8452 -rect 6236 8463 6238 8472 -rect 6184 8434 6236 8440 -rect 6184 7948 6236 7954 -rect 6184 7890 6236 7896 -rect 6092 7404 6144 7410 -rect 6092 7346 6144 7352 -rect 6012 7262 6132 7290 -rect 6000 7200 6052 7206 -rect 6000 7142 6052 7148 -rect 5908 6792 5960 6798 -rect 5908 6734 5960 6740 -rect 5908 6316 5960 6322 -rect 5908 6258 5960 6264 -rect 5920 5302 5948 6258 -rect 6012 6066 6040 7142 -rect 6104 6168 6132 7262 -rect 6196 6458 6224 7890 -rect 6288 7886 6316 10118 -rect 6380 9042 6408 10503 -rect 6472 9738 6500 12200 -rect 7566 11996 7874 12005 -rect 7566 11994 7572 11996 -rect 7628 11994 7652 11996 -rect 7708 11994 7732 11996 -rect 7788 11994 7812 11996 -rect 7868 11994 7874 11996 -rect 7628 11942 7630 11994 -rect 7810 11942 7812 11994 -rect 7566 11940 7572 11942 -rect 7628 11940 7652 11942 -rect 7708 11940 7732 11942 -rect 7788 11940 7812 11942 -rect 7868 11940 7874 11942 -rect 7566 11931 7874 11940 -rect 6920 11756 6972 11762 -rect 6920 11698 6972 11704 -rect 6932 11234 6960 11698 -rect 8944 11688 8996 11694 -rect 8944 11630 8996 11636 -rect 8300 11348 8352 11354 -rect 8300 11290 8352 11296 -rect 6564 11206 6960 11234 -rect 7932 11212 7984 11218 -rect 6564 10266 6592 11206 -rect 7932 11154 7984 11160 -rect 6736 11144 6788 11150 -rect 6920 11144 6972 11150 -rect 6736 11086 6788 11092 -rect 6918 11112 6920 11121 -rect 6972 11112 6974 11121 -rect 6644 11076 6696 11082 -rect 6644 11018 6696 11024 -rect 6552 10260 6604 10266 -rect 6552 10202 6604 10208 -rect 6472 9722 6592 9738 -rect 6472 9716 6604 9722 -rect 6472 9710 6552 9716 -rect 6552 9658 6604 9664 -rect 6460 9648 6512 9654 -rect 6458 9616 6460 9625 -rect 6512 9616 6514 9625 -rect 6458 9551 6514 9560 -rect 6460 9376 6512 9382 -rect 6460 9318 6512 9324 -rect 6472 9110 6500 9318 -rect 6460 9104 6512 9110 -rect 6460 9046 6512 9052 -rect 6368 9036 6420 9042 -rect 6368 8978 6420 8984 -rect 6368 7948 6420 7954 -rect 6368 7890 6420 7896 -rect 6276 7880 6328 7886 -rect 6276 7822 6328 7828 -rect 6276 7744 6328 7750 -rect 6276 7686 6328 7692 -rect 6288 6866 6316 7686 -rect 6276 6860 6328 6866 -rect 6276 6802 6328 6808 -rect 6276 6656 6328 6662 -rect 6276 6598 6328 6604 -rect 6184 6452 6236 6458 -rect 6184 6394 6236 6400 -rect 6104 6140 6224 6168 -rect 6012 6038 6132 6066 -rect 6000 5704 6052 5710 -rect 6000 5646 6052 5652 -rect 5908 5296 5960 5302 -rect 5908 5238 5960 5244 -rect 6012 5234 6040 5646 -rect 6000 5228 6052 5234 -rect 6000 5170 6052 5176 -rect 5908 5160 5960 5166 -rect 5908 5102 5960 5108 -rect 5998 5128 6054 5137 -rect 5920 4826 5948 5102 -rect 5998 5063 6054 5072 -rect 5908 4820 5960 4826 -rect 5908 4762 5960 4768 -rect 5908 4004 5960 4010 -rect 5908 3946 5960 3952 -rect 5920 3602 5948 3946 -rect 6012 3670 6040 5063 -rect 6104 4078 6132 6038 -rect 6196 5137 6224 6140 -rect 6182 5128 6238 5137 -rect 6182 5063 6238 5072 -rect 6184 5024 6236 5030 -rect 6184 4966 6236 4972 -rect 6196 4622 6224 4966 -rect 6288 4690 6316 6598 -rect 6380 6118 6408 7890 -rect 6460 7880 6512 7886 -rect 6460 7822 6512 7828 -rect 6472 6934 6500 7822 -rect 6460 6928 6512 6934 -rect 6460 6870 6512 6876 -rect 6564 6780 6592 9658 -rect 6472 6752 6592 6780 -rect 6368 6112 6420 6118 -rect 6368 6054 6420 6060 -rect 6276 4684 6328 4690 -rect 6276 4626 6328 4632 -rect 6184 4616 6236 4622 -rect 6184 4558 6236 4564 -rect 6368 4480 6420 4486 -rect 6368 4422 6420 4428 -rect 6092 4072 6144 4078 -rect 6092 4014 6144 4020 -rect 6000 3664 6052 3670 -rect 6000 3606 6052 3612 -rect 5908 3596 5960 3602 -rect 5908 3538 5960 3544 -rect 5816 3188 5868 3194 -rect 5816 3130 5868 3136 -rect 5632 2984 5684 2990 -rect 5632 2926 5684 2932 -rect 5724 2984 5776 2990 -rect 5724 2926 5776 2932 -rect 6012 2774 6040 3606 -rect 6012 2746 6132 2774 -rect 5448 2576 5500 2582 -rect 5448 2518 5500 2524 -rect 6104 2106 6132 2746 -rect 6092 2100 6144 2106 -rect 6092 2042 6144 2048 +rect 4896 2508 4948 2514 +rect 4896 2450 4948 2456 +rect 3884 2100 3936 2106 +rect 3884 2042 3936 2048 +rect 4068 2100 4120 2106 +rect 4068 2042 4120 2048 +rect 4620 2100 4672 2106 +rect 4620 2042 4672 2048 +rect 3608 1896 3660 1902 +rect 3608 1838 3660 1844 +rect 5000 1018 5028 2586 rect 5066 1660 5374 1669 rect 5066 1658 5072 1660 rect 5128 1658 5152 1660 @@ -6451,92 +6491,251 @@ rect 5208 1604 5232 1606 rect 5288 1604 5312 1606 rect 5368 1604 5374 1606 rect 5066 1595 5374 1604 -rect 4988 1216 5040 1222 -rect 4988 1158 5040 1164 -rect 4804 944 4856 950 -rect 4804 886 4856 892 -rect 3424 740 3476 746 -rect 3424 682 3476 688 -rect 1124 672 1176 678 -rect 1124 614 1176 620 -rect 3148 672 3200 678 -rect 3148 614 3200 620 -rect 3976 672 4028 678 -rect 3976 614 4028 620 -rect 3988 474 4016 614 -rect 3976 468 4028 474 -rect 3976 410 4028 416 -rect 5000 105 5028 1158 -rect 5448 876 5500 882 -rect 5448 818 5500 824 -rect 5066 572 5374 581 -rect 5066 570 5072 572 -rect 5128 570 5152 572 -rect 5208 570 5232 572 -rect 5288 570 5312 572 -rect 5368 570 5374 572 -rect 5128 518 5130 570 -rect 5310 518 5312 570 -rect 5066 516 5072 518 -rect 5128 516 5152 518 -rect 5208 516 5232 518 -rect 5288 516 5312 518 -rect 5368 516 5374 518 -rect 5066 507 5374 516 -rect 5460 377 5488 818 -rect 6104 678 6132 2042 -rect 6380 1902 6408 4422 -rect 6472 2774 6500 6752 -rect 6552 5568 6604 5574 -rect 6552 5510 6604 5516 -rect 6564 5166 6592 5510 +rect 5552 1562 5580 3839 +rect 5644 2310 5672 4014 +rect 5736 2774 5764 6559 +rect 5828 5778 5856 7103 +rect 5816 5772 5868 5778 +rect 5816 5714 5868 5720 +rect 5816 5296 5868 5302 +rect 5816 5238 5868 5244 +rect 5828 4078 5856 5238 +rect 5816 4072 5868 4078 +rect 5816 4014 5868 4020 +rect 5736 2746 5856 2774 +rect 5828 2310 5856 2746 +rect 5632 2304 5684 2310 +rect 5632 2246 5684 2252 +rect 5816 2304 5868 2310 +rect 5816 2246 5868 2252 +rect 5540 1556 5592 1562 +rect 5540 1498 5592 1504 +rect 5448 1352 5500 1358 +rect 5446 1320 5448 1329 +rect 5500 1320 5502 1329 +rect 5446 1255 5502 1264 +rect 5644 1222 5672 2246 +rect 5632 1216 5684 1222 +rect 5632 1158 5684 1164 +rect 4988 1012 5040 1018 +rect 4988 954 5040 960 +rect 3424 944 3476 950 +rect 3424 886 3476 892 +rect 5644 746 5672 1158 +rect 5920 882 5948 10542 +rect 6012 9382 6040 12200 +rect 6458 12200 6514 12294 +rect 6092 12174 6144 12180 +rect 6104 11694 6132 12174 +rect 6564 12050 6592 12294 +rect 10046 12271 10102 12280 +rect 6196 12022 6592 12050 +rect 6092 11688 6144 11694 +rect 6092 11630 6144 11636 +rect 6104 10441 6132 11630 +rect 6090 10432 6146 10441 +rect 6090 10367 6146 10376 +rect 6000 9376 6052 9382 +rect 6000 9318 6052 9324 +rect 6104 9194 6132 10367 +rect 6012 9166 6132 9194 +rect 6012 8673 6040 9166 +rect 6196 8906 6224 12022 +rect 7566 11996 7874 12005 +rect 7566 11994 7572 11996 +rect 7628 11994 7652 11996 +rect 7708 11994 7732 11996 +rect 7788 11994 7812 11996 +rect 7868 11994 7874 11996 +rect 7628 11942 7630 11994 +rect 7810 11942 7812 11994 +rect 7566 11940 7572 11942 +rect 7628 11940 7652 11942 +rect 7708 11940 7732 11942 +rect 7788 11940 7812 11942 +rect 7868 11940 7874 11942 +rect 7566 11931 7874 11940 +rect 6368 11892 6420 11898 +rect 6368 11834 6420 11840 +rect 6460 11892 6512 11898 +rect 6460 11834 6512 11840 +rect 7288 11892 7340 11898 +rect 7288 11834 7340 11840 +rect 6276 11280 6328 11286 +rect 6276 11222 6328 11228 +rect 6380 11234 6408 11834 +rect 6472 11694 6500 11834 +rect 7012 11756 7064 11762 +rect 7012 11698 7064 11704 +rect 6460 11688 6512 11694 +rect 6460 11630 6512 11636 +rect 6644 11688 6696 11694 +rect 6644 11630 6696 11636 +rect 6920 11688 6972 11694 +rect 6920 11630 6972 11636 +rect 6288 10606 6316 11222 +rect 6380 11206 6500 11234 +rect 6368 11144 6420 11150 +rect 6368 11086 6420 11092 +rect 6276 10600 6328 10606 +rect 6276 10542 6328 10548 +rect 6288 10266 6316 10542 +rect 6276 10260 6328 10266 +rect 6276 10202 6328 10208 +rect 6276 10056 6328 10062 +rect 6276 9998 6328 10004 +rect 6288 9110 6316 9998 +rect 6276 9104 6328 9110 +rect 6276 9046 6328 9052 +rect 6276 8968 6328 8974 +rect 6276 8910 6328 8916 +rect 6092 8900 6144 8906 +rect 6092 8842 6144 8848 +rect 6184 8900 6236 8906 +rect 6184 8842 6236 8848 +rect 5998 8664 6054 8673 +rect 5998 8599 6054 8608 +rect 6000 8492 6052 8498 +rect 6000 8434 6052 8440 +rect 6012 7410 6040 8434 +rect 6000 7404 6052 7410 +rect 6000 7346 6052 7352 +rect 6104 5710 6132 8842 +rect 6184 8424 6236 8430 +rect 6184 8366 6236 8372 +rect 6196 7478 6224 8366 +rect 6288 8022 6316 8910 +rect 6276 8016 6328 8022 +rect 6276 7958 6328 7964 +rect 6276 7744 6328 7750 +rect 6276 7686 6328 7692 +rect 6184 7472 6236 7478 +rect 6184 7414 6236 7420 +rect 6184 6656 6236 6662 +rect 6184 6598 6236 6604 +rect 6000 5704 6052 5710 +rect 6000 5646 6052 5652 +rect 6092 5704 6144 5710 +rect 6092 5646 6144 5652 +rect 6012 5166 6040 5646 +rect 6000 5160 6052 5166 +rect 6000 5102 6052 5108 +rect 6092 5092 6144 5098 +rect 6092 5034 6144 5040 +rect 6104 4690 6132 5034 +rect 6092 4684 6144 4690 +rect 6092 4626 6144 4632 +rect 6000 4548 6052 4554 +rect 6000 4490 6052 4496 +rect 6012 3942 6040 4490 +rect 6092 4480 6144 4486 +rect 6092 4422 6144 4428 +rect 6000 3936 6052 3942 +rect 6000 3878 6052 3884 +rect 6104 3602 6132 4422 +rect 6092 3596 6144 3602 +rect 6092 3538 6144 3544 +rect 6000 3188 6052 3194 +rect 6000 3130 6052 3136 +rect 6012 2650 6040 3130 +rect 6000 2644 6052 2650 +rect 6000 2586 6052 2592 +rect 6092 2304 6144 2310 +rect 6092 2246 6144 2252 +rect 6104 1358 6132 2246 +rect 6196 1426 6224 6598 +rect 6288 4078 6316 7686 +rect 6276 4072 6328 4078 +rect 6276 4014 6328 4020 +rect 6380 3602 6408 11086 +rect 6472 5302 6500 11206 +rect 6550 9344 6606 9353 +rect 6550 9279 6606 9288 +rect 6564 8498 6592 9279 +rect 6552 8492 6604 8498 +rect 6552 8434 6604 8440 +rect 6552 8356 6604 8362 +rect 6552 8298 6604 8304 +rect 6564 7818 6592 8298 +rect 6552 7812 6604 7818 +rect 6552 7754 6604 7760 +rect 6552 6792 6604 6798 +rect 6550 6760 6552 6769 +rect 6604 6760 6606 6769 +rect 6550 6695 6606 6704 +rect 6552 6248 6604 6254 +rect 6552 6190 6604 6196 +rect 6564 5846 6592 6190 +rect 6552 5840 6604 5846 +rect 6552 5782 6604 5788 +rect 6552 5704 6604 5710 +rect 6552 5646 6604 5652 +rect 6460 5296 6512 5302 +rect 6460 5238 6512 5244 +rect 6564 5166 6592 5646 +rect 6460 5160 6512 5166 +rect 6460 5102 6512 5108 rect 6552 5160 6604 5166 rect 6552 5102 6604 5108 -rect 6656 3602 6684 11018 +rect 6368 3596 6420 3602 +rect 6368 3538 6420 3544 +rect 6472 3058 6500 5102 +rect 6564 4690 6592 5102 +rect 6552 4684 6604 4690 +rect 6552 4626 6604 4632 +rect 6656 4554 6684 11630 +rect 6828 11552 6880 11558 +rect 6828 11494 6880 11500 +rect 6736 11144 6788 11150 +rect 6736 11086 6788 11092 rect 6748 10674 6776 11086 -rect 6918 11047 6974 11056 -rect 7194 11112 7250 11121 -rect 7194 11047 7250 11056 rect 6736 10668 6788 10674 rect 6736 10610 6788 10616 -rect 6736 10532 6788 10538 -rect 6736 10474 6788 10480 -rect 6748 4486 6776 10474 -rect 6828 10124 6880 10130 -rect 6828 10066 6880 10072 -rect 6840 9450 6868 10066 -rect 6920 10056 6972 10062 -rect 6920 9998 6972 10004 -rect 6828 9444 6880 9450 -rect 6828 9386 6880 9392 -rect 6932 8090 6960 9998 -rect 7012 9988 7064 9994 -rect 7012 9930 7064 9936 -rect 6920 8084 6972 8090 -rect 6920 8026 6972 8032 -rect 6920 7880 6972 7886 -rect 6920 7822 6972 7828 -rect 6828 7540 6880 7546 -rect 6828 7482 6880 7488 -rect 6840 5930 6868 7482 -rect 6932 7410 6960 7822 -rect 6920 7404 6972 7410 -rect 6920 7346 6972 7352 -rect 7024 6662 7052 9930 -rect 7104 8492 7156 8498 -rect 7104 8434 7156 8440 -rect 7116 7750 7144 8434 -rect 7104 7744 7156 7750 -rect 7104 7686 7156 7692 -rect 7116 6866 7144 7686 -rect 7104 6860 7156 6866 -rect 7104 6802 7156 6808 -rect 7012 6656 7064 6662 -rect 7012 6598 7064 6604 -rect 7208 6338 7236 11047 -rect 7288 11008 7340 11014 -rect 7288 10950 7340 10956 -rect 7300 10606 7328 10950 +rect 6736 9444 6788 9450 +rect 6736 9386 6788 9392 +rect 6748 9353 6776 9386 +rect 6734 9344 6790 9353 +rect 6734 9279 6790 9288 +rect 6736 8832 6788 8838 +rect 6736 8774 6788 8780 +rect 6748 7342 6776 8774 +rect 6736 7336 6788 7342 +rect 6736 7278 6788 7284 +rect 6840 5794 6868 11494 +rect 6932 10810 6960 11630 +rect 6920 10804 6972 10810 +rect 6920 10746 6972 10752 +rect 6918 10704 6974 10713 +rect 6918 10639 6974 10648 +rect 6932 6458 6960 10639 +rect 7024 10130 7052 11698 +rect 7194 10840 7250 10849 +rect 7194 10775 7196 10784 +rect 7248 10775 7250 10784 +rect 7196 10746 7248 10752 +rect 7012 10124 7064 10130 +rect 7012 10066 7064 10072 +rect 7104 10056 7156 10062 +rect 7104 9998 7156 10004 +rect 7116 9450 7144 9998 +rect 7300 9586 7328 11834 +rect 8208 11756 8260 11762 +rect 8208 11698 8260 11704 +rect 7472 11620 7524 11626 +rect 7472 11562 7524 11568 +rect 7380 10736 7432 10742 +rect 7380 10678 7432 10684 +rect 7288 9580 7340 9586 +rect 7288 9522 7340 9528 +rect 7300 9450 7328 9522 +rect 7104 9444 7156 9450 +rect 7104 9386 7156 9392 +rect 7288 9444 7340 9450 +rect 7288 9386 7340 9392 +rect 7392 9330 7420 10678 +rect 7484 10606 7512 11562 +rect 7932 11144 7984 11150 +rect 7932 11086 7984 11092 rect 7566 10908 7874 10917 rect 7566 10906 7572 10908 rect 7628 10906 7652 10908 @@ -6551,8 +6750,15 @@ rect 7708 10852 7732 10854 rect 7788 10852 7812 10854 rect 7868 10852 7874 10854 rect 7566 10843 7874 10852 -rect 7288 10600 7340 10606 -rect 7288 10542 7340 10548 +rect 7944 10810 7972 11086 +rect 7932 10804 7984 10810 +rect 7932 10746 7984 10752 +rect 7472 10600 7524 10606 +rect 7472 10542 7524 10548 +rect 8116 10260 8168 10266 +rect 8116 10202 8168 10208 +rect 7932 9920 7984 9926 +rect 7932 9862 7984 9868 rect 7566 9820 7874 9829 rect 7566 9818 7572 9820 rect 7628 9818 7652 9820 @@ -6567,35 +6773,90 @@ rect 7708 9764 7732 9766 rect 7788 9764 7812 9766 rect 7868 9764 7874 9766 rect 7566 9755 7874 9764 -rect 7944 9674 7972 11154 -rect 8208 10464 8260 10470 -rect 8206 10432 8208 10441 -rect 8260 10432 8262 10441 -rect 8206 10367 8262 10376 -rect 7668 9654 7972 9674 -rect 7668 9648 7984 9654 -rect 7668 9646 7932 9648 -rect 7668 9110 7696 9646 +rect 7944 9654 7972 9862 +rect 7932 9648 7984 9654 rect 7932 9590 7984 9596 -rect 7840 9580 7892 9586 -rect 7840 9522 7892 9528 -rect 7748 9172 7800 9178 -rect 7748 9114 7800 9120 -rect 7656 9104 7708 9110 -rect 7656 9046 7708 9052 -rect 7380 8832 7432 8838 -rect 7760 8820 7788 9114 -rect 7852 8956 7880 9522 -rect 8116 9444 8168 9450 -rect 8116 9386 8168 9392 +rect 7472 9580 7524 9586 +rect 7472 9522 7524 9528 +rect 7564 9580 7616 9586 +rect 7564 9522 7616 9528 +rect 7300 9302 7420 9330 +rect 7300 8974 7328 9302 +rect 7484 9110 7512 9522 +rect 7576 9489 7604 9522 +rect 7562 9480 7618 9489 +rect 7562 9415 7618 9424 +rect 7472 9104 7524 9110 +rect 7472 9046 7524 9052 rect 8024 9104 8076 9110 rect 8024 9046 8076 9052 -rect 7932 8968 7984 8974 -rect 7852 8928 7932 8956 -rect 7932 8910 7984 8916 -rect 7760 8792 7972 8820 +rect 7288 8968 7340 8974 +rect 7102 8936 7158 8945 +rect 7484 8945 7512 9046 +rect 7288 8910 7340 8916 +rect 7470 8936 7526 8945 +rect 7102 8871 7158 8880 +rect 7116 7018 7144 8871 +rect 7194 8800 7250 8809 +rect 7194 8735 7250 8744 +rect 7024 6990 7144 7018 +rect 6920 6452 6972 6458 +rect 6920 6394 6972 6400 +rect 6920 6180 6972 6186 +rect 6920 6122 6972 6128 +rect 6748 5766 6868 5794 +rect 6644 4548 6696 4554 +rect 6644 4490 6696 4496 +rect 6748 4434 6776 5766 +rect 6828 5636 6880 5642 +rect 6828 5578 6880 5584 +rect 6840 4690 6868 5578 +rect 6828 4684 6880 4690 +rect 6828 4626 6880 4632 +rect 6656 4406 6776 4434 +rect 6656 3738 6684 4406 +rect 6840 4298 6868 4626 +rect 6932 4554 6960 6122 +rect 7024 5370 7052 6990 +rect 7102 6896 7158 6905 +rect 7102 6831 7158 6840 +rect 7012 5364 7064 5370 +rect 7012 5306 7064 5312 +rect 7012 5160 7064 5166 +rect 7012 5102 7064 5108 +rect 7024 4826 7052 5102 +rect 7012 4820 7064 4826 +rect 7012 4762 7064 4768 +rect 6920 4548 6972 4554 +rect 6920 4490 6972 4496 +rect 6748 4270 6868 4298 +rect 6644 3732 6696 3738 +rect 6644 3674 6696 3680 +rect 6748 3194 6776 4270 +rect 6826 4176 6882 4185 +rect 6826 4111 6882 4120 +rect 6736 3188 6788 3194 +rect 6736 3130 6788 3136 +rect 6840 3058 6868 4111 +rect 7116 3641 7144 6831 +rect 7102 3632 7158 3641 +rect 7102 3567 7158 3576 +rect 7208 3058 7236 8735 +rect 7300 7954 7328 8910 +rect 7470 8871 7526 8880 +rect 7380 8832 7432 8838 rect 7380 8774 7432 8780 -rect 7392 7954 7420 8774 +rect 7472 8832 7524 8838 +rect 7472 8774 7524 8780 +rect 7288 7948 7340 7954 +rect 7288 7890 7340 7896 +rect 7288 7812 7340 7818 +rect 7288 7754 7340 7760 +rect 7300 7274 7328 7754 +rect 7288 7268 7340 7274 +rect 7288 7210 7340 7216 +rect 7392 6882 7420 8774 +rect 7484 8344 7512 8774 rect 7566 8732 7874 8741 rect 7566 8730 7572 8732 rect 7628 8730 7652 8732 @@ -6610,65 +6871,20 @@ rect 7708 8676 7732 8678 rect 7788 8676 7812 8678 rect 7868 8676 7874 8678 rect 7566 8667 7874 8676 -rect 7840 8628 7892 8634 -rect 7840 8570 7892 8576 -rect 7852 8537 7880 8570 -rect 7838 8528 7894 8537 -rect 7838 8463 7894 8472 -rect 7564 8424 7616 8430 -rect 7562 8392 7564 8401 -rect 7616 8392 7618 8401 -rect 7562 8327 7618 8336 -rect 7840 8356 7892 8362 -rect 7840 8298 7892 8304 -rect 7852 7954 7880 8298 -rect 7380 7948 7432 7954 -rect 7380 7890 7432 7896 -rect 7840 7948 7892 7954 -rect 7840 7890 7892 7896 -rect 7380 7744 7432 7750 -rect 7380 7686 7432 7692 -rect 7288 6452 7340 6458 -rect 7288 6394 7340 6400 -rect 7116 6310 7236 6338 -rect 7012 6180 7064 6186 -rect 7012 6122 7064 6128 -rect 6840 5902 6960 5930 -rect 6828 5772 6880 5778 -rect 6828 5714 6880 5720 -rect 6840 4690 6868 5714 -rect 6932 5302 6960 5902 -rect 6920 5296 6972 5302 -rect 6920 5238 6972 5244 -rect 6920 5160 6972 5166 -rect 6920 5102 6972 5108 -rect 6828 4684 6880 4690 -rect 6828 4626 6880 4632 -rect 6736 4480 6788 4486 -rect 6736 4422 6788 4428 -rect 6826 4176 6882 4185 -rect 6932 4146 6960 5102 -rect 7024 4758 7052 6122 -rect 7116 5681 7144 6310 -rect 7196 6248 7248 6254 -rect 7196 6190 7248 6196 -rect 7208 5846 7236 6190 -rect 7196 5840 7248 5846 -rect 7196 5782 7248 5788 -rect 7102 5672 7158 5681 -rect 7102 5607 7158 5616 -rect 7196 5636 7248 5642 -rect 7012 4752 7064 4758 -rect 7012 4694 7064 4700 -rect 7116 4622 7144 5607 -rect 7196 5578 7248 5584 -rect 7208 5114 7236 5578 -rect 7300 5234 7328 6394 -rect 7288 5228 7340 5234 -rect 7288 5170 7340 5176 -rect 7208 5086 7328 5114 -rect 7300 4690 7328 5086 -rect 7392 4690 7420 7686 +rect 7932 8424 7984 8430 +rect 7932 8366 7984 8372 +rect 7564 8356 7616 8362 +rect 7484 8316 7564 8344 +rect 7484 8022 7512 8316 +rect 7564 8298 7616 8304 +rect 7840 8288 7892 8294 +rect 7840 8230 7892 8236 +rect 7852 8090 7880 8230 +rect 7840 8084 7892 8090 +rect 7840 8026 7892 8032 +rect 7472 8016 7524 8022 +rect 7472 7958 7524 7964 +rect 7484 6984 7512 7958 rect 7566 7644 7874 7653 rect 7566 7642 7572 7644 rect 7628 7642 7652 7644 @@ -6683,35 +6899,14 @@ rect 7708 7588 7732 7590 rect 7788 7588 7812 7590 rect 7868 7588 7874 7590 rect 7566 7579 7874 7588 -rect 7472 6656 7524 6662 -rect 7472 6598 7524 6604 -rect 7288 4684 7340 4690 -rect 7288 4626 7340 4632 -rect 7380 4684 7432 4690 -rect 7380 4626 7432 4632 -rect 7104 4616 7156 4622 -rect 7104 4558 7156 4564 -rect 7300 4486 7328 4626 -rect 7288 4480 7340 4486 -rect 7288 4422 7340 4428 -rect 6826 4111 6882 4120 -rect 6920 4140 6972 4146 -rect 6644 3596 6696 3602 -rect 6644 3538 6696 3544 -rect 6644 3392 6696 3398 -rect 6644 3334 6696 3340 -rect 6472 2746 6592 2774 -rect 6564 2378 6592 2746 -rect 6552 2372 6604 2378 -rect 6552 2314 6604 2320 -rect 6368 1896 6420 1902 -rect 6368 1838 6420 1844 -rect 6656 814 6684 3334 -rect 6840 3194 6868 4111 -rect 6920 4082 6972 4088 -rect 6828 3188 6880 3194 -rect 6828 3130 6880 3136 -rect 7484 1902 7512 6598 +rect 7564 6996 7616 7002 +rect 7484 6956 7564 6984 +rect 7564 6938 7616 6944 +rect 7300 6854 7420 6882 +rect 7300 4554 7328 6854 +rect 7380 6792 7432 6798 +rect 7380 6734 7432 6740 +rect 7392 6322 7420 6734 rect 7566 6556 7874 6565 rect 7566 6554 7572 6556 rect 7628 6554 7652 6556 @@ -6726,20 +6921,11 @@ rect 7708 6500 7732 6502 rect 7788 6500 7812 6502 rect 7868 6500 7874 6502 rect 7566 6491 7874 6500 -rect 7944 6458 7972 8792 -rect 8036 8566 8064 9046 -rect 8024 8560 8076 8566 -rect 8024 8502 8076 8508 -rect 8036 8430 8064 8502 -rect 8024 8424 8076 8430 -rect 8024 8366 8076 8372 -rect 8036 7410 8064 8366 -rect 8024 7404 8076 7410 -rect 8024 7346 8076 7352 -rect 7932 6452 7984 6458 -rect 7932 6394 7984 6400 -rect 7932 6248 7984 6254 -rect 7932 6190 7984 6196 +rect 7472 6452 7524 6458 +rect 7472 6394 7524 6400 +rect 7380 6316 7432 6322 +rect 7380 6258 7432 6264 +rect 7484 5166 7512 6394 rect 7566 5468 7874 5477 rect 7566 5466 7572 5468 rect 7628 5466 7652 5468 @@ -6754,6 +6940,68 @@ rect 7708 5412 7732 5414 rect 7788 5412 7812 5414 rect 7868 5412 7874 5414 rect 7566 5403 7874 5412 +rect 7656 5364 7708 5370 +rect 7656 5306 7708 5312 +rect 7472 5160 7524 5166 +rect 7472 5102 7524 5108 +rect 7668 4690 7696 5306 +rect 7944 5234 7972 8366 +rect 8036 6458 8064 9046 +rect 8024 6452 8076 6458 +rect 8024 6394 8076 6400 +rect 8128 6390 8156 10202 +rect 8220 8673 8248 11698 +rect 8484 11620 8536 11626 +rect 8484 11562 8536 11568 +rect 8392 11280 8444 11286 +rect 8392 11222 8444 11228 +rect 8300 10736 8352 10742 +rect 8300 10678 8352 10684 +rect 8312 9586 8340 10678 +rect 8300 9580 8352 9586 +rect 8300 9522 8352 9528 +rect 8206 8664 8262 8673 +rect 8206 8599 8262 8608 +rect 8300 8560 8352 8566 +rect 8300 8502 8352 8508 +rect 8312 7886 8340 8502 +rect 8300 7880 8352 7886 +rect 8300 7822 8352 7828 +rect 8208 7744 8260 7750 +rect 8208 7686 8260 7692 +rect 8220 7410 8248 7686 +rect 8208 7404 8260 7410 +rect 8208 7346 8260 7352 +rect 8208 6996 8260 7002 +rect 8208 6938 8260 6944 +rect 8116 6384 8168 6390 +rect 8116 6326 8168 6332 +rect 8024 6112 8076 6118 +rect 8024 6054 8076 6060 +rect 7932 5228 7984 5234 +rect 7932 5170 7984 5176 +rect 7944 4758 7972 5170 +rect 7932 4752 7984 4758 +rect 7932 4694 7984 4700 +rect 7656 4684 7708 4690 +rect 7656 4626 7708 4632 +rect 7288 4548 7340 4554 +rect 7668 4536 7696 4626 +rect 7288 4490 7340 4496 +rect 7392 4508 7696 4536 +rect 7300 3126 7328 4490 +rect 7288 3120 7340 3126 +rect 7288 3062 7340 3068 +rect 6460 3052 6512 3058 +rect 6460 2994 6512 3000 +rect 6828 3052 6880 3058 +rect 6828 2994 6880 3000 +rect 7196 3052 7248 3058 +rect 7196 2994 7248 3000 +rect 6826 2952 6882 2961 +rect 6826 2887 6882 2896 +rect 6840 1970 6868 2887 +rect 7392 2774 7420 4508 rect 7566 4380 7874 4389 rect 7566 4378 7572 4380 rect 7628 4378 7652 4380 @@ -6782,6 +7030,10 @@ rect 7708 3236 7732 3238 rect 7788 3236 7812 3238 rect 7868 3236 7874 3238 rect 7566 3227 7874 3236 +rect 7300 2746 7420 2774 +rect 7300 2650 7328 2746 +rect 7288 2644 7340 2650 +rect 7288 2586 7340 2592 rect 7566 2204 7874 2213 rect 7566 2202 7572 2204 rect 7628 2202 7652 2204 @@ -6796,193 +7048,196 @@ rect 7708 2148 7732 2150 rect 7788 2148 7812 2150 rect 7868 2148 7874 2150 rect 7566 2139 7874 2148 -rect 7472 1896 7524 1902 -rect 7472 1838 7524 1844 -rect 7944 1426 7972 6190 -rect 8024 4616 8076 4622 -rect 8024 4558 8076 4564 -rect 8036 3942 8064 4558 -rect 8024 3936 8076 3942 -rect 8024 3878 8076 3884 -rect 8128 2990 8156 9386 -rect 8220 7750 8248 10367 -rect 8312 9081 8340 11290 -rect 8760 11280 8812 11286 -rect 8760 11222 8812 11228 -rect 8484 11144 8536 11150 -rect 8484 11086 8536 11092 -rect 8496 10810 8524 11086 -rect 8576 11008 8628 11014 -rect 8576 10950 8628 10956 -rect 8484 10804 8536 10810 -rect 8484 10746 8536 10752 -rect 8392 10600 8444 10606 -rect 8392 10542 8444 10548 -rect 8298 9072 8354 9081 -rect 8298 9007 8354 9016 -rect 8404 8922 8432 10542 -rect 8482 9616 8538 9625 -rect 8588 9586 8616 10950 -rect 8668 10192 8720 10198 -rect 8668 10134 8720 10140 -rect 8482 9551 8538 9560 -rect 8576 9580 8628 9586 -rect 8312 8894 8432 8922 -rect 8312 8430 8340 8894 -rect 8496 8838 8524 9551 -rect 8576 9522 8628 9528 -rect 8574 9480 8630 9489 -rect 8574 9415 8630 9424 -rect 8588 9382 8616 9415 -rect 8576 9376 8628 9382 -rect 8576 9318 8628 9324 -rect 8574 9208 8630 9217 -rect 8574 9143 8630 9152 -rect 8588 9042 8616 9143 -rect 8576 9036 8628 9042 -rect 8576 8978 8628 8984 -rect 8392 8832 8444 8838 -rect 8392 8774 8444 8780 -rect 8484 8832 8536 8838 -rect 8484 8774 8536 8780 -rect 8300 8424 8352 8430 -rect 8300 8366 8352 8372 -rect 8300 8288 8352 8294 -rect 8300 8230 8352 8236 -rect 8312 8090 8340 8230 -rect 8300 8084 8352 8090 -rect 8300 8026 8352 8032 -rect 8208 7744 8260 7750 -rect 8208 7686 8260 7692 -rect 8300 7540 8352 7546 -rect 8300 7482 8352 7488 -rect 8208 7336 8260 7342 -rect 8208 7278 8260 7284 -rect 8220 4078 8248 7278 -rect 8312 5386 8340 7482 -rect 8404 6934 8432 8774 -rect 8482 8528 8538 8537 -rect 8482 8463 8538 8472 -rect 8392 6928 8444 6934 -rect 8392 6870 8444 6876 -rect 8496 6866 8524 8463 -rect 8576 8424 8628 8430 -rect 8576 8366 8628 8372 -rect 8588 7546 8616 8366 -rect 8576 7540 8628 7546 -rect 8576 7482 8628 7488 -rect 8574 7440 8630 7449 -rect 8574 7375 8576 7384 -rect 8628 7375 8630 7384 -rect 8576 7346 8628 7352 -rect 8576 7268 8628 7274 -rect 8576 7210 8628 7216 -rect 8484 6860 8536 6866 -rect 8484 6802 8536 6808 -rect 8588 6322 8616 7210 -rect 8576 6316 8628 6322 -rect 8576 6258 8628 6264 -rect 8576 6180 8628 6186 -rect 8576 6122 8628 6128 -rect 8588 5778 8616 6122 -rect 8576 5772 8628 5778 -rect 8576 5714 8628 5720 -rect 8574 5672 8630 5681 -rect 8574 5607 8576 5616 -rect 8628 5607 8630 5616 -rect 8576 5578 8628 5584 -rect 8484 5568 8536 5574 -rect 8484 5510 8536 5516 -rect 8312 5358 8432 5386 +rect 6828 1964 6880 1970 +rect 6828 1906 6880 1912 +rect 8036 1902 8064 6054 +rect 8220 5846 8248 6938 +rect 8312 6934 8340 7822 +rect 8300 6928 8352 6934 +rect 8300 6870 8352 6876 +rect 8208 5840 8260 5846 +rect 8208 5782 8260 5788 +rect 8116 5704 8168 5710 +rect 8116 5646 8168 5652 +rect 8128 5370 8156 5646 +rect 8116 5364 8168 5370 +rect 8116 5306 8168 5312 rect 8300 5296 8352 5302 rect 8300 5238 8352 5244 -rect 8208 4072 8260 4078 -rect 8208 4014 8260 4020 -rect 8116 2984 8168 2990 -rect 8116 2926 8168 2932 +rect 8114 2544 8170 2553 rect 8312 2514 8340 5238 -rect 8404 3602 8432 5358 -rect 8496 5030 8524 5510 -rect 8484 5024 8536 5030 -rect 8484 4966 8536 4972 -rect 8392 3596 8444 3602 -rect 8392 3538 8444 3544 -rect 8404 2922 8432 3538 -rect 8484 3392 8536 3398 -rect 8484 3334 8536 3340 -rect 8392 2916 8444 2922 -rect 8392 2858 8444 2864 -rect 8496 2514 8524 3334 -rect 8588 3058 8616 5578 -rect 8680 3534 8708 10134 -rect 8668 3528 8720 3534 -rect 8668 3470 8720 3476 -rect 8576 3052 8628 3058 -rect 8576 2994 8628 3000 -rect 8576 2916 8628 2922 -rect 8576 2858 8628 2864 -rect 8588 2514 8616 2858 -rect 8772 2774 8800 11222 -rect 8852 10736 8904 10742 -rect 8852 10678 8904 10684 -rect 8864 10606 8892 10678 -rect 8852 10600 8904 10606 -rect 8852 10542 8904 10548 -rect 8852 9580 8904 9586 -rect 8852 9522 8904 9528 -rect 8864 8022 8892 9522 -rect 8852 8016 8904 8022 -rect 8852 7958 8904 7964 -rect 8956 6866 8984 11630 -rect 9220 11620 9272 11626 -rect 9220 11562 9272 11568 -rect 9128 10804 9180 10810 -rect 9128 10746 9180 10752 -rect 9140 10577 9168 10746 -rect 9126 10568 9182 10577 -rect 9036 10532 9088 10538 -rect 9126 10503 9182 10512 -rect 9036 10474 9088 10480 -rect 9048 9178 9076 10474 -rect 9128 9512 9180 9518 -rect 9128 9454 9180 9460 -rect 9036 9172 9088 9178 -rect 9036 9114 9088 9120 -rect 9140 9110 9168 9454 -rect 9128 9104 9180 9110 -rect 9128 9046 9180 9052 -rect 9036 9036 9088 9042 -rect 9036 8978 9088 8984 -rect 8944 6860 8996 6866 -rect 8944 6802 8996 6808 -rect 8944 6724 8996 6730 -rect 8944 6666 8996 6672 -rect 8852 5568 8904 5574 -rect 8852 5510 8904 5516 -rect 8680 2746 8800 2774 -rect 8680 2582 8708 2746 -rect 8668 2576 8720 2582 -rect 8668 2518 8720 2524 +rect 8404 4622 8432 11222 +rect 8496 10198 8524 11562 +rect 8852 11552 8904 11558 +rect 8852 11494 8904 11500 +rect 8576 11144 8628 11150 +rect 8576 11086 8628 11092 +rect 8484 10192 8536 10198 +rect 8484 10134 8536 10140 +rect 8482 9616 8538 9625 +rect 8482 9551 8538 9560 +rect 8496 8430 8524 9551 +rect 8484 8424 8536 8430 +rect 8484 8366 8536 8372 +rect 8484 8288 8536 8294 +rect 8484 8230 8536 8236 +rect 8496 7410 8524 8230 +rect 8588 7546 8616 11086 +rect 8668 10464 8720 10470 +rect 8666 10432 8668 10441 +rect 8720 10432 8722 10441 +rect 8666 10367 8722 10376 +rect 8668 10124 8720 10130 +rect 8668 10066 8720 10072 +rect 8680 8634 8708 10066 +rect 8760 9920 8812 9926 +rect 8760 9862 8812 9868 +rect 8668 8628 8720 8634 +rect 8668 8570 8720 8576 +rect 8772 8514 8800 9862 +rect 8864 9382 8892 11494 +rect 8944 11212 8996 11218 +rect 8944 11154 8996 11160 +rect 8852 9376 8904 9382 +rect 8852 9318 8904 9324 +rect 8852 8900 8904 8906 +rect 8852 8842 8904 8848 +rect 8680 8486 8800 8514 +rect 8864 8498 8892 8842 +rect 8852 8492 8904 8498 +rect 8576 7540 8628 7546 +rect 8576 7482 8628 7488 +rect 8484 7404 8536 7410 +rect 8484 7346 8536 7352 +rect 8576 7268 8628 7274 +rect 8576 7210 8628 7216 +rect 8484 5568 8536 5574 +rect 8484 5510 8536 5516 +rect 8496 5098 8524 5510 +rect 8484 5092 8536 5098 +rect 8484 5034 8536 5040 +rect 8392 4616 8444 4622 +rect 8392 4558 8444 4564 +rect 8588 4078 8616 7210 +rect 8680 6322 8708 8486 +rect 8852 8434 8904 8440 +rect 8760 8424 8812 8430 +rect 8760 8366 8812 8372 +rect 8668 6316 8720 6322 +rect 8668 6258 8720 6264 +rect 8576 4072 8628 4078 +rect 8576 4014 8628 4020 +rect 8390 3632 8446 3641 +rect 8390 3567 8446 3576 +rect 8404 2514 8432 3567 +rect 8680 2922 8708 6258 +rect 8772 6118 8800 8366 +rect 8864 6866 8892 8434 +rect 8852 6860 8904 6866 +rect 8852 6802 8904 6808 +rect 8956 6746 8984 11154 +rect 9864 11076 9916 11082 +rect 9864 11018 9916 11024 +rect 9128 10600 9180 10606 +rect 9588 10600 9640 10606 +rect 9128 10542 9180 10548 +rect 9586 10568 9588 10577 +rect 9640 10568 9642 10577 +rect 9140 9450 9168 10542 +rect 9586 10503 9642 10512 +rect 9404 10056 9456 10062 +rect 9404 9998 9456 10004 +rect 9772 10056 9824 10062 +rect 9772 9998 9824 10004 +rect 9220 9512 9272 9518 +rect 9220 9454 9272 9460 +rect 9128 9444 9180 9450 +rect 9128 9386 9180 9392 +rect 9140 7342 9168 9386 +rect 9128 7336 9180 7342 +rect 8864 6718 8984 6746 +rect 9048 7296 9128 7324 +rect 8760 6112 8812 6118 +rect 8760 6054 8812 6060 +rect 8772 5710 8800 6054 +rect 8760 5704 8812 5710 +rect 8760 5646 8812 5652 +rect 8668 2916 8720 2922 +rect 8668 2858 8720 2864 +rect 8864 2582 8892 6718 +rect 8944 6248 8996 6254 +rect 8944 6190 8996 6196 +rect 8852 2576 8904 2582 +rect 8852 2518 8904 2524 +rect 8114 2479 8170 2488 rect 8300 2508 8352 2514 +rect 8128 2378 8156 2479 rect 8300 2450 8352 2456 -rect 8484 2508 8536 2514 -rect 8484 2450 8536 2456 -rect 8576 2508 8628 2514 -rect 8576 2450 8628 2456 -rect 8208 1896 8260 1902 -rect 8208 1838 8260 1844 -rect 8220 1426 8248 1838 -rect 8758 1728 8814 1737 -rect 8758 1663 8814 1672 -rect 8772 1426 8800 1663 -rect 7932 1420 7984 1426 -rect 7932 1362 7984 1368 -rect 8208 1420 8260 1426 -rect 8208 1362 8260 1368 -rect 8760 1420 8812 1426 -rect 8760 1362 8812 1368 -rect 8484 1352 8536 1358 -rect 8484 1294 8536 1300 +rect 8392 2508 8444 2514 +rect 8392 2450 8444 2456 +rect 8760 2508 8812 2514 +rect 8760 2450 8812 2456 +rect 8116 2372 8168 2378 +rect 8116 2314 8168 2320 +rect 8772 2258 8800 2450 +rect 8588 2230 8800 2258 +rect 8116 2032 8168 2038 +rect 8116 1974 8168 1980 +rect 8024 1896 8076 1902 +rect 8024 1838 8076 1844 +rect 8128 1562 8156 1974 +rect 8588 1834 8616 2230 +rect 8576 1828 8628 1834 +rect 8576 1770 8628 1776 +rect 8116 1556 8168 1562 +rect 8116 1498 8168 1504 +rect 6184 1420 6236 1426 +rect 6184 1362 6236 1368 +rect 6092 1352 6144 1358 +rect 6092 1294 6144 1300 +rect 8588 1222 8616 1770 +rect 8850 1728 8906 1737 +rect 8850 1663 8906 1672 +rect 8864 1426 8892 1663 +rect 8956 1562 8984 6190 +rect 9048 5681 9076 7296 +rect 9128 7278 9180 7284 +rect 9128 6724 9180 6730 +rect 9128 6666 9180 6672 +rect 9140 5778 9168 6666 +rect 9232 6458 9260 9454 +rect 9416 7954 9444 9998 +rect 9496 9580 9548 9586 +rect 9496 9522 9548 9528 +rect 9404 7948 9456 7954 +rect 9404 7890 9456 7896 +rect 9402 7032 9458 7041 +rect 9402 6967 9458 6976 +rect 9312 6860 9364 6866 +rect 9312 6802 9364 6808 +rect 9220 6452 9272 6458 +rect 9220 6394 9272 6400 +rect 9128 5772 9180 5778 +rect 9128 5714 9180 5720 +rect 9034 5672 9090 5681 +rect 9034 5607 9090 5616 +rect 9128 5568 9180 5574 +rect 9128 5510 9180 5516 +rect 9036 5024 9088 5030 +rect 9036 4966 9088 4972 +rect 9048 3670 9076 4966 +rect 9036 3664 9088 3670 +rect 9036 3606 9088 3612 +rect 9036 2440 9088 2446 +rect 9036 2382 9088 2388 +rect 8944 1556 8996 1562 +rect 8944 1498 8996 1504 +rect 8852 1420 8904 1426 +rect 8852 1362 8904 1368 +rect 8760 1284 8812 1290 +rect 8760 1226 8812 1232 +rect 8576 1216 8628 1222 +rect 8576 1158 8628 1164 rect 7566 1116 7874 1125 rect 7566 1114 7572 1116 rect 7628 1114 7652 1116 @@ -6997,424 +7252,266 @@ rect 7708 1060 7732 1062 rect 7788 1060 7812 1062 rect 7868 1060 7874 1062 rect 7566 1051 7874 1060 -rect 7932 944 7984 950 -rect 7932 886 7984 892 -rect 6644 808 6696 814 -rect 6644 750 6696 756 -rect 7944 678 7972 886 -rect 8496 882 8524 1294 -rect 8772 1018 8800 1362 +rect 8772 1018 8800 1226 rect 8760 1012 8812 1018 rect 8760 954 8812 960 -rect 8484 876 8536 882 -rect 8484 818 8536 824 -rect 8864 814 8892 5510 -rect 8956 5137 8984 6666 -rect 9048 5930 9076 8978 -rect 9128 8968 9180 8974 -rect 9126 8936 9128 8945 -rect 9180 8936 9182 8945 -rect 9126 8871 9182 8880 -rect 9128 8832 9180 8838 -rect 9128 8774 9180 8780 -rect 9140 6254 9168 8774 -rect 9232 8673 9260 11562 -rect 9312 11008 9364 11014 -rect 9312 10950 9364 10956 -rect 9324 10674 9352 10950 -rect 9312 10668 9364 10674 -rect 9312 10610 9364 10616 -rect 9312 9920 9364 9926 -rect 9312 9862 9364 9868 -rect 9218 8664 9274 8673 -rect 9218 8599 9274 8608 -rect 9220 8560 9272 8566 -rect 9220 8502 9272 8508 -rect 9232 7546 9260 8502 -rect 9220 7540 9272 7546 -rect 9220 7482 9272 7488 -rect 9220 7200 9272 7206 -rect 9220 7142 9272 7148 -rect 9232 6866 9260 7142 -rect 9220 6860 9272 6866 -rect 9220 6802 9272 6808 -rect 9220 6656 9272 6662 -rect 9220 6598 9272 6604 -rect 9128 6248 9180 6254 -rect 9128 6190 9180 6196 -rect 9048 5902 9168 5930 -rect 9034 5808 9090 5817 -rect 9034 5743 9036 5752 -rect 9088 5743 9090 5752 -rect 9036 5714 9088 5720 -rect 8942 5128 8998 5137 -rect 8942 5063 8998 5072 -rect 8944 5024 8996 5030 -rect 8944 4966 8996 4972 -rect 8956 2582 8984 4966 -rect 9048 4842 9076 5714 -rect 9140 4978 9168 5902 -rect 9232 5098 9260 6598 -rect 9324 5681 9352 9862 -rect 9416 9042 9444 12271 -rect 9496 12096 9548 12102 -rect 9496 12038 9548 12044 -rect 9508 11762 9536 12038 -rect 13542 11928 13598 11937 -rect 13542 11863 13598 11872 -rect 11152 11824 11204 11830 -rect 11152 11766 11204 11772 -rect 9496 11756 9548 11762 -rect 9496 11698 9548 11704 -rect 10416 11688 10468 11694 -rect 10416 11630 10468 11636 -rect 9772 11620 9824 11626 -rect 9772 11562 9824 11568 -rect 9680 11076 9732 11082 -rect 9680 11018 9732 11024 -rect 9588 10464 9640 10470 -rect 9588 10406 9640 10412 -rect 9496 10056 9548 10062 -rect 9496 9998 9548 10004 -rect 9508 9722 9536 9998 -rect 9496 9716 9548 9722 -rect 9496 9658 9548 9664 -rect 9496 9376 9548 9382 -rect 9496 9318 9548 9324 -rect 9404 9036 9456 9042 -rect 9404 8978 9456 8984 -rect 9404 8900 9456 8906 -rect 9404 8842 9456 8848 -rect 9416 6798 9444 8842 -rect 9508 8378 9536 9318 -rect 9600 9217 9628 10406 -rect 9586 9208 9642 9217 -rect 9586 9143 9642 9152 -rect 9600 8566 9628 9143 -rect 9588 8560 9640 8566 -rect 9588 8502 9640 8508 -rect 9588 8424 9640 8430 -rect 9508 8372 9588 8378 -rect 9508 8366 9640 8372 -rect 9508 8350 9628 8366 -rect 9496 7336 9548 7342 -rect 9496 7278 9548 7284 -rect 9404 6792 9456 6798 -rect 9404 6734 9456 6740 -rect 9404 6248 9456 6254 -rect 9404 6190 9456 6196 -rect 9416 6089 9444 6190 -rect 9402 6080 9458 6089 -rect 9402 6015 9458 6024 -rect 9508 5953 9536 7278 -rect 9600 6730 9628 8350 -rect 9588 6724 9640 6730 -rect 9588 6666 9640 6672 -rect 9588 6248 9640 6254 -rect 9588 6190 9640 6196 -rect 9494 5944 9550 5953 -rect 9494 5879 9550 5888 -rect 9600 5681 9628 6190 -rect 9692 5846 9720 11018 -rect 9784 10130 9812 11562 -rect 9864 11212 9916 11218 -rect 9864 11154 9916 11160 -rect 9876 10198 9904 11154 -rect 9956 10736 10008 10742 -rect 9956 10678 10008 10684 -rect 9864 10192 9916 10198 -rect 9864 10134 9916 10140 -rect 9772 10124 9824 10130 -rect 9772 10066 9824 10072 -rect 9968 10010 9996 10678 -rect 10428 10062 10456 11630 -rect 9784 9982 9996 10010 -rect 10416 10056 10468 10062 -rect 10416 9998 10468 10004 -rect 9784 9042 9812 9982 -rect 9864 9920 9916 9926 -rect 9864 9862 9916 9868 -rect 9876 9518 9904 9862 -rect 10428 9654 10456 9998 -rect 10416 9648 10468 9654 -rect 10416 9590 10468 9596 -rect 9864 9512 9916 9518 -rect 9864 9454 9916 9460 -rect 9772 9036 9824 9042 -rect 9772 8978 9824 8984 -rect 9784 7274 9812 8978 -rect 9876 8480 9904 9454 -rect 10048 9444 10100 9450 -rect 10048 9386 10100 9392 -rect 9876 8452 9996 8480 -rect 9864 8356 9916 8362 -rect 9864 8298 9916 8304 -rect 9876 7954 9904 8298 -rect 9864 7948 9916 7954 -rect 9864 7890 9916 7896 -rect 9772 7268 9824 7274 -rect 9772 7210 9824 7216 -rect 9680 5840 9732 5846 -rect 9680 5782 9732 5788 -rect 9310 5672 9366 5681 +rect 5908 876 5960 882 +rect 5908 818 5960 824 +rect 8864 746 8892 1362 +rect 9048 1018 9076 2382 +rect 9140 1562 9168 5510 +rect 9232 3398 9260 6394 +rect 9324 5846 9352 6802 +rect 9312 5840 9364 5846 +rect 9312 5782 9364 5788 +rect 9312 5704 9364 5710 +rect 9310 5672 9312 5681 +rect 9364 5672 9366 5681 rect 9310 5607 9366 5616 -rect 9586 5672 9642 5681 -rect 9586 5607 9642 5616 -rect 9680 5636 9732 5642 -rect 9680 5578 9732 5584 -rect 9496 5568 9548 5574 -rect 9494 5536 9496 5545 -rect 9548 5536 9550 5545 -rect 9494 5471 9550 5480 -rect 9586 5400 9642 5409 -rect 9586 5335 9642 5344 -rect 9494 5264 9550 5273 -rect 9494 5199 9496 5208 -rect 9548 5199 9550 5208 -rect 9496 5170 9548 5176 -rect 9312 5160 9364 5166 -rect 9312 5102 9364 5108 -rect 9220 5092 9272 5098 -rect 9220 5034 9272 5040 -rect 9140 4950 9260 4978 -rect 9048 4814 9168 4842 -rect 9036 4752 9088 4758 -rect 9036 4694 9088 4700 -rect 8944 2576 8996 2582 -rect 8944 2518 8996 2524 -rect 9048 1018 9076 4694 -rect 9140 1018 9168 4814 -rect 9232 4758 9260 4950 -rect 9220 4752 9272 4758 -rect 9220 4694 9272 4700 -rect 9218 4584 9274 4593 -rect 9218 4519 9274 4528 -rect 9232 1170 9260 4519 -rect 9324 1358 9352 5102 -rect 9496 4684 9548 4690 -rect 9496 4626 9548 4632 -rect 9402 3768 9458 3777 -rect 9402 3703 9458 3712 -rect 9416 3670 9444 3703 -rect 9404 3664 9456 3670 -rect 9404 3606 9456 3612 -rect 9404 3528 9456 3534 -rect 9404 3470 9456 3476 -rect 9416 3398 9444 3470 -rect 9404 3392 9456 3398 -rect 9404 3334 9456 3340 -rect 9404 1760 9456 1766 -rect 9404 1702 9456 1708 -rect 9416 1426 9444 1702 -rect 9404 1420 9456 1426 -rect 9404 1362 9456 1368 +rect 9312 5568 9364 5574 +rect 9312 5510 9364 5516 +rect 9324 5370 9352 5510 +rect 9312 5364 9364 5370 +rect 9312 5306 9364 5312 +rect 9416 4146 9444 6967 +rect 9508 6866 9536 9522 +rect 9586 9480 9642 9489 +rect 9586 9415 9642 9424 +rect 9496 6860 9548 6866 +rect 9496 6802 9548 6808 +rect 9496 6724 9548 6730 +rect 9496 6666 9548 6672 +rect 9404 4140 9456 4146 +rect 9404 4082 9456 4088 +rect 9508 3738 9536 6666 +rect 9600 4826 9628 9415 +rect 9784 8838 9812 9998 +rect 9772 8832 9824 8838 +rect 9772 8774 9824 8780 +rect 9680 8628 9732 8634 +rect 9680 8570 9732 8576 +rect 9692 8022 9720 8570 +rect 9784 8430 9812 8774 +rect 9772 8424 9824 8430 +rect 9772 8366 9824 8372 +rect 9680 8016 9732 8022 +rect 9680 7958 9732 7964 +rect 9680 7336 9732 7342 +rect 9680 7278 9732 7284 +rect 9692 6322 9720 7278 +rect 9680 6316 9732 6322 +rect 9680 6258 9732 6264 +rect 9876 6254 9904 11018 +rect 9956 9580 10008 9586 +rect 9956 9522 10008 9528 +rect 9864 6248 9916 6254 +rect 9864 6190 9916 6196 +rect 9772 6112 9824 6118 +rect 9772 6054 9824 6060 +rect 9680 5704 9732 5710 +rect 9680 5646 9732 5652 +rect 9588 4820 9640 4826 +rect 9588 4762 9640 4768 +rect 9496 3732 9548 3738 +rect 9496 3674 9548 3680 +rect 9494 3632 9550 3641 +rect 9494 3567 9550 3576 +rect 9588 3596 9640 3602 +rect 9508 3398 9536 3567 +rect 9588 3538 9640 3544 +rect 9220 3392 9272 3398 +rect 9220 3334 9272 3340 +rect 9496 3392 9548 3398 +rect 9496 3334 9548 3340 +rect 9220 3120 9272 3126 +rect 9220 3062 9272 3068 +rect 9232 2446 9260 3062 +rect 9600 2650 9628 3538 +rect 9588 2644 9640 2650 +rect 9588 2586 9640 2592 +rect 9220 2440 9272 2446 +rect 9220 2382 9272 2388 +rect 9312 1828 9364 1834 +rect 9312 1770 9364 1776 +rect 9128 1556 9180 1562 +rect 9128 1498 9180 1504 +rect 9220 1488 9272 1494 +rect 9220 1430 9272 1436 +rect 9036 1012 9088 1018 +rect 9036 954 9088 960 +rect 3056 740 3108 746 +rect 3056 682 3108 688 +rect 5632 740 5684 746 +rect 5632 682 5684 688 +rect 8852 740 8904 746 +rect 8852 682 8904 688 +rect 9232 678 9260 1430 +rect 9324 1358 9352 1770 +rect 9600 1426 9628 2586 +rect 9588 1420 9640 1426 +rect 9588 1362 9640 1368 rect 9312 1352 9364 1358 rect 9312 1294 9364 1300 rect 9312 1216 9364 1222 -rect 9232 1164 9312 1170 -rect 9232 1158 9364 1164 -rect 9232 1142 9352 1158 -rect 9508 1018 9536 4626 -rect 9600 2650 9628 5335 -rect 9692 3602 9720 5578 -rect 9784 3670 9812 7210 -rect 9864 5840 9916 5846 -rect 9864 5782 9916 5788 -rect 9772 3664 9824 3670 -rect 9772 3606 9824 3612 -rect 9680 3596 9732 3602 -rect 9680 3538 9732 3544 -rect 9588 2644 9640 2650 -rect 9588 2586 9640 2592 -rect 9692 2378 9720 3538 -rect 9772 3528 9824 3534 -rect 9772 3470 9824 3476 -rect 9680 2372 9732 2378 -rect 9680 2314 9732 2320 -rect 9784 1018 9812 3470 -rect 9876 1902 9904 5782 -rect 9968 5302 9996 8452 -rect 9956 5296 10008 5302 -rect 9956 5238 10008 5244 -rect 9956 5092 10008 5098 -rect 9956 5034 10008 5040 +rect 9312 1158 9364 1164 +rect 9324 814 9352 1158 +rect 9692 1018 9720 5646 +rect 9784 5098 9812 6054 +rect 9772 5092 9824 5098 +rect 9772 5034 9824 5040 +rect 9772 3596 9824 3602 +rect 9772 3538 9824 3544 +rect 9784 2446 9812 3538 +rect 9772 2440 9824 2446 +rect 9772 2382 9824 2388 +rect 9772 2304 9824 2310 +rect 9772 2246 9824 2252 +rect 9680 1012 9732 1018 +rect 9680 954 9732 960 +rect 9784 882 9812 2246 +rect 9876 1902 9904 6190 rect 9864 1896 9916 1902 rect 9864 1838 9916 1844 -rect 9864 1352 9916 1358 -rect 9864 1294 9916 1300 -rect 9036 1012 9088 1018 -rect 9036 954 9088 960 -rect 9128 1012 9180 1018 -rect 9128 954 9180 960 -rect 9496 1012 9548 1018 -rect 9496 954 9548 960 -rect 9772 1012 9824 1018 -rect 9772 954 9824 960 -rect 8852 808 8904 814 -rect 8852 750 8904 756 -rect 9876 678 9904 1294 -rect 9968 1290 9996 5034 -rect 10060 4758 10088 9386 -rect 10428 8430 10456 9590 -rect 10416 8424 10468 8430 -rect 10416 8366 10468 8372 -rect 10232 6860 10284 6866 -rect 10232 6802 10284 6808 -rect 10140 5772 10192 5778 -rect 10140 5714 10192 5720 -rect 10152 5166 10180 5714 -rect 10140 5160 10192 5166 -rect 10140 5102 10192 5108 -rect 10048 4752 10100 4758 -rect 10048 4694 10100 4700 -rect 10152 1562 10180 5102 -rect 10244 5098 10272 6802 -rect 10324 6792 10376 6798 -rect 10324 6734 10376 6740 -rect 10232 5092 10284 5098 -rect 10232 5034 10284 5040 -rect 10140 1556 10192 1562 -rect 10140 1498 10192 1504 -rect 10336 1358 10364 6734 -rect 10428 3398 10456 8366 -rect 11060 8356 11112 8362 -rect 11060 8298 11112 8304 -rect 11072 5370 11100 8298 -rect 11164 7449 11192 11766 -rect 13450 11520 13506 11529 -rect 13450 11455 13506 11464 -rect 11150 7440 11206 7449 -rect 11150 7375 11206 7384 -rect 11150 7032 11206 7041 -rect 11150 6967 11206 6976 -rect 11060 5364 11112 5370 -rect 11060 5306 11112 5312 -rect 11164 4078 11192 6967 -rect 11334 6216 11390 6225 -rect 11334 6151 11390 6160 -rect 11244 4820 11296 4826 -rect 11244 4762 11296 4768 -rect 11152 4072 11204 4078 -rect 11152 4014 11204 4020 -rect 11058 3768 11114 3777 -rect 11058 3703 11114 3712 -rect 10416 3392 10468 3398 -rect 10416 3334 10468 3340 -rect 10428 2650 10456 3334 -rect 10416 2644 10468 2650 -rect 10416 2586 10468 2592 -rect 11072 2582 11100 3703 -rect 11150 2952 11206 2961 -rect 11150 2887 11206 2896 -rect 11060 2576 11112 2582 -rect 11060 2518 11112 2524 -rect 11164 1494 11192 2887 -rect 11152 1488 11204 1494 -rect 11152 1430 11204 1436 -rect 10324 1352 10376 1358 -rect 10324 1294 10376 1300 -rect 10414 1320 10470 1329 -rect 9956 1284 10008 1290 -rect 10414 1255 10470 1264 -rect 9956 1226 10008 1232 -rect 6092 672 6144 678 -rect 6092 614 6144 620 -rect 7932 672 7984 678 -rect 7932 614 7984 620 -rect 9864 672 9916 678 -rect 9864 614 9916 620 -rect 5446 368 5502 377 -rect 5446 303 5502 312 -rect 10428 105 10456 1255 -rect 11256 921 11284 4762 -rect 11348 3194 11376 6151 -rect 13464 4554 13492 11455 -rect 13556 7342 13584 11863 -rect 13818 11112 13874 11121 -rect 13818 11047 13874 11056 -rect 13726 9480 13782 9489 -rect 13726 9415 13782 9424 -rect 13634 8256 13690 8265 -rect 13740 8242 13768 9415 -rect 13832 8362 13860 11047 -rect 22190 9888 22246 9897 -rect 22190 9823 22246 9832 -rect 13820 8356 13872 8362 -rect 13820 8298 13872 8304 -rect 13740 8214 13860 8242 -rect 13634 8191 13690 8200 -rect 13544 7336 13596 7342 -rect 13544 7278 13596 7284 -rect 13648 5914 13676 8191 -rect 13726 6624 13782 6633 -rect 13726 6559 13782 6568 -rect 13636 5908 13688 5914 -rect 13636 5850 13688 5856 -rect 13740 5710 13768 6559 -rect 13728 5704 13780 5710 -rect 13728 5646 13780 5652 -rect 13726 5400 13782 5409 -rect 13726 5335 13782 5344 -rect 13542 4992 13598 5001 -rect 13542 4927 13598 4936 -rect 13452 4548 13504 4554 -rect 13452 4490 13504 4496 -rect 13556 3398 13584 4927 -rect 13634 4584 13690 4593 -rect 13634 4519 13690 4528 -rect 13544 3392 13596 3398 -rect 13544 3334 13596 3340 -rect 13648 3194 13676 4519 -rect 13740 4146 13768 5335 -rect 13728 4140 13780 4146 -rect 13728 4082 13780 4088 -rect 13832 3738 13860 8214 -rect 13820 3732 13872 3738 -rect 13820 3674 13872 3680 -rect 13818 3360 13874 3369 -rect 13818 3295 13874 3304 -rect 11336 3188 11388 3194 -rect 11336 3130 11388 3136 -rect 13636 3188 13688 3194 -rect 13636 3130 13688 3136 -rect 13832 3058 13860 3295 -rect 16856 3188 16908 3194 -rect 16856 3130 16908 3136 -rect 13820 3052 13872 3058 -rect 13820 2994 13872 3000 -rect 16580 3052 16632 3058 -rect 16580 2994 16632 3000 -rect 16592 1766 16620 2994 -rect 16762 2544 16818 2553 -rect 16762 2479 16818 2488 -rect 16670 2136 16726 2145 -rect 16670 2071 16726 2080 -rect 16580 1760 16632 1766 -rect 16580 1702 16632 1708 -rect 11242 912 11298 921 -rect 11242 847 11298 856 -rect 16684 814 16712 2071 -rect 16776 950 16804 2479 -rect 16868 1834 16896 3130 -rect 16856 1828 16908 1834 -rect 16856 1770 16908 1776 -rect 22204 1426 22232 9823 -rect 22192 1420 22244 1426 -rect 22192 1362 22244 1368 -rect 16764 944 16816 950 -rect 16764 886 16816 892 -rect 16672 808 16724 814 -rect 16672 750 16724 756 -rect 4986 96 5042 105 -rect 4986 31 5042 40 -rect 10414 96 10470 105 -rect 10414 31 10470 40 +rect 9968 1018 9996 9522 +rect 10060 5778 10088 12271 +rect 13818 11928 13874 11937 +rect 13818 11863 13820 11872 +rect 13872 11863 13874 11872 +rect 17960 11892 18012 11898 +rect 13820 11834 13872 11840 +rect 17960 11834 18012 11840 +rect 10232 10056 10284 10062 +rect 10232 9998 10284 10004 +rect 10244 9382 10272 9998 +rect 11058 9888 11114 9897 +rect 11058 9823 11114 9832 +rect 10232 9376 10284 9382 +rect 10232 9318 10284 9324 +rect 10244 8566 10272 9318 +rect 10232 8560 10284 8566 +rect 10232 8502 10284 8508 +rect 10048 5772 10100 5778 +rect 10048 5714 10100 5720 +rect 10140 5092 10192 5098 +rect 10140 5034 10192 5040 +rect 10046 3768 10102 3777 +rect 10046 3703 10102 3712 +rect 10060 2650 10088 3703 +rect 10048 2644 10100 2650 +rect 10048 2586 10100 2592 +rect 10048 2508 10100 2514 +rect 10048 2450 10100 2456 +rect 10060 2106 10088 2450 +rect 10152 2310 10180 5034 +rect 10140 2304 10192 2310 +rect 10140 2246 10192 2252 +rect 10138 2136 10194 2145 +rect 10048 2100 10100 2106 +rect 10138 2071 10194 2080 +rect 10048 2042 10100 2048 +rect 10152 1986 10180 2071 +rect 10060 1958 10180 1986 +rect 10060 1766 10088 1958 +rect 10048 1760 10100 1766 +rect 10048 1702 10100 1708 +rect 9956 1012 10008 1018 +rect 9956 954 10008 960 +rect 9772 876 9824 882 +rect 9772 818 9824 824 +rect 10060 814 10088 1702 +rect 10244 1290 10272 8502 +rect 11072 5914 11100 9823 +rect 17972 7206 18000 11834 +rect 22098 11112 22154 11121 +rect 22098 11047 22154 11056 +rect 17960 7200 18012 7206 +rect 17960 7142 18012 7148 +rect 16578 6624 16634 6633 +rect 16578 6559 16634 6568 +rect 11060 5908 11112 5914 +rect 11060 5850 11112 5856 +rect 16592 5574 16620 6559 +rect 16854 6216 16910 6225 +rect 16854 6151 16910 6160 +rect 16580 5568 16632 5574 +rect 16580 5510 16632 5516 +rect 16578 5400 16634 5409 +rect 16578 5335 16634 5344 +rect 10324 5228 10376 5234 +rect 10324 5170 10376 5176 +rect 10336 1426 10364 5170 +rect 16592 4010 16620 5335 +rect 16670 4992 16726 5001 +rect 16670 4927 16726 4936 +rect 16580 4004 16632 4010 +rect 16580 3946 16632 3952 +rect 16684 3534 16712 4927 +rect 16762 4584 16818 4593 +rect 16762 4519 16818 4528 +rect 16672 3528 16724 3534 +rect 16672 3470 16724 3476 +rect 16578 2544 16634 2553 +rect 16578 2479 16634 2488 +rect 16592 2038 16620 2479 +rect 16580 2032 16632 2038 +rect 16580 1974 16632 1980 +rect 16776 1834 16804 4519 +rect 16868 2922 16896 6151 +rect 16946 5808 17002 5817 +rect 16946 5743 17002 5752 +rect 16856 2916 16908 2922 +rect 16856 2858 16908 2864 +rect 16960 2514 16988 5743 +rect 22112 3942 22140 11047 +rect 22282 8256 22338 8265 +rect 22282 8191 22338 8200 +rect 22100 3936 22152 3942 +rect 22100 3878 22152 3884 +rect 16948 2508 17000 2514 +rect 16948 2450 17000 2456 +rect 22296 1970 22324 8191 +rect 22284 1964 22336 1970 +rect 22284 1906 22336 1912 +rect 16764 1828 16816 1834 +rect 16764 1770 16816 1776 +rect 10324 1420 10376 1426 +rect 10324 1362 10376 1368 +rect 10232 1284 10284 1290 +rect 10232 1226 10284 1232 +rect 13820 944 13872 950 +rect 13818 912 13820 921 +rect 13872 912 13874 921 +rect 13818 847 13874 856 +rect 9312 808 9364 814 +rect 9312 750 9364 756 +rect 10048 808 10100 814 +rect 10048 750 10100 756 +rect 2320 672 2372 678 +rect 2320 614 2372 620 +rect 5448 672 5500 678 +rect 5448 614 5500 620 +rect 9220 672 9272 678 +rect 9220 614 9272 620 +rect 5066 572 5374 581 +rect 5066 570 5072 572 +rect 5128 570 5152 572 +rect 5208 570 5232 572 +rect 5288 570 5312 572 +rect 5368 570 5374 572 +rect 5128 518 5130 570 +rect 5310 518 5312 570 +rect 5066 516 5072 518 +rect 5128 516 5152 518 +rect 5208 516 5232 518 +rect 5288 516 5312 518 +rect 5368 516 5374 518 +rect 5066 507 5374 516 +rect 5460 513 5488 614 +rect 5446 504 5502 513 +rect 5446 439 5502 448 << via2 >> -rect 938 11056 994 11112 -rect 1306 7420 1308 7440 -rect 1308 7420 1360 7440 -rect 1360 7420 1362 7440 -rect 1306 7384 1362 7420 +rect 1398 11056 1454 11112 +rect 1214 9288 1270 9344 rect 2572 11994 2628 11996 rect 2652 11994 2708 11996 rect 2732 11994 2788 11996 @@ -7433,6 +7530,7 @@ rect 2572 11940 2628 11942 rect 2652 11940 2708 11942 rect 2732 11940 2788 11942 rect 2812 11940 2868 11942 +rect 2502 11600 2558 11656 rect 2572 10906 2628 10908 rect 2652 10906 2708 10908 rect 2732 10906 2788 10908 @@ -7451,8 +7549,12 @@ rect 2572 10852 2628 10854 rect 2652 10852 2708 10854 rect 2732 10852 2788 10854 rect 2812 10852 2868 10854 -rect 2962 10648 3018 10704 -rect 2502 10104 2558 10160 +rect 2778 10648 2834 10704 +rect 1306 8200 1362 8256 +rect 2134 8472 2190 8528 +rect 3054 10512 3110 10568 +rect 2870 9968 2926 10024 +rect 2962 9832 3018 9888 rect 2572 9818 2628 9820 rect 2652 9818 2708 9820 rect 2732 9818 2788 9820 @@ -7471,10 +7573,7 @@ rect 2572 9764 2628 9766 rect 2652 9764 2708 9766 rect 2732 9764 2788 9766 rect 2812 9764 2868 9766 -rect 2778 9324 2780 9344 -rect 2780 9324 2832 9344 -rect 2832 9324 2834 9344 -rect 2778 9288 2834 9324 +rect 2778 9424 2834 9480 rect 2572 8730 2628 8732 rect 2652 8730 2708 8732 rect 2732 8730 2788 8732 @@ -7493,7 +7592,10 @@ rect 2572 8676 2628 8678 rect 2652 8676 2708 8678 rect 2732 8676 2788 8678 rect 2812 8676 2868 8678 -rect 2686 7792 2742 7848 +rect 2502 7812 2558 7848 +rect 2502 7792 2504 7812 +rect 2504 7792 2556 7812 +rect 2556 7792 2558 7812 rect 2572 7642 2628 7644 rect 2652 7642 2708 7644 rect 2732 7642 2788 7644 @@ -7530,7 +7632,10 @@ rect 2572 6500 2628 6502 rect 2652 6500 2708 6502 rect 2732 6500 2788 6502 rect 2812 6500 2868 6502 -rect 3238 9016 3294 9072 +rect 2778 6316 2834 6352 +rect 2778 6296 2780 6316 +rect 2780 6296 2832 6316 +rect 2832 6296 2834 6316 rect 2572 5466 2628 5468 rect 2652 5466 2708 5468 rect 2732 5466 2788 5468 @@ -7549,8 +7654,13 @@ rect 2572 5412 2628 5414 rect 2652 5412 2708 5414 rect 2732 5412 2788 5414 rect 2812 5412 2868 5414 -rect 3514 8472 3570 8528 -rect 2686 3372 2742 3428 +rect 2686 3408 2688 3428 +rect 2688 3408 2740 3428 +rect 2740 3408 2742 3428 +rect 2686 3372 2742 3408 +rect 3606 11192 3662 11248 +rect 3330 10784 3386 10840 +rect 3330 8336 3386 8392 rect 2572 1114 2628 1116 rect 2652 1114 2708 1116 rect 2732 1114 2788 1116 @@ -7569,9 +7679,19 @@ rect 2572 1060 2628 1062 rect 2652 1060 2708 1062 rect 2732 1060 2788 1062 rect 2812 1060 2868 1062 -rect 3974 7928 4030 7984 -rect 4342 9560 4398 9616 -rect 9402 12280 9458 12336 +rect 3790 9016 3846 9072 +rect 3882 8608 3938 8664 +rect 3882 8336 3938 8392 +rect 3882 6704 3938 6760 +rect 4342 11056 4398 11112 +rect 4250 8880 4306 8936 +rect 4250 8608 4306 8664 +rect 4066 7248 4122 7304 +rect 4066 6704 4122 6760 +rect 3790 2508 3846 2544 +rect 3790 2488 3792 2508 +rect 3792 2488 3844 2508 +rect 3844 2488 3846 2508 rect 5072 11450 5128 11452 rect 5152 11450 5208 11452 rect 5232 11450 5288 11452 @@ -7590,6 +7710,10 @@ rect 5072 11396 5128 11398 rect 5152 11396 5208 11398 rect 5232 11396 5288 11398 rect 5312 11396 5368 11398 +rect 5354 10532 5410 10568 +rect 5354 10512 5356 10532 +rect 5356 10512 5408 10532 +rect 5408 10512 5410 10532 rect 5072 10362 5128 10364 rect 5152 10362 5208 10364 rect 5232 10362 5288 10364 @@ -7608,7 +7732,14 @@ rect 5072 10308 5128 10310 rect 5152 10308 5208 10310 rect 5232 10308 5288 10310 rect 5312 10308 5368 10310 -rect 5538 10376 5594 10432 +rect 5538 10512 5594 10568 +rect 5446 10240 5502 10296 +rect 4894 9424 4950 9480 +rect 4802 9288 4858 9344 +rect 4434 8200 4490 8256 +rect 4250 6840 4306 6896 +rect 4158 6296 4214 6352 +rect 5354 9560 5410 9616 rect 5072 9274 5128 9276 rect 5152 9274 5208 9276 rect 5232 9274 5288 9276 @@ -7627,11 +7758,6 @@ rect 5072 9220 5128 9222 rect 5152 9220 5208 9222 rect 5232 9220 5288 9222 rect 5312 9220 5368 9222 -rect 5446 9152 5502 9208 -rect 5630 9036 5686 9072 -rect 5630 9016 5632 9036 -rect 5632 9016 5684 9036 -rect 5684 9016 5686 9036 rect 5072 8186 5128 8188 rect 5152 8186 5208 8188 rect 5232 8186 5288 8188 @@ -7650,6 +7776,8 @@ rect 5072 8132 5128 8134 rect 5152 8132 5208 8134 rect 5232 8132 5288 8134 rect 5312 8132 5368 8134 +rect 5446 7384 5502 7440 +rect 5446 7112 5502 7168 rect 5072 7098 5128 7100 rect 5152 7098 5208 7100 rect 5232 7098 5288 7100 @@ -7704,6 +7832,13 @@ rect 5072 4868 5128 4870 rect 5152 4868 5208 4870 rect 5232 4868 5288 4870 rect 5312 4868 5368 4870 +rect 5354 4120 5410 4176 +rect 5814 9560 5870 9616 +rect 5722 8744 5778 8800 +rect 5630 8472 5686 8528 +rect 5814 7112 5870 7168 +rect 5722 6568 5778 6624 +rect 5538 3848 5594 3904 rect 5072 3834 5128 3836 rect 5152 3834 5208 3836 rect 5232 3834 5288 3836 @@ -7722,6 +7857,10 @@ rect 5072 3780 5128 3782 rect 5152 3780 5208 3782 rect 5232 3780 5288 3782 rect 5312 3780 5368 3782 +rect 5078 3476 5080 3496 +rect 5080 3476 5132 3496 +rect 5132 3476 5134 3496 +rect 5078 3440 5134 3476 rect 5072 2746 5128 2748 rect 5152 2746 5208 2748 rect 5232 2746 5288 2748 @@ -7740,46 +7879,6 @@ rect 5072 2692 5128 2694 rect 5152 2692 5208 2694 rect 5232 2692 5288 2694 rect 5312 2692 5368 2694 -rect 6366 10512 6422 10568 -rect 6182 8492 6238 8528 -rect 6182 8472 6184 8492 -rect 6184 8472 6236 8492 -rect 6236 8472 6238 8492 -rect 5906 8372 5908 8392 -rect 5908 8372 5960 8392 -rect 5960 8372 5962 8392 -rect 5906 8336 5962 8372 -rect 5906 7948 5962 7984 -rect 5906 7928 5908 7948 -rect 5908 7928 5960 7948 -rect 5960 7928 5962 7948 -rect 7572 11994 7628 11996 -rect 7652 11994 7708 11996 -rect 7732 11994 7788 11996 -rect 7812 11994 7868 11996 -rect 7572 11942 7618 11994 -rect 7618 11942 7628 11994 -rect 7652 11942 7682 11994 -rect 7682 11942 7694 11994 -rect 7694 11942 7708 11994 -rect 7732 11942 7746 11994 -rect 7746 11942 7758 11994 -rect 7758 11942 7788 11994 -rect 7812 11942 7822 11994 -rect 7822 11942 7868 11994 -rect 7572 11940 7628 11942 -rect 7652 11940 7708 11942 -rect 7732 11940 7788 11942 -rect 7812 11940 7868 11942 -rect 6918 11092 6920 11112 -rect 6920 11092 6972 11112 -rect 6972 11092 6974 11112 -rect 6458 9596 6460 9616 -rect 6460 9596 6512 9616 -rect 6512 9596 6514 9616 -rect 6458 9560 6514 9596 -rect 5998 5072 6054 5128 -rect 6182 5072 6238 5128 rect 5072 1658 5128 1660 rect 5152 1658 5208 1660 rect 5232 1658 5288 1660 @@ -7798,26 +7897,42 @@ rect 5072 1604 5128 1606 rect 5152 1604 5208 1606 rect 5232 1604 5288 1606 rect 5312 1604 5368 1606 -rect 5072 570 5128 572 -rect 5152 570 5208 572 -rect 5232 570 5288 572 -rect 5312 570 5368 572 -rect 5072 518 5118 570 -rect 5118 518 5128 570 -rect 5152 518 5182 570 -rect 5182 518 5194 570 -rect 5194 518 5208 570 -rect 5232 518 5246 570 -rect 5246 518 5258 570 -rect 5258 518 5288 570 -rect 5312 518 5322 570 -rect 5322 518 5368 570 -rect 5072 516 5128 518 -rect 5152 516 5208 518 -rect 5232 516 5288 518 -rect 5312 516 5368 518 -rect 6918 11056 6974 11092 -rect 7194 11056 7250 11112 +rect 5446 1300 5448 1320 +rect 5448 1300 5500 1320 +rect 5500 1300 5502 1320 +rect 5446 1264 5502 1300 +rect 10046 12280 10102 12336 +rect 6090 10376 6146 10432 +rect 7572 11994 7628 11996 +rect 7652 11994 7708 11996 +rect 7732 11994 7788 11996 +rect 7812 11994 7868 11996 +rect 7572 11942 7618 11994 +rect 7618 11942 7628 11994 +rect 7652 11942 7682 11994 +rect 7682 11942 7694 11994 +rect 7694 11942 7708 11994 +rect 7732 11942 7746 11994 +rect 7746 11942 7758 11994 +rect 7758 11942 7788 11994 +rect 7812 11942 7822 11994 +rect 7822 11942 7868 11994 +rect 7572 11940 7628 11942 +rect 7652 11940 7708 11942 +rect 7732 11940 7788 11942 +rect 7812 11940 7868 11942 +rect 5998 8608 6054 8664 +rect 6550 9288 6606 9344 +rect 6550 6740 6552 6760 +rect 6552 6740 6604 6760 +rect 6604 6740 6606 6760 +rect 6550 6704 6606 6740 +rect 6734 9288 6790 9344 +rect 6918 10648 6974 10704 +rect 7194 10804 7250 10840 +rect 7194 10784 7196 10804 +rect 7196 10784 7248 10804 +rect 7248 10784 7250 10804 rect 7572 10906 7628 10908 rect 7652 10906 7708 10908 rect 7732 10906 7788 10908 @@ -7854,10 +7969,13 @@ rect 7572 9764 7628 9766 rect 7652 9764 7708 9766 rect 7732 9764 7788 9766 rect 7812 9764 7868 9766 -rect 8206 10412 8208 10432 -rect 8208 10412 8260 10432 -rect 8260 10412 8262 10432 -rect 8206 10376 8262 10412 +rect 7562 9424 7618 9480 +rect 7102 8880 7158 8936 +rect 7194 8744 7250 8800 +rect 7102 6840 7158 6896 +rect 6826 4120 6882 4176 +rect 7102 3576 7158 3632 +rect 7470 8880 7526 8936 rect 7572 8730 7628 8732 rect 7652 8730 7708 8732 rect 7732 8730 7788 8732 @@ -7876,13 +7994,6 @@ rect 7572 8676 7628 8678 rect 7652 8676 7708 8678 rect 7732 8676 7788 8678 rect 7812 8676 7868 8678 -rect 7838 8472 7894 8528 -rect 7562 8372 7564 8392 -rect 7564 8372 7616 8392 -rect 7616 8372 7618 8392 -rect 7562 8336 7618 8372 -rect 6826 4120 6882 4176 -rect 7102 5616 7158 5672 rect 7572 7642 7628 7644 rect 7652 7642 7708 7644 rect 7732 7642 7788 7644 @@ -7937,6 +8048,8 @@ rect 7572 5412 7628 5414 rect 7652 5412 7708 5414 rect 7732 5412 7788 5414 rect 7812 5412 7868 5414 +rect 8206 8608 8262 8664 +rect 6826 2896 6882 2952 rect 7572 4378 7628 4380 rect 7652 4378 7708 4380 rect 7732 4378 7788 4380 @@ -7991,21 +8104,20 @@ rect 7572 2148 7628 2150 rect 7652 2148 7708 2150 rect 7732 2148 7788 2150 rect 7812 2148 7868 2150 -rect 8298 9016 8354 9072 +rect 8114 2488 8170 2544 rect 8482 9560 8538 9616 -rect 8574 9424 8630 9480 -rect 8574 9152 8630 9208 -rect 8482 8472 8538 8528 -rect 8574 7404 8630 7440 -rect 8574 7384 8576 7404 -rect 8576 7384 8628 7404 -rect 8628 7384 8630 7404 -rect 8574 5636 8630 5672 -rect 8574 5616 8576 5636 -rect 8576 5616 8628 5636 -rect 8628 5616 8630 5636 -rect 9126 10512 9182 10568 -rect 8758 1672 8814 1728 +rect 8666 10412 8668 10432 +rect 8668 10412 8720 10432 +rect 8720 10412 8722 10432 +rect 8666 10376 8722 10412 +rect 8390 3576 8446 3632 +rect 9586 10548 9588 10568 +rect 9588 10548 9640 10568 +rect 9640 10548 9642 10568 +rect 9586 10512 9642 10548 +rect 8850 1672 8906 1728 +rect 9402 6976 9458 7032 +rect 9034 5616 9090 5672 rect 7572 1114 7628 1116 rect 7652 1114 7708 1116 rect 7732 1114 7788 1116 @@ -8024,65 +8136,61 @@ rect 7572 1060 7628 1062 rect 7652 1060 7708 1062 rect 7732 1060 7788 1062 rect 7812 1060 7868 1062 -rect 9126 8916 9128 8936 -rect 9128 8916 9180 8936 -rect 9180 8916 9182 8936 -rect 9126 8880 9182 8916 -rect 9218 8608 9274 8664 -rect 9034 5772 9090 5808 -rect 9034 5752 9036 5772 -rect 9036 5752 9088 5772 -rect 9088 5752 9090 5772 -rect 8942 5072 8998 5128 -rect 13542 11872 13598 11928 -rect 9586 9152 9642 9208 -rect 9402 6024 9458 6080 -rect 9494 5888 9550 5944 -rect 9310 5616 9366 5672 -rect 9586 5616 9642 5672 -rect 9494 5516 9496 5536 -rect 9496 5516 9548 5536 -rect 9548 5516 9550 5536 -rect 9494 5480 9550 5516 -rect 9586 5344 9642 5400 -rect 9494 5228 9550 5264 -rect 9494 5208 9496 5228 -rect 9496 5208 9548 5228 -rect 9548 5208 9550 5228 -rect 9218 4528 9274 4584 -rect 9402 3712 9458 3768 -rect 13450 11464 13506 11520 -rect 11150 7384 11206 7440 -rect 11150 6976 11206 7032 -rect 11334 6160 11390 6216 -rect 11058 3712 11114 3768 -rect 11150 2896 11206 2952 -rect 10414 1264 10470 1320 -rect 5446 312 5502 368 -rect 13818 11056 13874 11112 -rect 13726 9424 13782 9480 -rect 13634 8200 13690 8256 -rect 22190 9832 22246 9888 -rect 13726 6568 13782 6624 -rect 13726 5344 13782 5400 -rect 13542 4936 13598 4992 -rect 13634 4528 13690 4584 -rect 13818 3304 13874 3360 -rect 16762 2488 16818 2544 -rect 16670 2080 16726 2136 -rect 11242 856 11298 912 -rect 4986 40 5042 96 -rect 10414 40 10470 96 +rect 9310 5652 9312 5672 +rect 9312 5652 9364 5672 +rect 9364 5652 9366 5672 +rect 9310 5616 9366 5652 +rect 9586 9424 9642 9480 +rect 9494 3576 9550 3632 +rect 13818 11892 13874 11928 +rect 13818 11872 13820 11892 +rect 13820 11872 13872 11892 +rect 13872 11872 13874 11892 +rect 11058 9832 11114 9888 +rect 10046 3712 10102 3768 +rect 10138 2080 10194 2136 +rect 22098 11056 22154 11112 +rect 16578 6568 16634 6624 +rect 16854 6160 16910 6216 +rect 16578 5344 16634 5400 +rect 16670 4936 16726 4992 +rect 16762 4528 16818 4584 +rect 16578 2488 16634 2544 +rect 16946 5752 17002 5808 +rect 22282 8200 22338 8256 +rect 13818 892 13820 912 +rect 13820 892 13872 912 +rect 13872 892 13874 912 +rect 13818 856 13874 892 +rect 5072 570 5128 572 +rect 5152 570 5208 572 +rect 5232 570 5288 572 +rect 5312 570 5368 572 +rect 5072 518 5118 570 +rect 5118 518 5128 570 +rect 5152 518 5182 570 +rect 5182 518 5194 570 +rect 5194 518 5208 570 +rect 5232 518 5246 570 +rect 5246 518 5258 570 +rect 5258 518 5288 570 +rect 5312 518 5322 570 +rect 5322 518 5368 570 +rect 5072 516 5128 518 +rect 5152 516 5208 518 +rect 5232 516 5288 518 +rect 5312 516 5368 518 +rect 5446 448 5502 504 << obsm2 >> rect 24000 0 34000 13000 << metal3 >> -rect 9397 12338 9463 12341 +rect 10041 12338 10107 12341 rect 14000 12338 34000 12368 -rect 9397 12336 34000 12338 -rect 9397 12280 9402 12336 -rect 9458 12280 34000 12336 -rect 9397 12278 34000 12280 -rect 9397 12275 9463 12278 +rect 10041 12336 34000 12338 +rect 10041 12280 10046 12336 +rect 10102 12280 34000 12336 +rect 10041 12278 34000 12280 +rect 10041 12275 10107 12278 rect 14000 12248 34000 12278 rect 2562 12000 2878 12001 rect 2562 11936 2568 12000 @@ -8098,21 +8206,23 @@ rect 7712 11936 7728 12000 rect 7792 11936 7808 12000 rect 7872 11936 7878 12000 rect 7562 11935 7878 11936 -rect 13537 11930 13603 11933 +rect 13813 11930 13879 11933 rect 14000 11930 34000 11960 -rect 13537 11928 34000 11930 -rect 13537 11872 13542 11928 -rect 13598 11872 34000 11928 -rect 13537 11870 34000 11872 -rect 13537 11867 13603 11870 +rect 13813 11928 34000 11930 +rect 13813 11872 13818 11928 +rect 13874 11872 34000 11928 +rect 13813 11870 34000 11872 +rect 13813 11867 13879 11870 rect 14000 11840 34000 11870 -rect 13445 11522 13511 11525 +rect 2497 11658 2563 11661 +rect 2497 11656 12450 11658 +rect 2497 11600 2502 11656 +rect 2558 11600 12450 11656 +rect 2497 11598 12450 11600 +rect 2497 11595 2563 11598 +rect 12390 11522 12450 11598 rect 14000 11522 34000 11552 -rect 13445 11520 34000 11522 -rect 13445 11464 13450 11520 -rect 13506 11464 34000 11520 -rect 13445 11462 34000 11464 -rect 13445 11459 13511 11462 +rect 12390 11462 34000 11522 rect 5062 11456 5378 11457 rect 5062 11392 5068 11456 rect 5132 11392 5148 11456 @@ -8121,26 +8231,28 @@ rect 5292 11392 5308 11456 rect 5372 11392 5378 11456 rect 14000 11432 34000 11462 rect 5062 11391 5378 11392 -rect 933 11114 999 11117 -rect 6913 11114 6979 11117 -rect 7189 11114 7255 11117 -rect 933 11112 7255 11114 -rect 933 11056 938 11112 -rect 994 11056 6918 11112 -rect 6974 11056 7194 11112 -rect 7250 11056 7255 11112 -rect 933 11054 7255 11056 -rect 933 11051 999 11054 -rect 6913 11051 6979 11054 -rect 7189 11051 7255 11054 -rect 13813 11114 13879 11117 -rect 14000 11114 34000 11144 -rect 13813 11112 34000 11114 -rect 13813 11056 13818 11112 -rect 13874 11056 34000 11112 -rect 13813 11054 34000 11056 -rect 13813 11051 13879 11054 -rect 14000 11024 34000 11054 +rect 3601 11250 3667 11253 +rect 4470 11250 4476 11252 +rect 3601 11248 4476 11250 +rect 3601 11192 3606 11248 +rect 3662 11192 4476 11248 +rect 3601 11190 4476 11192 +rect 3601 11187 3667 11190 +rect 4470 11188 4476 11190 +rect 4540 11188 4546 11252 +rect 1393 11114 1459 11117 +rect 4337 11114 4403 11117 +rect 1393 11112 4403 11114 +rect 1393 11056 1398 11112 +rect 1454 11056 4342 11112 +rect 4398 11056 4403 11112 +rect 1393 11054 4403 11056 +rect 1393 11051 1459 11054 +rect 4337 11051 4403 11054 +rect 14000 11112 34000 11144 +rect 14000 11056 22098 11112 +rect 22154 11056 34000 11112 +rect 14000 11024 34000 11056 rect 2562 10912 2878 10913 rect 2562 10848 2568 10912 rect 2632 10848 2648 10912 @@ -8155,32 +8267,59 @@ rect 7712 10848 7728 10912 rect 7792 10848 7808 10912 rect 7872 10848 7878 10912 rect 7562 10847 7878 10848 -rect 2957 10706 3023 10709 +rect 3325 10842 3391 10845 +rect 7189 10842 7255 10845 +rect 3325 10840 7255 10842 +rect 3325 10784 3330 10840 +rect 3386 10784 7194 10840 +rect 7250 10784 7255 10840 +rect 3325 10782 7255 10784 +rect 3325 10779 3391 10782 +rect 7189 10779 7255 10782 +rect 2773 10706 2839 10709 +rect 6913 10706 6979 10709 rect 14000 10706 34000 10736 -rect 2957 10704 34000 10706 -rect 2957 10648 2962 10704 -rect 3018 10648 34000 10704 -rect 2957 10646 34000 10648 -rect 2957 10643 3023 10646 +rect 2773 10704 5412 10706 +rect 2773 10648 2778 10704 +rect 2834 10648 5412 10704 +rect 2773 10646 5412 10648 +rect 2773 10643 2839 10646 +rect 5352 10573 5412 10646 +rect 6913 10704 34000 10706 +rect 6913 10648 6918 10704 +rect 6974 10648 34000 10704 +rect 6913 10646 34000 10648 +rect 6913 10643 6979 10646 rect 14000 10616 34000 10646 -rect 6361 10570 6427 10573 -rect 9121 10570 9187 10573 -rect 6361 10568 9187 10570 -rect 6361 10512 6366 10568 -rect 6422 10512 9126 10568 -rect 9182 10512 9187 10568 -rect 6361 10510 9187 10512 -rect 6361 10507 6427 10510 -rect 9121 10507 9187 10510 -rect 5533 10434 5599 10437 -rect 8201 10434 8267 10437 -rect 5533 10432 8267 10434 -rect 5533 10376 5538 10432 -rect 5594 10376 8206 10432 -rect 8262 10376 8267 10432 -rect 5533 10374 8267 10376 -rect 5533 10371 5599 10374 -rect 8201 10371 8267 10374 +rect 3049 10572 3115 10573 +rect 2998 10570 3004 10572 +rect 2958 10510 3004 10570 +rect 3068 10568 3115 10572 +rect 3110 10512 3115 10568 +rect 2998 10508 3004 10510 +rect 3068 10508 3115 10512 +rect 3049 10507 3115 10508 +rect 5349 10570 5415 10573 +rect 5533 10570 5599 10573 +rect 9581 10570 9647 10573 +rect 5349 10568 9647 10570 +rect 5349 10512 5354 10568 +rect 5410 10512 5538 10568 +rect 5594 10512 9586 10568 +rect 9642 10512 9647 10568 +rect 5349 10510 9647 10512 +rect 5349 10507 5415 10510 +rect 5533 10507 5599 10510 +rect 9581 10507 9647 10510 +rect 6085 10434 6151 10437 +rect 8661 10434 8727 10437 +rect 6085 10432 8727 10434 +rect 6085 10376 6090 10432 +rect 6146 10376 8666 10432 +rect 8722 10376 8727 10432 +rect 6085 10374 8727 10376 +rect 6085 10371 6151 10374 +rect 8661 10371 8727 10374 rect 5062 10368 5378 10369 rect 5062 10304 5068 10368 rect 5132 10304 5148 10368 @@ -8188,19 +8327,33 @@ rect 5212 10304 5228 10368 rect 5292 10304 5308 10368 rect 5372 10304 5378 10368 rect 5062 10303 5378 10304 +rect 5441 10298 5507 10301 rect 14000 10298 34000 10328 -rect 12390 10238 34000 10298 -rect 2497 10162 2563 10165 -rect 12390 10162 12450 10238 +rect 5441 10296 34000 10298 +rect 5441 10240 5446 10296 +rect 5502 10240 34000 10296 +rect 5441 10238 34000 10240 +rect 5441 10235 5507 10238 rect 14000 10208 34000 10238 -rect 2497 10160 12450 10162 -rect 2497 10104 2502 10160 -rect 2558 10104 12450 10160 -rect 2497 10102 12450 10104 -rect 2497 10099 2563 10102 -rect 14000 9888 34000 9920 -rect 14000 9832 22190 9888 -rect 22246 9832 34000 9888 +rect 2865 10026 2931 10029 +rect 2865 10024 3066 10026 +rect 2865 9968 2870 10024 +rect 2926 9968 3066 10024 +rect 2865 9966 3066 9968 +rect 2865 9963 2931 9966 +rect 3006 9893 3066 9966 +rect 2957 9888 3066 9893 +rect 2957 9832 2962 9888 +rect 3018 9832 3066 9888 +rect 2957 9830 3066 9832 +rect 11053 9890 11119 9893 +rect 14000 9890 34000 9920 +rect 11053 9888 34000 9890 +rect 11053 9832 11058 9888 +rect 11114 9832 34000 9888 +rect 11053 9830 34000 9832 +rect 2957 9827 3023 9830 +rect 11053 9827 11119 9830 rect 2562 9824 2878 9825 rect 2562 9760 2568 9824 rect 2632 9760 2648 9824 @@ -8214,44 +8367,64 @@ rect 7632 9760 7648 9824 rect 7712 9760 7728 9824 rect 7792 9760 7808 9824 rect 7872 9760 7878 9824 -rect 14000 9800 34000 9832 +rect 14000 9800 34000 9830 rect 7562 9759 7878 9760 -rect 4337 9618 4403 9621 -rect 4294 9616 4403 9618 -rect 4294 9560 4342 9616 -rect 4398 9560 4403 9616 -rect 4294 9555 4403 9560 -rect 6453 9618 6519 9621 +rect 4838 9556 4844 9620 +rect 4908 9618 4914 9620 +rect 5349 9618 5415 9621 +rect 4908 9616 5415 9618 +rect 4908 9560 5354 9616 +rect 5410 9560 5415 9616 +rect 4908 9558 5415 9560 +rect 4908 9556 4914 9558 +rect 5349 9555 5415 9558 +rect 5809 9618 5875 9621 rect 8477 9618 8543 9621 -rect 6453 9616 8543 9618 -rect 6453 9560 6458 9616 -rect 6514 9560 8482 9616 +rect 5809 9616 8543 9618 +rect 5809 9560 5814 9616 +rect 5870 9560 8482 9616 rect 8538 9560 8543 9616 -rect 6453 9558 8543 9560 -rect 6453 9555 6519 9558 +rect 5809 9558 8543 9560 +rect 5809 9555 5875 9558 rect 8477 9555 8543 9558 -rect 4294 9482 4354 9555 -rect 8569 9482 8635 9485 -rect 3006 9480 8635 9482 -rect 3006 9424 8574 9480 -rect 8630 9424 8635 9480 -rect 3006 9422 8635 9424 -rect 2773 9346 2839 9349 -rect 3006 9346 3066 9422 -rect 8569 9419 8635 9422 -rect 13721 9482 13787 9485 +rect 2773 9482 2839 9485 +rect 4889 9482 4955 9485 +rect 7557 9482 7623 9485 +rect 2773 9480 7623 9482 +rect 2773 9424 2778 9480 +rect 2834 9424 4894 9480 +rect 4950 9424 7562 9480 +rect 7618 9424 7623 9480 +rect 2773 9422 7623 9424 +rect 2773 9419 2839 9422 +rect 4889 9419 4955 9422 +rect 7557 9419 7623 9422 +rect 9581 9482 9647 9485 rect 14000 9482 34000 9512 -rect 13721 9480 34000 9482 -rect 13721 9424 13726 9480 -rect 13782 9424 34000 9480 -rect 13721 9422 34000 9424 -rect 13721 9419 13787 9422 +rect 9581 9480 34000 9482 +rect 9581 9424 9586 9480 +rect 9642 9424 34000 9480 +rect 9581 9422 34000 9424 +rect 9581 9419 9647 9422 rect 14000 9392 34000 9422 -rect 2773 9344 3066 9346 -rect 2773 9288 2778 9344 -rect 2834 9288 3066 9344 -rect 2773 9286 3066 9288 -rect 2773 9283 2839 9286 +rect 1209 9346 1275 9349 +rect 4797 9346 4863 9349 +rect 1209 9344 4863 9346 +rect 1209 9288 1214 9344 +rect 1270 9288 4802 9344 +rect 4858 9288 4863 9344 +rect 1209 9286 4863 9288 +rect 1209 9283 1275 9286 +rect 4797 9283 4863 9286 +rect 6545 9346 6611 9349 +rect 6729 9346 6795 9349 +rect 6545 9344 6795 9346 +rect 6545 9288 6550 9344 +rect 6606 9288 6734 9344 +rect 6790 9288 6795 9344 +rect 6545 9286 6795 9288 +rect 6545 9283 6611 9286 +rect 6729 9283 6795 9286 rect 5062 9280 5378 9281 rect 5062 9216 5068 9280 rect 5132 9216 5148 9280 @@ -8259,42 +8432,35 @@ rect 5212 9216 5228 9280 rect 5292 9216 5308 9280 rect 5372 9216 5378 9280 rect 5062 9215 5378 9216 -rect 5441 9210 5507 9213 -rect 8569 9210 8635 9213 -rect 9581 9210 9647 9213 -rect 5441 9208 9647 9210 -rect 5441 9152 5446 9208 -rect 5502 9152 8574 9208 -rect 8630 9152 9586 9208 -rect 9642 9152 9647 9208 -rect 5441 9150 9647 9152 -rect 5441 9147 5507 9150 -rect 8569 9147 8635 9150 -rect 9581 9147 9647 9150 -rect 3233 9074 3299 9077 -rect 5625 9074 5691 9077 -rect 8293 9074 8359 9077 +rect 3785 9074 3851 9077 rect 14000 9074 34000 9104 -rect 3233 9072 8218 9074 -rect 3233 9016 3238 9072 -rect 3294 9016 5630 9072 -rect 5686 9016 8218 9072 -rect 3233 9014 8218 9016 -rect 3233 9011 3299 9014 -rect 5625 9011 5691 9014 -rect 8158 8938 8218 9014 -rect 8293 9072 34000 9074 -rect 8293 9016 8298 9072 -rect 8354 9016 34000 9072 -rect 8293 9014 34000 9016 -rect 8293 9011 8359 9014 +rect 3785 9072 34000 9074 +rect 3785 9016 3790 9072 +rect 3846 9016 34000 9072 +rect 3785 9014 34000 9016 +rect 3785 9011 3851 9014 rect 14000 8984 34000 9014 -rect 9121 8938 9187 8941 -rect 8158 8936 9187 8938 -rect 8158 8880 9126 8936 -rect 9182 8880 9187 8936 -rect 8158 8878 9187 8880 -rect 9121 8875 9187 8878 +rect 4245 8938 4311 8941 +rect 7097 8938 7163 8941 +rect 7465 8938 7531 8941 +rect 4245 8936 7531 8938 +rect 4245 8880 4250 8936 +rect 4306 8880 7102 8936 +rect 7158 8880 7470 8936 +rect 7526 8880 7531 8936 +rect 4245 8878 7531 8880 +rect 4245 8875 4311 8878 +rect 7097 8875 7163 8878 +rect 7465 8875 7531 8878 +rect 5717 8802 5783 8805 +rect 7189 8802 7255 8805 +rect 5717 8800 7255 8802 +rect 5717 8744 5722 8800 +rect 5778 8744 7194 8800 +rect 7250 8744 7255 8800 +rect 5717 8742 7255 8744 +rect 5717 8739 5783 8742 +rect 7189 8739 7255 8742 rect 2562 8736 2878 8737 rect 2562 8672 2568 8736 rect 2632 8672 2648 8736 @@ -8309,72 +8475,77 @@ rect 7712 8672 7728 8736 rect 7792 8672 7808 8736 rect 7872 8672 7878 8736 rect 7562 8671 7878 8672 -rect 9213 8666 9279 8669 +rect 3877 8666 3943 8669 +rect 4245 8666 4311 8669 +rect 3877 8664 4311 8666 +rect 3877 8608 3882 8664 +rect 3938 8608 4250 8664 +rect 4306 8608 4311 8664 +rect 3877 8606 4311 8608 +rect 3877 8603 3943 8606 +rect 4245 8603 4311 8606 +rect 5758 8604 5764 8668 +rect 5828 8666 5834 8668 +rect 5993 8666 6059 8669 +rect 5828 8664 6059 8666 +rect 5828 8608 5998 8664 +rect 6054 8608 6059 8664 +rect 5828 8606 6059 8608 +rect 5828 8604 5834 8606 +rect 5993 8603 6059 8606 +rect 8201 8666 8267 8669 rect 14000 8666 34000 8696 -rect 9213 8664 34000 8666 -rect 9213 8608 9218 8664 -rect 9274 8608 34000 8664 -rect 9213 8606 34000 8608 -rect 9213 8603 9279 8606 +rect 8201 8664 34000 8666 +rect 8201 8608 8206 8664 +rect 8262 8608 34000 8664 +rect 8201 8606 34000 8608 +rect 8201 8603 8267 8606 rect 14000 8576 34000 8606 -rect 3509 8530 3575 8533 -rect 6177 8530 6243 8533 -rect 3509 8528 6243 8530 -rect 3509 8472 3514 8528 -rect 3570 8472 6182 8528 -rect 6238 8472 6243 8528 -rect 3509 8470 6243 8472 -rect 3509 8467 3575 8470 -rect 6177 8467 6243 8470 -rect 7833 8530 7899 8533 -rect 8477 8530 8543 8533 -rect 7833 8528 8543 8530 -rect 7833 8472 7838 8528 -rect 7894 8472 8482 8528 -rect 8538 8472 8543 8528 -rect 7833 8470 8543 8472 -rect 7833 8467 7899 8470 -rect 8477 8467 8543 8470 -rect 5901 8394 5967 8397 -rect 7557 8394 7623 8397 -rect 5901 8392 7623 8394 -rect 5901 8336 5906 8392 -rect 5962 8336 7562 8392 -rect 7618 8336 7623 8392 -rect 5901 8334 7623 8336 -rect 5901 8331 5967 8334 -rect 7557 8331 7623 8334 -rect 13629 8258 13695 8261 -rect 14000 8258 34000 8288 -rect 13629 8256 34000 8258 -rect 13629 8200 13634 8256 -rect 13690 8200 34000 8256 -rect 13629 8198 34000 8200 -rect 13629 8195 13695 8198 +rect 2129 8530 2195 8533 +rect 5625 8530 5691 8533 +rect 2129 8528 5691 8530 +rect 2129 8472 2134 8528 +rect 2190 8472 5630 8528 +rect 5686 8472 5691 8528 +rect 2129 8470 5691 8472 +rect 2129 8467 2195 8470 +rect 5625 8467 5691 8470 +rect 3325 8394 3391 8397 +rect 3877 8394 3943 8397 +rect 3325 8392 3943 8394 +rect 3325 8336 3330 8392 +rect 3386 8336 3882 8392 +rect 3938 8336 3943 8392 +rect 3325 8334 3943 8336 +rect 3325 8331 3391 8334 +rect 3877 8331 3943 8334 +rect 1301 8258 1367 8261 +rect 4429 8258 4495 8261 +rect 1301 8256 4495 8258 +rect 1301 8200 1306 8256 +rect 1362 8200 4434 8256 +rect 4490 8200 4495 8256 +rect 1301 8198 4495 8200 +rect 1301 8195 1367 8198 +rect 4429 8195 4495 8198 +rect 14000 8256 34000 8288 +rect 14000 8200 22282 8256 +rect 22338 8200 34000 8256 rect 5062 8192 5378 8193 rect 5062 8128 5068 8192 rect 5132 8128 5148 8192 rect 5212 8128 5228 8192 rect 5292 8128 5308 8192 rect 5372 8128 5378 8192 -rect 14000 8168 34000 8198 +rect 14000 8168 34000 8200 rect 5062 8127 5378 8128 -rect 3969 7986 4035 7989 -rect 5901 7986 5967 7989 -rect 3969 7984 5967 7986 -rect 3969 7928 3974 7984 -rect 4030 7928 5906 7984 -rect 5962 7928 5967 7984 -rect 3969 7926 5967 7928 -rect 3969 7923 4035 7926 -rect 5901 7923 5967 7926 -rect 2681 7850 2747 7853 +rect 2497 7850 2563 7853 rect 14000 7850 34000 7880 -rect 2681 7848 34000 7850 -rect 2681 7792 2686 7848 -rect 2742 7792 34000 7848 -rect 2681 7790 34000 7792 -rect 2681 7787 2747 7790 +rect 2497 7848 34000 7850 +rect 2497 7792 2502 7848 +rect 2558 7792 34000 7848 +rect 2497 7790 34000 7792 +rect 2497 7787 2563 7790 rect 14000 7760 34000 7790 rect 2562 7648 2878 7649 rect 2562 7584 2568 7648 @@ -8390,23 +8561,30 @@ rect 7712 7584 7728 7648 rect 7792 7584 7808 7648 rect 7872 7584 7878 7648 rect 7562 7583 7878 7584 -rect 1301 7442 1367 7445 -rect 8569 7442 8635 7445 -rect 1301 7440 8635 7442 -rect 1301 7384 1306 7440 -rect 1362 7384 8574 7440 -rect 8630 7384 8635 7440 -rect 1301 7382 8635 7384 -rect 1301 7379 1367 7382 -rect 8569 7379 8635 7382 -rect 11145 7442 11211 7445 +rect 5441 7442 5507 7445 rect 14000 7442 34000 7472 -rect 11145 7440 34000 7442 -rect 11145 7384 11150 7440 -rect 11206 7384 34000 7440 -rect 11145 7382 34000 7384 -rect 11145 7379 11211 7382 +rect 5441 7440 34000 7442 +rect 5441 7384 5446 7440 +rect 5502 7384 34000 7440 +rect 5441 7382 34000 7384 +rect 5441 7379 5507 7382 rect 14000 7352 34000 7382 +rect 4061 7306 4127 7309 +rect 4061 7304 5504 7306 +rect 4061 7248 4066 7304 +rect 4122 7248 5504 7304 +rect 4061 7246 5504 7248 +rect 4061 7243 4127 7246 +rect 5444 7173 5504 7246 +rect 5441 7170 5507 7173 +rect 5809 7170 5875 7173 +rect 5441 7168 5875 7170 +rect 5441 7112 5446 7168 +rect 5502 7112 5814 7168 +rect 5870 7112 5875 7168 +rect 5441 7110 5875 7112 +rect 5441 7107 5507 7110 +rect 5809 7107 5875 7110 rect 5062 7104 5378 7105 rect 5062 7040 5068 7104 rect 5132 7040 5148 7104 @@ -8414,21 +8592,57 @@ rect 5212 7040 5228 7104 rect 5292 7040 5308 7104 rect 5372 7040 5378 7104 rect 5062 7039 5378 7040 -rect 11145 7034 11211 7037 +rect 9397 7034 9463 7037 rect 14000 7034 34000 7064 -rect 11145 7032 34000 7034 -rect 11145 6976 11150 7032 -rect 11206 6976 34000 7032 -rect 11145 6974 34000 6976 -rect 11145 6971 11211 6974 +rect 9397 7032 34000 7034 +rect 9397 6976 9402 7032 +rect 9458 6976 34000 7032 +rect 9397 6974 34000 6976 +rect 9397 6971 9463 6974 rect 14000 6944 34000 6974 -rect 13721 6626 13787 6629 -rect 14000 6626 34000 6656 -rect 13721 6624 34000 6626 -rect 13721 6568 13726 6624 -rect 13782 6568 34000 6624 -rect 13721 6566 34000 6568 -rect 13721 6563 13787 6566 +rect 4245 6898 4311 6901 +rect 7097 6898 7163 6901 +rect 4245 6896 7163 6898 +rect 4245 6840 4250 6896 +rect 4306 6840 7102 6896 +rect 7158 6840 7163 6896 +rect 4245 6838 7163 6840 +rect 4245 6835 4311 6838 +rect 7097 6835 7163 6838 +rect 3877 6762 3943 6765 +rect 4061 6762 4127 6765 +rect 3877 6760 4127 6762 +rect 3877 6704 3882 6760 +rect 3938 6704 4066 6760 +rect 4122 6704 4127 6760 +rect 3877 6702 4127 6704 +rect 3877 6699 3943 6702 +rect 4061 6699 4127 6702 +rect 4470 6700 4476 6764 +rect 4540 6762 4546 6764 +rect 5574 6762 5580 6764 +rect 4540 6702 5580 6762 +rect 4540 6700 4546 6702 +rect 5574 6700 5580 6702 +rect 5644 6762 5650 6764 +rect 6545 6762 6611 6765 +rect 5644 6760 6611 6762 +rect 5644 6704 6550 6760 +rect 6606 6704 6611 6760 +rect 5644 6702 6611 6704 +rect 5644 6700 5650 6702 +rect 6545 6699 6611 6702 +rect 5717 6628 5783 6629 +rect 5717 6624 5764 6628 +rect 5828 6626 5834 6628 +rect 5717 6568 5722 6624 +rect 5717 6564 5764 6568 +rect 5828 6566 5874 6626 +rect 14000 6624 34000 6656 +rect 14000 6568 16578 6624 +rect 16634 6568 34000 6624 +rect 5828 6564 5834 6566 +rect 5717 6563 5783 6564 rect 2562 6560 2878 6561 rect 2562 6496 2568 6560 rect 2632 6496 2648 6560 @@ -8442,25 +8656,28 @@ rect 7632 6496 7648 6560 rect 7712 6496 7728 6560 rect 7792 6496 7808 6560 rect 7872 6496 7878 6560 -rect 14000 6536 34000 6566 +rect 14000 6536 34000 6568 rect 7562 6495 7878 6496 -rect 11329 6218 11395 6221 -rect 14000 6218 34000 6248 -rect 11329 6216 34000 6218 -rect 11329 6160 11334 6216 -rect 11390 6160 34000 6216 -rect 11329 6158 34000 6160 -rect 11329 6155 11395 6158 -rect 14000 6128 34000 6158 -rect 9254 6020 9260 6084 -rect 9324 6082 9330 6084 -rect 9397 6082 9463 6085 -rect 9324 6080 9463 6082 -rect 9324 6024 9402 6080 -rect 9458 6024 9463 6080 -rect 9324 6022 9463 6024 -rect 9324 6020 9330 6022 -rect 9397 6019 9463 6022 +rect 2773 6354 2839 6357 +rect 2998 6354 3004 6356 +rect 2773 6352 3004 6354 +rect 2773 6296 2778 6352 +rect 2834 6296 3004 6352 +rect 2773 6294 3004 6296 +rect 2773 6291 2839 6294 +rect 2998 6292 3004 6294 +rect 3068 6354 3074 6356 +rect 4153 6354 4219 6357 +rect 3068 6352 4219 6354 +rect 3068 6296 4158 6352 +rect 4214 6296 4219 6352 +rect 3068 6294 4219 6296 +rect 3068 6292 3074 6294 +rect 4153 6291 4219 6294 +rect 14000 6216 34000 6248 +rect 14000 6160 16854 6216 +rect 16910 6160 34000 6216 +rect 14000 6128 34000 6160 rect 5062 6016 5378 6017 rect 5062 5952 5068 6016 rect 5132 5952 5148 6016 @@ -8468,49 +8685,19 @@ rect 5212 5952 5228 6016 rect 5292 5952 5308 6016 rect 5372 5952 5378 6016 rect 5062 5951 5378 5952 -rect 9489 5948 9555 5949 -rect 9438 5946 9444 5948 -rect 9398 5886 9444 5946 -rect 9508 5944 9555 5948 -rect 9550 5888 9555 5944 -rect 9438 5884 9444 5886 -rect 9508 5884 9555 5888 -rect 9489 5883 9555 5884 -rect 9029 5810 9095 5813 -rect 14000 5810 34000 5840 -rect 9029 5808 34000 5810 -rect 9029 5752 9034 5808 -rect 9090 5752 34000 5808 -rect 9029 5750 34000 5752 -rect 9029 5747 9095 5750 -rect 14000 5720 34000 5750 -rect 7097 5674 7163 5677 -rect 8569 5674 8635 5677 +rect 14000 5808 34000 5840 +rect 14000 5752 16946 5808 +rect 17002 5752 34000 5808 +rect 14000 5720 34000 5752 +rect 9029 5674 9095 5677 rect 9305 5674 9371 5677 -rect 7097 5672 8402 5674 -rect 7097 5616 7102 5672 -rect 7158 5616 8402 5672 -rect 7097 5614 8402 5616 -rect 7097 5611 7163 5614 -rect 8342 5538 8402 5614 -rect 8569 5672 9371 5674 -rect 8569 5616 8574 5672 -rect 8630 5616 9310 5672 +rect 9029 5672 9371 5674 +rect 9029 5616 9034 5672 +rect 9090 5616 9310 5672 rect 9366 5616 9371 5672 -rect 8569 5614 9371 5616 -rect 8569 5611 8635 5614 +rect 9029 5614 9371 5616 +rect 9029 5611 9095 5614 rect 9305 5611 9371 5614 -rect 9581 5674 9647 5677 -rect 9581 5672 9690 5674 -rect 9581 5616 9586 5672 -rect 9642 5616 9690 5672 -rect 9581 5611 9690 5616 -rect 9489 5538 9555 5541 -rect 8342 5536 9555 5538 -rect 8342 5480 9494 5536 -rect 9550 5480 9555 5536 -rect 8342 5478 9555 5480 -rect 9489 5475 9555 5478 rect 2562 5472 2878 5473 rect 2562 5408 2568 5472 rect 2632 5408 2648 5472 @@ -8525,73 +8712,25 @@ rect 7712 5408 7728 5472 rect 7792 5408 7808 5472 rect 7872 5408 7878 5472 rect 7562 5407 7878 5408 -rect 9630 5405 9690 5611 -rect 9581 5400 9690 5405 -rect 9581 5344 9586 5400 -rect 9642 5344 9690 5400 -rect 9581 5342 9690 5344 -rect 13721 5402 13787 5405 -rect 14000 5402 34000 5432 -rect 13721 5400 34000 5402 -rect 13721 5344 13726 5400 -rect 13782 5344 34000 5400 -rect 13721 5342 34000 5344 -rect 9581 5339 9647 5342 -rect 13721 5339 13787 5342 -rect 14000 5312 34000 5342 -rect 9489 5268 9555 5269 -rect 9438 5204 9444 5268 -rect 9508 5266 9555 5268 -rect 9508 5264 9600 5266 -rect 9550 5208 9600 5264 -rect 9508 5206 9600 5208 -rect 9508 5204 9555 5206 -rect 9489 5203 9555 5204 -rect 5993 5130 6059 5133 -rect 6177 5130 6243 5133 -rect 5993 5128 6243 5130 -rect 5993 5072 5998 5128 -rect 6054 5072 6182 5128 -rect 6238 5072 6243 5128 -rect 5993 5070 6243 5072 -rect 5993 5067 6059 5070 -rect 6177 5067 6243 5070 -rect 8937 5130 9003 5133 -rect 8937 5128 9138 5130 -rect 8937 5072 8942 5128 -rect 8998 5072 9138 5128 -rect 8937 5070 9138 5072 -rect 8937 5067 9003 5070 +rect 14000 5400 34000 5432 +rect 14000 5344 16578 5400 +rect 16634 5344 34000 5400 +rect 14000 5312 34000 5344 +rect 14000 4992 34000 5024 +rect 14000 4936 16670 4992 +rect 16726 4936 34000 4992 rect 5062 4928 5378 4929 rect 5062 4864 5068 4928 rect 5132 4864 5148 4928 rect 5212 4864 5228 4928 rect 5292 4864 5308 4928 rect 5372 4864 5378 4928 +rect 14000 4904 34000 4936 rect 5062 4863 5378 4864 -rect 9078 4586 9138 5070 -rect 13537 4994 13603 4997 -rect 14000 4994 34000 5024 -rect 13537 4992 34000 4994 -rect 13537 4936 13542 4992 -rect 13598 4936 34000 4992 -rect 13537 4934 34000 4936 -rect 13537 4931 13603 4934 -rect 14000 4904 34000 4934 -rect 9213 4586 9279 4589 -rect 9078 4584 9279 4586 -rect 9078 4528 9218 4584 -rect 9274 4528 9279 4584 -rect 9078 4526 9279 4528 -rect 9213 4523 9279 4526 -rect 13629 4586 13695 4589 -rect 14000 4586 34000 4616 -rect 13629 4584 34000 4586 -rect 13629 4528 13634 4584 -rect 13690 4528 34000 4584 -rect 13629 4526 34000 4528 -rect 13629 4523 13695 4526 -rect 14000 4496 34000 4526 +rect 14000 4584 34000 4616 +rect 14000 4528 16762 4584 +rect 16818 4528 34000 4584 +rect 14000 4496 34000 4528 rect 7562 4384 7878 4385 rect 7562 4320 7568 4384 rect 7632 4320 7648 4384 @@ -8599,6 +8738,15 @@ rect 7712 4320 7728 4384 rect 7792 4320 7808 4384 rect 7872 4320 7878 4384 rect 7562 4319 7878 4320 +rect 4838 4116 4844 4180 +rect 4908 4178 4914 4180 +rect 5349 4178 5415 4181 +rect 4908 4176 5415 4178 +rect 4908 4120 5354 4176 +rect 5410 4120 5415 4176 +rect 4908 4118 5415 4120 +rect 4908 4116 4914 4118 +rect 5349 4115 5415 4118 rect 6821 4178 6887 4181 rect 14000 4178 34000 4208 rect 6821 4176 34000 4178 @@ -8607,6 +8755,14 @@ rect 6882 4120 34000 4176 rect 6821 4118 34000 4120 rect 6821 4115 6887 4118 rect 14000 4088 34000 4118 +rect 5533 3908 5599 3909 +rect 5533 3904 5580 3908 +rect 5644 3906 5650 3908 +rect 5533 3848 5538 3904 +rect 5533 3844 5580 3848 +rect 5644 3846 5690 3906 +rect 5644 3844 5650 3846 +rect 5533 3843 5599 3844 rect 5062 3840 5378 3841 rect 5062 3776 5068 3840 rect 5132 3776 5148 3840 @@ -8614,36 +8770,41 @@ rect 5212 3776 5228 3840 rect 5292 3776 5308 3840 rect 5372 3776 5378 3840 rect 5062 3775 5378 3776 -rect 9254 3708 9260 3772 -rect 9324 3770 9330 3772 -rect 9397 3770 9463 3773 -rect 9324 3768 9463 3770 -rect 9324 3712 9402 3768 -rect 9458 3712 9463 3768 -rect 9324 3710 9463 3712 -rect 9324 3708 9330 3710 -rect 9397 3707 9463 3710 -rect 11053 3770 11119 3773 +rect 10041 3770 10107 3773 rect 14000 3770 34000 3800 -rect 11053 3768 34000 3770 -rect 11053 3712 11058 3768 -rect 11114 3712 34000 3768 -rect 11053 3710 34000 3712 -rect 11053 3707 11119 3710 +rect 10041 3768 34000 3770 +rect 10041 3712 10046 3768 +rect 10102 3712 34000 3768 +rect 10041 3710 34000 3712 +rect 10041 3707 10107 3710 rect 14000 3680 34000 3710 +rect 7097 3634 7163 3637 +rect 8385 3634 8451 3637 +rect 9489 3634 9555 3637 +rect 7097 3632 9555 3634 +rect 7097 3576 7102 3632 +rect 7158 3576 8390 3632 +rect 8446 3576 9494 3632 +rect 9550 3576 9555 3632 +rect 7097 3574 9555 3576 +rect 7097 3571 7163 3574 +rect 8385 3571 8451 3574 +rect 9489 3571 9555 3574 +rect 5073 3498 5139 3501 +rect 5073 3496 12450 3498 +rect 5073 3440 5078 3496 +rect 5134 3440 12450 3496 +rect 5073 3438 12450 3440 +rect 5073 3435 5139 3438 rect 2681 3430 2747 3433 rect 2484 3428 2747 3430 rect 2484 3372 2686 3428 rect 2742 3372 2747 3428 rect 2484 3370 2747 3372 rect 2681 3367 2747 3370 -rect 13813 3362 13879 3365 +rect 12390 3362 12450 3438 rect 14000 3362 34000 3392 -rect 13813 3360 34000 3362 -rect 13813 3304 13818 3360 -rect 13874 3304 34000 3360 -rect 13813 3302 34000 3304 -rect 13813 3299 13879 3302 +rect 12390 3302 34000 3362 rect 7562 3296 7878 3297 rect 7562 3232 7568 3296 rect 7632 3232 7648 3296 @@ -8652,13 +8813,13 @@ rect 7792 3232 7808 3296 rect 7872 3232 7878 3296 rect 14000 3272 34000 3302 rect 7562 3231 7878 3232 -rect 11145 2954 11211 2957 +rect 6821 2954 6887 2957 rect 14000 2954 34000 2984 -rect 11145 2952 34000 2954 -rect 11145 2896 11150 2952 -rect 11206 2896 34000 2952 -rect 11145 2894 34000 2896 -rect 11145 2891 11211 2894 +rect 6821 2952 34000 2954 +rect 6821 2896 6826 2952 +rect 6882 2896 34000 2952 +rect 6821 2894 34000 2896 +rect 6821 2891 6887 2894 rect 14000 2864 34000 2894 rect 5062 2752 5378 2753 rect 5062 2688 5068 2752 @@ -8667,9 +8828,25 @@ rect 5212 2688 5228 2752 rect 5292 2688 5308 2752 rect 5372 2688 5378 2752 rect 5062 2687 5378 2688 +rect 3785 2546 3851 2549 +rect 4838 2546 4844 2548 +rect 3785 2544 4844 2546 +rect 3785 2488 3790 2544 +rect 3846 2488 4844 2544 +rect 3785 2486 4844 2488 +rect 3785 2483 3851 2486 +rect 4838 2484 4844 2486 +rect 4908 2546 4914 2548 +rect 8109 2546 8175 2549 +rect 4908 2544 8175 2546 +rect 4908 2488 8114 2544 +rect 8170 2488 8175 2544 +rect 4908 2486 8175 2488 +rect 4908 2484 4914 2486 +rect 8109 2483 8175 2486 rect 14000 2544 34000 2576 -rect 14000 2488 16762 2544 -rect 16818 2488 34000 2544 +rect 14000 2488 16578 2544 +rect 16634 2488 34000 2544 rect 14000 2456 34000 2488 rect 7562 2208 7878 2209 rect 7562 2144 7568 2208 @@ -8678,17 +8855,21 @@ rect 7712 2144 7728 2208 rect 7792 2144 7808 2208 rect 7872 2144 7878 2208 rect 7562 2143 7878 2144 -rect 14000 2136 34000 2168 -rect 14000 2080 16670 2136 -rect 16726 2080 34000 2136 -rect 14000 2048 34000 2080 -rect 8753 1730 8819 1733 +rect 10133 2138 10199 2141 +rect 14000 2138 34000 2168 +rect 10133 2136 34000 2138 +rect 10133 2080 10138 2136 +rect 10194 2080 34000 2136 +rect 10133 2078 34000 2080 +rect 10133 2075 10199 2078 +rect 14000 2048 34000 2078 +rect 8845 1730 8911 1733 rect 14000 1730 34000 1760 -rect 8753 1728 34000 1730 -rect 8753 1672 8758 1728 -rect 8814 1672 34000 1728 -rect 8753 1670 34000 1672 -rect 8753 1667 8819 1670 +rect 8845 1728 34000 1730 +rect 8845 1672 8850 1728 +rect 8906 1672 34000 1728 +rect 8845 1670 34000 1672 +rect 8845 1667 8911 1670 rect 5062 1664 5378 1665 rect 5062 1600 5068 1664 rect 5132 1600 5148 1664 @@ -8697,13 +8878,13 @@ rect 5292 1600 5308 1664 rect 5372 1600 5378 1664 rect 14000 1640 34000 1670 rect 5062 1599 5378 1600 -rect 10409 1322 10475 1325 +rect 5441 1322 5507 1325 rect 14000 1322 34000 1352 -rect 10409 1320 34000 1322 -rect 10409 1264 10414 1320 -rect 10470 1264 34000 1320 -rect 10409 1262 34000 1264 -rect 10409 1259 10475 1262 +rect 5441 1320 34000 1322 +rect 5441 1264 5446 1320 +rect 5502 1264 34000 1320 +rect 5441 1262 34000 1264 +rect 5441 1259 5507 1262 rect 14000 1232 34000 1262 rect 2562 1120 2878 1121 rect 2562 1056 2568 1120 @@ -8719,13 +8900,13 @@ rect 7712 1056 7728 1120 rect 7792 1056 7808 1120 rect 7872 1056 7878 1120 rect 7562 1055 7878 1056 -rect 11237 914 11303 917 +rect 13813 914 13879 917 rect 14000 914 34000 944 -rect 11237 912 34000 914 -rect 11237 856 11242 912 -rect 11298 856 34000 912 -rect 11237 854 34000 856 -rect 11237 851 11303 854 +rect 13813 912 34000 914 +rect 13813 856 13818 912 +rect 13874 856 34000 912 +rect 13813 854 34000 856 +rect 13813 851 13879 854 rect 14000 824 34000 854 rect 5062 576 5378 577 rect 5062 512 5068 576 @@ -8734,25 +8915,14 @@ rect 5212 512 5228 576 rect 5292 512 5308 576 rect 5372 512 5378 576 rect 5062 511 5378 512 +rect 5441 506 5507 509 rect 14000 506 34000 536 -rect 6870 446 34000 506 -rect 5441 370 5507 373 -rect 6870 370 6930 446 +rect 5441 504 34000 506 +rect 5441 448 5446 504 +rect 5502 448 34000 504 +rect 5441 446 34000 448 +rect 5441 443 5507 446 rect 14000 416 34000 446 -rect 5441 368 6930 370 -rect 5441 312 5446 368 -rect 5502 312 6930 368 -rect 5441 310 6930 312 -rect 5441 307 5507 310 -rect 4981 98 5047 101 -rect 10409 98 10475 101 -rect 4981 96 10475 98 -rect 4981 40 4986 96 -rect 5042 40 10414 96 -rect 10470 40 10475 96 -rect 4981 38 10475 40 -rect 4981 35 5047 38 -rect 10409 35 10475 38 << via3 >> rect 2568 11996 2632 12000 rect 2568 11940 2572 11996 @@ -8814,6 +8984,7 @@ rect 5308 11396 5312 11452 rect 5312 11396 5368 11452 rect 5368 11396 5372 11452 rect 5308 11392 5372 11396 +rect 4476 11188 4540 11252 rect 2568 10908 2632 10912 rect 2568 10852 2572 10908 rect 2572 10852 2628 10908 @@ -8854,6 +9025,10 @@ rect 7808 10852 7812 10908 rect 7812 10852 7868 10908 rect 7868 10852 7872 10908 rect 7808 10848 7872 10852 +rect 3004 10568 3068 10572 +rect 3004 10512 3054 10568 +rect 3054 10512 3068 10568 +rect 3004 10508 3068 10512 rect 5068 10364 5132 10368 rect 5068 10308 5072 10364 rect 5072 10308 5128 10364 @@ -8914,6 +9089,7 @@ rect 7808 9764 7812 9820 rect 7812 9764 7868 9820 rect 7868 9764 7872 9820 rect 7808 9760 7872 9764 +rect 4844 9556 4908 9620 rect 5068 9276 5132 9280 rect 5068 9220 5072 9276 rect 5072 9220 5128 9276 @@ -8974,6 +9150,7 @@ rect 7808 8676 7812 8732 rect 7812 8676 7868 8732 rect 7868 8676 7872 8732 rect 7808 8672 7872 8676 +rect 5764 8604 5828 8668 rect 5068 8188 5132 8192 rect 5068 8132 5072 8188 rect 5072 8132 5128 8188 @@ -9054,6 +9231,12 @@ rect 5308 7044 5312 7100 rect 5312 7044 5368 7100 rect 5368 7044 5372 7100 rect 5308 7040 5372 7044 +rect 4476 6700 4540 6764 +rect 5580 6700 5644 6764 +rect 5764 6624 5828 6628 +rect 5764 6568 5778 6624 +rect 5778 6568 5828 6624 +rect 5764 6564 5828 6568 rect 2568 6556 2632 6560 rect 2568 6500 2572 6556 rect 2572 6500 2628 6556 @@ -9094,7 +9277,7 @@ rect 7808 6500 7812 6556 rect 7812 6500 7868 6556 rect 7868 6500 7872 6556 rect 7808 6496 7872 6500 -rect 9260 6020 9324 6084 +rect 3004 6292 3068 6356 rect 5068 6012 5132 6016 rect 5068 5956 5072 6012 rect 5072 5956 5128 6012 @@ -9115,10 +9298,6 @@ rect 5308 5956 5312 6012 rect 5312 5956 5368 6012 rect 5368 5956 5372 6012 rect 5308 5952 5372 5956 -rect 9444 5944 9508 5948 -rect 9444 5888 9494 5944 -rect 9494 5888 9508 5944 -rect 9444 5884 9508 5888 rect 2568 5468 2632 5472 rect 2568 5412 2572 5468 rect 2572 5412 2628 5468 @@ -9159,10 +9338,6 @@ rect 7808 5412 7812 5468 rect 7812 5412 7868 5468 rect 7868 5412 7872 5468 rect 7808 5408 7872 5412 -rect 9444 5264 9508 5268 -rect 9444 5208 9494 5264 -rect 9494 5208 9508 5264 -rect 9444 5204 9508 5208 rect 5068 4924 5132 4928 rect 5068 4868 5072 4924 rect 5072 4868 5128 4924 @@ -9203,6 +9378,11 @@ rect 7808 4324 7812 4380 rect 7812 4324 7868 4380 rect 7868 4324 7872 4380 rect 7808 4320 7872 4324 +rect 4844 4116 4908 4180 +rect 5580 3904 5644 3908 +rect 5580 3848 5594 3904 +rect 5594 3848 5644 3904 +rect 5580 3844 5644 3848 rect 5068 3836 5132 3840 rect 5068 3780 5072 3836 rect 5072 3780 5128 3836 @@ -9223,7 +9403,6 @@ rect 5308 3780 5312 3836 rect 5312 3780 5368 3836 rect 5368 3780 5372 3836 rect 5308 3776 5372 3780 -rect 9260 3708 9324 3772 rect 7568 3292 7632 3296 rect 7568 3236 7572 3292 rect 7572 3236 7628 3292 @@ -9264,6 +9443,7 @@ rect 5308 2692 5312 2748 rect 5312 2692 5368 2748 rect 5368 2692 5372 2748 rect 5308 2688 5372 2692 +rect 4844 2484 4908 2548 rect 7568 2204 7632 2208 rect 7568 2148 7572 2204 rect 7572 2148 7628 2204 @@ -9381,6 +9561,10 @@ rect 2712 10848 2728 10912 rect 2792 10848 2808 10912 rect 2872 10848 2880 10912 rect 2560 9824 2880 10848 +rect 3003 10572 3069 10573 +rect 3003 10508 3004 10572 +rect 3068 10508 3069 10572 +rect 3003 10507 3069 10508 rect 2560 9760 2568 9824 rect 2632 9760 2648 9824 rect 2712 9760 2728 9824 @@ -9408,6 +9592,24 @@ rect 2712 6496 2728 6560 rect 2792 6496 2808 6560 rect 2872 6496 2880 6560 rect 2560 5472 2880 6496 +rect 3006 6357 3066 10507 +rect 3560 9266 3880 12016 +rect 5060 11456 5380 12016 +rect 5060 11392 5068 11456 +rect 5132 11392 5148 11456 +rect 5212 11392 5228 11456 +rect 5292 11392 5308 11456 +rect 5372 11392 5380 11456 +rect 4475 11252 4541 11253 +rect 4475 11188 4476 11252 +rect 4540 11188 4541 11252 +rect 4475 11187 4541 11188 +rect 3560 9030 3602 9266 +rect 3838 9030 3880 9266 +rect 3003 6356 3069 6357 +rect 3003 6292 3004 6356 +rect 3068 6292 3069 6356 +rect 3003 6291 3069 6292 rect 2560 5408 2568 5472 rect 2632 5408 2648 5472 rect 2712 5408 2728 5472 @@ -9434,22 +9636,8 @@ rect 2712 1056 2728 1120 rect 2792 1056 2808 1120 rect 2872 1056 2880 1120 rect 2560 496 2880 1056 -rect 3560 9266 3880 12016 -rect 3560 9030 3602 9266 -rect 3838 9030 3880 9266 rect 3560 5886 3880 9030 -rect 3560 5650 3602 5886 -rect 3838 5650 3880 5886 -rect 3560 2506 3880 5650 -rect 3560 2270 3602 2506 -rect 3838 2270 3880 2506 -rect 3560 496 3880 2270 -rect 5060 11456 5380 12016 -rect 5060 11392 5068 11456 -rect 5132 11392 5148 11456 -rect 5212 11392 5228 11456 -rect 5292 11392 5308 11456 -rect 5372 11392 5380 11456 +rect 4478 6765 4538 11187 rect 5060 10368 5380 11392 rect 5060 10304 5068 10368 rect 5132 10304 5148 10368 @@ -9459,6 +9647,18 @@ rect 5372 10304 5380 10368 rect 5060 9908 5380 10304 rect 5060 9672 5102 9908 rect 5338 9672 5380 9908 +rect 4843 9620 4909 9621 +rect 4843 9556 4844 9620 +rect 4908 9556 4909 9620 +rect 4843 9555 4909 9556 +rect 4475 6764 4541 6765 +rect 4475 6700 4476 6764 +rect 4540 6700 4541 6764 +rect 4475 6699 4541 6700 +rect 3560 5650 3602 5886 +rect 3838 5650 3880 5886 +rect 3560 2506 3880 5650 +rect 4846 4181 4906 9555 rect 5060 9280 5380 9672 rect 5060 9216 5068 9280 rect 5132 9216 5148 9280 @@ -9466,6 +9666,13 @@ rect 5212 9216 5228 9280 rect 5292 9216 5308 9280 rect 5372 9216 5380 9280 rect 5060 8192 5380 9216 +rect 6060 10956 6380 12016 +rect 6060 10720 6102 10956 +rect 6338 10720 6380 10956 +rect 5763 8668 5829 8669 +rect 5763 8604 5764 8668 +rect 5828 8604 5829 8668 +rect 5763 8603 5829 8604 rect 5060 8128 5068 8192 rect 5132 8128 5148 8192 rect 5212 8128 5228 8192 @@ -9478,6 +9685,10 @@ rect 5212 7040 5228 7104 rect 5292 7040 5308 7104 rect 5372 7040 5380 7104 rect 5060 6528 5380 7040 +rect 5579 6764 5645 6765 +rect 5579 6700 5580 6764 +rect 5644 6700 5645 6764 +rect 5579 6699 5645 6700 rect 5060 6292 5102 6528 rect 5338 6292 5380 6528 rect 5060 6016 5380 6292 @@ -9492,7 +9703,28 @@ rect 5132 4864 5148 4928 rect 5212 4864 5228 4928 rect 5292 4864 5308 4928 rect 5372 4864 5380 4928 +rect 4843 4180 4909 4181 +rect 4843 4116 4844 4180 +rect 4908 4116 4909 4180 +rect 4843 4115 4909 4116 +rect 4846 2549 4906 4115 rect 5060 3840 5380 4864 +rect 5582 3909 5642 6699 +rect 5766 6629 5826 8603 +rect 6060 7576 6380 10720 +rect 6060 7340 6102 7576 +rect 6338 7340 6380 7576 +rect 5763 6628 5829 6629 +rect 5763 6564 5764 6628 +rect 5828 6564 5829 6628 +rect 5763 6563 5829 6564 +rect 6060 4196 6380 7340 +rect 6060 3960 6102 4196 +rect 6338 3960 6380 4196 +rect 5579 3908 5645 3909 +rect 5579 3844 5580 3908 +rect 5644 3844 5645 3908 +rect 5579 3843 5645 3844 rect 5060 3776 5068 3840 rect 5132 3776 5148 3840 rect 5212 3776 5228 3840 @@ -9507,6 +9739,13 @@ rect 5132 2688 5148 2752 rect 5212 2688 5228 2752 rect 5292 2688 5308 2752 rect 5372 2688 5380 2752 +rect 3560 2270 3602 2506 +rect 3838 2270 3880 2506 +rect 4843 2548 4909 2549 +rect 4843 2484 4844 2548 +rect 4908 2484 4909 2548 +rect 4843 2483 4909 2484 +rect 3560 496 3880 2270 rect 5060 1664 5380 2688 rect 5060 1600 5068 1664 rect 5132 1600 5148 1664 @@ -9520,15 +9759,6 @@ rect 5212 512 5228 576 rect 5292 512 5308 576 rect 5372 512 5380 576 rect 5060 496 5380 512 -rect 6060 10956 6380 12016 -rect 6060 10720 6102 10956 -rect 6338 10720 6380 10956 -rect 6060 7576 6380 10720 -rect 6060 7340 6102 7576 -rect 6338 7340 6380 7576 -rect 6060 4196 6380 7340 -rect 6060 3960 6102 4196 -rect 6338 3960 6380 4196 rect 6060 496 6380 3960 rect 7560 12000 7880 12016 rect 7560 11936 7568 12000 @@ -9613,27 +9843,9 @@ rect 8560 9266 8880 12016 rect 8560 9030 8602 9266 rect 8838 9030 8880 9266 rect 8560 5886 8880 9030 -rect 9259 6084 9325 6085 -rect 9259 6020 9260 6084 -rect 9324 6020 9325 6084 -rect 9259 6019 9325 6020 rect 8560 5650 8602 5886 rect 8838 5650 8880 5886 rect 8560 2506 8880 5650 -rect 9262 3773 9322 6019 -rect 9443 5948 9509 5949 -rect 9443 5884 9444 5948 -rect 9508 5884 9509 5948 -rect 9443 5883 9509 5884 -rect 9446 5269 9506 5883 -rect 9443 5268 9509 5269 -rect 9443 5204 9444 5268 -rect 9508 5204 9509 5268 -rect 9443 5203 9509 5204 -rect 9259 3772 9325 3773 -rect 9259 3708 9260 3772 -rect 9324 3708 9325 3772 -rect 9259 3707 9325 3708 rect 8560 2270 8602 2506 rect 8838 2270 8880 2506 rect 8560 496 8880 2270 @@ -9642,19 +9854,19 @@ rect 9800 0 34000 13000 << via4 >> rect 2602 11362 2838 11598 rect 2602 7982 2838 8218 +rect 3602 9030 3838 9266 rect 2602 4602 2838 4838 rect 2018 3960 2254 4196 rect 1278 2270 1514 2506 rect 2602 1222 2838 1458 -rect 3602 9030 3838 9266 -rect 3602 5650 3838 5886 -rect 3602 2270 3838 2506 rect 5102 9672 5338 9908 -rect 5102 6292 5338 6528 -rect 5102 2912 5338 3148 +rect 3602 5650 3838 5886 rect 6102 10720 6338 10956 +rect 5102 6292 5338 6528 rect 6102 7340 6338 7576 rect 6102 3960 6338 4196 +rect 5102 2912 5338 3148 +rect 3602 2270 3838 2506 rect 7602 11362 7838 11598 rect 7602 7982 7838 8218 rect 7602 4602 7838 4838 @@ -9726,153 +9938,173 @@ rect 7838 1222 10000 1458 rect 872 1180 10000 1222 << obsm5 >> rect 10000 0 34000 13000 -use sky130_fd_sc_hd__diode_2 ANTENNA__065__A0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__diode_2 ANTENNA__058__1_A deps/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1662439860 +transform 1 0 3036 0 1 544 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__061__A0 timestamp 1662439860 transform -1 0 1380 0 1 7072 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__066__B +use sky130_fd_sc_hd__diode_2 ANTENNA__062__B timestamp 1662439860 -transform -1 0 9108 0 1 544 +transform -1 0 9752 0 1 544 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__071__B -timestamp 1662439860 -transform 1 0 1196 0 -1 10336 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__072__B -timestamp 1662439860 -transform -1 0 10028 0 -1 5984 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__073__A -timestamp 1662439860 -transform 1 0 1288 0 1 544 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__073__B -timestamp 1662439860 -transform 1 0 2944 0 1 544 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__074__A_N -timestamp 1662439860 -transform 1 0 3128 0 1 544 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__074__B -timestamp 1662439860 -transform -1 0 3772 0 1 544 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__075__B -timestamp 1662439860 -transform -1 0 8924 0 1 7072 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__076__B -timestamp 1662439860 -transform -1 0 10028 0 1 10336 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__077__B -timestamp 1662439860 -transform -1 0 1380 0 1 9248 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__078__B -timestamp 1662439860 -transform -1 0 8464 0 -1 1632 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__079__A -timestamp 1662439860 -transform 1 0 5980 0 -1 2720 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__079__B +use sky130_fd_sc_hd__diode_2 ANTENNA__068__B timestamp 1662439860 transform 1 0 5796 0 -1 2720 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__080__A_N +use sky130_fd_sc_hd__diode_2 ANTENNA__069__B timestamp 1662439860 -transform 1 0 2760 0 1 544 +transform -1 0 8648 0 -1 1632 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__080__B +use sky130_fd_sc_hd__diode_2 ANTENNA__070__B timestamp 1662439860 -transform -1 0 3496 0 -1 1632 +transform -1 0 2484 0 1 544 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__081__B +use sky130_fd_sc_hd__diode_2 ANTENNA__071__B timestamp 1662439860 -transform -1 0 10028 0 -1 9248 +transform 1 0 3680 0 1 1632 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__082__B +use sky130_fd_sc_hd__diode_2 ANTENNA__072__B timestamp 1662439860 -transform 1 0 3312 0 1 544 +transform -1 0 3772 0 1 10336 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__083__A +use sky130_fd_sc_hd__diode_2 ANTENNA__073__B timestamp 1662439860 -transform 1 0 4416 0 1 1632 +transform -1 0 8648 0 1 10336 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__083__B +use sky130_fd_sc_hd__diode_2 ANTENNA__074__B +timestamp 1662439860 +transform -1 0 8832 0 -1 1632 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__075__B +timestamp 1662439860 +transform -1 0 1380 0 1 9248 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__076__B timestamp 1662439860 transform -1 0 3680 0 -1 1632 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__084__A_N +use sky130_fd_sc_hd__diode_2 ANTENNA__077__B timestamp 1662439860 -transform 1 0 4600 0 1 1632 +transform -1 0 10120 0 1 5984 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__078__B +timestamp 1662439860 +transform 1 0 3312 0 1 1632 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__079__B +timestamp 1662439860 +transform -1 0 3496 0 -1 1632 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__080__B +timestamp 1662439860 +transform -1 0 5152 0 -1 2720 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__081__B +timestamp 1662439860 +transform -1 0 1380 0 1 10336 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__082__A +timestamp 1662439860 +transform -1 0 10120 0 -1 1632 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__082__B +timestamp 1662439860 +transform -1 0 9568 0 1 544 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__083__B +timestamp 1662439860 +transform -1 0 9752 0 -1 2720 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__084__B timestamp 1662439860 -transform -1 0 3864 0 -1 1632 +transform -1 0 2668 0 1 544 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__085__B timestamp 1662439860 -transform -1 0 6440 0 1 544 +transform -1 0 8924 0 1 544 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__086__B timestamp 1662439860 -transform -1 0 10028 0 -1 7072 +transform -1 0 9108 0 1 544 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__087__B timestamp 1662439860 -transform -1 0 5980 0 1 1632 +transform -1 0 5612 0 1 2720 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__088__B timestamp 1662439860 -transform -1 0 8924 0 1 544 +transform -1 0 4508 0 1 1632 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__089__B timestamp 1662439860 -transform -1 0 5796 0 -1 2720 +transform 1 0 1196 0 -1 7072 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__090__B timestamp 1662439860 -transform -1 0 5612 0 -1 2720 +transform 1 0 5704 0 1 2720 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__091__B timestamp 1662439860 -transform -1 0 5612 0 1 2720 +transform -1 0 4324 0 1 1632 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__092__B timestamp 1662439860 -transform 1 0 3312 0 -1 3808 +transform 1 0 5888 0 1 2720 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__093__B timestamp 1662439860 -transform 1 0 5888 0 -1 8160 +transform -1 0 6164 0 -1 2720 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__094__B +use sky130_fd_sc_hd__diode_2 ANTENNA__094__2_A timestamp 1662439860 -transform -1 0 4416 0 1 1632 +transform 1 0 5336 0 -1 2720 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__095__B +use sky130_fd_sc_hd__diode_2 ANTENNA__097__5_A timestamp 1662439860 -transform -1 0 8188 0 -1 4896 +transform 1 0 3220 0 1 544 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__096__B +use sky130_fd_sc_hd__diode_2 ANTENNA__098__6_A timestamp 1662439860 -transform -1 0 3496 0 -1 4896 +transform 1 0 3772 0 1 544 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__125__RESET_B +use sky130_fd_sc_hd__diode_2 ANTENNA__099__7_A timestamp 1662439860 -transform -1 0 1380 0 -1 9248 +transform 1 0 3588 0 1 544 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__101__9_A +timestamp 1662439860 +transform 1 0 2852 0 1 544 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__102__10_A +timestamp 1662439860 +transform 1 0 8372 0 -1 3808 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__132__RESET_B +timestamp 1662439860 +transform -1 0 2852 0 1 544 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__134__A +timestamp 1662439860 +transform 1 0 5520 0 -1 2720 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_serial_clock_A timestamp 1662439860 -transform -1 0 5336 0 -1 2720 +transform -1 0 1380 0 -1 10336 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_serial_load_A timestamp 1662439860 -transform -1 0 1380 0 1 5984 +transform -1 0 3680 0 1 1632 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA_fanout27_A +timestamp 1662439860 +transform -1 0 6440 0 1 544 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA_fanout28_A +timestamp 1662439860 +transform 1 0 8280 0 -1 1632 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA_input1_A timestamp 1662439860 @@ -9880,115 +10112,119 @@ transform -1 0 6624 0 1 544 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA_input2_A timestamp 1662439860 -transform -1 0 4048 0 1 544 +transform -1 0 5888 0 1 1632 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA_input3_A timestamp 1662439860 -transform -1 0 9292 0 1 544 +transform -1 0 6072 0 1 1632 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA_input4_A timestamp 1662439860 -transform -1 0 1380 0 -1 5984 +transform -1 0 4692 0 1 1632 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA_input5_A timestamp 1662439860 -transform -1 0 5612 0 1 1632 +transform -1 0 4140 0 1 1632 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_0_3 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__diode_2 ANTENNA_serial_load_out_buffer_A timestamp 1662439860 -transform 1 0 1196 0 1 544 +transform 1 0 5152 0 -1 2720 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_0_27 deps/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1662439860 +transform 1 0 3404 0 1 544 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_0_31 +use sky130_fd_sc_hd__fill_1 FILLER_0_33 timestamp 1662439860 -transform 1 0 3772 0 1 544 +transform 1 0 3956 0 1 544 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_0_57 timestamp 1662439860 transform 1 0 6164 0 1 544 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_0_91 +use sky130_fd_sc_hd__decap_4 FILLER_1_30 deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform 1 0 9292 0 1 544 +transform 1 0 3680 0 -1 1632 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_1_34 +timestamp 1662439860 +transform 1 0 4048 0 -1 1632 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_3 FILLER_1_32 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__fill_1 FILLER_2_32 timestamp 1662439860 -transform 1 0 3864 0 -1 1632 -box -38 -48 314 592 -use sky130_fd_sc_hd__fill_1 FILLER_1_98 -timestamp 1662439860 -transform 1 0 9936 0 -1 1632 +transform 1 0 3864 0 1 1632 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_6 FILLER_2_42 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__decap_8 FILLER_2_41 deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform 1 0 4784 0 1 1632 -box -38 -48 590 592 -use sky130_fd_sc_hd__fill_1 FILLER_2_48 +transform 1 0 4692 0 1 1632 +box -38 -48 774 592 +use sky130_fd_sc_hd__fill_2 FILLER_2_49 deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform 1 0 5336 0 1 1632 +transform 1 0 5428 0 1 1632 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_3_43 +timestamp 1662439860 +transform 1 0 4876 0 -1 2720 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_2_52 +use sky130_fd_sc_hd__fill_1 FILLER_3_52 timestamp 1662439860 -transform 1 0 5704 0 1 1632 +transform 1 0 5704 0 -1 2720 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_3_48 +use sky130_fd_sc_hd__fill_1 FILLER_3_84 timestamp 1662439860 -transform 1 0 5336 0 -1 2720 +transform 1 0 8648 0 -1 2720 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_3_80 +use sky130_fd_sc_hd__fill_1 FILLER_5_26 timestamp 1662439860 -transform 1 0 8280 0 -1 2720 +transform 1 0 3312 0 -1 3808 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_5_56 +use sky130_fd_sc_hd__fill_1 FILLER_5_34 timestamp 1662439860 -transform 1 0 6072 0 -1 3808 +transform 1 0 4048 0 -1 3808 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_5_98 +use sky130_fd_sc_hd__fill_1 FILLER_5_80 timestamp 1662439860 -transform 1 0 9936 0 -1 3808 +transform 1 0 8280 0 -1 3808 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_7_98 +use sky130_fd_sc_hd__fill_1 FILLER_7_26 timestamp 1662439860 -transform 1 0 9936 0 -1 4896 +transform 1 0 3312 0 -1 4896 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_8_52 +use sky130_fd_sc_hd__fill_1 FILLER_8_65 timestamp 1662439860 -transform 1 0 5704 0 1 4896 +transform 1 0 6900 0 1 4896 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_8_98 +use sky130_fd_sc_hd__fill_1 FILLER_8_99 timestamp 1662439860 -transform 1 0 9936 0 1 4896 +transform 1 0 10028 0 1 4896 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_10_85 +use sky130_fd_sc_hd__fill_1 FILLER_9_99 timestamp 1662439860 -transform 1 0 8740 0 1 5984 +transform 1 0 10028 0 -1 5984 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_14_98 +use sky130_fd_sc_hd__fill_1 FILLER_10_83 timestamp 1662439860 -transform 1 0 9936 0 1 8160 +transform 1 0 8556 0 1 5984 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_17_98 +use sky130_fd_sc_hd__fill_1 FILLER_15_50 timestamp 1662439860 -transform 1 0 9936 0 -1 10336 +transform 1 0 5520 0 -1 9248 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_19_55 +use sky130_fd_sc_hd__fill_1 FILLER_17_57 timestamp 1662439860 -transform 1 0 5980 0 -1 11424 +transform 1 0 6164 0 -1 10336 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_19_70 +use sky130_fd_sc_hd__fill_1 FILLER_17_99 timestamp 1662439860 -transform 1 0 7360 0 -1 11424 +transform 1 0 10028 0 -1 10336 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_20_98 -timestamp 1662439860 -transform 1 0 9936 0 1 11424 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_3 PHY_0 +use sky130_fd_sc_hd__decap_3 PHY_0 deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 transform 1 0 920 0 1 544 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_1 timestamp 1662439860 -transform -1 0 10304 0 1 544 +transform -1 0 10396 0 1 544 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_2 timestamp 1662439860 @@ -9996,7 +10232,7 @@ transform 1 0 3036 0 -1 1632 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_3 timestamp 1662439860 -transform -1 0 10304 0 -1 1632 +transform -1 0 10396 0 -1 1632 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_4 timestamp 1662439860 @@ -10004,7 +10240,7 @@ transform 1 0 3036 0 1 1632 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_5 timestamp 1662439860 -transform -1 0 10304 0 1 1632 +transform -1 0 10396 0 1 1632 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_6 timestamp 1662439860 @@ -10012,7 +10248,7 @@ transform 1 0 3036 0 -1 2720 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_7 timestamp 1662439860 -transform -1 0 10304 0 -1 2720 +transform -1 0 10396 0 -1 2720 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_8 timestamp 1662439860 @@ -10020,7 +10256,7 @@ transform 1 0 3036 0 1 2720 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_9 timestamp 1662439860 -transform -1 0 10304 0 1 2720 +transform -1 0 10396 0 1 2720 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_10 timestamp 1662439860 @@ -10028,7 +10264,7 @@ transform 1 0 3036 0 -1 3808 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_11 timestamp 1662439860 -transform -1 0 10304 0 -1 3808 +transform -1 0 10396 0 -1 3808 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_12 timestamp 1662439860 @@ -10036,7 +10272,7 @@ transform 1 0 3036 0 1 3808 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_13 timestamp 1662439860 -transform -1 0 10304 0 1 3808 +transform -1 0 10396 0 1 3808 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_14 timestamp 1662439860 @@ -10044,7 +10280,7 @@ transform 1 0 3036 0 -1 4896 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_15 timestamp 1662439860 -transform -1 0 10304 0 -1 4896 +transform -1 0 10396 0 -1 4896 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_16 timestamp 1662439860 @@ -10052,7 +10288,7 @@ transform 1 0 3036 0 1 4896 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_17 timestamp 1662439860 -transform -1 0 10304 0 1 4896 +transform -1 0 10396 0 1 4896 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_18 timestamp 1662439860 @@ -10060,7 +10296,7 @@ transform 1 0 920 0 -1 5984 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_19 timestamp 1662439860 -transform -1 0 10304 0 -1 5984 +transform -1 0 10396 0 -1 5984 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_20 timestamp 1662439860 @@ -10068,7 +10304,7 @@ transform 1 0 920 0 1 5984 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_21 timestamp 1662439860 -transform -1 0 10304 0 1 5984 +transform -1 0 10396 0 1 5984 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_22 timestamp 1662439860 @@ -10076,7 +10312,7 @@ transform 1 0 920 0 -1 7072 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_23 timestamp 1662439860 -transform -1 0 10304 0 -1 7072 +transform -1 0 10396 0 -1 7072 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_24 timestamp 1662439860 @@ -10084,7 +10320,7 @@ transform 1 0 920 0 1 7072 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_25 timestamp 1662439860 -transform -1 0 10304 0 1 7072 +transform -1 0 10396 0 1 7072 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_26 timestamp 1662439860 @@ -10092,7 +10328,7 @@ transform 1 0 920 0 -1 8160 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_27 timestamp 1662439860 -transform -1 0 10304 0 -1 8160 +transform -1 0 10396 0 -1 8160 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_28 timestamp 1662439860 @@ -10100,7 +10336,7 @@ transform 1 0 920 0 1 8160 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_29 timestamp 1662439860 -transform -1 0 10304 0 1 8160 +transform -1 0 10396 0 1 8160 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_30 timestamp 1662439860 @@ -10108,7 +10344,7 @@ transform 1 0 920 0 -1 9248 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_31 timestamp 1662439860 -transform -1 0 10304 0 -1 9248 +transform -1 0 10396 0 -1 9248 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_32 timestamp 1662439860 @@ -10116,7 +10352,7 @@ transform 1 0 920 0 1 9248 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_33 timestamp 1662439860 -transform -1 0 10304 0 1 9248 +transform -1 0 10396 0 1 9248 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_34 timestamp 1662439860 @@ -10124,7 +10360,7 @@ transform 1 0 920 0 -1 10336 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_35 timestamp 1662439860 -transform -1 0 10304 0 -1 10336 +transform -1 0 10396 0 -1 10336 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_36 timestamp 1662439860 @@ -10132,7 +10368,7 @@ transform 1 0 920 0 1 10336 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_37 timestamp 1662439860 -transform -1 0 10304 0 1 10336 +transform -1 0 10396 0 1 10336 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_38 timestamp 1662439860 @@ -10140,7 +10376,7 @@ transform 1 0 920 0 -1 11424 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_39 timestamp 1662439860 -transform -1 0 10304 0 -1 11424 +transform -1 0 10396 0 -1 11424 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_40 timestamp 1662439860 @@ -10148,9 +10384,9 @@ transform 1 0 920 0 1 11424 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_41 timestamp 1662439860 -transform -1 0 10304 0 1 11424 +transform -1 0 10396 0 1 11424 box -38 -48 314 592 -use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_42 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_42 deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 transform 1 0 3496 0 1 544 box -38 -48 130 592 @@ -10278,529 +10514,529 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_73 timestamp 1662439860 transform 1 0 8648 0 1 11424 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_2 _060_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__inv_2 _058__1 deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform 1 0 9384 0 1 544 +transform 1 0 2024 0 1 544 box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _061__1 +use sky130_fd_sc_hd__inv_2 _059__14 timestamp 1662439860 -transform 1 0 8924 0 -1 9248 +transform -1 0 4876 0 -1 2720 box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _062__14 +use sky130_fd_sc_hd__and2_0 _060_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform 1 0 3956 0 1 1632 -box -38 -48 314 592 -use sky130_fd_sc_hd__nand2b_2 _063_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1662439860 -transform 1 0 9384 0 1 9248 -box -38 -48 682 592 -use sky130_fd_sc_hd__and2_0 _064_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1662439860 -transform -1 0 9936 0 1 4896 +transform 1 0 8740 0 1 5984 box -38 -48 498 592 -use sky130_fd_sc_hd__mux2_4 _065_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__mux2_4 _061_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform -1 0 10028 0 1 7072 +transform -1 0 10120 0 1 7072 box -38 -48 1142 592 -use sky130_fd_sc_hd__nand2b_2 _066_ +use sky130_fd_sc_hd__nand2b_2 _062_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform -1 0 9844 0 -1 9248 +transform 1 0 9384 0 -1 5984 box -38 -48 682 592 -use sky130_fd_sc_hd__and3b_2 _067_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__and3b_2 _063_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform -1 0 9844 0 -1 5984 +transform -1 0 9936 0 1 5984 box -38 -48 774 592 -use sky130_fd_sc_hd__and2b_2 _068_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__and2b_2 _064_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform 1 0 9016 0 -1 2720 +transform 1 0 9108 0 -1 3808 box -38 -48 682 592 -use sky130_fd_sc_hd__o21ai_2 _069_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__o21ai_2 _065_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform -1 0 9936 0 -1 3808 +transform -1 0 10120 0 1 9248 box -38 -48 682 592 -use sky130_fd_sc_hd__o21ai_4 _070_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__o21ai_4 _066_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform -1 0 10028 0 1 5984 +transform -1 0 10120 0 -1 7072 box -38 -48 1234 592 -use sky130_fd_sc_hd__or2_0 _071_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__and2_2 _067_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform 1 0 6900 0 -1 11424 -box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _072_ -timestamp 1662439860 -transform -1 0 8004 0 -1 4896 -box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _073_ -timestamp 1662439860 -transform -1 0 1656 0 1 11424 -box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _074_ -timestamp 1662439860 -transform 1 0 2116 0 1 544 -box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _075_ -timestamp 1662439860 -transform 1 0 5704 0 1 8160 -box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _076_ -timestamp 1662439860 -transform -1 0 8924 0 -1 9248 -box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _077_ -timestamp 1662439860 -transform 1 0 9476 0 1 8160 -box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _078_ -timestamp 1662439860 -transform -1 0 9384 0 1 9248 -box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _079_ +transform 1 0 8556 0 -1 3808 +box -38 -48 590 592 +use sky130_fd_sc_hd__or2_0 _068_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 transform 1 0 6164 0 1 11424 box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _080_ +use sky130_fd_sc_hd__nand2b_2 _069_ timestamp 1662439860 -transform 1 0 1380 0 -1 9248 +transform 1 0 9476 0 1 10336 box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _081_ +use sky130_fd_sc_hd__or2_0 _070_ timestamp 1662439860 -transform -1 0 6072 0 -1 9248 +transform -1 0 1656 0 1 5984 box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _082_ +use sky130_fd_sc_hd__nand2b_2 _071_ timestamp 1662439860 -transform 1 0 1472 0 1 544 +transform -1 0 4232 0 1 8160 box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _083_ +use sky130_fd_sc_hd__or2_0 _072_ +timestamp 1662439860 +transform 1 0 5612 0 -1 9248 +box -38 -48 498 592 +use sky130_fd_sc_hd__nand2b_2 _073_ +timestamp 1662439860 +transform 1 0 6900 0 -1 8160 +box -38 -48 682 592 +use sky130_fd_sc_hd__or2_0 _074_ +timestamp 1662439860 +transform -1 0 10028 0 -1 10336 +box -38 -48 498 592 +use sky130_fd_sc_hd__nand2b_2 _075_ +timestamp 1662439860 +transform -1 0 10120 0 1 8160 +box -38 -48 682 592 +use sky130_fd_sc_hd__or2_0 _076_ timestamp 1662439860 transform 1 0 3588 0 1 11424 box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _084_ +use sky130_fd_sc_hd__nand2b_2 _077_ timestamp 1662439860 -transform 1 0 3312 0 1 1632 +transform -1 0 6808 0 -1 7072 box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _085_ +use sky130_fd_sc_hd__or2_0 _078_ timestamp 1662439860 -transform -1 0 9936 0 -1 1632 +transform 1 0 3312 0 1 4896 box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _086_ +use sky130_fd_sc_hd__nand2b_2 _079_ timestamp 1662439860 -transform -1 0 9844 0 -1 7072 +transform -1 0 4048 0 -1 4896 box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _087_ -timestamp 1662439860 -transform 1 0 9476 0 1 11424 -box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _088_ -timestamp 1662439860 -transform -1 0 9292 0 -1 3808 -box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _089_ -timestamp 1662439860 -transform 1 0 9476 0 -1 10336 -box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _090_ -timestamp 1662439860 -transform 1 0 8372 0 -1 2720 -box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _091_ +use sky130_fd_sc_hd__or2_0 _080_ timestamp 1662439860 transform 1 0 5152 0 1 3808 box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _092_ +use sky130_fd_sc_hd__nand2b_2 _081_ timestamp 1662439860 -transform 1 0 1472 0 -1 7072 +transform 1 0 6900 0 -1 11424 box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _093_ +use sky130_fd_sc_hd__or2_0 _082_ timestamp 1662439860 -transform 1 0 6164 0 -1 7072 +transform -1 0 10028 0 1 4896 box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _094_ +use sky130_fd_sc_hd__nand2b_2 _083_ timestamp 1662439860 -transform 1 0 3496 0 -1 4896 +transform -1 0 9384 0 -1 5984 box -38 -48 682 592 -use sky130_fd_sc_hd__or2_0 _095_ +use sky130_fd_sc_hd__or2_0 _084_ +timestamp 1662439860 +transform -1 0 1656 0 1 8160 +box -38 -48 498 592 +use sky130_fd_sc_hd__nand2b_2 _085_ +timestamp 1662439860 +transform 1 0 9476 0 1 11424 +box -38 -48 682 592 +use sky130_fd_sc_hd__or2_0 _086_ +timestamp 1662439860 +transform 1 0 9108 0 -1 2720 +box -38 -48 498 592 +use sky130_fd_sc_hd__nand2b_2 _087_ +timestamp 1662439860 +transform 1 0 7544 0 -1 4896 +box -38 -48 682 592 +use sky130_fd_sc_hd__or2_0 _088_ +timestamp 1662439860 +transform 1 0 1196 0 -1 5984 +box -38 -48 498 592 +use sky130_fd_sc_hd__nand2b_2 _089_ +timestamp 1662439860 +transform -1 0 5244 0 1 5984 +box -38 -48 682 592 +use sky130_fd_sc_hd__or2_0 _090_ +timestamp 1662439860 +transform 1 0 6440 0 1 4896 +box -38 -48 498 592 +use sky130_fd_sc_hd__nand2b_2 _091_ +timestamp 1662439860 +transform 1 0 3404 0 -1 3808 +box -38 -48 682 592 +use sky130_fd_sc_hd__or2_0 _092_ timestamp 1662439860 transform 1 0 6164 0 -1 5984 box -38 -48 498 592 -use sky130_fd_sc_hd__nand2b_2 _096_ +use sky130_fd_sc_hd__nand2b_2 _093_ timestamp 1662439860 -transform 1 0 6716 0 -1 4896 +transform 1 0 6624 0 -1 4896 box -38 -48 682 592 -use sky130_fd_sc_hd__inv_2 _097__2 -timestamp 1662439860 -transform 1 0 1196 0 1 8160 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _098__3 -timestamp 1662439860 -transform 1 0 6164 0 -1 8160 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _099__4 -timestamp 1662439860 -transform 1 0 1196 0 1 10336 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _100__5 -timestamp 1662439860 -transform 1 0 1196 0 -1 11424 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _101__6 -timestamp 1662439860 -transform 1 0 1380 0 -1 5984 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _102__7 -timestamp 1662439860 -transform 1 0 1196 0 -1 7072 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _103__8 -timestamp 1662439860 -transform 1 0 5704 0 1 3808 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _104__9 -timestamp 1662439860 -transform -1 0 7452 0 -1 8160 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _105__10 -timestamp 1662439860 -transform 1 0 5704 0 1 2720 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _106__11 +use sky130_fd_sc_hd__inv_2 _094__2 timestamp 1662439860 transform -1 0 5428 0 1 2720 box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _107__12 +use sky130_fd_sc_hd__inv_2 _095__3 timestamp 1662439860 -transform 1 0 1380 0 1 5984 +transform 1 0 8372 0 1 8160 box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _108__13 +use sky130_fd_sc_hd__inv_2 _096__4 timestamp 1662439860 -transform 1 0 4324 0 1 5984 +transform -1 0 1472 0 -1 8160 box -38 -48 314 592 -use sky130_fd_sc_hd__dfbbn_2 _109_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__inv_2 _097__5 timestamp 1662439860 -transform 1 0 5704 0 1 10336 +transform 1 0 1748 0 1 544 +box -38 -48 314 592 +use sky130_fd_sc_hd__inv_2 _098__6 +timestamp 1662439860 +transform 1 0 1196 0 1 544 +box -38 -48 314 592 +use sky130_fd_sc_hd__inv_2 _099__7 +timestamp 1662439860 +transform 1 0 1472 0 1 544 +box -38 -48 314 592 +use sky130_fd_sc_hd__inv_2 _100__8 +timestamp 1662439860 +transform -1 0 7544 0 -1 4896 +box -38 -48 314 592 +use sky130_fd_sc_hd__inv_2 _101__9 +timestamp 1662439860 +transform 1 0 1196 0 1 11424 +box -38 -48 314 592 +use sky130_fd_sc_hd__inv_2 _102__10 +timestamp 1662439860 +transform 1 0 8740 0 1 7072 +box -38 -48 314 592 +use sky130_fd_sc_hd__inv_2 _103__11 +timestamp 1662439860 +transform -1 0 3864 0 1 5984 +box -38 -48 314 592 +use sky130_fd_sc_hd__inv_2 _104__12 +timestamp 1662439860 +transform -1 0 4600 0 -1 2720 +box -38 -48 314 592 +use sky130_fd_sc_hd__inv_2 _105__13 +timestamp 1662439860 +transform 1 0 4048 0 -1 2720 +box -38 -48 314 592 +use sky130_fd_sc_hd__dfbbn_2 _106_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1662439860 +transform 1 0 5888 0 1 10336 +box -38 -48 2614 592 +use sky130_fd_sc_hd__dfbbn_2 _107_ +timestamp 1662439860 +transform 1 0 3496 0 -1 11424 +box -38 -48 2614 592 +use sky130_fd_sc_hd__dfbbn_2 _108_ +timestamp 1662439860 +transform -1 0 8648 0 1 7072 +box -38 -48 2614 592 +use sky130_fd_sc_hd__dfbbn_2 _109_ +timestamp 1662439860 +transform 1 0 7544 0 -1 8160 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _110_ timestamp 1662439860 -transform 1 0 3404 0 -1 11424 +transform 1 0 3588 0 1 9248 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _111_ timestamp 1662439860 -transform 1 0 6624 0 -1 7072 +transform 1 0 3496 0 -1 8160 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _112_ timestamp 1662439860 -transform 1 0 7452 0 -1 8160 +transform 1 0 3496 0 -1 10336 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _113_ timestamp 1662439860 -transform 1 0 3588 0 1 9248 +transform 1 0 6992 0 1 4896 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _114_ timestamp 1662439860 -transform 1 0 3588 0 1 7072 +transform 1 0 6992 0 -1 10336 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _115_ timestamp 1662439860 -transform 1 0 3496 0 -1 10336 +transform 1 0 7544 0 -1 11424 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _116_ timestamp 1662439860 -transform 1 0 6900 0 1 4896 +transform 1 0 3496 0 -1 7072 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _117_ timestamp 1662439860 -transform 1 0 6900 0 -1 10336 +transform 1 0 4048 0 -1 4896 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _118_ timestamp 1662439860 -transform 1 0 7452 0 -1 11424 +transform 1 0 5244 0 1 5984 box -38 -48 2614 592 -use sky130_fd_sc_hd__dfbbn_2 _119_ +use sky130_fd_sc_hd__dfrtp_4 _119_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform 1 0 3496 0 -1 3808 -box -38 -48 2614 592 -use sky130_fd_sc_hd__dfbbn_2 _120_ -timestamp 1662439860 -transform 1 0 4140 0 -1 4896 -box -38 -48 2614 592 -use sky130_fd_sc_hd__dfbbn_2 _121_ -timestamp 1662439860 -transform 1 0 5336 0 1 5984 -box -38 -48 2614 592 -use sky130_fd_sc_hd__dfrtp_4 _122_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1662439860 -transform 1 0 3588 0 1 10336 +transform 1 0 3772 0 1 10336 box -38 -48 2154 592 -use sky130_fd_sc_hd__dfrtp_4 _123_ -timestamp 1662439860 -transform -1 0 3496 0 1 9248 -box -38 -48 2154 592 -use sky130_fd_sc_hd__dfrtp_4 _124_ +use sky130_fd_sc_hd__dfrtp_4 _120_ timestamp 1662439860 transform 1 0 1380 0 -1 10336 box -38 -48 2154 592 +use sky130_fd_sc_hd__dfrtp_4 _121_ +timestamp 1662439860 +transform 1 0 1380 0 1 10336 +box -38 -48 2154 592 +use sky130_fd_sc_hd__dfrtp_4 _122_ +timestamp 1662439860 +transform -1 0 3496 0 1 9248 +box -38 -48 2154 592 +use sky130_fd_sc_hd__dfrtp_4 _123_ +timestamp 1662439860 +transform 1 0 2668 0 -1 9248 +box -38 -48 2154 592 +use sky130_fd_sc_hd__dfrtp_4 _124_ +timestamp 1662439860 +transform -1 0 3496 0 1 7072 +box -38 -48 2154 592 use sky130_fd_sc_hd__dfrtp_4 _125_ timestamp 1662439860 -transform 1 0 2760 0 -1 9248 +transform 1 0 1380 0 -1 7072 box -38 -48 2154 592 use sky130_fd_sc_hd__dfrtp_4 _126_ timestamp 1662439860 -transform 1 0 3588 0 1 8160 +transform 1 0 3956 0 -1 5984 box -38 -48 2154 592 use sky130_fd_sc_hd__dfrtp_4 _127_ timestamp 1662439860 -transform 1 0 1380 0 1 7072 +transform -1 0 6072 0 1 7072 box -38 -48 2154 592 use sky130_fd_sc_hd__dfrtp_4 _128_ timestamp 1662439860 -transform 1 0 3036 0 -1 8160 +transform 1 0 6256 0 1 8160 box -38 -48 2154 592 use sky130_fd_sc_hd__dfrtp_4 _129_ timestamp 1662439860 -transform 1 0 3956 0 -1 5984 +transform -1 0 8924 0 -1 7072 box -38 -48 2154 592 use sky130_fd_sc_hd__dfrtp_4 _130_ timestamp 1662439860 -transform 1 0 3956 0 -1 7072 +transform -1 0 8280 0 -1 9248 box -38 -48 2154 592 use sky130_fd_sc_hd__dfrtp_4 _131_ timestamp 1662439860 -transform 1 0 6164 0 1 8160 -box -38 -48 2154 592 -use sky130_fd_sc_hd__dfrtp_4 _132_ -timestamp 1662439860 -transform 1 0 6532 0 1 7072 -box -38 -48 2154 592 -use sky130_fd_sc_hd__dfrtp_4 _133_ -timestamp 1662439860 -transform -1 0 8280 0 -1 9248 -box -38 -48 2154 592 -use sky130_fd_sc_hd__dfrtp_4 _134_ -timestamp 1662439860 transform 1 0 6532 0 1 9248 box -38 -48 2154 592 -use sky130_fd_sc_hd__dfrtp_2 _135_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__dfrtp_2 _132_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform -1 0 3404 0 -1 11424 +transform -1 0 3496 0 -1 11424 box -38 -48 1970 592 -use sky130_fd_sc_hd__buf_2 _136_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__buf_2 _133_ deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform 1 0 3312 0 1 4896 +transform -1 0 9568 0 -1 1632 box -38 -48 406 592 -use sky130_fd_sc_hd__ebufn_8 _139_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__buf_2 _134_ timestamp 1662439860 -transform -1 0 5612 0 1 4896 -box -38 -48 1970 592 -use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_serial_clock $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +transform 1 0 5704 0 1 3808 +box -38 -48 406 592 +use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_serial_clock deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform -1 0 3496 0 1 11424 +transform -1 0 3496 0 1 8160 box -38 -48 1878 592 use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_serial_load timestamp 1662439860 -transform -1 0 3036 0 -1 8160 +transform -1 0 3496 0 1 5984 box -38 -48 1878 592 use sky130_fd_sc_hd__clkbuf_16 clkbuf_1_0__f_serial_clock timestamp 1662439860 -transform 1 0 1656 0 -1 5984 +transform -1 0 3496 0 -1 5984 box -38 -48 1878 592 use sky130_fd_sc_hd__clkbuf_16 clkbuf_1_0__f_serial_load timestamp 1662439860 -transform 1 0 3312 0 1 3808 +transform 1 0 3772 0 1 4896 box -38 -48 1878 592 use sky130_fd_sc_hd__clkbuf_16 clkbuf_1_1__f_serial_clock timestamp 1662439860 -transform 1 0 2116 0 -1 7072 +transform 1 0 8280 0 -1 9248 box -38 -48 1878 592 use sky130_fd_sc_hd__clkbuf_16 clkbuf_1_1__f_serial_load timestamp 1662439860 -transform -1 0 3496 0 1 5984 +transform 1 0 3312 0 1 2720 box -38 -48 1878 592 -use sky130_fd_sc_hd__conb_1 const_source $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__conb_1 const_source deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform -1 0 8740 0 -1 1632 +transform -1 0 9384 0 1 544 box -38 -48 314 592 -use sky130_fd_sc_hd__buf_2 fanout21 +use sky130_fd_sc_hd__buf_2 fanout23 timestamp 1662439860 transform -1 0 6532 0 1 9248 box -38 -48 406 592 -use sky130_fd_sc_hd__buf_2 fanout22 -timestamp 1662439860 -transform -1 0 6532 0 1 7072 -box -38 -48 406 592 -use sky130_fd_sc_hd__buf_2 fanout23 -timestamp 1662439860 -transform 1 0 9476 0 1 10336 -box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 fanout24 timestamp 1662439860 -transform -1 0 8648 0 1 10336 +transform 1 0 3588 0 1 7072 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 fanout25 timestamp 1662439860 -transform 1 0 9660 0 -1 2720 +transform -1 0 4048 0 -1 2720 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 fanout26 timestamp 1662439860 -transform -1 0 8648 0 -1 3808 +transform -1 0 8648 0 -1 2720 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 fanout27 timestamp 1662439860 -transform 1 0 8280 0 1 8160 +transform -1 0 9936 0 -1 1632 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 fanout28 timestamp 1662439860 -transform 1 0 6532 0 1 4896 +transform 1 0 8740 0 -1 2720 box -38 -48 406 592 -use sky130_fd_sc_hd__einvp_8 gpio_in_buf $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__buf_2 fanout29 timestamp 1662439860 -transform 1 0 8280 0 -1 4896 -box -38 -48 1694 592 +transform -1 0 1564 0 -1 11424 +box -38 -48 406 592 +use sky130_fd_sc_hd__buf_2 fanout30 +timestamp 1662439860 +transform 1 0 9752 0 -1 3808 +box -38 -48 406 592 use gpio_logic_high gpio_logic_high timestamp 0 transform 1 0 1196 0 1 1680 box -38 -48 1418 2768 -use sky130_fd_sc_hd__dlygate4sd3_1 hold1 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__dlygate4sd3_1 hold1 deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 -transform -1 0 9476 0 1 8160 +transform 1 0 6256 0 -1 10336 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold2 timestamp 1662439860 -transform 1 0 6164 0 -1 10336 +transform -1 0 9476 0 1 10336 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold3 timestamp 1662439860 -transform -1 0 6900 0 -1 11424 +transform -1 0 9476 0 1 9248 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold4 timestamp 1662439860 -transform -1 0 9476 0 1 11424 +transform -1 0 9476 0 1 8160 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold5 timestamp 1662439860 -transform 1 0 2024 0 -1 9248 +transform -1 0 6900 0 -1 11424 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold6 timestamp 1662439860 -transform -1 0 5612 0 -1 9248 +transform 1 0 1196 0 -1 9248 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold7 timestamp 1662439860 -transform -1 0 9476 0 1 10336 +transform 1 0 6164 0 -1 8160 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold8 timestamp 1662439860 -transform -1 0 6532 0 1 4896 +transform -1 0 9476 0 1 11424 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold9 timestamp 1662439860 -transform -1 0 5336 0 1 5984 +transform 1 0 7820 0 1 5984 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold10 timestamp 1662439860 -transform -1 0 8648 0 1 5984 +transform -1 0 5520 0 -1 9248 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold11 timestamp 1662439860 -transform 1 0 3588 0 1 5984 +transform -1 0 6440 0 1 4896 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold12 timestamp 1662439860 -transform 1 0 6440 0 -1 8160 +transform 1 0 3864 0 1 5984 box -38 -48 774 592 use sky130_fd_sc_hd__dlygate4sd3_1 hold13 timestamp 1662439860 -transform -1 0 5888 0 -1 8160 +transform 1 0 1932 0 -1 9248 box -38 -48 774 592 use sky130_fd_sc_hd__buf_2 input1 timestamp 1662439860 -transform 1 0 8740 0 -1 1632 +transform 1 0 8832 0 -1 1632 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 input2 timestamp 1662439860 -transform -1 0 10028 0 1 544 +transform -1 0 10120 0 1 544 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 input3 timestamp 1662439860 -transform -1 0 9108 0 -1 5984 +transform -1 0 10120 0 -1 2720 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 input4 timestamp 1662439860 -transform 1 0 3588 0 -1 5984 +transform -1 0 3680 0 -1 2720 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 input5 timestamp 1662439860 -transform -1 0 9476 0 -1 1632 +transform -1 0 3956 0 -1 5984 box -38 -48 406 592 -use sky130_fd_sc_hd__buf_16 one_buffer $PDKPATH/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__buf_16 one_buffer deps/sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1662439860 transform -1 0 6164 0 -1 1632 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output6 timestamp 1662439860 -transform 1 0 6624 0 1 544 +transform -1 0 8648 0 1 544 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output7 timestamp 1662439860 -transform -1 0 8188 0 -1 1632 +transform 1 0 6164 0 -1 1632 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output8 timestamp 1662439860 -transform 1 0 5980 0 1 1632 +transform -1 0 8096 0 1 1632 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output9 timestamp 1662439860 -transform -1 0 8188 0 -1 2720 +transform -1 0 6164 0 -1 3808 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output10 timestamp 1662439860 -transform -1 0 8004 0 1 2720 +transform -1 0 8188 0 -1 2720 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output11 timestamp 1662439860 -transform -1 0 10028 0 1 1632 +transform -1 0 8096 0 1 2720 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output12 timestamp 1662439860 -transform 1 0 6164 0 -1 3808 +transform -1 0 10120 0 1 1632 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output13 timestamp 1662439860 -transform 1 0 5980 0 1 3808 +transform 1 0 6164 0 -1 3808 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output14 timestamp 1662439860 -transform 1 0 8004 0 1 2720 +transform 1 0 6072 0 1 3808 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output15 timestamp 1662439860 -transform -1 0 8648 0 -1 5984 +transform 1 0 8096 0 1 2720 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output16 timestamp 1662439860 -transform 1 0 8004 0 1 3808 +transform -1 0 8648 0 -1 5984 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output17 timestamp 1662439860 -transform -1 0 8648 0 1 11424 +transform 1 0 8096 0 1 3808 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output18 timestamp 1662439860 -transform -1 0 3496 0 1 8160 +transform -1 0 6256 0 1 8160 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output19 timestamp 1662439860 -transform 1 0 4048 0 1 11424 +transform -1 0 3496 0 -1 8160 box -38 -48 2062 592 use sky130_fd_sc_hd__buf_16 output20 timestamp 1662439860 -transform -1 0 3496 0 1 10336 +transform 1 0 6624 0 1 11424 +box -38 -48 2062 592 +use sky130_fd_sc_hd__buf_16 output21 +timestamp 1662439860 +transform 1 0 4048 0 1 11424 +box -38 -48 2062 592 +use sky130_fd_sc_hd__buf_16 output22 +timestamp 1662439860 +transform -1 0 3496 0 1 11424 box -38 -48 2062 592 use sky130_fd_sc_hd__clkbuf_16 serial_clock_out_buffer timestamp 1662439860 -transform 1 0 3312 0 -1 2720 +transform 1 0 8280 0 -1 4896 box -38 -48 1878 592 use sky130_fd_sc_hd__clkbuf_16 serial_load_out_buffer timestamp 1662439860 -transform 1 0 3312 0 1 2720 +transform 1 0 3312 0 1 3808 box -38 -48 1878 592 use sky130_fd_sc_hd__buf_16 zero_buffer timestamp 1662439860 diff --git a/maglef/gpio_control_block.mag b/maglef/gpio_control_block.mag index f6d95dff..037b4a7d 100644 --- a/maglef/gpio_control_block.mag +++ b/maglef/gpio_control_block.mag @@ -1,12 +1,12 @@ magic tech sky130A magscale 1 2 -timestamp 1664976471 +timestamp 1665142206 << obsli1 >> rect 0 13000 853 13014 rect 0 0 33962 13000 << obsm1 >> -rect 860 0 34000 13000 +rect 920 0 34000 13000 << metal2 >> rect 938 12200 994 13000 rect 1398 12200 1454 13000 @@ -35,7 +35,7 @@ rect 5190 12144 5482 13000 rect 5650 12144 5942 13000 rect 6110 12144 6402 13000 rect 6570 12144 34000 13000 -rect 938 0 34000 12144 +rect 994 0 34000 12144 << metal3 >> rect 14000 12248 34000 12368 rect 14000 11840 34000 11960 @@ -68,66 +68,65 @@ rect 14000 1232 34000 1352 rect 14000 824 34000 944 rect 14000 416 34000 536 << obsm3 >> -rect 933 12168 13920 12341 -rect 933 12040 14000 12168 -rect 933 11760 13920 12040 -rect 933 11632 14000 11760 -rect 933 11352 13920 11632 -rect 933 11224 14000 11352 -rect 933 10944 13920 11224 -rect 933 10816 14000 10944 -rect 933 10536 13920 10816 -rect 933 10408 14000 10536 -rect 933 10128 13920 10408 -rect 933 10000 14000 10128 -rect 933 9720 13920 10000 -rect 933 9592 14000 9720 -rect 933 9312 13920 9592 -rect 933 9184 14000 9312 -rect 933 8904 13920 9184 -rect 933 8776 14000 8904 -rect 933 8496 13920 8776 -rect 933 8368 14000 8496 -rect 933 8088 13920 8368 -rect 933 7960 14000 8088 -rect 933 7680 13920 7960 -rect 933 7552 14000 7680 -rect 933 7272 13920 7552 -rect 933 7144 14000 7272 -rect 933 6864 13920 7144 -rect 933 6736 14000 6864 -rect 933 6456 13920 6736 -rect 933 6328 14000 6456 -rect 933 6048 13920 6328 -rect 933 5920 14000 6048 -rect 933 5640 13920 5920 -rect 933 5512 14000 5640 -rect 933 5232 13920 5512 -rect 933 5104 14000 5232 -rect 933 4824 13920 5104 -rect 933 4696 14000 4824 -rect 933 4416 13920 4696 -rect 933 4288 14000 4416 -rect 933 4008 13920 4288 -rect 933 3880 14000 4008 -rect 933 3600 13920 3880 -rect 933 3472 14000 3600 -rect 933 3192 13920 3472 -rect 933 3064 14000 3192 -rect 933 2784 13920 3064 -rect 933 2656 14000 2784 -rect 933 2376 13920 2656 -rect 933 2248 14000 2376 -rect 933 1968 13920 2248 -rect 933 1840 14000 1968 -rect 933 1560 13920 1840 -rect 933 1432 14000 1560 -rect 933 1152 13920 1432 -rect 933 1024 14000 1152 -rect 933 744 13920 1024 -rect 933 616 14000 744 -rect 933 336 13920 616 -rect 933 35 14000 336 +rect 1209 12168 13920 12341 +rect 1209 12040 14000 12168 +rect 1209 11760 13920 12040 +rect 1209 11632 14000 11760 +rect 1209 11352 13920 11632 +rect 1209 11224 14000 11352 +rect 1209 10944 13920 11224 +rect 1209 10816 14000 10944 +rect 1209 10536 13920 10816 +rect 1209 10408 14000 10536 +rect 1209 10128 13920 10408 +rect 1209 10000 14000 10128 +rect 1209 9720 13920 10000 +rect 1209 9592 14000 9720 +rect 1209 9312 13920 9592 +rect 1209 9184 14000 9312 +rect 1209 8904 13920 9184 +rect 1209 8776 14000 8904 +rect 1209 8496 13920 8776 +rect 1209 8368 14000 8496 +rect 1209 8088 13920 8368 +rect 1209 7960 14000 8088 +rect 1209 7680 13920 7960 +rect 1209 7552 14000 7680 +rect 1209 7272 13920 7552 +rect 1209 7144 14000 7272 +rect 1209 6864 13920 7144 +rect 1209 6736 14000 6864 +rect 1209 6456 13920 6736 +rect 1209 6328 14000 6456 +rect 1209 6048 13920 6328 +rect 1209 5920 14000 6048 +rect 1209 5640 13920 5920 +rect 1209 5512 14000 5640 +rect 1209 5232 13920 5512 +rect 1209 5104 14000 5232 +rect 1209 4824 13920 5104 +rect 1209 4696 14000 4824 +rect 1209 4416 13920 4696 +rect 1209 4288 14000 4416 +rect 1209 4008 13920 4288 +rect 1209 3880 14000 4008 +rect 1209 3600 13920 3880 +rect 1209 3472 14000 3600 +rect 1209 3192 13920 3472 +rect 1209 3064 14000 3192 +rect 1209 2784 13920 3064 +rect 1209 2656 14000 2784 +rect 1209 2376 13920 2656 +rect 1209 2248 14000 2376 +rect 1209 1968 13920 2248 +rect 1209 1840 14000 1968 +rect 1209 1560 13920 1840 +rect 1209 1432 14000 1560 +rect 1209 1152 13920 1432 +rect 1209 1024 14000 1152 +rect 1209 744 13920 1024 +rect 1209 616 14000 744 +rect 1209 443 13920 616 << metal4 >> rect 2560 496 2880 12016 rect 3560 496 3880 12016 @@ -304,8 +303,8 @@ port 47 nsew signal output string FIXED_BBOX 0 0 34000 13000 string LEFclass BLOCK string LEFview TRUE -string GDS_END 572912 -string GDS_FILE /home/kareem_farid/caravel/openlane/gpio_control_block/runs/22_10_05_06_26/results/signoff/gpio_control_block.magic.gds -string GDS_START 204218 +string GDS_END 560298 +string GDS_FILE /home/kareem_farid/caravel/openlane/gpio_control_block/runs/22_10_07_04_28/results/signoff/gpio_control_block.magic.gds +string GDS_START 184426 << end >> diff --git a/openlane/gpio_control_block/config.tcl b/openlane/gpio_control_block/config.tcl index 31dcc99f..4e17ba5f 100644 --- a/openlane/gpio_control_block/config.tcl +++ b/openlane/gpio_control_block/config.tcl @@ -21,7 +21,7 @@ set ::env(VERILOG_FILES) "\ $::env(DESIGN_DIR)/../../verilog/rtl/gpio_control_block.v" -set ::env(PL_TARGET_DENSITY) 0.8 +set ::env(PL_TARGET_DENSITY) 0.9 set ::env(CLOCK_PORT) "serial_clock" set ::env(FP_DEF_TEMPLATE) "$::env(DESIGN_DIR)/template/gpio_control_block.def" @@ -43,13 +43,14 @@ set ::env(SYNTH_STRATEGY) "AREA 0" set ::env(FP_SIZING) absolute set ::env(DIE_AREA) "0 0 170 65" -set ::env(RIGHT_MARGIN_MULT) 257 +set ::env(RIGHT_MARGIN_MULT) 256 set ::env(LEFT_MARGIN_MULT) 10 set ::env(TOP_MARGIN_MULT) 1 set ::env(BOTTOM_MARGIN_MULT) 1 set ::env(DPL_CELL_PADDING) 0 set ::env(GPL_CELL_PADDING) 0 +set ::env(DIODE_PADDING) 0 ## PDN set ::env(FP_PDN_MACRO_HOOKS) "\ diff --git a/sdc/gpio_control_block.sdc b/sdc/gpio_control_block.sdc index 4173d47a..878dbd36 100644 --- a/sdc/gpio_control_block.sdc +++ b/sdc/gpio_control_block.sdc @@ -1,6 +1,6 @@ ############################################################################### # Created by write_sdc -# Wed Oct 5 13:27:20 2022 +# Fri Oct 7 11:29:34 2022 ############################################################################### current_design gpio_control_block ############################################################################### diff --git a/sdf/gpio_control_block.sdf b/sdf/gpio_control_block.sdf index 8d42c8b5..b0cab7f2 100644 --- a/sdf/gpio_control_block.sdf +++ b/sdf/gpio_control_block.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "gpio_control_block") - (DATE "Wed Oct 5 13:27:46 2022") + (DATE "Fri Oct 7 11:30:02 2022") (VENDOR "Parallax") (PROGRAM "STA") (VERSION "2.3.1") @@ -15,693 +15,688 @@ (INSTANCE) (DELAY (ABSOLUTE - (INTERCONNECT gpio_defaults[0] _071_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[0] _072_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[0] ANTENNA__072__B.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[0] ANTENNA__071__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[10] _085_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[10] _086_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[10] ANTENNA__086__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[10] ANTENNA__085__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT gpio_defaults[11] _087_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[11] _088_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[11] ANTENNA__088__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[11] ANTENNA__087__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[12] _089_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[12] _090_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[12] ANTENNA__090__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[12] ANTENNA__089__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[1] _083_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[1] _084_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[1] ANTENNA__084__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[1] ANTENNA__083__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[2] _073_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[2] _074_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[2] ANTENNA__074__B.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[2] ANTENNA__073__B.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[3] _079_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[3] _080_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[3] ANTENNA__080__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[3] ANTENNA__079__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[4] _081_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[4] _082_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[4] ANTENNA__082__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[4] ANTENNA__081__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[5] _091_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[5] _092_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[5] ANTENNA__092__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[5] ANTENNA__091__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[6] _093_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[6] _094_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[6] ANTENNA__094__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[6] ANTENNA__093__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[7] _095_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[7] _096_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[7] ANTENNA__096__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[7] ANTENNA__095__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[8] _075_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT gpio_defaults[8] _076_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[8] ANTENNA__076__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[8] ANTENNA__075__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[9] _077_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[9] _078_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[9] ANTENNA__078__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_defaults[9] ANTENNA__077__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[0] _068_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[0] _069_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[0] ANTENNA__069__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[0] ANTENNA__068__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[10] _082_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[10] _083_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[10] ANTENNA__083__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[10] ANTENNA__082__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[11] _084_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[11] _085_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[11] ANTENNA__085__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[11] ANTENNA__084__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[12] _086_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[12] _087_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[12] ANTENNA__087__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[12] ANTENNA__086__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[1] _080_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[1] _081_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[1] ANTENNA__081__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[1] ANTENNA__080__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[2] _070_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[2] _071_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[2] ANTENNA__071__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[2] ANTENNA__070__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[3] _076_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[3] _077_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[3] ANTENNA__077__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[3] ANTENNA__076__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[4] _078_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[4] _079_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[4] ANTENNA__079__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[4] ANTENNA__078__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[5] _088_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[5] _089_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[5] ANTENNA__089__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[5] ANTENNA__088__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[6] _090_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[6] _091_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[6] ANTENNA__091__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[6] ANTENNA__090__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[7] _092_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[7] _093_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[7] ANTENNA__093__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[7] ANTENNA__092__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[8] _072_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[8] _073_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[8] ANTENNA__073__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[8] ANTENNA__072__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[9] _074_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[9] _075_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_defaults[9] ANTENNA__075__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT gpio_defaults[9] ANTENNA__074__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT mgmt_gpio_oeb input1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT mgmt_gpio_oeb ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT mgmt_gpio_out input2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT mgmt_gpio_out ANTENNA_input2_A.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000)) + (INTERCONNECT mgmt_gpio_out ANTENNA_input2_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT pad_gpio_in input3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT pad_gpio_in ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT pad_gpio_in ANTENNA_input3_A.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000)) (INTERCONNECT resetn input4.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT resetn ANTENNA_input4_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT serial_clock clkbuf_0_serial_clock.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT serial_clock ANTENNA_clkbuf_0_serial_clock_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT serial_clock clkbuf_0_serial_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT serial_clock ANTENNA_clkbuf_0_serial_clock_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT serial_data_in input5.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT serial_data_in ANTENNA_input5_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT serial_load clkbuf_0_serial_load.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT serial_load ANTENNA_clkbuf_0_serial_load_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_gpio_oeb _065_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_gpio_oeb ANTENNA__065__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT user_gpio_out _066_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT user_gpio_out ANTENNA__066__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _060_.Y gpio_in_buf.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _061__1.Y _109_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _062__14.Y _135_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _063_.Y _139_.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _064_.X _065_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _065_.X output16.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _066_.Y _070_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _067_.X _068_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _067_.X _069_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _068_.X _070_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _069_.Y _070_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _070_.Y output15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _071_.X _109_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _072_.Y _109_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _073_.X _110_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _074_.Y _110_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _075_.X _111_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _076_.Y _111_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _077_.X _112_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _078_.Y _112_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _079_.X _113_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _080_.Y _113_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _081_.X _114_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _082_.Y _114_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _083_.X _115_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _084_.Y _115_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _085_.X _116_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _086_.Y _116_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _087_.X _117_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _088_.Y _117_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _089_.X _118_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _090_.Y _118_.SET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _091_.X _119_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _092_.Y _119_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _093_.X _120_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _094_.Y _120_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _095_.X _121_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _096_.Y _121_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _097__2.Y _110_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _098__3.Y _111_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _099__4.Y _112_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _100__5.Y _113_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _101__6.Y _114_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _102__7.Y _115_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _103__8.Y _116_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _104__9.Y _117_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _105__10.Y _118_.CLK_N (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _106__11.Y _119_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _107__12.Y _120_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _108__13.Y _121_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _109_.Q _065_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _109_.Q _066_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _109_.Q _069_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _110_.Q output12.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _111_.Q output17.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _112_.Q output18.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _113_.Q _063_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _113_.Q output14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _114_.Q output13.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _115_.Q _063_.B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT _115_.Q _064_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _116_.Q output9.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _116_.Q_N _068_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _117_.Q _067_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _117_.Q output10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _118_.Q _067_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _118_.Q output11.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT _119_.Q output6.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _120_.Q output8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _121_.Q output7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _122_.Q hold3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _123_.Q hold5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _124_.Q hold4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _125_.Q hold6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _126_.Q hold13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _127_.Q hold11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _128_.Q hold9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _129_.Q hold8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _130_.Q hold12.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _131_.Q hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _132_.Q hold10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _133_.Q hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _134_.Q _135_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _134_.Q hold7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _135_.Q output20.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _136_.X output19.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _139_.Z mgmt_gpio_in (0.019:0.019:0.020) (0.019:0.019:0.020)) + (INTERCONNECT serial_load clkbuf_0_serial_load.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT serial_load ANTENNA_clkbuf_0_serial_load_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT user_gpio_oeb _061_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT user_gpio_oeb ANTENNA__061__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT user_gpio_out _062_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT user_gpio_out ANTENNA__062__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _058__1.Y _106_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _059__14.Y _132_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _060_.X _061_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _061_.X output17.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _062_.Y _066_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _063_.X _064_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _063_.X _065_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _064_.X _066_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000)) + (INTERCONNECT _065_.Y _066_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _066_.Y output16.A (0.001:0.001:0.001) (0.000:0.000:0.000)) + (INTERCONNECT _067_.X output22.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _068_.X _106_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _069_.Y _106_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _070_.X _107_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _071_.Y _107_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _072_.X _108_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _073_.Y _108_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _074_.X _109_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _075_.Y _109_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _076_.X _110_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _077_.Y _110_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _078_.X _111_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _079_.Y _111_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _080_.X _112_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _081_.Y _112_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _082_.X _113_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _083_.Y _113_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _084_.X _114_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _085_.Y _114_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _086_.X _115_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _087_.Y _115_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _088_.X _116_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _089_.Y _116_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _090_.X _117_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _091_.Y _117_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _092_.X _118_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _093_.Y _118_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _094__2.Y _107_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _095__3.Y _108_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _096__4.Y _109_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _097__5.Y _110_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _098__6.Y _111_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _099__7.Y _112_.CLK_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _100__8.Y _113_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _101__9.Y _114_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _102__10.Y _115_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _103__11.Y _116_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _104__12.Y _117_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _105__13.Y _118_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _106_.Q _061_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _106_.Q _062_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _106_.Q _065_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _107_.Q output13.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _108_.Q output18.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _109_.Q output19.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _110_.Q output15.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _111_.Q output14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _112_.Q _060_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _113_.Q output10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _113_.Q_N _064_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _114_.Q _063_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _114_.Q output11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _115_.Q _063_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _115_.Q output12.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _116_.Q output7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _117_.Q output9.A (0.001:0.001:0.001) (0.000:0.000:0.000)) + (INTERCONNECT _118_.Q output8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _119_.Q hold5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _120_.Q hold3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _121_.Q hold8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _122_.Q hold6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _123_.Q hold10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _124_.Q hold13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _125_.Q hold12.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _126_.Q hold11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _127_.Q hold7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _128_.Q hold4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _129_.Q hold9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _130_.Q hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _131_.Q _132_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _131_.Q hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _132_.Q output21.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _133_.X output6.A (0.001:0.001:0.001) (0.000:0.000:0.000)) + (INTERCONNECT _134_.X output20.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_0_serial_clock.X clkbuf_1_0__f_serial_clock.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_0_serial_clock.X clkbuf_1_1__f_serial_clock.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_0_serial_load.X clkbuf_1_0__f_serial_load.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_0_serial_load.X clkbuf_1_1__f_serial_load.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _062__14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _130_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _129_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _128_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _127_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _126_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _125_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_clock.X _124_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_clock.X serial_clock_out_buffer.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_clock.X _119_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_clock.X _120_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_clock.X _121_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_clock.X _122_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_0__f_serial_clock.X _123_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _108__13.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _107__12.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _106__11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _103__8.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _101__6.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_0__f_serial_load.X _098__3.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X serial_clock_out_buffer.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X _122_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_clock.X _124_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_clock.X _125_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_1_0__f_serial_clock.X _126_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_clock.X _059__14.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_load.X _105__13.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_load.X _104__12.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_load.X _103__11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_load.X _100__8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_load.X _096__4.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0__f_serial_load.X _095__3.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_1__f_serial_clock.X _131_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X _132_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X _133_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_clock.X _134_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X serial_load_out_buffer.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _061__1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _097__2.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _099__4.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _100__5.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _102__7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _104__9.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT clkbuf_1_1__f_serial_load.X _105__10.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1__f_serial_clock.X _130_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_1_1__f_serial_clock.X _129_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_1_1__f_serial_clock.X _128_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_1_1__f_serial_clock.X _127_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X serial_load_out_buffer.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X _058__1.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X _094__2.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X _097__5.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X _098__6.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X _099__7.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X _101__9.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X _102__10.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X ANTENNA__102__10_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X ANTENNA__101__9_A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X ANTENNA__099__7_A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X ANTENNA__098__6_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X ANTENNA__097__5_A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X ANTENNA__094__2_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X ANTENNA__058__1_A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1__f_serial_load.X ANTENNA_serial_load_out_buffer_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT const_source.HI one_buffer.A (0.000:0.000:0.000)) (INTERCONNECT const_source.LO zero_buffer.A (0.000:0.000:0.000)) - (INTERCONNECT fanout21.X _091_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _092_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _094_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _081_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _082_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout21.X _126_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout21.X _127_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout22.X fanout21.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _129_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _128_.RESET_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT fanout22.X _093_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _095_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _096_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout22.X _130_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _079_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _080_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT fanout23.X _088_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout23.X _089_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout23.X _091_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT fanout23.X _125_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout23.X _073_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _074_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X _083_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) - (INTERCONNECT fanout23.X _084_.A_N (0.004:0.004:0.004) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X ANTENNA__084__A_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout23.X ANTENNA__083__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout23.X ANTENNA__074__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X ANTENNA__073__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X ANTENNA__125__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X ANTENNA__080__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT fanout23.X ANTENNA__079__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT fanout24.X fanout23.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout24.X _124_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _123_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _072_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout24.X _122_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _071_.A (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _088_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _133_.RESET_B (0.002:0.002:0.002) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _134_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _077_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _087_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout25.X _089_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _090_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT fanout26.X _135_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout26.X _136_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _075_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _076_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT fanout27.X _078_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _085_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _086_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout27.X _131_.RESET_B (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT fanout27.X _132_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout28.X fanout24.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout28.X fanout22.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout28.X fanout27.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout28.X fanout25.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT fanout28.X fanout26.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT gpio_in_buf.Z user_gpio_in (0.017:0.017:0.017) (0.017:0.017:0.017)) - (INTERCONNECT gpio_logic_high.gpio_logic1 gpio_in_buf.TE (0.000:0.000:0.000) (0.001:0.001:0.001)) - (INTERCONNECT hold1.X _112_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1.X _132_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold10.X _116_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold10.X _133_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold11.X _119_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold11.X _128_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold12.X _111_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold12.X _131_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold13.X _114_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold13.X _127_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold2.X _117_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold2.X _134_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold3.X _109_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold3.X _123_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold4.X _110_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold4.X _125_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold5.X _115_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold5.X _124_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold6.X _113_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold6.X _126_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold7.X _118_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold8.X _121_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold8.X _130_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold9.X _120_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold9.X _129_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _064_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input1.X _067_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input2.X _069_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input3.X _060_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) - (INTERCONNECT input3.X _139_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input4.X fanout28.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT input5.X _122_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout23.X _126_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout23.X _078_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout23.X _079_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout24.X fanout23.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout24.X _124_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT fanout24.X _123_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT fanout24.X _090_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout24.X _092_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout24.X _093_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout24.X _127_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout25.X _122_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout25.X _070_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout25.X _071_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout25.X _076_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout25.X _077_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout25.X _081_.A_N (0.003:0.003:0.003) (0.002:0.002:0.002)) + (INTERCONNECT fanout25.X _120_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout26.X fanout25.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout26.X _121_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout26.X _069_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout26.X _080_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout26.X _119_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout27.X _072_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout27.X _073_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout27.X _083_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout27.X _128_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout27.X _129_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout27.X _074_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout27.X _075_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout28.X _068_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT fanout28.X _085_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT fanout28.X _130_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout28.X _131_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout28.X _084_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT fanout28.X _086_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT fanout28.X _087_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout29.X fanout27.A (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT fanout29.X _082_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT fanout29.X fanout28.A (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT fanout29.X _134_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT fanout29.X _132_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout29.X ANTENNA__132__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT fanout29.X ANTENNA__134__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT fanout29.X ANTENNA_fanout28_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004)) + (INTERCONNECT fanout29.X ANTENNA__082__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT fanout29.X ANTENNA_fanout27_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT fanout30.X fanout26.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout30.X fanout24.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT fanout30.X fanout29.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT gpio_logic_high.gpio_logic1 _067_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold1.X _114_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold1.X _131_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold10.X _111_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold10.X _124_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold11.X _118_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold11.X _127_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold12.X _117_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold12.X _126_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold13.X _116_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold13.X _125_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold2.X _115_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold3.X _112_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold3.X _121_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT hold4.X _109_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold4.X _129_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold5.X _106_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold5.X _120_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold6.X _110_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold6.X _123_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold7.X _108_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold7.X _128_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold8.X _107_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT hold8.X _122_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT hold9.X _113_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold9.X _130_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input1.X _060_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input1.X _063_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input2.X _065_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT input3.X _067_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input3.X _133_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input4.X fanout30.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input5.X _119_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT one_buffer.X one (0.000:0.000:0.000)) - (INTERCONNECT output10.X pad_gpio_dm[1] (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output11.X pad_gpio_dm[2] (0.022:0.022:0.022) (0.022:0.022:0.022)) - (INTERCONNECT output12.X pad_gpio_holdover (0.013:0.013:0.013) (0.012:0.012:0.012)) - (INTERCONNECT output13.X pad_gpio_ib_mode_sel (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT output14.X pad_gpio_inenb (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output15.X pad_gpio_out (0.012:0.012:0.012) (0.012:0.012:0.012)) - (INTERCONNECT output16.X pad_gpio_outenb (0.010:0.010:0.010) (0.010:0.010:0.010)) - (INTERCONNECT output17.X pad_gpio_slow_sel (0.014:0.014:0.014) (0.014:0.014:0.014)) - (INTERCONNECT output18.X pad_gpio_vtrip_sel (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output19.X resetn_out (0.013:0.013:0.013) (0.013:0.013:0.013)) - (INTERCONNECT output20.X serial_data_out (0.007:0.007:0.007) (0.007:0.007:0.007)) - (INTERCONNECT output6.X pad_gpio_ana_en (0.015:0.015:0.015) (0.015:0.015:0.015)) - (INTERCONNECT output7.X pad_gpio_ana_pol (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT output8.X pad_gpio_ana_sel (0.022:0.022:0.022) (0.021:0.021:0.021)) - (INTERCONNECT output9.X pad_gpio_dm[0] (0.011:0.011:0.011) (0.011:0.011:0.011)) - (INTERCONNECT serial_clock_out_buffer.X serial_clock_out (0.025:0.025:0.025) (0.024:0.024:0.024)) - (INTERCONNECT serial_load_out_buffer.X serial_load_out (0.028:0.028:0.028) (0.028:0.028:0.028)) + (INTERCONNECT output10.X pad_gpio_dm[0] (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT output11.X pad_gpio_dm[1] (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT output12.X pad_gpio_dm[2] (0.017:0.017:0.017) (0.017:0.017:0.017)) + (INTERCONNECT output13.X pad_gpio_holdover (0.016:0.016:0.016) (0.016:0.016:0.016)) + (INTERCONNECT output14.X pad_gpio_ib_mode_sel (0.016:0.016:0.016) (0.016:0.016:0.016)) + (INTERCONNECT output15.X pad_gpio_inenb (0.016:0.016:0.016) (0.016:0.016:0.016)) + (INTERCONNECT output16.X pad_gpio_out (0.021:0.021:0.021) (0.021:0.021:0.021)) + (INTERCONNECT output17.X pad_gpio_outenb (0.009:0.009:0.009) (0.009:0.009:0.009)) + (INTERCONNECT output18.X pad_gpio_slow_sel (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT output19.X pad_gpio_vtrip_sel (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT output20.X resetn_out (0.009:0.009:0.009) (0.009:0.009:0.009)) + (INTERCONNECT output21.X serial_data_out (0.008:0.008:0.008) (0.008:0.008:0.008)) + (INTERCONNECT output22.X user_gpio_in (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT output6.X mgmt_gpio_in (0.011:0.011:0.011) (0.011:0.011:0.011)) + (INTERCONNECT output7.X pad_gpio_ana_en (0.018:0.018:0.018) (0.017:0.017:0.017)) + (INTERCONNECT output8.X pad_gpio_ana_pol (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT output9.X pad_gpio_ana_sel (0.006:0.006:0.006) (0.006:0.006:0.006)) + (INTERCONNECT serial_clock_out_buffer.X serial_clock_out (0.011:0.011:0.011) (0.011:0.011:0.011)) + (INTERCONNECT serial_load_out_buffer.X serial_load_out (0.032:0.032:0.032) (0.032:0.032:0.032)) (INTERCONNECT zero_buffer.X zero (0.000:0.000:0.000)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _060_) + (INSTANCE _058__1) (DELAY (ABSOLUTE - (IOPATH A Y (0.153:0.153:0.153) (0.089:0.089:0.089)) + (IOPATH A Y (0.079:0.079:0.079) (0.058:0.058:0.058)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _061__1) + (INSTANCE _059__14) (DELAY (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.041:0.041:0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _062__14) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.062:0.062:0.062) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _063_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.197:0.197:0.197) (0.230:0.230:0.230)) - (IOPATH B Y (0.121:0.121:0.121) (0.104:0.104:0.104)) + (IOPATH A Y (0.068:0.068:0.068) (0.048:0.048:0.048)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__and2_0") - (INSTANCE _064_) + (INSTANCE _060_) (DELAY (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.143:0.143:0.143)) - (IOPATH B X (0.164:0.164:0.164) (0.212:0.212:0.212)) + (IOPATH A X (0.124:0.124:0.124) (0.130:0.130:0.130)) + (IOPATH B X (0.152:0.152:0.152) (0.203:0.203:0.203)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__mux2_4") - (INSTANCE _065_) + (INSTANCE _061_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.655:0.655:0.655) (1.853:1.853:1.853)) - (IOPATH A1 X (0.182:0.182:0.182) (0.290:0.290:0.290)) - (IOPATH S X (0.234:0.234:0.234) (0.340:0.340:0.340)) - (IOPATH S X (0.198:0.198:0.198) (0.333:0.333:0.333)) + (IOPATH A0 X (0.654:0.654:0.654) (1.851:1.851:1.851)) + (IOPATH A1 X (0.176:0.176:0.176) (0.286:0.286:0.286)) + (IOPATH S X (0.230:0.230:0.230) (0.335:0.335:0.335)) + (IOPATH S X (0.193:0.193:0.193) (0.329:0.329:0.329)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _066_) + (INSTANCE _062_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.158:0.158:0.158) (0.204:0.204:0.204)) - (IOPATH B Y (1.247:1.247:1.247) (0.249:0.249:0.249)) + (IOPATH A_N Y (0.148:0.148:0.148) (0.197:0.197:0.197)) + (IOPATH B Y (1.212:1.212:1.212) (0.215:0.215:0.215)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__and3b_2") - (INSTANCE _067_) + (INSTANCE _063_) (DELAY (ABSOLUTE - (IOPATH A_N X (0.263:0.263:0.263) (0.240:0.240:0.240)) - (IOPATH B X (0.222:0.222:0.222) (0.222:0.222:0.222)) - (IOPATH C X (0.229:0.229:0.229) (0.279:0.279:0.279)) + (IOPATH A_N X (0.272:0.272:0.272) (0.247:0.247:0.247)) + (IOPATH B X (0.231:0.231:0.231) (0.229:0.229:0.229)) + (IOPATH C X (0.239:0.239:0.239) (0.285:0.285:0.285)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _068_) + (INSTANCE _064_) (DELAY (ABSOLUTE - (IOPATH A_N X (0.244:0.244:0.244) (0.237:0.237:0.237)) - (IOPATH B X (0.181:0.181:0.181) (0.220:0.221:0.222)) + (IOPATH A_N X (0.240:0.240:0.240) (0.233:0.233:0.233)) + (IOPATH B X (0.181:0.181:0.181) (0.221:0.222:0.222)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _069_) + (INSTANCE _065_) (DELAY (ABSOLUTE (IOPATH A1 Y (0.234:0.234:0.234) (0.103:0.103:0.103)) - (IOPATH A2 Y (0.174:0.175:0.175) (0.076:0.076:0.076)) - (IOPATH B1 Y (0.091:0.091:0.091) (0.088:0.088:0.088)) + (IOPATH A2 Y (0.169:0.170:0.170) (0.075:0.075:0.075)) + (IOPATH B1 Y (0.085:0.085:0.085) (0.081:0.081:0.081)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__o21ai_4") + (INSTANCE _066_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.190:0.191:0.191) (0.076:0.076:0.076)) + (IOPATH A2 Y (0.166:0.168:0.170) (0.070:0.077:0.084)) + (IOPATH B1 Y (0.084:0.163:0.242) (0.070:0.109:0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_2") + (INSTANCE _067_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196:0.196:0.196) (0.201:0.201:0.201)) + (IOPATH B X (0.245:0.245:0.245) (0.290:0.290:0.290)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_0") + (INSTANCE _068_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145:0.145:0.145) (0.236:0.236:0.236)) + (IOPATH B X (0.303:0.303:0.303) (1.439:1.439:1.439)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (INSTANCE _069_) + (DELAY + (ABSOLUTE + (IOPATH A_N Y (0.155:0.155:0.155) (0.197:0.197:0.197)) + (IOPATH B Y (1.055:1.055:1.055) (0.077:0.077:0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _070_) (DELAY (ABSOLUTE - (IOPATH A1 Y (0.186:0.186:0.187) (0.075:0.075:0.076)) - (IOPATH A2 Y (0.162:0.164:0.166) (0.069:0.076:0.084)) - (IOPATH B1 Y (0.084:0.163:0.243) (0.070:0.107:0.144)) + (IOPATH A X (0.207:0.207:0.207) (0.281:0.281:0.281)) + (IOPATH B X (0.372:0.372:0.372) (1.527:1.527:1.527)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _071_) (DELAY (ABSOLUTE - (IOPATH A X (0.123:0.123:0.123) (0.217:0.217:0.217)) - (IOPATH B X (0.275:0.275:0.275) (1.408:1.408:1.408)) + (IOPATH A_N Y (0.161:0.161:0.161) (0.202:0.202:0.202)) + (IOPATH B Y (1.110:1.110:1.110) (0.130:0.130:0.130)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _072_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.163:0.163:0.163) (0.205:0.205:0.205)) - (IOPATH B Y (1.181:1.181:1.181) (0.199:0.199:0.199)) + (IOPATH A X (0.143:0.143:0.143) (0.235:0.235:0.235)) + (IOPATH B X (0.308:0.308:0.308) (1.447:1.447:1.447)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _073_) (DELAY (ABSOLUTE - (IOPATH A X (0.184:0.184:0.184) (0.267:0.267:0.267)) - (IOPATH B X (0.339:0.339:0.339) (1.491:1.491:1.491)) + (IOPATH A_N Y (0.142:0.142:0.142) (0.185:0.185:0.185)) + (IOPATH B Y (1.002:1.002:1.002) (0.024:0.024:0.024)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _074_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.199:0.199:0.199) (0.233:0.233:0.233)) - (IOPATH B Y (1.292:1.292:1.292) (0.304:0.304:0.304)) + (IOPATH A X (0.137:0.137:0.137) (0.230:0.230:0.230)) + (IOPATH B X (0.301:0.301:0.301) (1.437:1.437:1.437)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _075_) (DELAY (ABSOLUTE - (IOPATH A X (0.157:0.157:0.157) (0.245:0.245:0.245)) - (IOPATH B X (0.326:0.326:0.326) (1.473:1.473:1.473)) + (IOPATH A_N Y (0.145:0.145:0.145) (0.188:0.188:0.188)) + (IOPATH B Y (1.028:1.028:1.028) (0.051:0.051:0.051)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _076_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.144:0.144:0.144) (0.188:0.188:0.188)) - (IOPATH B Y (1.042:1.042:1.042) (0.065:0.065:0.065)) + (IOPATH A X (0.160:0.160:0.160) (0.248:0.248:0.248)) + (IOPATH B X (0.323:0.323:0.323) (1.469:1.469:1.469)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _077_) (DELAY (ABSOLUTE - (IOPATH A X (0.126:0.126:0.126) (0.220:0.220:0.220)) - (IOPATH B X (0.283:0.283:0.283) (1.416:1.416:1.416)) + (IOPATH A_N Y (0.163:0.163:0.163) (0.204:0.204:0.204)) + (IOPATH B Y (1.125:1.125:1.125) (0.145:0.145:0.145)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _078_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.143:0.143:0.143) (0.187:0.187:0.187)) - (IOPATH B Y (1.029:1.029:1.029) (0.053:0.053:0.053)) + (IOPATH A X (0.158:0.158:0.158) (0.246:0.246:0.246)) + (IOPATH B X (0.329:0.329:0.329) (1.477:1.477:1.477)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _079_) (DELAY (ABSOLUTE - (IOPATH A X (0.161:0.161:0.161) (0.249:0.249:0.249)) - (IOPATH B X (0.313:0.313:0.313) (1.453:1.453:1.453)) + (IOPATH A_N Y (0.150:0.150:0.150) (0.194:0.194:0.194)) + (IOPATH B Y (1.098:1.098:1.098) (0.119:0.119:0.119)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _080_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.173:0.173:0.173) (0.208:0.208:0.208)) - (IOPATH B Y (1.080:1.080:1.080) (0.101:0.101:0.101)) + (IOPATH A X (0.177:0.177:0.177) (0.262:0.262:0.262)) + (IOPATH B X (0.343:0.343:0.343) (1.496:1.496:1.496)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _081_) (DELAY (ABSOLUTE - (IOPATH A X (0.136:0.136:0.136) (0.229:0.229:0.229)) - (IOPATH B X (0.297:0.297:0.297) (1.432:1.432:1.432)) + (IOPATH A_N Y (0.156:0.156:0.156) (0.197:0.197:0.197)) + (IOPATH B Y (1.068:1.068:1.068) (0.090:0.090:0.090)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _082_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.166:0.166:0.166) (0.208:0.208:0.208)) - (IOPATH B Y (1.179:1.179:1.179) (0.196:0.196:0.196)) + (IOPATH A X (0.137:0.137:0.137) (0.229:0.229:0.229)) + (IOPATH B X (0.276:0.276:0.276) (1.409:1.409:1.409)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _083_) (DELAY (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.247:0.247:0.247)) - (IOPATH B X (0.310:0.310:0.310) (1.449:1.449:1.449)) + (IOPATH A_N Y (0.143:0.143:0.143) (0.186:0.186:0.186)) + (IOPATH B Y (1.009:1.009:1.009) (0.033:0.033:0.033)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _084_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.190:0.190:0.190) (0.225:0.225:0.225)) - (IOPATH B Y (1.230:1.230:1.230) (0.246:0.246:0.246)) + (IOPATH A X (0.221:0.221:0.221) (0.289:0.289:0.289)) + (IOPATH B X (0.383:0.383:0.383) (1.537:1.537:1.537)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _085_) (DELAY (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.244:0.244:0.244)) - (IOPATH B X (0.326:0.326:0.326) (1.473:1.473:1.473)) + (IOPATH A_N Y (0.160:0.160:0.160) (0.199:0.199:0.199)) + (IOPATH B Y (1.069:1.069:1.069) (0.091:0.091:0.091)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _086_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.146:0.146:0.146) (0.190:0.190:0.190)) - (IOPATH B Y (1.057:1.057:1.057) (0.079:0.079:0.079)) + (IOPATH A X (0.206:0.206:0.206) (0.280:0.280:0.280)) + (IOPATH B X (0.369:0.369:0.369) (1.524:1.524:1.524)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _087_) (DELAY (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.232:0.232:0.232)) - (IOPATH B X (0.305:0.305:0.305) (1.442:1.442:1.442)) + (IOPATH A_N Y (0.171:0.171:0.171) (0.210:0.210:0.210)) + (IOPATH B Y (1.168:1.168:1.168) (0.186:0.186:0.186)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _088_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.163:0.163:0.163) (0.206:0.206:0.206)) - (IOPATH B Y (1.191:1.191:1.191) (0.208:0.208:0.208)) + (IOPATH A X (0.168:0.168:0.168) (0.254:0.254:0.254)) + (IOPATH B X (0.340:0.340:0.340) (1.493:1.493:1.493)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _089_) (DELAY (ABSOLUTE - (IOPATH A X (0.131:0.131:0.131) (0.225:0.225:0.225)) - (IOPATH B X (0.295:0.295:0.295) (1.427:1.427:1.427)) + (IOPATH A_N Y (0.138:0.138:0.138) (0.182:0.182:0.182)) + (IOPATH B Y (0.997:0.997:0.997) (0.019:0.019:0.019)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _090_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.154:0.154:0.154) (0.202:0.202:0.202)) - (IOPATH B Y (1.226:1.226:1.226) (0.242:0.242:0.242)) + (IOPATH A X (0.130:0.130:0.130) (0.224:0.224:0.224)) + (IOPATH B X (0.294:0.294:0.294) (1.426:1.426:1.426)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _091_) (DELAY (ABSOLUTE - (IOPATH A X (0.133:0.133:0.133) (0.227:0.227:0.227)) - (IOPATH B X (0.295:0.295:0.295) (1.427:1.427:1.427)) + (IOPATH A_N Y (0.144:0.144:0.144) (0.188:0.188:0.188)) + (IOPATH B Y (1.048:1.048:1.048) (0.071:0.071:0.071)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") + (CELLTYPE "sky130_fd_sc_hd__or2_0") (INSTANCE _092_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.167:0.167:0.167) (0.208:0.208:0.208)) - (IOPATH B Y (1.184:1.184:1.184) (0.201:0.201:0.201)) + (IOPATH A X (0.126:0.126:0.126) (0.220:0.220:0.220)) + (IOPATH B X (0.284:0.284:0.284) (1.417:1.417:1.417)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") + (CELLTYPE "sky130_fd_sc_hd__nand2b_2") (INSTANCE _093_) (DELAY (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.231:0.231:0.231)) - (IOPATH B X (0.307:0.307:0.307) (1.445:1.445:1.445)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _094_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.147:0.147:0.147) (0.189:0.189:0.189)) - (IOPATH B Y (1.019:1.019:1.019) (0.043:0.043:0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2_0") - (INSTANCE _095_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.127:0.127:0.127) (0.221:0.221:0.221)) - (IOPATH B X (0.293:0.293:0.293) (1.425:1.425:1.425)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2b_2") - (INSTANCE _096_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.140:0.140:0.140) (0.185:0.185:0.185)) - (IOPATH B Y (1.027:1.027:1.027) (0.050:0.050:0.050)) + (IOPATH A_N Y (0.144:0.144:0.144) (0.188:0.188:0.188)) + (IOPATH B Y (1.034:1.034:1.034) (0.057:0.057:0.057)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _097__2) + (INSTANCE _094__2) (DELAY (ABSOLUTE - (IOPATH A Y (0.056:0.056:0.056) (0.040:0.040:0.040)) + (IOPATH A Y (0.072:0.072:0.072) (0.053:0.053:0.053)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _098__3) + (INSTANCE _095__3) (DELAY (ABSOLUTE (IOPATH A Y (0.041:0.041:0.041) (0.029:0.029:0.029)) @@ -710,70 +705,79 @@ ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _099__4) + (INSTANCE _096__4) (DELAY (ABSOLUTE - (IOPATH A Y (0.066:0.066:0.066) (0.047:0.047:0.047)) + (IOPATH A Y (0.060:0.060:0.060) (0.043:0.043:0.043)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _100__5) + (INSTANCE _097__5) (DELAY (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.037:0.037:0.037)) + (IOPATH A Y (0.068:0.068:0.068) (0.050:0.050:0.050)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _101__6) + (INSTANCE _098__6) (DELAY (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.035:0.035:0.035)) + (IOPATH A Y (0.063:0.063:0.063) (0.046:0.046:0.046)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _102__7) + (INSTANCE _099__7) (DELAY (ABSOLUTE - (IOPATH A Y (0.055:0.055:0.055) (0.040:0.040:0.040)) + (IOPATH A Y (0.077:0.077:0.077) (0.057:0.057:0.057)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _103__8) + (INSTANCE _100__8) (DELAY (ABSOLUTE - (IOPATH A Y (0.042:0.042:0.042) (0.030:0.030:0.030)) + (IOPATH A Y (0.040:0.040:0.040) (0.028:0.028:0.028)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _104__9) + (INSTANCE _101__9) (DELAY (ABSOLUTE - (IOPATH A Y (0.049:0.049:0.049) (0.035:0.035:0.035)) + (IOPATH A Y (0.065:0.065:0.065) (0.047:0.047:0.047)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _105__10) + (INSTANCE _102__10) (DELAY (ABSOLUTE - (IOPATH A Y (0.068:0.068:0.068) (0.049:0.049:0.049)) + (IOPATH A Y (0.058:0.058:0.058) (0.042:0.042:0.042)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _106__11) + (INSTANCE _103__11) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.040:0.040:0.040) (0.028:0.028:0.028)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _104__12) (DELAY (ABSOLUTE (IOPATH A Y (0.046:0.046:0.046) (0.033:0.033:0.033)) @@ -782,21 +786,84 @@ ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _107__12) + (INSTANCE _105__13) (DELAY (ABSOLUTE - (IOPATH A Y (0.051:0.051:0.051) (0.037:0.037:0.037)) + (IOPATH A Y (0.052:0.052:0.052) (0.037:0.037:0.037)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _108__13) + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _106_) (DELAY (ABSOLUTE - (IOPATH A Y (0.039:0.039:0.039) (0.028:0.028:0.028)) + (IOPATH CLK_N Q_N (0.486:0.486:0.486) (0.386:0.386:0.386)) + (IOPATH CLK_N Q (0.575:0.575:0.575) (0.629:0.629:0.629)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) ) ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.072:0.029:-0.014)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.066:-0.016:0.035)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.075:0.065:0.056)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.074:0.083:0.093)) + (HOLD (posedge D) (negedge CLK_N) (0.035:0.035:0.035)) + (HOLD (negedge D) (negedge CLK_N) (-0.150:-0.150:-0.150)) + (SETUP (posedge D) (negedge CLK_N) (0.095:0.095:0.095)) + (SETUP (negedge D) (negedge CLK_N) (0.170:0.170:0.170)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _107_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.484:0.484:0.484) (0.385:0.385:0.385)) + (IOPATH CLK_N Q (0.588:0.588:0.588) (0.635:0.635:0.635)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.070:0.027:-0.017)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.063:-0.012:0.040)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.051:0.048:0.044)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.098:0.101:0.105)) + (HOLD (posedge D) (negedge CLK_N) (0.028:0.028:0.028)) + (HOLD (negedge D) (negedge CLK_N) (-0.156:-0.156:-0.156)) + (SETUP (posedge D) (negedge CLK_N) (0.102:0.102:0.102)) + (SETUP (negedge D) (negedge CLK_N) (0.176:0.176:0.176)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _108_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.476:0.476:0.476) (0.376:0.376:0.376)) + (IOPATH CLK_N Q (0.559:0.559:0.559) (0.615:0.615:0.615)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.022:-0.022)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058:-0.010:0.039)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.063:0.055:0.046)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.086:0.095:0.104)) + (HOLD (posedge D) (negedge CLK_N) (0.033:0.033:0.033)) + (HOLD (negedge D) (negedge CLK_N) (-0.149:-0.149:-0.149)) + (SETUP (posedge D) (negedge CLK_N) (0.097:0.097:0.097)) + (SETUP (negedge D) (negedge CLK_N) (0.169:0.169:0.169)) + ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") @@ -804,7 +871,7 @@ (DELAY (ABSOLUTE (IOPATH CLK_N Q_N (0.480:0.480:0.480) (0.380:0.380:0.380)) - (IOPATH CLK_N Q (0.579:0.579:0.579) (0.629:0.629:0.629)) + (IOPATH CLK_N Q (0.583:0.583:0.583) (0.631:0.631:0.631)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -812,14 +879,14 @@ ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064:0.021:-0.022)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057:-0.004:0.050)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.073:0.063:0.053)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.086:0.097)) - (HOLD (posedge D) (negedge CLK_N) (0.032:0.032:0.032)) - (HOLD (negedge D) (negedge CLK_N) (-0.152:-0.152:-0.152)) - (SETUP (posedge D) (negedge CLK_N) (0.098:0.098:0.098)) - (SETUP (negedge D) (negedge CLK_N) (0.172:0.172:0.172)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.068:0.025:-0.018)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.062:-0.012:0.037)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.070:0.060:0.051)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.079:0.089:0.098)) + (HOLD (posedge D) (negedge CLK_N) (0.038:0.038:0.038)) + (HOLD (negedge D) (negedge CLK_N) (-0.147:-0.147:-0.147)) + (SETUP (posedge D) (negedge CLK_N) (0.093:0.093:0.093)) + (SETUP (negedge D) (negedge CLK_N) (0.167:0.167:0.167)) ) ) (CELL @@ -827,8 +894,8 @@ (INSTANCE _110_) (DELAY (ABSOLUTE - (IOPATH CLK_N Q_N (0.480:0.480:0.480) (0.380:0.380:0.380)) - (IOPATH CLK_N Q (0.583:0.583:0.583) (0.630:0.630:0.630)) + (IOPATH CLK_N Q_N (0.483:0.483:0.483) (0.383:0.383:0.383)) + (IOPATH CLK_N Q (0.592:0.592:0.592) (0.637:0.637:0.637)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -836,19 +903,139 @@ ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.060:0.018:-0.025)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.054:0.003:0.060)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.058:0.053:0.048)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.091:0.096:0.102)) - (HOLD (posedge D) (negedge CLK_N) (0.024:0.024:0.024)) - (HOLD (negedge D) (negedge CLK_N) (-0.159:-0.159:-0.159)) - (SETUP (posedge D) (negedge CLK_N) (0.107:0.107:0.107)) - (SETUP (negedge D) (negedge CLK_N) (0.179:0.179:0.179)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.068:0.025:-0.018)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.062:-0.010:0.042)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.066:0.059:0.052)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.083:0.090:0.097)) + (HOLD (posedge D) (negedge CLK_N) (0.041:0.041:0.041)) + (HOLD (negedge D) (negedge CLK_N) (-0.144:-0.144:-0.144)) + (SETUP (posedge D) (negedge CLK_N) (0.090:0.090:0.090)) + (SETUP (negedge D) (negedge CLK_N) (0.164:0.164:0.164)) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") (INSTANCE _111_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.482:0.482:0.482) (0.382:0.382:0.382)) + (IOPATH CLK_N Q (0.573:0.573:0.573) (0.625:0.625:0.625)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.068:0.024:-0.019)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.061:-0.010:0.041)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.063:0.057:0.050)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.086:0.092:0.099)) + (HOLD (posedge D) (negedge CLK_N) (0.038:0.038:0.038)) + (HOLD (negedge D) (negedge CLK_N) (-0.147:-0.147:-0.147)) + (SETUP (posedge D) (negedge CLK_N) (0.093:0.093:0.093)) + (SETUP (negedge D) (negedge CLK_N) (0.167:0.167:0.167)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _112_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.486:0.486:0.486) (0.386:0.386:0.386)) + (IOPATH CLK_N Q (0.540:0.540:0.540) (0.608:0.608:0.608)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.072:0.029:-0.014)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.066:-0.015:0.035)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.063:0.058:0.053)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.086:0.091:0.096)) + (HOLD (posedge D) (negedge CLK_N) (0.032:0.032:0.032)) + (HOLD (negedge D) (negedge CLK_N) (-0.153:-0.153:-0.153)) + (SETUP (posedge D) (negedge CLK_N) (0.098:0.098:0.098)) + (SETUP (negedge D) (negedge CLK_N) (0.173:0.173:0.173)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _113_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.496:0.496:0.496) (0.396:0.396:0.396)) + (IOPATH CLK_N Q (0.566:0.566:0.566) (0.619:0.619:0.619)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.021:-0.022)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058:-0.009:0.040)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.069:0.059:0.049)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.080:0.090:0.101)) + (HOLD (posedge D) (negedge CLK_N) (0.029:0.029:0.029)) + (HOLD (negedge D) (negedge CLK_N) (-0.154:-0.154:-0.154)) + (SETUP (posedge D) (negedge CLK_N) (0.102:0.102:0.102)) + (SETUP (negedge D) (negedge CLK_N) (0.174:0.174:0.174)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _114_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.482:0.482:0.482) (0.382:0.382:0.382)) + (IOPATH CLK_N Q (0.593:0.593:0.593) (0.637:0.637:0.637)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.069:0.026:-0.018)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.062:-0.012:0.038)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.045:0.042:0.039)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.105:0.107:0.110)) + (HOLD (posedge D) (negedge CLK_N) (0.042:0.042:0.042)) + (HOLD (negedge D) (negedge CLK_N) (-0.143:-0.143:-0.143)) + (SETUP (posedge D) (negedge CLK_N) (0.088:0.088:0.088)) + (SETUP (negedge D) (negedge CLK_N) (0.163:0.163:0.163)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _115_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.480:0.480:0.480) (0.381:0.381:0.381)) + (IOPATH CLK_N Q (0.589:0.589:0.589) (0.634:0.634:0.634)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.022:-0.021)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058:-0.005:0.048)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.048:0.045:0.042)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.101:0.104:0.108)) + (HOLD (posedge D) (negedge CLK_N) (0.044:0.044:0.044)) + (HOLD (negedge D) (negedge CLK_N) (-0.140:-0.140:-0.140)) + (SETUP (posedge D) (negedge CLK_N) (0.086:0.086:0.086)) + (SETUP (negedge D) (negedge CLK_N) (0.160:0.160:0.160)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _116_) (DELAY (ABSOLUTE (IOPATH CLK_N Q_N (0.476:0.476:0.476) (0.376:0.376:0.376)) @@ -860,134 +1047,14 @@ ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064:0.021:-0.023)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057:-0.008:0.042)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.058:0.052:0.045)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.091:0.098:0.105)) - (HOLD (posedge D) (negedge CLK_N) (0.034:0.034:0.034)) - (HOLD (negedge D) (negedge CLK_N) (-0.149:-0.149:-0.149)) - (SETUP (posedge D) (negedge CLK_N) (0.097:0.097:0.097)) - (SETUP (negedge D) (negedge CLK_N) (0.169:0.169:0.169)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _112_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.482:0.482:0.482) (0.382:0.382:0.382)) - (IOPATH CLK_N Q (0.585:0.585:0.585) (0.633:0.633:0.633)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.070:0.027:-0.017)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.063:-0.014:0.035)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.075:0.065:0.054)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.074:0.084:0.095)) - (HOLD (posedge D) (negedge CLK_N) (0.039:0.039:0.039)) - (HOLD (negedge D) (negedge CLK_N) (-0.146:-0.146:-0.146)) - (SETUP (posedge D) (negedge CLK_N) (0.091:0.091:0.091)) - (SETUP (negedge D) (negedge CLK_N) (0.166:0.166:0.166)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _113_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.479:0.479:0.479) (0.379:0.379:0.379)) - (IOPATH CLK_N Q (0.592:0.592:0.592) (0.635:0.635:0.635)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.022:-0.021)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.059:-0.008:0.043)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.065:0.057:0.049)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.084:0.093:0.101)) - (HOLD (posedge D) (negedge CLK_N) (0.036:0.036:0.036)) - (HOLD (negedge D) (negedge CLK_N) (-0.148:-0.148:-0.148)) - (SETUP (posedge D) (negedge CLK_N) (0.095:0.095:0.095)) - (SETUP (negedge D) (negedge CLK_N) (0.168:0.168:0.168)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _114_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.566:0.566:0.566) (0.620:0.620:0.620)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062:0.019:-0.024)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055:-0.002:0.051)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.068:0.058:0.048)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.081:0.091:0.101)) - (HOLD (posedge D) (negedge CLK_N) (0.032:0.032:0.032)) - (HOLD (negedge D) (negedge CLK_N) (-0.151:-0.151:-0.151)) - (SETUP (posedge D) (negedge CLK_N) (0.098:0.098:0.098)) - (SETUP (negedge D) (negedge CLK_N) (0.171:0.171:0.171)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _115_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.480:0.480:0.480) (0.380:0.380:0.380)) - (IOPATH CLK_N Q (0.559:0.559:0.559) (0.618:0.618:0.618)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062:0.019:-0.024)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055:-0.001:0.054)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.066:0.058:0.050)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.082:0.091:0.100)) - (HOLD (posedge D) (negedge CLK_N) (0.036:0.036:0.036)) - (HOLD (negedge D) (negedge CLK_N) (-0.148:-0.148:-0.148)) - (SETUP (posedge D) (negedge CLK_N) (0.094:0.094:0.094)) - (SETUP (negedge D) (negedge CLK_N) (0.168:0.168:0.168)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _116_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.503:0.503:0.503) (0.403:0.403:0.403)) - (IOPATH CLK_N Q (0.567:0.567:0.567) (0.620:0.620:0.620)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064:0.021:-0.023)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057:-0.007:0.043)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.059:0.052:0.045)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.091:0.098:0.104)) - (HOLD (posedge D) (negedge CLK_N) (0.030:0.030:0.030)) - (HOLD (negedge D) (negedge CLK_N) (-0.153:-0.153:-0.153)) - (SETUP (posedge D) (negedge CLK_N) (0.101:0.101:0.101)) - (SETUP (negedge D) (negedge CLK_N) (0.173:0.173:0.173)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.021:-0.022)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058:-0.010:0.039)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.054:0.049:0.044)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.096:0.101:0.106)) + (HOLD (posedge D) (negedge CLK_N) (0.031:0.031:0.031)) + (HOLD (negedge D) (negedge CLK_N) (-0.152:-0.152:-0.152)) + (SETUP (posedge D) (negedge CLK_N) (0.100:0.100:0.100)) + (SETUP (negedge D) (negedge CLK_N) (0.172:0.172:0.172)) ) ) (CELL @@ -995,8 +1062,8 @@ (INSTANCE _117_) (DELAY (ABSOLUTE - (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.590:0.590:0.590) (0.634:0.634:0.634)) + (IOPATH CLK_N Q_N (0.477:0.477:0.477) (0.377:0.377:0.377)) + (IOPATH CLK_N Q (0.561:0.561:0.561) (0.616:0.616:0.616)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -1004,14 +1071,14 @@ ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062:0.019:-0.024)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055:-0.002:0.052)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.066:0.057:0.048)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.083:0.092:0.101)) - (HOLD (posedge D) (negedge CLK_N) (0.038:0.038:0.038)) - (HOLD (negedge D) (negedge CLK_N) (-0.145:-0.145:-0.145)) - (SETUP (posedge D) (negedge CLK_N) (0.092:0.092:0.092)) - (SETUP (negedge D) (negedge CLK_N) (0.165:0.165:0.165)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.022:-0.022)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058:-0.008:0.041)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.069:0.058:0.048)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.080:0.091:0.101)) + (HOLD (posedge D) (negedge CLK_N) (0.036:0.036:0.036)) + (HOLD (negedge D) (negedge CLK_N) (-0.147:-0.147:-0.147)) + (SETUP (posedge D) (negedge CLK_N) (0.095:0.095:0.095)) + (SETUP (negedge D) (negedge CLK_N) (0.167:0.167:0.167)) ) ) (CELL @@ -1019,8 +1086,8 @@ (INSTANCE _118_) (DELAY (ABSOLUTE - (IOPATH CLK_N Q_N (0.483:0.483:0.483) (0.383:0.383:0.383)) - (IOPATH CLK_N Q (0.595:0.595:0.595) (0.638:0.638:0.638)) + (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) + (IOPATH CLK_N Q (0.569:0.569:0.569) (0.621:0.621:0.621)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -1029,57 +1096,9 @@ ) (TIMINGCHECK (REMOVAL (posedge SET_B) (negedge CLK_N) (0.066:0.023:-0.020)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.059:-0.004:0.050)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.074:0.064:0.054)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.085:0.095)) - (HOLD (posedge D) (negedge CLK_N) (0.047:0.047:0.047)) - (HOLD (negedge D) (negedge CLK_N) (-0.138:-0.138:-0.138)) - (SETUP (posedge D) (negedge CLK_N) (0.084:0.084:0.084)) - (SETUP (negedge D) (negedge CLK_N) (0.158:0.158:0.158)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _119_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.477:0.477:0.477) (0.377:0.377:0.377)) - (IOPATH CLK_N Q (0.565:0.565:0.565) (0.619:0.619:0.619)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.061:0.018:-0.025)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055:-0.001:0.052)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.068:0.058:0.048)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.081:0.091:0.102)) - (HOLD (posedge D) (negedge CLK_N) (0.029:0.029:0.029)) - (HOLD (negedge D) (negedge CLK_N) (-0.154:-0.154:-0.154)) - (SETUP (posedge D) (negedge CLK_N) (0.102:0.102:0.102)) - (SETUP (negedge D) (negedge CLK_N) (0.174:0.174:0.174)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _120_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.564:0.564:0.564) (0.619:0.619:0.619)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.067:0.023:-0.020)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.060:-0.011:0.039)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.066:0.057:0.048)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.083:0.092:0.101)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.060:-0.010:0.039)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.071:0.061:0.050)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.078:0.088:0.099)) (HOLD (posedge D) (negedge CLK_N) (0.037:0.037:0.037)) (HOLD (negedge D) (negedge CLK_N) (-0.147:-0.147:-0.147)) (SETUP (posedge D) (negedge CLK_N) (0.094:0.094:0.094)) @@ -1087,27 +1106,57 @@ ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _121_) + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _119_) (DELAY (ABSOLUTE - (IOPATH CLK_N Q_N (0.476:0.476:0.476) (0.376:0.376:0.376)) - (IOPATH CLK_N Q (0.565:0.565:0.565) (0.618:0.618:0.618)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH CLK Q (0.389:0.389:0.389) (0.459:0.459:0.459)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064:0.021:-0.023)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058:-0.008:0.041)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.067:0.057:0.047)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.082:0.092:0.103)) - (HOLD (posedge D) (negedge CLK_N) (0.033:0.033:0.033)) - (HOLD (negedge D) (negedge CLK_N) (-0.150:-0.150:-0.150)) - (SETUP (posedge D) (negedge CLK_N) (0.098:0.098:0.098)) - (SETUP (negedge D) (negedge CLK_N) (0.170:0.170:0.170)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) + (HOLD (posedge D) (posedge CLK) (-0.054:-0.054:-0.054)) + (HOLD (negedge D) (posedge CLK) (-0.082:-0.082:-0.082)) + (SETUP (posedge D) (posedge CLK) (0.084:0.084:0.084)) + (SETUP (negedge D) (posedge CLK) (0.158:0.158:0.158)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _120_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.410:0.410:0.410) (0.477:0.477:0.477)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) + (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) + (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) + (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) + (SETUP (negedge D) (posedge CLK) (0.126:0.126:0.126)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _121_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.407:0.407:0.407) (0.475:0.475:0.475)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) + (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049)) + (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) + (SETUP (posedge D) (posedge CLK) (0.079:0.079:0.079)) + (SETUP (negedge D) (posedge CLK) (0.129:0.129:0.129)) ) ) (CELL @@ -1115,17 +1164,17 @@ (INSTANCE _122_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.385:0.385:0.385) (0.454:0.454:0.454)) + (IOPATH CLK Q (0.386:0.386:0.386) (0.456:0.456:0.456)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.059:-0.059:-0.059)) - (HOLD (negedge D) (posedge CLK) (-0.094:-0.094:-0.094)) - (SETUP (posedge D) (posedge CLK) (0.090:0.090:0.090)) - (SETUP (negedge D) (posedge CLK) (0.170:0.170:0.170)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) + (HOLD (posedge D) (posedge CLK) (-0.051:-0.051:-0.051)) + (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) + (SETUP (posedge D) (posedge CLK) (0.082:0.082:0.082)) + (SETUP (negedge D) (posedge CLK) (0.132:0.132:0.132)) ) ) (CELL @@ -1133,17 +1182,17 @@ (INSTANCE _123_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.384:0.384:0.384) (0.453:0.453:0.453)) + (IOPATH CLK Q (0.388:0.388:0.388) (0.457:0.457:0.457)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) + (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) + (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046)) + (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) + (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) ) ) (CELL @@ -1151,17 +1200,17 @@ (INSTANCE _124_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.405:0.405:0.405) (0.473:0.473:0.473)) + (IOPATH CLK Q (0.391:0.391:0.391) (0.460:0.460:0.460)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) + (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) + (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) + (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) + (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) ) ) (CELL @@ -1169,17 +1218,17 @@ (INSTANCE _125_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.383:0.383:0.383) (0.452:0.452:0.452)) + (IOPATH CLK Q (0.388:0.388:0.388) (0.458:0.458:0.458)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.373:0.373:0.373)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.161:-0.161:-0.161)) - (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061)) - (SETUP (posedge D) (posedge CLK) (0.084:0.084:0.084)) - (SETUP (negedge D) (posedge CLK) (0.134:0.134:0.134)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) + (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) + (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) + (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) + (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) ) ) (CELL @@ -1187,17 +1236,17 @@ (INSTANCE _126_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.382:0.382:0.382) (0.452:0.452:0.452)) + (IOPATH CLK Q (0.388:0.388:0.388) (0.457:0.457:0.457)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194:-0.194:-0.194)) + (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) + (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045)) + (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067)) + (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) ) ) (CELL @@ -1210,12 +1259,12 @@ ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347)) (RECOVERY (posedge RESET_B) (posedge CLK) (-0.183:-0.183:-0.183)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) - (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) - (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) + (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) + (HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051)) + (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072)) + (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) ) ) (CELL @@ -1223,17 +1272,17 @@ (INSTANCE _128_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.388:0.388:0.388) (0.457:0.457:0.457)) + (IOPATH CLK Q (0.379:0.379:0.379) (0.448:0.448:0.448)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046)) - (HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054)) - (SETUP (posedge D) (posedge CLK) (0.076:0.076:0.076)) - (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.348:0.348:0.348)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) + (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) + (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) + (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) + (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) ) ) (CELL @@ -1241,17 +1290,17 @@ (INSTANCE _129_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.383:0.383:0.383) (0.453:0.453:0.453)) + (IOPATH CLK Q (0.380:0.380:0.380) (0.449:0.449:0.449)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048)) - (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) - (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.348:0.348:0.348)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182)) + (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043)) + (HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052)) + (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073)) + (SETUP (negedge D) (posedge CLK) (0.125:0.125:0.125)) ) ) (CELL @@ -1259,17 +1308,17 @@ (INSTANCE _130_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.455:0.455:0.455)) + (IOPATH CLK Q (0.380:0.380:0.380) (0.449:0.449:0.449)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) - (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.171:-0.171:-0.171)) + (HOLD (posedge D) (posedge CLK) (-0.047:-0.047:-0.047)) + (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056)) + (SETUP (posedge D) (posedge CLK) (0.077:0.077:0.077)) + (SETUP (negedge D) (posedge CLK) (0.130:0.130:0.130)) ) ) (CELL @@ -1277,107 +1326,52 @@ (INSTANCE _131_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.384:0.384:0.384) (0.453:0.453:0.453)) + (IOPATH CLK Q (0.412:0.412:0.412) (0.478:0.478:0.478)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.349:0.349:0.349)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) - (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041)) - (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.171:-0.171:-0.171)) + (HOLD (posedge D) (posedge CLK) (-0.040:-0.040:-0.040)) + (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070)) (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") (INSTANCE _132_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.383:0.383:0.383) (0.452:0.452:0.452)) + (IOPATH CLK Q (0.395:0.395:0.395) (0.429:0.429:0.429)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.349:0.349:0.349)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) - (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.371:0.371:0.371)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.170:-0.170:-0.170)) + (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038)) + (HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049)) + (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (CELLTYPE "sky130_fd_sc_hd__buf_2") (INSTANCE _133_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.455:0.455:0.455)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH A X (0.171:0.171:0.171) (0.190:0.190:0.190)) ) ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044)) - (HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053)) - (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074)) - (SETUP (negedge D) (posedge CLK) (0.126:0.126:0.126)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _134_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.414:0.414:0.414) (0.481:0.481:0.481)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.119:0.119:0.119)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _135_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.389:0.389:0.389) (0.424:0.424:0.424)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203)) - (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050)) - (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) - (SETUP (negedge D) (posedge CLK) (0.124:0.124:0.124)) - ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE _136_) + (INSTANCE _134_) (DELAY (ABSOLUTE - (IOPATH A X (0.173:0.173:0.173) (0.158:0.158:0.158)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__ebufn_8") - (INSTANCE _139_) - (DELAY - (ABSOLUTE - (IOPATH A Z (0.872:0.872:0.872) (0.463:0.463:0.463)) - (IOPATH TE_B Z (0.817:0.819:0.820) (0.412:0.414:0.416)) + (IOPATH A X (0.210:0.210:0.210) (0.182:0.182:0.182)) ) ) ) @@ -1386,7 +1380,7 @@ (INSTANCE clkbuf_0_serial_clock) (DELAY (ABSOLUTE - (IOPATH A X (0.619:0.619:0.619) (1.466:1.466:1.466)) + (IOPATH A X (0.634:0.634:0.634) (1.479:1.479:1.479)) ) ) ) @@ -1395,7 +1389,7 @@ (INSTANCE clkbuf_0_serial_load) (DELAY (ABSOLUTE - (IOPATH A X (0.618:0.618:0.618) (1.465:1.465:1.465)) + (IOPATH A X (0.621:0.621:0.621) (1.468:1.468:1.468)) ) ) ) @@ -1404,7 +1398,7 @@ (INSTANCE clkbuf_1_0__f_serial_clock) (DELAY (ABSOLUTE - (IOPATH A X (0.178:0.178:0.178) (0.210:0.210:0.210)) + (IOPATH A X (0.189:0.189:0.189) (0.219:0.219:0.219)) ) ) ) @@ -1413,7 +1407,7 @@ (INSTANCE clkbuf_1_0__f_serial_load) (DELAY (ABSOLUTE - (IOPATH A X (0.182:0.182:0.182) (0.212:0.212:0.212)) + (IOPATH A X (0.185:0.185:0.185) (0.214:0.214:0.214)) ) ) ) @@ -1422,7 +1416,7 @@ (INSTANCE clkbuf_1_1__f_serial_clock) (DELAY (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.209:0.209:0.209)) + (IOPATH A X (0.166:0.166:0.166) (0.201:0.201:0.201)) ) ) ) @@ -1431,25 +1425,7 @@ (INSTANCE clkbuf_1_1__f_serial_load) (DELAY (ABSOLUTE - (IOPATH A X (0.197:0.197:0.197) (0.222:0.222:0.222)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.222:0.222:0.222) (0.185:0.185:0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE fanout22) - (DELAY - (ABSOLUTE - (IOPATH A X (0.198:0.198:0.198) (0.171:0.171:0.171)) + (IOPATH A X (0.208:0.208:0.208) (0.230:0.230:0.230)) ) ) ) @@ -1458,7 +1434,7 @@ (INSTANCE fanout23) (DELAY (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.212:0.212:0.212)) + (IOPATH A X (0.210:0.210:0.210) (0.179:0.179:0.179)) ) ) ) @@ -1467,7 +1443,7 @@ (INSTANCE fanout24) (DELAY (ABSOLUTE - (IOPATH A X (0.208:0.208:0.208) (0.178:0.178:0.178)) + (IOPATH A X (0.207:0.207:0.207) (0.177:0.177:0.177)) ) ) ) @@ -1476,7 +1452,7 @@ (INSTANCE fanout25) (DELAY (ABSOLUTE - (IOPATH A X (0.207:0.207:0.207) (0.176:0.176:0.176)) + (IOPATH A X (0.241:0.241:0.241) (0.198:0.198:0.198)) ) ) ) @@ -1485,7 +1461,7 @@ (INSTANCE fanout26) (DELAY (ABSOLUTE - (IOPATH A X (0.177:0.177:0.177) (0.161:0.161:0.161)) + (IOPATH A X (0.227:0.227:0.227) (0.189:0.189:0.189)) ) ) ) @@ -1494,7 +1470,7 @@ (INSTANCE fanout27) (DELAY (ABSOLUTE - (IOPATH A X (0.203:0.203:0.203) (0.174:0.174:0.174)) + (IOPATH A X (0.238:0.238:0.238) (0.198:0.198:0.198)) ) ) ) @@ -1503,17 +1479,25 @@ (INSTANCE fanout28) (DELAY (ABSOLUTE - (IOPATH A X (0.193:0.193:0.193) (0.202:0.202:0.202)) + (IOPATH A X (0.262:0.262:0.262) (0.212:0.212:0.212)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__einvp_8") - (INSTANCE gpio_in_buf) + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE fanout29) (DELAY (ABSOLUTE - (IOPATH A Z (0.728:0.728:0.728) (0.296:0.296:0.296)) - (IOPATH TE Z (0.777:0.777:0.777) (0.262:0.262:0.262)) + (IOPATH A X (0.257:0.257:0.257) (0.203:0.203:0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE fanout30) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196:0.196:0.196) (0.209:0.209:0.209)) ) ) ) @@ -1522,7 +1506,7 @@ (INSTANCE hold1) (DELAY (ABSOLUTE - (IOPATH A X (0.563:0.563:0.563) (0.574:0.574:0.574)) + (IOPATH A X (0.551:0.551:0.551) (0.564:0.564:0.564)) ) ) ) @@ -1531,7 +1515,7 @@ (INSTANCE hold10) (DELAY (ABSOLUTE - (IOPATH A X (0.574:0.574:0.574) (0.584:0.584:0.584)) + (IOPATH A X (0.565:0.565:0.565) (0.576:0.576:0.576)) ) ) ) @@ -1540,7 +1524,7 @@ (INSTANCE hold11) (DELAY (ABSOLUTE - (IOPATH A X (0.581:0.581:0.581) (0.590:0.590:0.590)) + (IOPATH A X (0.558:0.558:0.558) (0.570:0.570:0.570)) ) ) ) @@ -1549,7 +1533,7 @@ (INSTANCE hold12) (DELAY (ABSOLUTE - (IOPATH A X (0.559:0.559:0.559) (0.571:0.571:0.571)) + (IOPATH A X (0.556:0.556:0.556) (0.568:0.568:0.568)) ) ) ) @@ -1567,7 +1551,7 @@ (INSTANCE hold2) (DELAY (ABSOLUTE - (IOPATH A X (0.551:0.551:0.551) (0.564:0.564:0.564)) + (IOPATH A X (0.544:0.544:0.544) (0.559:0.559:0.559)) ) ) ) @@ -1576,7 +1560,7 @@ (INSTANCE hold3) (DELAY (ABSOLUTE - (IOPATH A X (0.580:0.580:0.580) (0.589:0.589:0.589)) + (IOPATH A X (0.603:0.603:0.603) (0.606:0.606:0.606)) ) ) ) @@ -1585,7 +1569,7 @@ (INSTANCE hold4) (DELAY (ABSOLUTE - (IOPATH A X (0.611:0.611:0.611) (0.612:0.612:0.612)) + (IOPATH A X (0.561:0.561:0.561) (0.573:0.573:0.573)) ) ) ) @@ -1594,7 +1578,7 @@ (INSTANCE hold5) (DELAY (ABSOLUTE - (IOPATH A X (0.563:0.563:0.563) (0.574:0.574:0.574)) + (IOPATH A X (0.588:0.588:0.588) (0.595:0.595:0.595)) ) ) ) @@ -1603,7 +1587,7 @@ (INSTANCE hold6) (DELAY (ABSOLUTE - (IOPATH A X (0.561:0.561:0.561) (0.573:0.573:0.573)) + (IOPATH A X (0.559:0.559:0.559) (0.571:0.571:0.571)) ) ) ) @@ -1612,7 +1596,7 @@ (INSTANCE hold7) (DELAY (ABSOLUTE - (IOPATH A X (0.541:0.541:0.541) (0.556:0.556:0.556)) + (IOPATH A X (0.562:0.562:0.562) (0.574:0.574:0.574)) ) ) ) @@ -1621,7 +1605,7 @@ (INSTANCE hold8) (DELAY (ABSOLUTE - (IOPATH A X (0.563:0.563:0.563) (0.574:0.574:0.574)) + (IOPATH A X (0.610:0.610:0.610) (0.611:0.611:0.611)) ) ) ) @@ -1630,7 +1614,7 @@ (INSTANCE hold9) (DELAY (ABSOLUTE - (IOPATH A X (0.558:0.558:0.558) (0.570:0.570:0.570)) + (IOPATH A X (0.577:0.577:0.577) (0.586:0.586:0.586)) ) ) ) @@ -1639,7 +1623,7 @@ (INSTANCE input1) (DELAY (ABSOLUTE - (IOPATH A X (0.560:0.560:0.560) (1.257:1.257:1.257)) + (IOPATH A X (0.551:0.551:0.551) (1.248:1.248:1.248)) ) ) ) @@ -1648,7 +1632,7 @@ (INSTANCE input2) (DELAY (ABSOLUTE - (IOPATH A X (0.542:0.542:0.542) (1.237:1.237:1.237)) + (IOPATH A X (0.594:0.594:0.594) (1.287:1.287:1.287)) ) ) ) @@ -1657,7 +1641,7 @@ (INSTANCE input3) (DELAY (ABSOLUTE - (IOPATH A X (0.613:0.613:0.613) (1.309:1.309:1.309)) + (IOPATH A X (0.534:0.534:0.534) (1.232:1.232:1.232)) ) ) ) @@ -1666,7 +1650,7 @@ (INSTANCE input4) (DELAY (ABSOLUTE - (IOPATH A X (0.523:0.523:0.523) (1.223:1.223:1.223)) + (IOPATH A X (0.562:0.562:0.562) (1.260:1.260:1.260)) ) ) ) @@ -1675,7 +1659,7 @@ (INSTANCE input5) (DELAY (ABSOLUTE - (IOPATH A X (0.599:0.599:0.599) (1.296:1.296:1.296)) + (IOPATH A X (0.548:0.548:0.548) (1.247:1.247:1.247)) ) ) ) @@ -1693,7 +1677,7 @@ (INSTANCE output10) (DELAY (ABSOLUTE - (IOPATH A X (0.284:0.284:0.284) (0.228:0.228:0.228)) + (IOPATH A X (0.275:0.275:0.275) (0.220:0.220:0.220)) ) ) ) @@ -1702,7 +1686,7 @@ (INSTANCE output11) (DELAY (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.218:0.218:0.218)) + (IOPATH A X (0.283:0.283:0.283) (0.227:0.227:0.227)) ) ) ) @@ -1711,7 +1695,7 @@ (INSTANCE output12) (DELAY (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.222:0.222:0.222)) + (IOPATH A X (0.277:0.277:0.277) (0.221:0.221:0.221)) ) ) ) @@ -1720,7 +1704,7 @@ (INSTANCE output13) (DELAY (ABSOLUTE - (IOPATH A X (0.272:0.272:0.272) (0.218:0.218:0.218)) + (IOPATH A X (0.275:0.275:0.275) (0.220:0.220:0.220)) ) ) ) @@ -1729,7 +1713,7 @@ (INSTANCE output14) (DELAY (ABSOLUTE - (IOPATH A X (0.281:0.281:0.281) (0.226:0.226:0.226)) + (IOPATH A X (0.272:0.272:0.272) (0.217:0.217:0.217)) ) ) ) @@ -1738,7 +1722,7 @@ (INSTANCE output15) (DELAY (ABSOLUTE - (IOPATH A X (0.267:0.279:0.290) (0.214:0.238:0.262)) + (IOPATH A X (0.277:0.277:0.277) (0.221:0.221:0.221)) ) ) ) @@ -1747,7 +1731,7 @@ (INSTANCE output16) (DELAY (ABSOLUTE - (IOPATH A X (0.267:0.282:0.296) (0.225:0.259:0.292)) + (IOPATH A X (0.262:0.274:0.285) (0.209:0.232:0.255)) ) ) ) @@ -1756,7 +1740,7 @@ (INSTANCE output17) (DELAY (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.219:0.219:0.219)) + (IOPATH A X (0.268:0.282:0.297) (0.226:0.259:0.292)) ) ) ) @@ -1765,7 +1749,7 @@ (INSTANCE output18) (DELAY (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.226:0.226:0.226)) + (IOPATH A X (0.275:0.275:0.275) (0.220:0.220:0.220)) ) ) ) @@ -1774,7 +1758,7 @@ (INSTANCE output19) (DELAY (ABSOLUTE - (IOPATH A X (0.277:0.277:0.277) (0.220:0.220:0.220)) + (IOPATH A X (0.283:0.283:0.283) (0.226:0.226:0.226)) ) ) ) @@ -1783,7 +1767,25 @@ (INSTANCE output20) (DELAY (ABSOLUTE - (IOPATH A X (0.278:0.278:0.278) (0.228:0.228:0.228)) + (IOPATH A X (0.279:0.279:0.279) (0.223:0.223:0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_16") + (INSTANCE output21) + (DELAY + (ABSOLUTE + (IOPATH A X (0.279:0.279:0.279) (0.229:0.229:0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_16") + (INSTANCE output22) + (DELAY + (ABSOLUTE + (IOPATH A X (0.291:0.291:0.291) (0.235:0.236:0.236)) ) ) ) @@ -1792,7 +1794,7 @@ (INSTANCE output6) (DELAY (ABSOLUTE - (IOPATH A X (0.270:0.270:0.270) (0.216:0.216:0.216)) + (IOPATH A X (0.270:0.270:0.270) (0.215:0.215:0.215)) ) ) ) @@ -1801,7 +1803,7 @@ (INSTANCE output7) (DELAY (ABSOLUTE - (IOPATH A X (0.274:0.274:0.274) (0.219:0.219:0.219)) + (IOPATH A X (0.273:0.273:0.273) (0.217:0.217:0.217)) ) ) ) @@ -1810,7 +1812,7 @@ (INSTANCE output8) (DELAY (ABSOLUTE - (IOPATH A X (0.267:0.267:0.267) (0.211:0.211:0.211)) + (IOPATH A X (0.277:0.277:0.277) (0.222:0.222:0.222)) ) ) ) @@ -1819,7 +1821,7 @@ (INSTANCE output9) (DELAY (ABSOLUTE - (IOPATH A X (0.275:0.275:0.275) (0.220:0.220:0.220)) + (IOPATH A X (0.277:0.277:0.277) (0.221:0.221:0.221)) ) ) ) @@ -1828,7 +1830,7 @@ (INSTANCE serial_clock_out_buffer) (DELAY (ABSOLUTE - (IOPATH A X (0.283:0.283:0.283) (0.245:0.245:0.245)) + (IOPATH A X (0.294:0.294:0.294) (0.256:0.256:0.256)) ) ) ) @@ -1837,7 +1839,7 @@ (INSTANCE serial_load_out_buffer) (DELAY (ABSOLUTE - (IOPATH A X (0.290:0.290:0.290) (0.248:0.248:0.248)) + (IOPATH A X (0.293:0.293:0.293) (0.250:0.250:0.250)) ) ) ) diff --git a/spef/gpio_control_block.spef b/spef/gpio_control_block.spef index ad05e017..34b8911c 100644 --- a/spef/gpio_control_block.spef +++ b/spef/gpio_control_block.spef @@ -84,7 +84,7 @@ *72 _024_ *73 _025_ *74 _026_ -*75 _027_ +*75 _041_ *76 _042_ *77 _043_ *78 _044_ @@ -101,66 +101,66 @@ *89 _055_ *90 _056_ *91 _057_ -*92 _058_ -*93 _059_ -*94 clknet_0_serial_clock -*95 clknet_0_serial_load -*96 clknet_1_0__leaf_serial_clock -*97 clknet_1_0__leaf_serial_load -*98 gpio_logic1 -*99 gpio_outenb -*100 mgmt_ena -*101 net1 -*102 net10 -*103 net11 -*104 net12 -*105 net13 -*106 net14 -*107 net15 -*108 net16 -*109 net17 -*110 net18 -*111 net19 -*112 net2 -*113 net20 -*114 net21 -*115 net22 -*116 net23 -*117 net24 -*118 net25 -*119 net26 -*120 net27 -*121 net28 -*122 net29 -*123 net3 -*124 net30 -*125 net31 -*126 net32 -*127 net33 -*128 net34 -*129 net35 -*130 net36 -*131 net37 -*132 net38 -*133 net39 -*134 net4 -*135 net40 -*136 net41 -*137 net42 -*138 net43 -*139 net44 -*140 net45 -*141 net46 -*142 net47 -*143 net48 -*144 net49 -*145 net5 -*146 net50 -*147 net51 -*148 net52 -*149 net53 -*150 net54 -*151 net55 +*92 clknet_0_serial_clock +*93 clknet_0_serial_load +*94 clknet_1_0__leaf_serial_load +*95 clknet_1_1__leaf_serial_clock +*96 gpio_logic1 +*97 gpio_outenb +*98 mgmt_ena +*99 net1 +*100 net10 +*101 net11 +*102 net12 +*103 net13 +*104 net14 +*105 net15 +*106 net16 +*107 net17 +*108 net18 +*109 net19 +*110 net2 +*111 net20 +*112 net21 +*113 net22 +*114 net23 +*115 net24 +*116 net25 +*117 net26 +*118 net27 +*119 net28 +*120 net29 +*121 net3 +*122 net30 +*123 net31 +*124 net32 +*125 net33 +*126 net34 +*127 net35 +*128 net36 +*129 net37 +*130 net38 +*131 net39 +*132 net4 +*133 net40 +*134 net41 +*135 net42 +*136 net43 +*137 net44 +*138 net45 +*139 net46 +*140 net47 +*141 net48 +*142 net49 +*143 net5 +*144 net50 +*145 net51 +*146 net52 +*147 net53 +*148 net54 +*149 net55 +*150 net56 +*151 net57 *152 net6 *153 net7 *154 net8 @@ -182,276 +182,282 @@ *170 shift_register\[8\] *171 shift_register\[9\] *172 zero_buffered -*173 ANTENNA__065__A0 -*174 ANTENNA__066__B -*175 ANTENNA__071__B -*176 ANTENNA__072__B -*177 ANTENNA__073__A -*178 ANTENNA__073__B -*179 ANTENNA__074__A_N -*180 ANTENNA__074__B -*181 ANTENNA__075__B -*182 ANTENNA__076__B -*183 ANTENNA__077__B -*184 ANTENNA__078__B -*185 ANTENNA__079__A -*186 ANTENNA__079__B -*187 ANTENNA__080__A_N +*173 ANTENNA__058__1_A +*174 ANTENNA__061__A0 +*175 ANTENNA__062__B +*176 ANTENNA__068__B +*177 ANTENNA__069__B +*178 ANTENNA__070__B +*179 ANTENNA__071__B +*180 ANTENNA__072__B +*181 ANTENNA__073__B +*182 ANTENNA__074__B +*183 ANTENNA__075__B +*184 ANTENNA__076__B +*185 ANTENNA__077__B +*186 ANTENNA__078__B +*187 ANTENNA__079__B *188 ANTENNA__080__B *189 ANTENNA__081__B -*190 ANTENNA__082__B -*191 ANTENNA__083__A +*190 ANTENNA__082__A +*191 ANTENNA__082__B *192 ANTENNA__083__B -*193 ANTENNA__084__A_N -*194 ANTENNA__084__B -*195 ANTENNA__085__B -*196 ANTENNA__086__B -*197 ANTENNA__087__B -*198 ANTENNA__088__B -*199 ANTENNA__089__B -*200 ANTENNA__090__B -*201 ANTENNA__091__B -*202 ANTENNA__092__B -*203 ANTENNA__093__B -*204 ANTENNA__094__B -*205 ANTENNA__095__B -*206 ANTENNA__096__B -*207 ANTENNA__125__RESET_B -*208 ANTENNA_clkbuf_0_serial_clock_A -*209 ANTENNA_clkbuf_0_serial_load_A -*210 ANTENNA_input1_A -*211 ANTENNA_input2_A -*212 ANTENNA_input3_A -*213 ANTENNA_input4_A -*214 ANTENNA_input5_A -*215 FILLER_0_3 -*216 FILLER_0_31 -*217 FILLER_0_57 -*218 FILLER_0_91 -*219 FILLER_10_85 -*220 FILLER_14_98 -*221 FILLER_17_98 -*222 FILLER_19_55 -*223 FILLER_19_70 -*224 FILLER_1_32 -*225 FILLER_1_98 -*226 FILLER_20_98 -*227 FILLER_2_42 -*228 FILLER_2_48 -*229 FILLER_2_52 -*230 FILLER_3_48 -*231 FILLER_3_80 -*232 FILLER_5_56 -*233 FILLER_5_98 -*234 FILLER_7_98 -*235 FILLER_8_52 -*236 FILLER_8_98 -*237 PHY_0 -*238 PHY_1 -*239 PHY_10 -*240 PHY_11 -*241 PHY_12 -*242 PHY_13 -*243 PHY_14 -*244 PHY_15 -*245 PHY_16 -*246 PHY_17 -*247 PHY_18 -*248 PHY_19 -*249 PHY_2 -*250 PHY_20 -*251 PHY_21 -*252 PHY_22 -*253 PHY_23 -*254 PHY_24 -*255 PHY_25 -*256 PHY_26 -*257 PHY_27 -*258 PHY_28 -*259 PHY_29 -*260 PHY_3 -*261 PHY_30 -*262 PHY_31 -*263 PHY_32 -*264 PHY_33 -*265 PHY_34 -*266 PHY_35 -*267 PHY_36 -*268 PHY_37 -*269 PHY_38 -*270 PHY_39 -*271 PHY_4 -*272 PHY_40 -*273 PHY_41 -*274 PHY_5 -*275 PHY_6 -*276 PHY_7 -*277 PHY_8 -*278 PHY_9 -*279 TAP_42 -*280 TAP_43 -*281 TAP_44 -*282 TAP_45 -*283 TAP_46 -*284 TAP_47 -*285 TAP_48 -*286 TAP_49 -*287 TAP_50 -*288 TAP_51 -*289 TAP_52 -*290 TAP_53 -*291 TAP_54 -*292 TAP_55 -*293 TAP_56 -*294 TAP_57 -*295 TAP_58 -*296 TAP_59 -*297 TAP_60 -*298 TAP_61 -*299 TAP_62 -*300 TAP_63 -*301 TAP_64 -*302 TAP_65 -*303 TAP_66 -*304 TAP_67 -*305 TAP_68 -*306 TAP_69 -*307 TAP_70 -*308 TAP_71 -*309 TAP_72 -*310 TAP_73 -*311 _060_ -*312 _061__1 -*313 _062__14 -*314 _063_ -*315 _064_ -*316 _065_ -*317 _066_ -*318 _067_ -*319 _068_ -*320 _069_ -*321 _070_ -*322 _071_ -*323 _072_ -*324 _073_ -*325 _074_ -*326 _075_ -*327 _076_ -*328 _077_ -*329 _078_ -*330 _079_ -*331 _080_ -*332 _081_ -*333 _082_ -*334 _083_ -*335 _084_ -*336 _085_ -*337 _086_ -*338 _087_ -*339 _088_ -*340 _089_ -*341 _090_ -*342 _091_ -*343 _092_ -*344 _093_ -*345 _094_ -*346 _095_ -*347 _096_ -*348 _097__2 -*349 _098__3 -*350 _099__4 -*351 _100__5 -*352 _101__6 -*353 _102__7 -*354 _103__8 -*355 _104__9 -*356 _105__10 -*357 _106__11 -*358 _107__12 -*359 _108__13 -*360 _109_ -*361 _110_ -*362 _111_ -*363 _112_ -*364 _113_ -*365 _114_ -*366 _115_ -*367 _116_ -*368 _117_ -*369 _118_ -*370 _119_ -*371 _120_ -*372 _121_ -*373 _122_ -*374 _123_ -*375 _124_ -*376 _125_ -*377 _126_ -*378 _127_ -*379 _128_ -*380 _129_ -*381 _130_ -*382 _131_ -*383 _132_ -*384 _133_ -*385 _134_ -*386 _135_ -*387 _136_ -*388 _139_ -*389 clkbuf_0_serial_clock -*390 clkbuf_0_serial_load -*391 clkbuf_1_0__f_serial_clock -*392 clkbuf_1_0__f_serial_load -*393 clkbuf_1_1__f_serial_clock -*394 clkbuf_1_1__f_serial_load -*395 const_source -*396 fanout21 -*397 fanout22 -*398 fanout23 -*399 fanout24 -*400 fanout25 -*401 fanout26 -*402 fanout27 -*403 fanout28 -*404 gpio_in_buf -*405 gpio_logic_high -*406 hold1 -*407 hold10 -*408 hold11 -*409 hold12 -*410 hold13 -*411 hold2 -*412 hold3 -*413 hold4 -*414 hold5 -*415 hold6 -*416 hold7 -*417 hold8 -*418 hold9 -*419 input1 -*420 input2 -*421 input3 -*422 input4 -*423 input5 -*424 one_buffer -*425 output10 -*426 output11 -*427 output12 -*428 output13 -*429 output14 -*430 output15 -*431 output16 -*432 output17 -*433 output18 -*434 output19 -*435 output20 -*436 output6 -*437 output7 -*438 output8 -*439 output9 -*440 serial_clock_out_buffer -*441 serial_load_out_buffer -*442 zero_buffer +*193 ANTENNA__084__B +*194 ANTENNA__085__B +*195 ANTENNA__086__B +*196 ANTENNA__087__B +*197 ANTENNA__088__B +*198 ANTENNA__089__B +*199 ANTENNA__090__B +*200 ANTENNA__091__B +*201 ANTENNA__092__B +*202 ANTENNA__093__B +*203 ANTENNA__094__2_A +*204 ANTENNA__097__5_A +*205 ANTENNA__098__6_A +*206 ANTENNA__099__7_A +*207 ANTENNA__101__9_A +*208 ANTENNA__102__10_A +*209 ANTENNA__132__RESET_B +*210 ANTENNA__134__A +*211 ANTENNA_clkbuf_0_serial_clock_A +*212 ANTENNA_clkbuf_0_serial_load_A +*213 ANTENNA_fanout27_A +*214 ANTENNA_fanout28_A +*215 ANTENNA_input1_A +*216 ANTENNA_input2_A +*217 ANTENNA_input3_A +*218 ANTENNA_input4_A +*219 ANTENNA_input5_A +*220 ANTENNA_serial_load_out_buffer_A +*221 FILLER_0_27 +*222 FILLER_0_33 +*223 FILLER_0_57 +*224 FILLER_10_83 +*225 FILLER_15_50 +*226 FILLER_17_57 +*227 FILLER_17_99 +*228 FILLER_1_30 +*229 FILLER_1_34 +*230 FILLER_2_32 +*231 FILLER_2_41 +*232 FILLER_2_49 +*233 FILLER_3_43 +*234 FILLER_3_52 +*235 FILLER_3_84 +*236 FILLER_5_26 +*237 FILLER_5_34 +*238 FILLER_5_80 +*239 FILLER_7_26 +*240 FILLER_8_65 +*241 FILLER_8_99 +*242 FILLER_9_99 +*243 PHY_0 +*244 PHY_1 +*245 PHY_10 +*246 PHY_11 +*247 PHY_12 +*248 PHY_13 +*249 PHY_14 +*250 PHY_15 +*251 PHY_16 +*252 PHY_17 +*253 PHY_18 +*254 PHY_19 +*255 PHY_2 +*256 PHY_20 +*257 PHY_21 +*258 PHY_22 +*259 PHY_23 +*260 PHY_24 +*261 PHY_25 +*262 PHY_26 +*263 PHY_27 +*264 PHY_28 +*265 PHY_29 +*266 PHY_3 +*267 PHY_30 +*268 PHY_31 +*269 PHY_32 +*270 PHY_33 +*271 PHY_34 +*272 PHY_35 +*273 PHY_36 +*274 PHY_37 +*275 PHY_38 +*276 PHY_39 +*277 PHY_4 +*278 PHY_40 +*279 PHY_41 +*280 PHY_5 +*281 PHY_6 +*282 PHY_7 +*283 PHY_8 +*284 PHY_9 +*285 TAP_42 +*286 TAP_43 +*287 TAP_44 +*288 TAP_45 +*289 TAP_46 +*290 TAP_47 +*291 TAP_48 +*292 TAP_49 +*293 TAP_50 +*294 TAP_51 +*295 TAP_52 +*296 TAP_53 +*297 TAP_54 +*298 TAP_55 +*299 TAP_56 +*300 TAP_57 +*301 TAP_58 +*302 TAP_59 +*303 TAP_60 +*304 TAP_61 +*305 TAP_62 +*306 TAP_63 +*307 TAP_64 +*308 TAP_65 +*309 TAP_66 +*310 TAP_67 +*311 TAP_68 +*312 TAP_69 +*313 TAP_70 +*314 TAP_71 +*315 TAP_72 +*316 TAP_73 +*317 _058__1 +*318 _059__14 +*319 _060_ +*320 _061_ +*321 _062_ +*322 _063_ +*323 _064_ +*324 _065_ +*325 _066_ +*326 _067_ +*327 _068_ +*328 _069_ +*329 _070_ +*330 _071_ +*331 _072_ +*332 _073_ +*333 _074_ +*334 _075_ +*335 _076_ +*336 _077_ +*337 _078_ +*338 _079_ +*339 _080_ +*340 _081_ +*341 _082_ +*342 _083_ +*343 _084_ +*344 _085_ +*345 _086_ +*346 _087_ +*347 _088_ +*348 _089_ +*349 _090_ +*350 _091_ +*351 _092_ +*352 _093_ +*353 _094__2 +*354 _095__3 +*355 _096__4 +*356 _097__5 +*357 _098__6 +*358 _099__7 +*359 _100__8 +*360 _101__9 +*361 _102__10 +*362 _103__11 +*363 _104__12 +*364 _105__13 +*365 _106_ +*366 _107_ +*367 _108_ +*368 _109_ +*369 _110_ +*370 _111_ +*371 _112_ +*372 _113_ +*373 _114_ +*374 _115_ +*375 _116_ +*376 _117_ +*377 _118_ +*378 _119_ +*379 _120_ +*380 _121_ +*381 _122_ +*382 _123_ +*383 _124_ +*384 _125_ +*385 _126_ +*386 _127_ +*387 _128_ +*388 _129_ +*389 _130_ +*390 _131_ +*391 _132_ +*392 _133_ +*393 _134_ +*394 clkbuf_0_serial_clock +*395 clkbuf_0_serial_load +*396 clkbuf_1_0__f_serial_clock +*397 clkbuf_1_0__f_serial_load +*398 clkbuf_1_1__f_serial_clock +*399 clkbuf_1_1__f_serial_load +*400 const_source +*401 fanout23 +*402 fanout24 +*403 fanout25 +*404 fanout26 +*405 fanout27 +*406 fanout28 +*407 fanout29 +*408 fanout30 +*409 gpio_logic_high +*410 hold1 +*411 hold10 +*412 hold11 +*413 hold12 +*414 hold13 +*415 hold2 +*416 hold3 +*417 hold4 +*418 hold5 +*419 hold6 +*420 hold7 +*421 hold8 +*422 hold9 +*423 input1 +*424 input2 +*425 input3 +*426 input4 +*427 input5 +*428 one_buffer +*429 output10 +*430 output11 +*431 output12 +*432 output13 +*433 output14 +*434 output15 +*435 output16 +*436 output17 +*437 output18 +*438 output19 +*439 output20 +*440 output21 +*441 output22 +*442 output6 +*443 output7 +*444 output8 +*445 output9 +*446 serial_clock_out_buffer +*447 serial_load_out_buffer +*448 zero_buffer *PORTS gpio_defaults[0] I @@ -498,5002 +504,5202 @@ user_gpio_oeb I user_gpio_out I zero O -*D_NET *1 0.0153889 +*D_NET *1 0.0215743 *CONN *P gpio_defaults[0] I +*I *327:B I *D sky130_fd_sc_hd__or2_0 +*I *328:B I *D sky130_fd_sc_hd__nand2b_2 +*I *177:DIODE I *D sky130_fd_sc_hd__diode_2 *I *176:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *323:B I *D sky130_fd_sc_hd__nand2b_2 -*I *322:B I *D sky130_fd_sc_hd__or2_0 -*I *175:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[0] 0.000310686 -2 *176:DIODE 0.00124184 -3 *323:B 0.000231818 -4 *322:B 1.23559e-05 -5 *175:DIODE 0.000322232 -6 *1:34 0.00274483 -7 *1:17 0.00367426 -8 *1:5 0.00302365 -9 *175:DIODE *116:50 0.000130237 -10 *176:DIODE pad_gpio_dm[1] 0 -11 *176:DIODE pad_gpio_out 3.31745e-05 -12 *176:DIODE *316:A1 1.57386e-05 -13 *176:DIODE *367:SET_B 2.33103e-06 -14 *176:DIODE *13:65 1.41473e-05 -15 *176:DIODE *26:8 2.91781e-05 -16 *176:DIODE *78:8 0.000115252 -17 *176:DIODE *102:14 0.000430257 -18 *322:B *412:A 7.86825e-06 -19 *323:B *205:DIODE 3.04436e-05 -20 *323:B *360:SET_B 0.000182095 -21 *323:B *367:CLK_N 1.60111e-05 -22 *323:B *367:D 3.27606e-06 -23 *323:B *372:SET_B 0.000310867 -24 *323:B *388:TE_B 6.76752e-05 -25 *323:B *11:44 0.000227083 -26 *323:B *117:17 0.000112231 -27 *1:5 *5:11 0 -28 *1:5 *116:50 9.47178e-05 -29 *1:17 serial_data_out 0 -30 *1:17 *38:8 0.00034941 -31 *1:34 *312:A 0.000113785 -32 *1:34 *322:A 0 -33 *1:34 *360:RESET_B 0.000143388 -34 *1:34 *360:SET_B 0 -35 *1:34 *362:D 0.000303557 -36 *1:34 *367:D 8.54289e-05 -37 *1:34 *368:CLK_N 7.03112e-06 -38 *1:34 *372:RESET_B 0.000143309 -39 *1:34 *372:SET_B 0.000165698 -40 *1:34 *382:D 0.000237705 -41 *1:34 *384:D 0 -42 *1:34 *384:RESET_B 0 -43 *1:34 *385:D 0 -44 *1:34 *412:A 0 -45 *1:34 *38:8 3.47804e-05 -46 *1:34 *117:17 0.000185517 -47 *1:34 *121:14 1.95776e-05 -48 *1:34 *145:24 0.000215489 +1 gpio_defaults[0] 0.00142091 +2 *327:B 1.47608e-05 +3 *328:B 0.000976958 +4 *177:DIODE 0.000424968 +5 *176:DIODE 0 +6 *1:40 0.00261324 +7 *1:15 0.00337007 +8 *1:13 0.00164051 +9 *177:DIODE one 0.000202055 +10 *177:DIODE *428:A 0.00060157 +11 *177:DIODE *3:44 0.00131563 +12 *177:DIODE *123:10 0.000135811 +13 *177:DIODE *132:21 4.45999e-05 +14 *177:DIODE *153:10 0.000282478 +15 *327:B *113:25 6.08467e-05 +16 *327:B *131:8 6.08467e-05 +17 *328:B serial_data_out 0.00151519 +18 *328:B *328:A_N 0.0014907 +19 *328:B *344:B 4.69495e-06 +20 *328:B *365:SET_B 0.000600827 +21 *328:B *133:15 1.91391e-05 +22 *1:13 *3:7 0.000168218 +23 *1:13 *4:23 0.000114636 +24 *1:13 *5:5 1.78548e-05 +25 *1:13 *6:7 1.83764e-06 +26 *1:13 *7:13 0.00020785 +27 *1:15 *3:7 0.000382577 +28 *1:15 *4:23 0.000385722 +29 *1:40 *184:DIODE 6.10536e-05 +30 *1:40 *386:D 0.000108915 +31 *1:40 *3:7 0.000311524 +32 *1:40 *4:23 0.000392818 +33 *1:40 *7:47 5.60804e-05 +34 *1:40 *11:13 6.74182e-05 +35 *1:40 *61:11 0.000192512 +36 *1:40 *105:13 0.000153427 +37 *1:40 *115:42 0.000291851 +38 *1:40 *120:41 0.000425444 +39 *1:40 *120:46 0.00049606 +40 *1:40 *120:48 5.03545e-06 +41 *1:40 *123:10 0.000581273 +42 *1:40 *132:21 2.16355e-05 +43 *1:40 *149:8 0.00033476 *RES -1 gpio_defaults[0] *1:5 5.52679 -2 *1:5 *175:DIODE 19.0768 -3 *1:5 *1:17 8.14133 -4 *1:17 *322:B 17.4868 -5 *1:17 *1:34 28.7446 -6 *1:34 *323:B 20.8089 -7 *1:34 *176:DIODE 24.7859 +1 gpio_defaults[0] *1:13 35.3214 +2 *1:13 *1:15 5.74107 +3 *1:15 *1:40 49.372 +4 *1:40 *176:DIODE 9.3 +5 *1:40 *177:DIODE 34.425 +6 *1:15 *328:B 29.5688 +7 *1:13 *327:B 14.3357 *END -*D_NET *2 0.0193554 +*D_NET *2 0.0160318 *CONN *P gpio_defaults[10] I -*I *336:B I *D sky130_fd_sc_hd__or2_0 -*I *195:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *196:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *337:B I *D sky130_fd_sc_hd__nand2b_2 +*I *191:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *192:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *341:B I *D sky130_fd_sc_hd__or2_0 +*I *342:B I *D sky130_fd_sc_hd__nand2b_2 *CAP -1 gpio_defaults[10] 0.00198509 -2 *336:B 0 -3 *195:DIODE 0.0010558 -4 *196:DIODE 0 -5 *337:B 0 -6 *2:58 0.00199717 -7 *2:46 0.00102591 -8 *2:41 0.000389215 -9 *2:36 0.00228976 -10 *195:DIODE one 0 -11 *195:DIODE *198:DIODE 0.000482004 -12 *195:DIODE *210:DIODE 0.000759497 -13 *195:DIODE *311:A 0.000150254 -14 *195:DIODE *320:A1 0.00012136 -15 *195:DIODE *442:A 0.000859558 -16 *195:DIODE *18:5 5.32721e-05 -17 *195:DIODE *120:47 2.86829e-05 -18 *2:36 serial_data_out 0.000111154 -19 *2:36 *189:DIODE 0.00015607 -20 *2:36 *312:A 0.000260531 -21 *2:36 *321:B1 0.000107496 -22 *2:36 *327:B 5.49916e-05 -23 *2:36 *329:A_N 2.77625e-06 -24 *2:36 *362:SET_B 1.03594e-05 -25 *2:36 *364:RESET_B 0.000313087 -26 *2:36 *373:D 0.000153823 -27 *2:36 *373:RESET_B 6.68253e-06 -28 *2:36 *376:RESET_B 1.77894e-05 -29 *2:36 *396:A 4.37376e-05 -30 *2:36 *399:A 0.000222494 -31 *2:36 *3:5 0 -32 *2:36 *4:21 1.5714e-05 -33 *2:36 *13:18 0 -34 *2:36 *13:42 0.00124563 -35 *2:36 *42:27 1.77268e-05 -36 *2:36 *67:10 4.39506e-05 -37 *2:36 *114:12 0.000158451 -38 *2:36 *117:34 3.553e-05 -39 *2:36 *132:6 0.000382454 -40 *2:36 *157:47 7.43171e-05 -41 *2:36 *162:8 1.91246e-05 -42 *2:41 *316:A1 0.000188517 -43 *2:41 *13:47 0.000174794 -44 *2:41 *13:65 0.000142561 -45 *2:41 *102:14 0.000701342 -46 *2:46 *13:65 0.000109421 -47 *2:46 *120:27 0.000154145 -48 *2:46 *120:47 9.52298e-05 -49 *2:58 pad_gpio_ana_pol 0 -50 *2:58 *18:5 0 -51 *2:58 *36:11 0.000258733 -52 *2:58 *101:8 0.000490545 -53 *2:58 *118:8 0.000284969 -54 *2:58 *118:25 0.00123605 -55 *2:58 *120:47 0.00086765 +1 gpio_defaults[10] 0.00217594 +2 *191:DIODE 0.000324607 +3 *192:DIODE 4.51842e-05 +4 *341:B 2.12792e-05 +5 *342:B 0.000113633 +6 *2:51 0.00096042 +7 *2:37 0.00123916 +8 *2:19 0.00291682 +9 *191:DIODE mgmt_gpio_in 0.00016195 +10 *191:DIODE *175:DIODE 0.000399866 +11 *191:DIODE *424:A 9.05084e-06 +12 *191:DIODE *431:A 0.000133612 +13 *191:DIODE *110:10 0.000110849 +14 *192:DIODE *132:21 6.08467e-05 +15 *342:B *372:SET_B 2.57847e-05 +16 *342:B *430:A 0.000131349 +17 *342:B *435:A 0.000107496 +18 *342:B *67:10 0.0001251 +19 *2:19 serial_data_out 7.32094e-05 +20 *2:19 *371:SET_B 0.00011164 +21 *2:19 *374:RESET_B 1.30557e-05 +22 *2:19 *374:SET_B 0.000372047 +23 *2:19 *388:CLK 0.000497389 +24 *2:19 *417:A 0.000156946 +25 *2:19 *430:A 6.88248e-05 +26 *2:19 *3:7 0.000219458 +27 *2:19 *4:23 0 +28 *2:19 *13:11 0 +29 *2:19 *13:40 0.00101725 +30 *2:19 *34:7 5.20873e-05 +31 *2:19 *61:11 3.52697e-05 +32 *2:19 *67:10 0.000212073 +33 *2:19 *95:26 0.000154145 +34 *2:19 *101:12 0.000663196 +35 *2:19 *123:10 0.000320234 +36 *2:19 *133:15 0.000360851 +37 *2:37 serial_clock_out 5.00953e-05 +38 *2:37 *175:DIODE 0.000221994 +39 *2:37 *320:A1 2.38618e-05 +40 *2:37 *322:B 1.58551e-05 +41 *2:37 *322:C 0.000270768 +42 *2:37 *341:A 3.82228e-05 +43 *2:37 *431:A 0.000341502 +44 *2:51 pad_gpio_dm[0] 0.000389985 +45 *2:51 *217:DIODE 7.88662e-05 +46 *2:51 *341:A 4.47179e-05 +47 *2:51 *13:50 0.000937198 +48 *2:51 *26:8 1.03403e-05 +49 *2:51 *110:10 0.000203552 +50 *2:51 *132:21 1.41976e-05 *RES -1 gpio_defaults[10] *2:36 48.2739 -2 *2:36 *2:41 18.5357 -3 *2:41 *337:B 9.3 -4 *2:41 *2:46 2.17857 -5 *2:46 *196:DIODE 9.3 -6 *2:46 *2:58 37.7679 -7 *2:58 *195:DIODE 47.6571 -8 *2:58 *336:B 9.3 +1 gpio_defaults[10] *2:19 48.7605 +2 *2:19 *342:B 16.6929 +3 *2:19 *2:37 23.7679 +4 *2:37 *341:B 9.72857 +5 *2:37 *2:51 24.2857 +6 *2:51 *192:DIODE 9.83571 +7 *2:51 *191:DIODE 26.1571 *END -*D_NET *3 0.0180877 +*D_NET *3 0.0260206 *CONN *P gpio_defaults[11] I -*I *338:B I *D sky130_fd_sc_hd__or2_0 -*I *339:B I *D sky130_fd_sc_hd__nand2b_2 -*I *197:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *344:B I *D sky130_fd_sc_hd__nand2b_2 +*I *343:B I *D sky130_fd_sc_hd__or2_0 +*I *194:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *193:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[11] 5.43606e-05 -2 *338:B 0.00156791 -3 *339:B 0.000399014 -4 *197:DIODE 8.47319e-05 -5 *198:DIODE 0.000989488 -6 *3:37 0.00149438 -7 *3:31 0.00107508 -8 *3:28 0.00208839 -9 *3:5 0.00345476 -10 *197:DIODE *214:DIODE 1.87146e-05 -11 *198:DIODE *210:DIODE 6.78364e-06 -12 *198:DIODE *438:A 2.39545e-05 -13 *198:DIODE *442:A 0.000518466 -14 *198:DIODE *18:5 3.16131e-05 -15 *338:B *42:10 0.000118002 -16 *339:B pad_gpio_holdover 4.5445e-05 -17 *339:B *339:A_N 2.91008e-06 -18 *339:B *401:A 0.000111802 -19 *339:B *427:A 6.27718e-05 -20 *339:B *35:13 0.00152419 -21 *339:B *67:10 2.16355e-05 -22 *339:B *119:18 0.00020578 -23 *3:5 *4:9 0 -24 *3:28 *344:B 0.000206147 -25 *3:28 *349:A 0.000311569 -26 *3:28 *363:CLK_N 5.481e-05 -27 *3:28 *371:RESET_B 0.000370516 -28 *3:28 *374:D 0.000137999 -29 *3:28 *376:RESET_B 0.000329515 -30 *3:28 *383:CLK 0.00021829 -31 *3:28 *411:A 0.000201767 -32 *3:28 *428:A 0.00064301 -33 *3:28 *4:9 0 -34 *3:28 *4:21 8.14794e-05 -35 *3:28 *116:27 0 -36 *3:28 *132:6 7.69594e-06 -37 *3:28 *140:8 0.000165056 -38 *3:28 *157:27 2.02035e-05 -39 *3:28 *157:47 9.77689e-05 -40 *3:28 *158:70 2.91525e-05 -41 *3:31 *370:RESET_B 9.88127e-05 -42 *3:31 *428:A 0.000338527 -43 *3:31 *14:13 9.67059e-05 -44 *3:31 *132:6 0.000125306 -45 *3:37 *370:RESET_B 3.0676e-05 -46 *3:37 *438:A 5.84765e-05 -47 *3:37 *132:6 5.69532e-05 -48 *3:37 *158:51 2.50929e-05 -49 *195:DIODE *198:DIODE 0.000482004 -50 *2:36 *3:5 0 +1 gpio_defaults[11] 0.000547711 +2 *344:B 0.00205918 +3 *343:B 5.31718e-05 +4 *194:DIODE 0 +5 *193:DIODE 0.000233519 +6 *3:44 0.0013054 +7 *3:23 0.00280139 +8 *3:17 0.00303687 +9 *3:7 0.00432811 +10 *193:DIODE *178:DIODE 6.64392e-05 +11 *193:DIODE *127:11 6.93171e-05 +12 *193:DIODE *158:77 1.88152e-05 +13 *343:B *330:B 0.000304838 +14 *343:B *343:A 0.000304838 +15 *344:B *373:RESET_B 3.23163e-05 +16 *344:B *373:SET_B 0.000519112 +17 *344:B *374:RESET_B 0.000578706 +18 *344:B *390:CLK 0.000107496 +19 *344:B *390:D 0.000159016 +20 *344:B *401:A 8.67224e-05 +21 *344:B *13:40 0.000234172 +22 *344:B *101:12 0.0001729 +23 *344:B *119:70 1.82679e-05 +24 *344:B *133:15 6.30102e-05 +25 *344:B *163:13 9.45664e-06 +26 *3:7 *4:23 0 +27 *3:7 *123:10 0.0003728 +28 *3:17 pad_gpio_vtrip_sel 2.35815e-05 +29 *3:17 *343:A 0.000119766 +30 *3:17 *366:SET_B 0.000240318 +31 *3:17 *369:SET_B 3.6099e-05 +32 *3:17 *401:A 5.34459e-05 +33 *3:17 *10:26 0.000339055 +34 *3:17 *34:7 4.78554e-06 +35 *3:17 *51:14 0 +36 *3:17 *61:11 0.000265092 +37 *3:17 *65:21 0.000296313 +38 *3:17 *105:13 0.000191136 +39 *3:17 *114:8 3.99086e-06 +40 *3:17 *116:31 3.14126e-05 +41 *3:17 *122:54 3.61045e-05 +42 *3:23 *116:31 0.000476933 +43 *3:23 *122:54 0.000132349 +44 *3:23 *127:11 0.00144786 +45 *3:23 *151:10 0.000181868 +46 *3:44 one 0.000207192 +47 *3:44 *184:DIODE 0.00020969 +48 *3:44 *187:DIODE 1.36606e-05 +49 *3:44 *215:DIODE 8.76081e-05 +50 *3:44 *428:A 4.53226e-05 +51 *3:44 *13:50 6.36477e-05 +52 *3:44 *120:70 0.0014559 +53 *3:44 *120:79 0.000171783 +54 *177:DIODE *3:44 0.00131563 +55 *328:B *344:B 4.69495e-06 +56 *1:13 *3:7 0.000168218 +57 *1:15 *3:7 0.000382577 +58 *1:40 *3:7 0.000311524 +59 *2:19 *3:7 0.000219458 *RES -1 gpio_defaults[11] *3:5 1.125 -2 *3:5 *3:28 48.1279 -3 *3:28 *3:31 10.0618 -4 *3:31 *3:37 7.76786 -5 *3:37 *198:DIODE 32.8893 -6 *3:37 *197:DIODE 14.7464 -7 *3:31 *339:B 27.0857 -8 *3:5 *338:B 40.175 +1 gpio_defaults[11] *3:7 17.7679 +2 *3:7 *3:17 20.3734 +3 *3:17 *3:23 33.375 +4 *3:23 *193:DIODE 17.3 +5 *3:23 *3:44 44.2679 +6 *3:44 *194:DIODE 9.3 +7 *3:17 *343:B 16.3893 +8 *3:7 *344:B 47.1929 *END -*D_NET *4 0.0140214 +*D_NET *4 0.0154003 *CONN *P gpio_defaults[12] I -*I *340:B I *D sky130_fd_sc_hd__or2_0 -*I *341:B I *D sky130_fd_sc_hd__nand2b_2 +*I *346:B I *D sky130_fd_sc_hd__nand2b_2 +*I *345:B I *D sky130_fd_sc_hd__or2_0 +*I *195:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *196:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[12] 0.00150345 +2 *346:B 0.000164909 +3 *345:B 0 +4 *195:DIODE 0.000296229 +5 *196:DIODE 0.000354646 +6 *4:42 0.000962921 +7 *4:29 0.00121557 +8 *4:25 0.000693506 +9 *4:23 0.00183782 +10 *195:DIODE pad_gpio_dm[0] 6.08467e-05 +11 *195:DIODE *215:DIODE 5.47126e-05 +12 *195:DIODE *345:A 6.08467e-05 +13 *195:DIODE *428:A 6.50921e-05 +14 *195:DIODE *15:8 1.87825e-05 +15 *195:DIODE *99:10 0.000257169 +16 *195:DIODE *118:13 0.000266366 +17 *195:DIODE *120:90 2.2766e-06 +18 *196:DIODE *349:B 0.000403253 +19 *196:DIODE *434:A 6.27782e-05 +20 *196:DIODE *10:41 0.000107496 +21 *196:DIODE *11:32 0.000408102 +22 *346:B *446:A 0.000308138 +23 *4:23 *331:A 8.51781e-05 +24 *4:23 *332:B 0.000290088 +25 *4:23 *367:RESET_B 0.000296893 +26 *4:23 *367:SET_B 0.0001807 +27 *4:23 *379:D 0.000106543 +28 *4:23 *398:A 1.4091e-06 +29 *4:23 *410:A 0.000287862 +30 *4:23 *434:A 6.10871e-05 +31 *4:23 *11:13 7.09666e-06 +32 *4:23 *12:28 0.000268917 +33 *4:23 *103:8 3.20412e-05 +34 *4:23 *118:32 0.00033329 +35 *4:23 *118:55 0.000104943 +36 *4:23 *118:62 0.000153119 +37 *4:23 *120:30 6.33443e-05 +38 *4:23 *142:8 0.000200228 +39 *4:25 *372:D 0.000173147 +40 *4:25 *422:A 0.000168319 +41 *4:25 *434:A 0.000794411 +42 *4:25 *119:18 8.36586e-06 +43 *4:29 *434:A 0.000468241 +44 *4:29 *119:18 0.000464749 +45 *4:42 pad_gpio_dm[0] 4.85806e-05 +46 *4:42 *326:B 0 +47 *4:42 *345:A 6.08467e-05 +48 *4:42 *430:A 6.749e-05 +49 *4:42 *434:A 0.000445868 +50 *4:42 *118:13 0.000229491 +51 *1:13 *4:23 0.000114636 +52 *1:15 *4:23 0.000385722 +53 *1:40 *4:23 0.000392818 +54 *2:19 *4:23 0 +55 *3:7 *4:23 0 +*RES +1 gpio_defaults[12] *4:23 42.1518 +2 *4:23 *4:25 10.5982 +3 *4:25 *4:29 11 +4 *4:29 *196:DIODE 17.2286 +5 *4:29 *4:42 21.4464 +6 *4:42 *195:DIODE 25.3357 +7 *4:42 *345:B 9.3 +8 *4:25 *346:B 17.2286 +*END + +*D_NET *5 0.0155084 +*CONN +*P gpio_defaults[1] I +*I *340:B I *D sky130_fd_sc_hd__nand2b_2 +*I *339:B I *D sky130_fd_sc_hd__or2_0 +*I *188:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *189:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[1] 0.000221732 +2 *340:B 0.00125112 +3 *339:B 2.1308e-05 +4 *188:DIODE 0.000374645 +5 *189:DIODE 0.000100215 +6 *5:29 0.00046304 +7 *5:26 0.00189501 +8 *5:19 0.00420544 +9 *5:5 0.00144835 +10 *188:DIODE pad_gpio_ana_sel 1.01116e-05 +11 *188:DIODE *197:DIODE 0.000121789 +12 *188:DIODE *208:DIODE 8.46261e-05 +13 *188:DIODE *376:SET_B 0.000173175 +14 *188:DIODE *36:41 5.04829e-06 +15 *188:DIODE *39:7 2.99287e-05 +16 *188:DIODE *132:21 1.43698e-05 +17 *188:DIODE *158:56 4.19841e-05 +18 *188:DIODE *158:58 0.000115976 +19 *188:DIODE *158:63 5.20441e-05 +20 *188:DIODE *158:122 5.04829e-06 +21 *189:DIODE *6:7 0.000105167 +22 *340:B *340:A_N 0.00032691 +23 *340:B *360:A 2.57746e-05 +24 *340:B *366:RESET_B 0.000134167 +25 *340:B *371:SET_B 1.87049e-05 +26 *340:B *11:13 8.5391e-05 +27 *340:B *142:8 6.08467e-05 +28 *5:5 *6:7 0.000419768 +29 *5:19 user_gpio_in 5.58835e-05 +30 *5:19 *380:RESET_B 3.58267e-05 +31 *5:19 *391:D 0.000188996 +32 *5:19 *7:24 0.000449014 +33 *5:26 *336:A_N 3.64636e-05 +34 *5:26 *339:A 3.8713e-05 +35 *5:26 *343:A 4.10791e-05 +36 *5:26 *360:A 0.000344279 +37 *5:26 *366:SET_B 0.000109402 +38 *5:26 *369:SET_B 3.79231e-05 +39 *5:26 *370:SET_B 7.27543e-05 +40 *5:26 *11:13 0.000711136 +41 *5:26 *36:41 0 +42 *5:26 *61:11 0.000959351 +43 *5:26 *65:21 0.000108929 +44 *5:26 *69:15 0.000127809 +45 *5:26 *114:8 0 +46 *5:26 *120:46 8.33721e-06 +47 *5:26 *122:22 0.000112912 +48 *5:26 *122:54 0.00016837 +49 *5:26 *148:9 0 +50 *5:29 *39:7 7.16754e-05 +51 *1:13 *5:5 1.78548e-05 +*RES +1 gpio_defaults[1] *5:5 5.52679 +2 *5:5 *189:DIODE 15.8268 +3 *5:5 *5:19 9.17313 +4 *5:19 *5:26 46.0268 +5 *5:26 *5:29 5.85714 +6 *5:29 *188:DIODE 26.9964 +7 *5:29 *339:B 9.72857 +8 *5:19 *340:B 28.9875 +*END + +*D_NET *6 0.0124869 +*CONN +*P gpio_defaults[2] I +*I *330:B I *D sky130_fd_sc_hd__nand2b_2 +*I *179:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *178:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *329:B I *D sky130_fd_sc_hd__or2_0 +*CAP +1 gpio_defaults[2] 0.000952897 +2 *330:B 0.000520866 +3 *179:DIODE 0.000188687 +4 *178:DIODE 0.000379755 +5 *329:B 0 +6 *6:21 0.00136028 +7 *6:12 0.00117742 +8 *6:7 0.00185935 +9 *178:DIODE *187:DIODE 7.71803e-05 +10 *178:DIODE *209:DIODE 0.000171904 +11 *178:DIODE *123:7 1.88422e-05 +12 *178:DIODE *158:77 0.000389566 +13 *179:DIODE *186:DIODE 6.27782e-05 +14 *179:DIODE *426:A 2.57986e-05 +15 *330:B *343:A 0.000237366 +16 *330:B *394:A 8.73932e-05 +17 *330:B *438:A 0.000124083 +18 *330:B *92:7 1.95066e-05 +19 *6:7 *379:CLK 0.000198319 +20 *6:7 *419:A 5.58266e-05 +21 *6:7 *51:14 6.98415e-05 +22 *6:7 *116:31 0 +23 *6:7 *122:54 0 +24 *6:12 *329:A 6.46815e-05 +25 *6:12 *355:A 8.23367e-05 +26 *6:12 *384:CLK 0.000196836 +27 *6:12 *414:A 0 +28 *6:12 *9:24 6.27782e-05 +29 *6:12 *51:14 0.000145722 +30 *6:12 *65:21 1.48325e-05 +31 *6:12 *116:28 0.000121172 +32 *6:21 *187:DIODE 1.37566e-05 +33 *6:21 *329:A 4.76794e-05 +34 *6:21 *355:A 0.000699198 +35 *6:21 *384:RESET_B 1.82679e-05 +36 *6:21 *399:A 0.000291057 +37 *6:21 *8:29 0.000337062 +38 *6:21 *8:34 0.000199817 +39 *6:21 *8:37 0.000396569 +40 *6:21 *9:24 0.000652978 +41 *6:21 *116:14 3.66361e-05 +42 *6:21 *137:10 8.33161e-05 +43 *6:21 *143:19 0.000113295 +44 *6:21 *157:20 3.3179e-05 +45 *189:DIODE *6:7 0.000105167 +46 *193:DIODE *178:DIODE 6.64392e-05 +47 *343:B *330:B 0.000304838 +48 *1:13 *6:7 1.83764e-06 +49 *5:5 *6:7 0.000419768 +*RES +1 gpio_defaults[2] *6:7 19.5893 +2 *6:7 *6:12 14.5714 +3 *6:12 *329:B 9.3 +4 *6:12 *6:21 30.1429 +5 *6:21 *178:DIODE 22.6929 +6 *6:21 *179:DIODE 16.8 +7 *6:7 *330:B 23.925 +*END + +*D_NET *7 0.0207389 +*CONN +*P gpio_defaults[3] I +*I *335:B I *D sky130_fd_sc_hd__or2_0 +*I *185:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *336:B I *D sky130_fd_sc_hd__nand2b_2 +*I *184:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[3] 0.000637575 +2 *335:B 0 +3 *185:DIODE 0.00193049 +4 *336:B 4.46837e-05 +5 *184:DIODE 0.00256637 +6 *7:47 0.00237428 +7 *7:24 0.00569274 +8 *7:13 0.00336484 +9 *184:DIODE pad_gpio_ana_sel 8.40176e-06 +10 *184:DIODE *120:46 0.00052167 +11 *184:DIODE *120:48 0.000259151 +12 *184:DIODE *158:63 0 +13 *185:DIODE *325:A1 0.000203756 +14 *185:DIODE *325:B1 1.03403e-05 +15 *185:DIODE *336:A_N 1.00766e-05 +16 *185:DIODE *388:D 2.31886e-05 +17 *185:DIODE *388:RESET_B 4.59816e-06 +18 *185:DIODE *422:A 0.000104572 +19 *185:DIODE *36:11 0 +20 *185:DIODE *41:21 0 +21 *185:DIODE *118:32 1.43136e-05 +22 *336:B *336:A_N 0.000107496 +23 *7:13 *8:29 2.48115e-05 +24 *7:13 *10:26 2.80866e-05 +25 *7:13 *11:13 0 +26 *7:13 *146:10 5.20674e-05 +27 *7:24 user_gpio_in 7.27515e-05 +28 *7:24 *391:D 9.1102e-06 +29 *7:24 *10:26 2.9964e-05 +30 *7:24 *117:51 0.000275791 +31 *7:24 *122:22 0.000649975 +32 *7:24 *146:10 0.000136556 +33 *7:47 *439:A 1.66626e-05 +34 *7:47 *120:41 1.66626e-05 +35 *7:47 *122:22 0.000564184 +36 *1:13 *7:13 0.00020785 +37 *1:40 *184:DIODE 6.10536e-05 +38 *1:40 *7:47 5.60804e-05 +39 *3:44 *184:DIODE 0.00020969 +40 *5:19 *7:24 0.000449014 +*RES +1 gpio_defaults[3] *7:13 18.0714 +2 *7:13 *7:24 17.5342 +3 *7:24 *184:DIODE 45.7454 +4 *7:24 *7:47 8.99049 +5 *7:47 *336:B 10.2464 +6 *7:47 *185:DIODE 30.2107 +7 *7:13 *335:B 13.8 +*END + +*D_NET *8 0.00985209 +*CONN +*P gpio_defaults[4] I +*I *337:B I *D sky130_fd_sc_hd__or2_0 +*I *338:B I *D sky130_fd_sc_hd__nand2b_2 +*I *186:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *187:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[4] 0.00174428 +2 *337:B 8.12611e-05 +3 *338:B 6.89197e-05 +4 *186:DIODE 4.28882e-05 +5 *187:DIODE 0.000140191 +6 *8:37 0.000517193 +7 *8:34 0.000551294 +8 *8:29 0.0019738 +9 *187:DIODE *129:10 8.10199e-05 +10 *337:B *399:A 0.000206696 +11 *8:29 *183:DIODE 4.9895e-05 +12 *8:29 *369:D 0.000133439 +13 *8:29 *380:D 0.000137531 +14 *8:29 *380:RESET_B 1.89382e-05 +15 *8:29 *381:D 7.32207e-06 +16 *8:29 *383:RESET_B 3.13665e-05 +17 *8:29 *384:RESET_B 0.000280426 +18 *8:29 *9:15 0.00058638 +19 *8:29 *116:14 1.91625e-05 +20 *8:29 *120:12 2.58497e-05 +21 *8:29 *120:30 3.73211e-05 +22 *8:29 *127:11 0 +23 *8:29 *143:19 0.000973653 +24 *8:34 *399:A 0.000174831 +25 *8:34 *116:14 1.19971e-05 +26 *8:37 *129:10 4.77589e-05 +27 *8:37 *137:10 0.000783041 +28 *178:DIODE *187:DIODE 7.71803e-05 +29 *179:DIODE *186:DIODE 6.27782e-05 +30 *3:44 *187:DIODE 1.36606e-05 +31 *6:21 *187:DIODE 1.37566e-05 +32 *6:21 *8:29 0.000337062 +33 *6:21 *8:34 0.000199817 +34 *6:21 *8:37 0.000396569 +35 *7:13 *8:29 2.48115e-05 +*RES +1 gpio_defaults[4] *8:29 41.4438 +2 *8:29 *8:34 8.41071 +3 *8:34 *8:37 16.1607 +4 *8:37 *187:DIODE 16.8893 +5 *8:37 *186:DIODE 14.3357 +6 *8:34 *338:B 10.2464 +7 *8:29 *337:B 15.5679 +*END + +*D_NET *9 0.0141691 +*CONN +*P gpio_defaults[5] I +*I *197:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *348:B I *D sky130_fd_sc_hd__nand2b_2 +*I *198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *347:B I *D sky130_fd_sc_hd__or2_0 +*CAP +1 gpio_defaults[5] 0.00270413 +2 *197:DIODE 0.000858194 +3 *348:B 0 +4 *198:DIODE 4.03634e-05 +5 *347:B 0.000105641 +6 *9:47 0.00153055 +7 *9:24 0.000642265 +8 *9:15 0.00387275 +9 *197:DIODE *200:DIODE 0 +10 *197:DIODE *370:SET_B 0.000603588 +11 *197:DIODE *376:CLK_N 0 +12 *197:DIODE *376:SET_B 0.000435127 +13 *197:DIODE *377:CLK_N 6.08467e-05 +14 *197:DIODE *426:A 3.25052e-05 +15 *197:DIODE *32:12 4.29314e-06 +16 *197:DIODE *36:41 0.000129936 +17 *197:DIODE *69:15 0.000190001 +18 *197:DIODE *94:11 0.000170245 +19 *197:DIODE *158:63 0 +20 *198:DIODE *384:CLK 0.000122858 +21 *198:DIODE *51:14 7.60183e-05 +22 *198:DIODE *128:8 1.94224e-05 +23 *347:B *384:CLK 0.000173161 +24 *347:B *128:8 9.29316e-05 +25 *9:15 *380:RESET_B 5.60804e-05 +26 *9:15 *381:D 0.000244348 +27 *9:15 *10:26 7.90334e-05 +28 *9:24 *329:A 1.88563e-05 +29 *9:24 *384:RESET_B 1.66771e-05 +30 *9:47 *377:CLK_N 0.000236696 +31 *9:47 *10:26 1.58953e-05 +32 *9:47 *10:27 4.44823e-05 +33 *9:47 *38:17 7.92757e-06 +34 *9:47 *94:36 0.00015607 +35 *9:47 *158:21 4.31485e-06 +36 *188:DIODE *197:DIODE 0.000121789 +37 *6:12 *9:24 6.27782e-05 +38 *6:21 *9:24 0.000652978 +39 *8:29 *9:15 0.00058638 +*RES +1 gpio_defaults[5] *9:15 22.5644 +2 *9:15 *9:24 19.6104 +3 *9:24 *347:B 16.8893 +4 *9:24 *198:DIODE 15.4429 +5 *9:15 *9:47 11.6168 +6 *9:47 *348:B 9.3 +7 *9:47 *197:DIODE 39.0857 +*END + +*D_NET *10 0.0143447 +*CONN +*P gpio_defaults[6] I +*I *350:B I *D sky130_fd_sc_hd__nand2b_2 +*I *349:B I *D sky130_fd_sc_hd__or2_0 *I *199:DIODE I *D sky130_fd_sc_hd__diode_2 *I *200:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[12] 0.000572192 -2 *340:B 0.00116855 -3 *341:B 0.000530322 +1 gpio_defaults[6] 0.00137557 +2 *350:B 2.86212e-05 +3 *349:B 0.000519134 4 *199:DIODE 0 -5 *200:DIODE 1.50285e-05 -6 *4:22 0.000220494 -7 *4:21 0.00205087 -8 *4:9 0.00305582 -9 *200:DIODE *185:DIODE 0.000114271 -10 *200:DIODE *186:DIODE 5.31465e-05 -11 *340:B *182:DIODE 0.000118017 -12 *340:B *384:CLK 0.00027595 -13 *340:B *42:10 0.000118017 -14 *340:B *106:11 1.83827e-06 -15 *340:B *118:37 0.000826641 -16 *340:B *157:47 5.04829e-06 -17 *341:B pad_gpio_dm[0] 0.000164686 -18 *341:B *400:A 0.000117376 -19 *341:B *439:A 0.000114271 -20 *4:9 *376:RESET_B 0.00025115 -21 *4:9 *427:A 7.78076e-05 -22 *4:9 *116:27 0.000345743 -23 *4:9 *116:41 5.33048e-05 -24 *4:9 *164:16 0.000303544 -25 *4:21 *371:RESET_B 0.000169348 -26 *4:21 *376:RESET_B 2.97724e-05 -27 *4:21 *388:TE_B 3.39313e-06 -28 *4:21 *403:A 0.000143295 -29 *4:21 *409:A 0.000318625 -30 *4:21 *411:A 8.61277e-05 -31 *4:21 *427:A 0.0013342 -32 *4:21 *436:A 0.000245836 -33 *4:21 *438:A 0.000609997 -34 *4:21 *158:70 0.000248457 -35 *4:22 *185:DIODE 0.000151001 -36 *4:22 *186:DIODE 3.00829e-05 -37 *2:36 *4:21 1.5714e-05 -38 *3:5 *4:9 0 -39 *3:28 *4:9 0 -40 *3:28 *4:21 8.14794e-05 +5 *200:DIODE 0.000240852 +6 *10:41 0.00084452 +7 *10:29 0.00064863 +8 *10:27 0.000595183 +9 *10:26 0.00185974 +10 *200:DIODE *318:A 0.000224624 +11 *200:DIODE *376:CLK_N 0.000188239 +12 *200:DIODE *426:A 1.83992e-05 +13 *200:DIODE *36:41 2.88594e-05 +14 *349:B *352:A_N 0.000171677 +15 *349:B *439:A 1.60111e-05 +16 *349:B *11:32 4.13237e-05 +17 *349:B *103:8 0.00051221 +18 *349:B *113:14 0.000111788 +19 *349:B *153:10 2.95006e-05 +20 *350:B *376:SET_B 6.08467e-05 +21 *10:26 pad_gpio_vtrip_sel 0 +22 *10:26 *330:A_N 0.000419057 +23 *10:26 *335:A 0 +24 *10:26 *336:A_N 7.09558e-05 +25 *10:26 *381:D 0.00017416 +26 *10:26 *391:CLK 0.000788557 +27 *10:26 *391:D 5.91586e-05 +28 *10:26 *396:A 0.000489673 +29 *10:26 *59:19 5.99857e-06 +30 *10:26 *65:21 0.00033268 +31 *10:26 *115:22 2.58954e-06 +32 *10:26 *157:31 0.000244565 +33 *10:26 *157:42 0.000106335 +34 *10:26 *157:55 0.000377189 +35 *10:27 *318:A 0.000395855 +36 *10:27 *376:CLK_N 0.000346477 +37 *10:27 *377:CLK_N 0.000185505 +38 *10:27 *396:A 0.000299374 +39 *10:27 *427:A 4.41583e-05 +40 *10:27 *93:10 1.34407e-05 +41 *10:27 *157:85 0.000288651 +42 *10:27 *158:21 0.000102788 +43 *10:29 *318:A 0.000166252 +44 *10:29 *376:CLK_N 0.000180318 +45 *10:41 *353:A 0.000216467 +46 *10:41 *124:7 0.000118672 +47 *10:41 *158:8 0.000160942 +48 *10:41 *158:55 0.000191933 +49 *196:DIODE *349:B 0.000403253 +50 *196:DIODE *10:41 0.000107496 +51 *197:DIODE *200:DIODE 0 +52 *3:17 *10:26 0.000339055 +53 *7:13 *10:26 2.80866e-05 +54 *7:24 *10:26 2.9964e-05 +55 *9:15 *10:26 7.90334e-05 +56 *9:47 *10:26 1.58953e-05 +57 *9:47 *10:27 4.44823e-05 *RES -1 gpio_defaults[12] *4:9 12.4107 -2 *4:9 *4:21 38.7321 -3 *4:21 *4:22 3.82143 -4 *4:22 *200:DIODE 10.2464 -5 *4:22 *199:DIODE 9.3 -6 *4:21 *341:B 19.8357 -7 *4:9 *340:B 37.7107 +1 gpio_defaults[6] *10:26 42.4802 +2 *10:26 *10:27 14.2411 +3 *10:27 *10:29 2.55357 +4 *10:29 *200:DIODE 18.7107 +5 *10:29 *10:41 12.0179 +6 *10:41 *199:DIODE 9.3 +7 *10:41 *349:B 31.2464 +8 *10:27 *350:B 14.3357 *END -*D_NET *5 0.0122246 -*CONN -*P gpio_defaults[1] I -*I *334:B I *D sky130_fd_sc_hd__or2_0 -*I *335:B I *D sky130_fd_sc_hd__nand2b_2 -*I *194:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *192:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[1] 0.00040168 -2 *334:B 1.98947e-05 -3 *335:B 0 -4 *194:DIODE 0 -5 *192:DIODE 7.17381e-05 -6 *5:21 0.000177273 -7 *5:17 0.00166871 -8 *5:11 0.00198475 -9 *192:DIODE *61:11 0.000117376 -10 *334:B *389:A 2.16355e-05 -11 *334:B *116:45 6.08467e-05 -12 *5:11 *330:B 0.000745519 -13 *5:11 *6:7 0 -14 *5:11 *7:11 0.000307806 -15 *5:11 *9:14 3.90219e-05 -16 *5:11 *10:7 3.39313e-06 -17 *5:11 *116:45 0.00125067 -18 *5:11 *141:12 9.65752e-06 -19 *5:17 *204:DIODE 0.000832992 -20 *5:17 *313:A 0.00121528 -21 *5:17 *364:D 1.75024e-05 -22 *5:17 *365:CLK_N 7.5848e-05 -23 *5:17 *371:CLK_N 0.000466939 -24 *5:17 *376:D 0.000412587 -25 *5:17 *377:D 0.000119716 -26 *5:17 *440:A 1.75024e-05 -27 *5:17 *9:14 0.00132 -28 *5:17 *10:7 4.55197e-05 -29 *5:17 *10:18 0.000372067 -30 *5:17 *96:19 0.000346414 -31 *5:17 *141:12 6.47803e-05 -32 *5:17 *143:10 2.75989e-05 -33 *5:21 *313:A 9.89388e-06 -34 *1:5 *5:11 0 -*RES -1 gpio_defaults[1] *5:11 22.4643 -2 *5:11 *5:17 44.5 -3 *5:17 *5:21 6.14286 -4 *5:21 *192:DIODE 10.2464 -5 *5:21 *194:DIODE 9.3 -6 *5:17 *335:B 13.8 -7 *5:11 *334:B 14.3357 -*END - -*D_NET *6 0.00909646 -*CONN -*P gpio_defaults[2] I -*I *324:B I *D sky130_fd_sc_hd__or2_0 -*I *180:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *178:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *325:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[2] 0.000227227 -2 *324:B 0.000105647 -3 *180:DIODE 7.65644e-05 -4 *178:DIODE 0 -5 *325:B 1.99085e-05 -6 *6:28 9.60957e-05 -7 *6:20 9.66257e-05 -8 *6:13 0.00237264 -9 *6:7 0.00264833 -10 *180:DIODE *53:7 0.000358606 -11 *180:DIODE *114:59 0.000104639 -12 *324:B *94:8 5.04829e-06 -13 *324:B *116:45 0.000307799 -14 *325:B *325:A_N 0 -15 *6:7 *7:7 6.41465e-05 -16 *6:13 *391:A 0.000322537 -17 *6:13 *414:A 2.9273e-05 -18 *6:13 *7:11 0 -19 *6:13 *94:8 0.00157222 -20 *6:13 *95:14 0.000179248 -21 *6:20 *333:B 0.000130556 -22 *6:20 *53:7 6.64392e-05 -23 *6:20 *114:59 7.65484e-05 -24 *6:28 *53:7 0.000167438 -25 *6:28 *114:59 6.89158e-05 -26 *5:11 *6:7 0 -*RES -1 gpio_defaults[2] *6:7 4.30357 -2 *6:7 *6:13 49.1607 -3 *6:13 *6:20 6.82143 -4 *6:20 *325:B 9.72857 -5 *6:20 *6:28 1.35714 -6 *6:28 *178:DIODE 9.3 -7 *6:28 *180:DIODE 12.3 -8 *6:7 *324:B 16.3893 -*END - -*D_NET *7 0.0147965 -*CONN -*P gpio_defaults[3] I -*I *330:B I *D sky130_fd_sc_hd__or2_0 -*I *331:B I *D sky130_fd_sc_hd__nand2b_2 -*I *186:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *188:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[3] 0.000102396 -2 *330:B 0.00139307 -3 *331:B 0 -4 *186:DIODE 0.000659302 -5 *188:DIODE 0.000269536 -6 *7:19 0.00253649 -7 *7:11 0.00251069 -8 *7:7 0.0023985 -9 *186:DIODE *185:DIODE 0.000122366 -10 *186:DIODE *440:A 0.000163168 -11 *186:DIODE *34:25 2.29454e-05 -12 *186:DIODE *35:10 0.000219249 -13 *188:DIODE *8:19 0.00042611 -14 *188:DIODE *61:11 8.21137e-05 -15 *330:B *33:18 2.42295e-05 -16 *330:B *116:41 0.00124692 -17 *330:B *116:45 1.37669e-05 -18 *7:7 *8:11 0 -19 *7:11 *414:A 0.000138453 -20 *7:11 *435:A 6.00269e-05 -21 *7:11 *124:13 0 -22 *7:11 *129:15 0.000115134 -23 *7:19 *8:19 0.000778329 -24 *7:19 *95:14 4.47546e-05 -25 *7:19 *98:17 5.37732e-05 -26 *7:19 *129:15 0.000214508 -27 *200:DIODE *186:DIODE 5.31465e-05 -28 *4:22 *186:DIODE 3.00829e-05 -29 *5:11 *330:B 0.000745519 -30 *5:11 *7:11 0.000307806 -31 *6:7 *7:7 6.41465e-05 -32 *6:13 *7:11 0 -*RES -1 gpio_defaults[3] *7:7 6.53571 -2 *7:7 *7:11 20.2679 -3 *7:11 *7:19 43.5536 -4 *7:19 *188:DIODE 20.8536 -5 *7:19 *186:DIODE 26.6571 -6 *7:11 *331:B 13.8 -7 *7:7 *330:B 27.4071 -*END - -*D_NET *8 0.0186251 -*CONN -*P gpio_defaults[4] I -*I *189:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *332:B I *D sky130_fd_sc_hd__or2_0 -*I *190:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *333:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[4] 0.000828777 -2 *189:DIODE 0.00132728 -3 *332:B 8.43799e-05 -4 *190:DIODE 0.000106076 -5 *333:B 0.000424997 -6 *8:35 0.00237754 -7 *8:19 0.00167434 -8 *8:11 0.00293793 -9 *189:DIODE pad_gpio_vtrip_sel 0 -10 *189:DIODE *317:A_N 6.08467e-05 -11 *189:DIODE *326:A 4.39881e-05 -12 *189:DIODE *12:29 0.00150088 -13 *189:DIODE *33:18 1.5714e-05 -14 *189:DIODE *34:16 0.000487327 -15 *189:DIODE *42:27 0.000175216 -16 *189:DIODE *54:16 4.11277e-05 -17 *189:DIODE *157:27 2.38966e-05 -18 *190:DIODE *53:7 0.000145849 -19 *332:B *332:A 6.08467e-05 -20 *332:B *365:RESET_B 4.29314e-06 -21 *332:B *376:RESET_B 0.000203604 -22 *332:B *396:A 1.5714e-05 -23 *332:B *12:29 4.19401e-06 -24 *333:B *325:A_N 4.76161e-06 -25 *333:B *53:7 0.000267964 -26 *333:B *114:59 9.43222e-06 -27 *333:B *116:57 3.62662e-06 -28 *333:B *116:65 3.62662e-06 -29 *8:11 *373:CLK 5.98422e-05 -30 *8:11 *375:CLK 4.90273e-05 -31 *8:11 *386:RESET_B 0.000702433 -32 *8:11 *9:14 0 -33 *8:11 *96:66 5.43153e-05 -34 *8:19 *373:CLK 1.93666e-05 -35 *8:19 *386:RESET_B 0.00102216 -36 *8:19 *392:A 0.000280206 -37 *8:19 *408:A 1.07549e-05 -38 *8:19 *9:35 0.000200635 -39 *8:19 *95:14 0.000329912 -40 *8:19 *96:66 3.50296e-05 -41 *8:19 *114:59 6.95527e-05 -42 *8:19 *116:77 0.000304493 -43 *8:19 *119:18 0.000626698 -44 *8:19 *157:21 0.000115166 -45 *8:35 pad_gpio_vtrip_sel 0 -46 *8:35 *183:DIODE 8.50938e-05 -47 *8:35 *12:29 0.000144531 -48 *8:35 *13:42 7.42411e-05 -49 *8:35 *157:27 0.000116375 -50 *188:DIODE *8:19 0.00042611 -51 *2:36 *189:DIODE 0.00015607 -52 *6:20 *333:B 0.000130556 -53 *7:7 *8:11 0 -54 *7:19 *8:19 0.000778329 -*RES -1 gpio_defaults[4] *8:11 17.0625 -2 *8:11 *8:19 41.6696 -3 *8:19 *333:B 14.9786 -4 *8:19 *190:DIODE 10.6571 -5 *8:11 *8:35 5.33073 -6 *8:35 *332:B 19.2546 -7 *8:35 *189:DIODE 23.8836 -*END - -*D_NET *9 0.0146623 -*CONN -*P gpio_defaults[5] I -*I *342:B I *D sky130_fd_sc_hd__or2_0 -*I *202:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *201:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *343:B I *D sky130_fd_sc_hd__nand2b_2 -*CAP -1 gpio_defaults[5] 0.00131171 -2 *342:B 0.000354222 -3 *202:DIODE 0 -4 *201:DIODE 0.00039112 -5 *343:B 0.000106404 -6 *9:37 0.000462662 -7 *9:35 0.00102246 -8 *9:14 0.00201481 -9 *201:DIODE *370:CLK_N 0.00105824 -10 *201:DIODE *440:A 4.5408e-05 -11 *201:DIODE *441:A 0.00019346 -12 *201:DIODE *39:15 0.000216458 -13 *201:DIODE *114:59 0.000100222 -14 *342:B *97:5 6.64392e-05 -15 *342:B *114:35 0.000877112 -16 *342:B *114:59 6.08467e-05 -17 *343:B *343:A_N 0.000255248 -18 *343:B *370:SET_B 1.65872e-05 -19 *343:B *393:A 6.08467e-05 -20 *9:14 *365:CLK_N 0.000185193 -21 *9:14 *370:SET_B 0.000587064 -22 *9:14 *373:CLK 0.000643916 -23 *9:14 *10:7 0 -24 *9:14 *96:25 0.000342667 -25 *9:14 *157:21 0.000364709 -26 *9:35 *371:CLK_N 0.000410322 -27 *9:35 *378:RESET_B 2.86829e-05 -28 *9:35 *386:RESET_B 8.18248e-05 -29 *9:35 *391:A 2.67514e-05 -30 *9:35 *392:A 0.000515705 -31 *9:35 *114:66 0.000218651 -32 *9:35 *114:87 0.000568166 -33 *9:35 *158:46 0.00040754 -34 *9:37 *392:A 1.03594e-05 -35 *9:37 *440:A 3.26102e-05 -36 *9:37 *114:59 3.05765e-05 -37 *9:37 *114:66 2.86829e-05 -38 *9:37 *119:18 4.92017e-06 -39 *5:11 *9:14 3.90219e-05 -40 *5:17 *9:14 0.00132 -41 *8:11 *9:14 0 -42 *8:19 *9:35 0.000200635 -*RES -1 gpio_defaults[5] *9:14 37.6071 -2 *9:14 *343:B 11.8893 -3 *9:14 *9:35 39.7857 -4 *9:35 *9:37 1.64286 -5 *9:37 *201:DIODE 25.8179 -6 *9:37 *202:DIODE 13.8 -7 *9:35 *342:B 21.7286 -*END - -*D_NET *10 0.0138958 -*CONN -*P gpio_defaults[6] I -*I *203:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *344:B I *D sky130_fd_sc_hd__or2_0 -*I *345:B I *D sky130_fd_sc_hd__nand2b_2 -*I *204:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 gpio_defaults[6] 0.000782962 -2 *203:DIODE 0 -3 *344:B 0.000200175 -4 *345:B 0 -5 *204:DIODE 0.000490152 -6 *10:42 0.000748552 -7 *10:18 0.00107982 -8 *10:7 0.00192101 -9 *204:DIODE *206:DIODE 3.82228e-05 -10 *204:DIODE *313:A 6.3657e-05 -11 *204:DIODE *371:CLK_N 0.000110297 -12 *204:DIODE *440:A 0.000630547 -13 *204:DIODE *63:10 0.000259547 -14 *204:DIODE *114:59 6.0414e-05 -15 *204:DIODE *116:81 0.000108704 -16 *344:B *344:A 0.000156946 -17 *344:B *383:CLK 8.6297e-06 -18 *344:B *409:A 3.82228e-05 -19 *344:B *428:A 0.000141654 -20 *344:B *132:6 0.000394371 -21 *10:7 *364:D 0.000228184 -22 *10:7 *376:D 0.00051234 -23 *10:7 *377:D 0.000147874 -24 *10:7 *11:11 0.000155281 -25 *10:7 *12:12 0 -26 *10:7 *137:9 0.000994472 -27 *10:7 *141:12 0.000181934 -28 *10:18 *206:DIODE 2.07503e-05 -29 *10:18 *313:A 0.000351444 -30 *10:18 *365:D 5.1584e-05 -31 *10:18 *371:CLK_N 6.08467e-05 -32 *10:18 *96:19 0.000351188 -33 *10:18 *137:9 0.000828749 -34 *10:18 *151:8 6.1664e-05 -35 *10:42 pad_gpio_vtrip_sel 0.00114604 -36 *10:42 *326:A 3.51785e-06 -37 *10:42 *383:CLK 7.12632e-06 -38 *10:42 *132:6 1.00004e-05 -39 *10:42 *157:27 8.88096e-05 -40 *3:28 *344:B 0.000206147 -41 *5:11 *10:7 3.39313e-06 -42 *5:17 *204:DIODE 0.000832992 -43 *5:17 *10:7 4.55197e-05 -44 *5:17 *10:18 0.000372067 -45 *9:14 *10:7 0 -*RES -1 gpio_defaults[6] *10:7 20.8482 -2 *10:7 *10:18 21.3839 -3 *10:18 *204:DIODE 33.925 -4 *10:18 *345:B 9.3 -5 *10:7 *10:42 8.65719 -6 *10:42 *344:B 20.4429 -7 *10:42 *203:DIODE 13.8 -*END - -*D_NET *11 0.0127741 +*D_NET *11 0.0112915 *CONN *P gpio_defaults[7] I -*I *347:B I *D sky130_fd_sc_hd__nand2b_2 -*I *205:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *346:B I *D sky130_fd_sc_hd__or2_0 -*I *206:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *351:B I *D sky130_fd_sc_hd__or2_0 +*I *352:B I *D sky130_fd_sc_hd__nand2b_2 +*I *201:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *202:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[7] 0.00115703 -2 *347:B 0 -3 *205:DIODE 0.000360053 -4 *346:B 2.1308e-05 -5 *206:DIODE 0.000577171 -6 *11:44 0.000793376 -7 *11:29 0.0010548 -8 *11:11 0.00233437 -9 *205:DIODE *360:SET_B 0.000110458 -10 *205:DIODE *388:TE_B 1.22742e-05 -11 *205:DIODE *98:17 5.04829e-06 -12 *205:DIODE *117:17 4.78251e-05 -13 *205:DIODE *145:24 2.3301e-05 -14 *206:DIODE *345:A_N 1.03403e-05 -15 *206:DIODE *365:SET_B 0.00031431 -16 *206:DIODE *366:SET_B 0.000310818 -17 *206:DIODE *371:CLK_N 1.78704e-05 -18 *206:DIODE *371:D 2.22974e-05 -19 *206:DIODE *371:SET_B 5.70034e-06 -20 *11:11 *365:SET_B 0.000626173 -21 *11:11 *366:SET_B 0.000959863 -22 *11:11 *433:A 1.09755e-05 -23 *11:11 *12:12 1.97517e-05 -24 *11:11 *13:18 5.59112e-05 -25 *11:11 *111:8 0.00119791 -26 *11:11 *137:9 0.000936014 -27 *11:29 *380:RESET_B 1.31768e-05 -28 *11:29 *403:A 0.000437002 -29 *11:29 *14:13 7.58194e-05 -30 *11:29 *32:15 4.59816e-06 -31 *11:29 *114:31 8.60778e-05 -32 *11:29 *115:31 5.76421e-05 -33 *11:44 *347:A_N 9.86288e-05 -34 *11:44 *367:D 0.000141062 -35 *11:44 *372:RESET_B 3.17103e-05 -36 *11:44 *403:A 0.000190903 -37 *11:44 *115:31 1.7883e-05 -38 *11:44 *117:17 0.000162857 -39 *204:DIODE *206:DIODE 3.82228e-05 -40 *323:B *205:DIODE 3.04436e-05 -41 *323:B *11:44 0.000227083 -42 *10:7 *11:11 0.000155281 -43 *10:18 *206:DIODE 2.07503e-05 +1 gpio_defaults[7] 0.00175675 +2 *351:B 0 +3 *352:B 4.35627e-05 +4 *201:DIODE 0 +5 *202:DIODE 9.19521e-05 +6 *11:32 0.000622451 +7 *11:23 0.000905704 +8 *11:16 0.00035357 +9 *11:13 0.00177868 +10 *202:DIODE *123:10 0.000183794 +11 *202:DIODE *153:10 7.6561e-05 +12 *352:B *372:CLK_N 7.01935e-06 +13 *352:B *446:A 0.000109427 +14 *11:13 *331:A 0.000201933 +15 *11:13 *360:A 1.16107e-05 +16 *11:13 *367:RESET_B 6.08467e-05 +17 *11:13 *369:SET_B 0.000169314 +18 *11:13 *386:CLK 0.000342958 +19 *11:13 *398:A 1.02497e-05 +20 *11:13 *411:A 0.000366919 +21 *11:13 *412:A 9.89388e-06 +22 *11:13 *433:A 9.71867e-05 +23 *11:13 *437:A 0.000300031 +24 *11:13 *440:A 1.48943e-05 +25 *11:13 *12:7 0.000146742 +26 *11:13 *12:16 0.000168109 +27 *11:13 *12:28 2.81262e-05 +28 *11:13 *57:17 0 +29 *11:13 *123:10 0.000236253 +30 *11:13 *153:10 0.000308033 +31 *11:16 *115:42 6.08467e-05 +32 *11:23 *377:SET_B 0.000326026 +33 *11:23 *36:11 1.19726e-05 +34 *11:23 *113:14 0.00031766 +35 *11:23 *115:42 3.27857e-05 +36 *11:23 *115:51 0.000107496 +37 *11:32 pad_gpio_dm[1] 0.000326637 +38 *11:32 *377:SET_B 5.23435e-05 +39 *11:32 *113:14 0.000312443 +40 *11:32 *122:22 2.02509e-05 +41 *196:DIODE *11:32 0.000408102 +42 *340:B *11:13 8.5391e-05 +43 *349:B *11:32 4.13237e-05 +44 *1:40 *11:13 6.74182e-05 +45 *4:23 *11:13 7.09666e-06 +46 *5:26 *11:13 0.000711136 +47 *7:13 *11:13 0 *RES -1 gpio_defaults[7] *11:11 42.0714 -2 *11:11 *206:DIODE 22.925 -3 *11:11 *11:29 22.4821 -4 *11:29 *346:B 9.72857 -5 *11:29 *11:44 14.1786 -6 *11:44 *205:DIODE 18.2464 -7 *11:44 *347:B 13.8 +1 gpio_defaults[7] *11:13 48.2679 +2 *11:13 *11:16 5.03571 +3 *11:16 *11:23 12.3036 +4 *11:23 *11:32 15.375 +5 *11:32 *202:DIODE 20.8536 +6 *11:32 *201:DIODE 9.3 +7 *11:23 *352:B 14.7464 +8 *11:16 *351:B 9.3 *END -*D_NET *12 0.013863 +*D_NET *12 0.00954709 *CONN *P gpio_defaults[8] I -*I *182:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *180:DIODE I *D sky130_fd_sc_hd__diode_2 *I *181:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *327:B I *D sky130_fd_sc_hd__nand2b_2 -*I *326:B I *D sky130_fd_sc_hd__or2_0 +*I *332:B I *D sky130_fd_sc_hd__nand2b_2 +*I *331:B I *D sky130_fd_sc_hd__or2_0 *CAP -1 gpio_defaults[8] 0.000791071 -2 *182:DIODE 0.000391686 -3 *181:DIODE 0.000492728 -4 *327:B 6.08033e-05 -5 *326:B 0.000210584 -6 *12:43 0.00122618 -7 *12:29 0.00126761 -8 *12:12 0.00186669 -9 *181:DIODE *173:DIODE 7.97866e-05 -10 *181:DIODE *321:B1 0.000348958 -11 *181:DIODE *328:B 2.16355e-05 -12 *181:DIODE *406:A 6.08467e-05 -13 *181:DIODE *13:47 0.000214506 -14 *181:DIODE *102:14 0.0003456 -15 *181:DIODE *103:11 0.000214506 -16 *182:DIODE *13:47 4.83709e-05 -17 *182:DIODE *42:10 8.76222e-05 -18 *182:DIODE *100:22 1.31872e-05 -19 *182:DIODE *103:11 0.000408726 -20 *326:B *365:RESET_B 3.05074e-05 -21 *326:B *377:RESET_B 6.08467e-05 -22 *326:B *34:25 0 -23 *326:B *114:17 0.000142909 -24 *326:B *114:31 2.43314e-05 -25 *327:B *312:A 2.57986e-05 -26 *327:B *67:10 5.49916e-05 -27 *327:B *120:81 2.16355e-05 -28 *12:12 *364:SET_B 9.0951e-05 -29 *12:12 *366:SET_B 0.00018016 -30 *12:12 *376:RESET_B 4.00648e-05 -31 *12:12 *415:A 0.000308899 -32 *12:12 *13:18 0.000826633 -33 *12:12 *52:15 5.6692e-05 -34 *12:12 *53:16 0.000332666 -35 *12:12 *111:8 5.48032e-05 -36 *12:12 *143:10 0.00025527 -37 *12:29 *396:A 4.19401e-06 -38 *12:29 *13:42 0.00059869 -39 *12:29 *34:16 0.000187993 -40 *12:29 *34:25 0 -41 *12:43 serial_data_out 0 -42 *12:43 *13:42 8.12259e-06 -43 *12:43 *34:16 0.000563422 -44 *189:DIODE *12:29 0.00150088 -45 *332:B *12:29 4.19401e-06 -46 *340:B *182:DIODE 0.000118017 -47 *2:36 *327:B 5.49916e-05 -48 *8:35 *12:29 0.000144531 -49 *10:7 *12:12 0 -50 *11:11 *12:12 1.97517e-05 +1 gpio_defaults[8] 0.000429605 +2 *180:DIODE 0.000404209 +3 *181:DIODE 0.000593486 +4 *332:B 0.000170481 +5 *331:B 0 +6 *12:28 0.00106704 +7 *12:16 0.000725628 +8 *12:7 0.00125637 +9 *180:DIODE *143:19 0.00021217 +10 *180:DIODE *164:15 7.36692e-05 +11 *181:DIODE *365:RESET_B 0.000130109 +12 *181:DIODE *365:SET_B 0.000133526 +13 *181:DIODE *390:RESET_B 4.99006e-05 +14 *181:DIODE *391:D 0.000497173 +15 *181:DIODE *415:A 9.32983e-05 +16 *181:DIODE *38:17 0 +17 *181:DIODE *97:15 0 +18 *181:DIODE *98:8 0.000101411 +19 *181:DIODE *119:59 1.83409e-05 +20 *181:DIODE *119:70 0.000103854 +21 *181:DIODE *119:79 0.000367085 +22 *181:DIODE *140:22 0 +23 *332:B *389:D 0 +24 *332:B *434:A 0.00027623 +25 *332:B *119:37 1.37385e-05 +26 *332:B *119:59 5.38612e-06 +27 *12:7 *13:11 4.62703e-05 +28 *12:7 *51:14 0.000251949 +29 *12:16 *369:SET_B 5.80392e-05 +30 *12:16 *398:A 0.000238532 +31 *12:16 *411:A 0.000366919 +32 *12:16 *51:14 0.000372366 +33 *12:16 *114:8 1.55329e-05 +34 *12:28 *331:A 0.00025175 +35 *12:28 *398:A 0.000257389 +36 *12:28 *410:A 6.3657e-05 +37 *4:23 *332:B 0.000290088 +38 *4:23 *12:28 0.000268917 +39 *11:13 *12:7 0.000146742 +40 *11:13 *12:16 0.000168109 +41 *11:13 *12:28 2.81262e-05 *RES -1 gpio_defaults[8] *12:12 29.5714 -2 *12:12 *326:B 17.5143 -3 *12:12 *12:29 8.05905 -4 *12:29 *327:B 18.6296 -5 *12:29 *12:43 4.24973 -6 *12:43 *181:DIODE 34.0232 -7 *12:43 *182:DIODE 20.8982 +1 gpio_defaults[8] *12:7 8.94643 +2 *12:7 *12:16 16.3036 +3 *12:16 *331:B 9.3 +4 *12:16 *12:28 12.5714 +5 *12:28 *332:B 18.4786 +6 *12:28 *181:DIODE 28.0857 +7 *12:7 *180:DIODE 18.4429 *END -*D_NET *13 0.022828 +*D_NET *13 0.0206319 *CONN *P gpio_defaults[9] I -*I *329:B I *D sky130_fd_sc_hd__nand2b_2 -*I *328:B I *D sky130_fd_sc_hd__or2_0 -*I *184:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *333:B I *D sky130_fd_sc_hd__or2_0 +*I *334:B I *D sky130_fd_sc_hd__nand2b_2 +*I *182:DIODE I *D sky130_fd_sc_hd__diode_2 *I *183:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[9] 0.000659504 -2 *329:B 0.000174467 -3 *328:B 3.69009e-05 -4 *184:DIODE 0.000425068 -5 *183:DIODE 0.00133076 -6 *13:65 0.00206427 -7 *13:47 0.00185946 -8 *13:42 0.00204394 -9 *13:18 0.00367638 -10 *183:DIODE serial_data_out 3.05509e-05 -11 *183:DIODE *364:CLK_N 0.000115153 -12 *183:DIODE *374:RESET_B 5.58964e-05 -13 *183:DIODE *414:A 0.000253345 -14 *183:DIODE *38:8 4.69495e-06 -15 *184:DIODE pad_gpio_ana_pol 2.77625e-06 -16 *184:DIODE *367:RESET_B 5.04829e-06 -17 *184:DIODE *404:A 6.64671e-06 -18 *184:DIODE *145:17 0.000396051 -19 *328:B *103:11 1.94472e-05 -20 *329:B *314:A_N 0.000136437 -21 *329:B *329:A_N 6.08467e-05 -22 *329:B *118:37 0.000346665 -23 *13:18 serial_data_out 4.57485e-06 -24 *13:18 *52:15 0.000206696 -25 *13:18 *111:8 0.000683064 -26 *13:42 serial_data_out 6.50851e-05 -27 *13:42 *314:A_N 0.000480689 -28 *13:42 *67:10 3.55378e-05 -29 *13:47 *42:10 0.000108286 -30 *13:47 *102:14 1.09644e-05 -31 *13:47 *103:11 1.64597e-05 -32 *13:65 pad_gpio_dm[1] 1.41432e-05 -33 *13:65 *212:DIODE 0.00132335 -34 *13:65 *311:A 0.00013538 -35 *13:65 *316:A1 0.000205476 -36 *13:65 *367:RESET_B 0.00108195 -37 *13:65 *367:SET_B 6.08467e-05 -38 *13:65 *369:SET_B 0.000157159 -39 *13:65 *404:A 7.45722e-06 -40 *13:65 *26:8 1.2014e-05 -41 *13:65 *42:27 0.000278697 -42 *13:65 *103:11 0.000552676 -43 *13:65 *109:11 2.37991e-05 -44 *13:65 *120:27 3.96696e-05 -45 *176:DIODE *13:65 1.41473e-05 -46 *181:DIODE *328:B 2.16355e-05 -47 *181:DIODE *13:47 0.000214506 -48 *182:DIODE *13:47 4.83709e-05 -49 *2:36 *13:18 0 -50 *2:36 *13:42 0.00124563 -51 *2:41 *13:47 0.000174794 -52 *2:41 *13:65 0.000142561 -53 *2:46 *13:65 0.000109421 -54 *8:35 *183:DIODE 8.50938e-05 -55 *8:35 *13:42 7.42411e-05 -56 *11:11 *13:18 5.59112e-05 -57 *12:12 *13:18 0.000826633 -58 *12:29 *13:42 0.00059869 -59 *12:43 *13:42 8.12259e-06 +1 gpio_defaults[9] 0.000739553 +2 *333:B 0.000237897 +3 *334:B 0.00018031 +4 *182:DIODE 0 +5 *183:DIODE 0.00143847 +6 *13:50 0.00172607 +7 *13:41 0.00210271 +8 *13:40 0.00204377 +9 *13:11 0.00378757 +10 *183:DIODE *380:RESET_B 0 +11 *183:DIODE *419:A 6.27782e-05 +12 *183:DIODE *34:7 7.48998e-06 +13 *183:DIODE *51:14 0.00115716 +14 *183:DIODE *117:51 6.03237e-05 +15 *183:DIODE *127:11 5.15114e-05 +16 *333:B *36:10 0 +17 *333:B *42:11 0.000100208 +18 *334:B *334:A_N 3.82228e-05 +19 *334:B *368:SET_B 0.000107496 +20 *13:11 serial_data_out 0 +21 *13:11 *51:14 0.000563361 +22 *13:11 *114:8 6.45209e-05 +23 *13:11 *117:51 0 +24 *13:40 serial_data_out 0 +25 *13:40 *324:A2 7.28441e-05 +26 *13:40 *324:B1 0.000203756 +27 *13:40 *390:CLK 0.000140268 +28 *13:40 *34:7 0.000182981 +29 *13:40 *117:51 0.000293893 +30 *13:40 *119:70 3.88213e-05 +31 *13:40 *140:22 0.000147093 +32 *13:40 *162:10 0.00015607 +33 *13:40 *163:13 0.000117354 +34 *13:41 *36:10 0 +35 *13:41 *42:11 0.000109083 +36 *13:50 *217:DIODE 1.52231e-05 +37 *13:50 *341:A 0.00136043 +38 *13:50 *424:A 6.00417e-05 +39 *13:50 *428:A 3.71612e-05 +40 *13:50 *16:12 7.90662e-05 +41 *13:50 *36:10 0 +42 *13:50 *42:11 0.000409861 +43 *13:50 *110:10 5.9435e-05 +44 *13:50 *118:13 5.04423e-06 +45 *13:50 *120:90 0.000306769 +46 *13:50 *120:96 1.88422e-05 +47 *344:B *13:40 0.000234172 +48 *2:19 *13:11 0 +49 *2:19 *13:40 0.00101725 +50 *2:51 *13:50 0.000937198 +51 *3:44 *13:50 6.36477e-05 +52 *8:29 *183:DIODE 4.9895e-05 +53 *12:7 *13:11 4.62703e-05 *RES -1 gpio_defaults[9] *13:18 28.5126 -2 *13:18 *183:DIODE 25.6198 -3 *13:18 *13:42 16.1206 -4 *13:42 *13:47 9.5625 -5 *13:47 *13:65 49.7283 -6 *13:65 *184:DIODE 19.3982 -7 *13:47 *328:B 14.6125 -8 *13:42 *329:B 13.8714 +1 gpio_defaults[9] *13:11 17.2939 +2 *13:11 *183:DIODE 26.602 +3 *13:11 *13:40 28.5737 +4 *13:40 *13:41 3.76786 +5 *13:41 *13:50 44.7679 +6 *13:50 *182:DIODE 9.3 +7 *13:41 *334:B 16.8179 +8 *13:40 *333:B 18.3179 *END -*D_NET *14 0.0112958 +*D_NET *14 0.0041721 *CONN *P mgmt_gpio_in O -*I *388:Z O *D sky130_fd_sc_hd__ebufn_8 -*CAP -1 mgmt_gpio_in 0.000908499 -2 *388:Z 0.00218301 -3 *14:13 0.00309151 -4 mgmt_gpio_in one 0.000470254 -5 mgmt_gpio_in pad_gpio_ana_pol 0.000544517 -6 mgmt_gpio_in pad_gpio_dm[0] 0.00013411 -7 mgmt_gpio_in pad_gpio_inenb 0.00059301 -8 mgmt_gpio_in pad_gpio_outenb 0.000272231 -9 mgmt_gpio_in zero 0.000470254 -10 mgmt_gpio_in *16:11 0.000446453 -11 mgmt_gpio_in *18:5 0.000236302 -12 mgmt_gpio_in *118:25 0 -13 *14:13 *371:RESET_B 5.481e-05 -14 *14:13 *388:A 7.13972e-05 -15 *14:13 *388:TE_B 0.000806943 -16 *14:13 *428:A 5.99857e-06 -17 *14:13 *39:15 4.97617e-05 -18 *14:13 *39:27 0 -19 *14:13 *40:7 0.000103099 -20 *14:13 *42:27 0.000107496 -21 *14:13 *81:13 0.000393293 -22 *14:13 *132:6 0.000116652 -23 *14:13 *146:11 6.3657e-05 -24 *3:31 *14:13 9.67059e-05 -25 *11:29 *14:13 7.58194e-05 -*RES -1 *388:Z *14:13 48.1393 -2 *14:13 mgmt_gpio_in 27.7119 -*END - -*D_NET *15 0.00657506 -*CONN -*P mgmt_gpio_oeb I -*I *419:A I *D sky130_fd_sc_hd__buf_2 -*I *210:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 mgmt_gpio_oeb 0.0013931 -2 *419:A 0 -3 *210:DIODE 0.000870081 -4 *15:8 0.00226318 -5 *210:DIODE *311:A 0.000132748 -6 *210:DIODE *442:A 0.000153512 -7 *210:DIODE *18:5 0.000117376 -8 *15:8 one 0.000597241 -9 *15:8 pad_gpio_ana_pol 0 -10 *15:8 zero 0 -11 *15:8 *311:A 0.000100742 -12 *15:8 *23:11 0.000180795 -13 *195:DIODE *210:DIODE 0.000759497 -14 *198:DIODE *210:DIODE 6.78364e-06 -*RES -1 mgmt_gpio_oeb *15:8 9.06841 -2 *15:8 *210:DIODE 25.7286 -3 *15:8 *419:A 13.8 -*END - -*D_NET *16 0.0109185 -*CONN -*P mgmt_gpio_out I -*I *211:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *420:A I *D sky130_fd_sc_hd__buf_2 -*CAP -1 mgmt_gpio_out 0.00109948 -2 *211:DIODE 0.0027975 -3 *420:A 5.7563e-05 -4 *16:11 0.00395454 -5 *211:DIODE zero 0.000207768 -6 *420:A *18:5 0.000100738 -7 *16:11 pad_gpio_ana_en 0.000448096 -8 *16:11 pad_gpio_ana_sel 0.000145299 -9 *16:11 *18:5 0.00166111 -10 mgmt_gpio_in *16:11 0.000446453 -*RES -1 mgmt_gpio_out *16:11 42.4785 -2 *16:11 *420:A 11.0679 -3 *16:11 *211:DIODE 49.4429 -*END - -*D_NET *17 0.00657027 -*CONN -*P one O -*I *424:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 one 0.00207157 -2 *424:X 0.00207157 -3 one zero 0.000997543 -4 one *20:9 0.000135818 -5 one *36:11 0.00018576 -6 one *53:16 4.0508e-05 -7 mgmt_gpio_in one 0.000470254 -8 *195:DIODE one 0 -9 *15:8 one 0.000597241 -*RES -1 *424:X one 41.9223 -*END - -*D_NET *18 0.00612798 -*CONN -*P pad_gpio_ana_en O -*I *436:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_en 0.000212208 -2 *436:X 0.00128094 -3 *18:12 2.68958e-05 -4 *18:5 0.00146626 -5 pad_gpio_ana_en pad_gpio_ana_sel 7.61581e-05 -6 pad_gpio_ana_en pad_gpio_dm[2] 0.000275937 -7 *18:5 *311:A 0.000141078 -8 *18:5 *36:11 0 -9 mgmt_gpio_in *18:5 0.000236302 -10 *195:DIODE *18:5 5.32721e-05 -11 *198:DIODE *18:5 3.16131e-05 -12 *210:DIODE *18:5 0.000117376 -13 *420:A *18:5 0.000100738 -14 *2:58 *18:5 0 -15 *16:11 pad_gpio_ana_en 0.000448096 -16 *16:11 *18:5 0.00166111 -*RES -1 *436:X *18:5 45.9786 -2 *18:5 pad_gpio_ana_en 15.1689 -3 pad_gpio_ana_en *18:12 0.0595333 -*END - -*D_NET *19 0.00684395 -*CONN -*P pad_gpio_ana_pol O -*I *437:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_pol 0.00178942 -2 *437:X 0.00178942 -3 pad_gpio_ana_pol pad_gpio_ana_sel 4.31122e-05 -4 pad_gpio_ana_pol pad_gpio_dm[0] 0.000150395 -5 pad_gpio_ana_pol *367:RESET_B 1.88563e-05 -6 pad_gpio_ana_pol *424:A 0.000811659 -7 pad_gpio_ana_pol *20:9 3.13459e-05 -8 pad_gpio_ana_pol *36:11 0.000976576 -9 pad_gpio_ana_pol *101:8 0.000637172 -10 pad_gpio_ana_pol *145:17 4.87003e-05 -11 mgmt_gpio_in pad_gpio_ana_pol 0.000544517 -12 *184:DIODE pad_gpio_ana_pol 2.77625e-06 -13 *2:58 pad_gpio_ana_pol 0 -14 *15:8 pad_gpio_ana_pol 0 -*RES -1 *437:X pad_gpio_ana_pol 44.4947 -*END - -*D_NET *20 0.00804737 -*CONN -*P pad_gpio_ana_sel O -*I *438:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ana_sel 0.000743213 -2 *438:X 0.0013905 -3 *20:9 0.00213371 -4 pad_gpio_ana_sel pad_gpio_dm[0] 3.84497e-05 -5 pad_gpio_ana_sel pad_gpio_dm[2] 0.000819139 -6 *20:9 *214:DIODE 0.000789561 -7 *20:9 *23:11 0.0013477 -8 *20:9 *36:11 0.000193315 -9 *20:9 *145:17 0.000160047 -10 one *20:9 0.000135818 -11 pad_gpio_ana_en pad_gpio_ana_sel 7.61581e-05 -12 pad_gpio_ana_pol pad_gpio_ana_sel 4.31122e-05 -13 pad_gpio_ana_pol *20:9 3.13459e-05 -14 *16:11 pad_gpio_ana_sel 0.000145299 -*RES -1 *438:X *20:9 48.5857 -2 *20:9 pad_gpio_ana_sel 36.944 -*END - -*D_NET *21 0.00605334 -*CONN -*P pad_gpio_dm[0] O -*I *439:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[0] 0.00206678 -2 *439:X 0.00206678 -3 pad_gpio_dm[0] pad_gpio_dm[1] 0.000467845 -4 pad_gpio_dm[0] pad_gpio_inenb 0 -5 pad_gpio_dm[0] *319:A_N 6.08467e-05 -6 pad_gpio_dm[0] *400:A 0.000303914 -7 pad_gpio_dm[0] *439:A 4.89251e-05 -8 pad_gpio_dm[0] *23:11 0 -9 pad_gpio_dm[0] *35:13 0.000181147 -10 pad_gpio_dm[0] *79:21 6.27782e-05 -11 pad_gpio_dm[0] *118:8 0.000306689 -12 pad_gpio_dm[0] *118:25 0 -13 mgmt_gpio_in pad_gpio_dm[0] 0.00013411 -14 pad_gpio_ana_pol pad_gpio_dm[0] 0.000150395 -15 pad_gpio_ana_sel pad_gpio_dm[0] 3.84497e-05 -16 *341:B pad_gpio_dm[0] 0.000164686 -*RES -1 *439:X pad_gpio_dm[0] 42.9417 -*END - -*D_NET *22 0.00614621 -*CONN -*P pad_gpio_dm[1] O -*I *425:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[1] 0.00258791 -2 *425:X 0.00258791 -3 pad_gpio_dm[1] pad_gpio_dm[2] 5.76421e-05 -4 pad_gpio_dm[1] pad_gpio_ib_mode_sel 0.000178425 -5 pad_gpio_dm[1] *321:A2 1.31983e-05 -6 pad_gpio_dm[1] *367:CLK_N 1.66771e-05 -7 pad_gpio_dm[1] *427:A 7.57823e-05 -8 pad_gpio_dm[1] *436:A 2.8322e-05 -9 pad_gpio_dm[1] *40:7 0.000118356 -10 pad_gpio_dm[1] *145:24 0 -11 pad_gpio_dm[0] pad_gpio_dm[1] 0.000467845 -12 *176:DIODE pad_gpio_dm[1] 0 -13 *13:65 pad_gpio_dm[1] 1.41432e-05 -*RES -1 *425:X pad_gpio_dm[1] 27.4175 -*END - -*D_NET *23 0.00814908 -*CONN -*P pad_gpio_dm[2] O -*I *426:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_dm[2] 0.001002 -2 *426:X 0.0013695 -3 *23:11 0.0023715 -4 pad_gpio_dm[2] pad_gpio_holdover 0.000495113 -5 pad_gpio_dm[2] pad_gpio_ib_mode_sel 0.00016519 -6 pad_gpio_dm[2] serial_clock_out 6.45479e-05 -7 pad_gpio_dm[2] *35:13 0 -8 *23:11 *36:11 0 -9 pad_gpio_ana_en pad_gpio_dm[2] 0.000275937 -10 pad_gpio_ana_sel pad_gpio_dm[2] 0.000819139 -11 pad_gpio_dm[0] *23:11 0 -12 pad_gpio_dm[1] pad_gpio_dm[2] 5.76421e-05 -13 *15:8 *23:11 0.000180795 -14 *20:9 *23:11 0.0013477 -*RES -1 *426:X *23:11 48.4607 -2 *23:11 pad_gpio_dm[2] 39.8024 -*END - -*D_NET *24 0.00518135 -*CONN -*P pad_gpio_holdover O -*I *427:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_holdover 0.00145702 -2 *427:X 0.00145702 -3 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000119304 -4 pad_gpio_holdover pad_gpio_inenb 8.26551e-05 -5 pad_gpio_holdover user_gpio_in 0.000176441 -6 pad_gpio_holdover *320:B1 4.037e-05 -7 pad_gpio_holdover *339:A_N 0.000689854 -8 pad_gpio_holdover *341:A_N 6.08467e-05 -9 pad_gpio_holdover *401:A 4.45999e-05 -10 pad_gpio_holdover *26:8 0 -11 pad_gpio_holdover *35:13 0.000291929 -12 pad_gpio_holdover *67:10 6.36477e-05 -13 pad_gpio_holdover *119:18 0.000157107 -14 pad_gpio_dm[2] pad_gpio_holdover 0.000495113 -15 *339:B pad_gpio_holdover 4.5445e-05 -*RES -1 *427:X pad_gpio_holdover 49.7995 -*END - -*D_NET *25 0.00504903 -*CONN -*P pad_gpio_ib_mode_sel O -*I *428:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_ib_mode_sel 0.00166886 -2 *428:X 0.00166886 -3 pad_gpio_ib_mode_sel pad_gpio_outenb 0.000674229 -4 pad_gpio_ib_mode_sel serial_clock_out 0.000462215 -5 pad_gpio_ib_mode_sel user_gpio_in 5.35541e-05 -6 pad_gpio_ib_mode_sel *26:8 4.80459e-05 -7 pad_gpio_ib_mode_sel *35:13 0 -8 pad_gpio_ib_mode_sel *40:7 0 -9 pad_gpio_ib_mode_sel *98:17 1.03403e-05 -10 pad_gpio_dm[1] pad_gpio_ib_mode_sel 0.000178425 -11 pad_gpio_dm[2] pad_gpio_ib_mode_sel 0.00016519 -12 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000119304 -*RES -1 *428:X pad_gpio_ib_mode_sel 49.2268 -*END - -*D_NET *26 0.00832343 -*CONN -*P pad_gpio_in I -*I *421:A I *D sky130_fd_sc_hd__buf_2 -*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 pad_gpio_in 0.00128792 -2 *421:A 0 -3 *212:DIODE 0.000713656 -4 *26:8 0.00200158 -5 *212:DIODE *174:DIODE 0.00124656 -6 *212:DIODE *311:A 8.92564e-06 -7 *212:DIODE *319:A_N 6.88681e-05 -8 *212:DIODE *367:RESET_B 1.6299e-05 -9 *212:DIODE *42:27 0.000294874 -10 *26:8 pad_gpio_inenb 0.000433385 -11 *26:8 pad_gpio_out 0.000318594 -12 *26:8 pad_gpio_outenb 0 -13 *26:8 *316:A1 6.1567e-05 -14 *26:8 *321:A2 2.68674e-05 -15 *26:8 *32:15 0.000159297 -16 *26:8 *42:27 2.02035e-05 -17 *26:8 *79:21 6.39957e-05 -18 *26:8 *102:14 0.000188244 -19 pad_gpio_holdover *26:8 0 -20 pad_gpio_ib_mode_sel *26:8 4.80459e-05 -21 *176:DIODE *26:8 2.91781e-05 -22 *13:65 *212:DIODE 0.00132335 -23 *13:65 *26:8 1.2014e-05 -*RES -1 pad_gpio_in *26:8 7.63792 -2 *26:8 *212:DIODE 35.8357 -3 *26:8 *421:A 13.8 -*END - -*D_NET *27 0.00420379 -*CONN -*P pad_gpio_inenb O -*I *429:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_inenb 0.00139637 -2 *429:X 0.00139637 -3 pad_gpio_inenb pad_gpio_out 4.80459e-05 -4 pad_gpio_inenb pad_gpio_outenb 0.000240849 -5 pad_gpio_inenb *339:A_N 1.30977e-05 -6 mgmt_gpio_in pad_gpio_inenb 0.00059301 -7 pad_gpio_dm[0] pad_gpio_inenb 0 -8 pad_gpio_holdover pad_gpio_inenb 8.26551e-05 -9 *26:8 pad_gpio_inenb 0.000433385 -*RES -1 *429:X pad_gpio_inenb 39.2791 -*END - -*D_NET *28 0.00521733 -*CONN -*P pad_gpio_out O -*I *430:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_out 0.00130232 -2 *430:X 0.00130232 -3 pad_gpio_out pad_gpio_outenb 4.80459e-05 -4 pad_gpio_out serial_clock_out 0.000338549 -5 pad_gpio_out user_gpio_in 1.47712e-05 -6 pad_gpio_out *318:B 0.000249814 -7 pad_gpio_out *318:C 0.000353933 -8 pad_gpio_out *388:A 1.65872e-05 -9 pad_gpio_out *32:10 0.000272862 -10 pad_gpio_out *32:15 0.0006474 -11 pad_gpio_out *39:27 2.55017e-05 -12 pad_gpio_out *78:8 0.000245422 -13 pad_gpio_inenb pad_gpio_out 4.80459e-05 -14 *176:DIODE pad_gpio_out 3.31745e-05 -15 *26:8 pad_gpio_out 0.000318594 -*RES -1 *430:X pad_gpio_out 48.7268 -*END - -*D_NET *29 0.00462034 -*CONN -*P pad_gpio_outenb O -*I *431:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_outenb 0.00113269 -2 *431:X 0.00113269 -3 pad_gpio_outenb pad_gpio_slow_sel 0.000463907 -4 pad_gpio_outenb *35:13 2.83618e-05 -5 pad_gpio_outenb *39:27 0.000627343 -6 pad_gpio_outenb *118:25 0 -7 mgmt_gpio_in pad_gpio_outenb 0.000272231 -8 pad_gpio_ib_mode_sel pad_gpio_outenb 0.000674229 -9 pad_gpio_inenb pad_gpio_outenb 0.000240849 -10 pad_gpio_out pad_gpio_outenb 4.80459e-05 -11 *26:8 pad_gpio_outenb 0 -*RES -1 *431:X pad_gpio_outenb 38.2982 -*END - -*D_NET *30 0.00690001 -*CONN -*P pad_gpio_slow_sel O -*I *432:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_slow_sel 0.000450677 -2 *432:X 0.00169832 -3 *30:8 0.002149 -4 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.000461825 -5 pad_gpio_slow_sel *41:15 0.000217808 -6 *30:8 *338:A 0.000189146 -7 *30:8 *413:A 0.000919373 -8 *30:8 *39:27 0.00034995 -9 *30:8 *118:25 0 -10 *30:8 *118:34 0 -11 *30:8 *118:72 0 -12 pad_gpio_outenb pad_gpio_slow_sel 0.000463907 -*RES -1 *432:X *30:8 49.2375 -2 *30:8 pad_gpio_slow_sel 5.7036 -*END - -*D_NET *31 0.00948734 -*CONN -*P pad_gpio_vtrip_sel O -*I *433:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 pad_gpio_vtrip_sel 0.00358583 -2 *433:X 0.00358583 -3 pad_gpio_vtrip_sel resetn_out 0 -4 pad_gpio_vtrip_sel *173:DIODE 0.000457519 -5 pad_gpio_vtrip_sel *209:DIODE 1.99092e-05 -6 pad_gpio_vtrip_sel *326:A 9.06378e-05 -7 pad_gpio_vtrip_sel *32:10 6.33133e-05 -8 pad_gpio_vtrip_sel *38:8 4.6634e-05 -9 pad_gpio_vtrip_sel *54:16 1.95924e-05 -10 pad_gpio_vtrip_sel *157:27 1.02164e-05 -11 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.000461825 -12 *189:DIODE pad_gpio_vtrip_sel 0 -13 *8:35 pad_gpio_vtrip_sel 0 -14 *10:42 pad_gpio_vtrip_sel 0.00114604 -*RES -1 *433:X pad_gpio_vtrip_sel 29.1427 -*END - -*D_NET *32 0.0125799 -*CONN -*P resetn I -*I *422:A I *D sky130_fd_sc_hd__buf_2 -*I *213:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 resetn 0.000331477 -2 *422:A 0 -3 *213:DIODE 0.000462454 -4 *32:15 0.00384882 -5 *32:10 0.00371784 -6 *213:DIODE *365:CLK_N 0.000289338 -7 *213:DIODE *391:A 0.000132486 -8 *213:DIODE *96:5 0.000113713 -9 *213:DIODE *114:87 0.000131252 -10 *32:10 resetn_out 6.33133e-05 -11 *32:10 serial_clock_out 0.000280959 -12 *32:10 user_gpio_in 0.000245419 -13 *32:10 *41:15 0.000343237 -14 *32:15 *318:C 0.000183137 -15 *32:15 *347:A_N 3.26094e-05 -16 *32:15 *365:CLK_N 5.04829e-06 -17 *32:15 *372:RESET_B 0.000345628 -18 *32:15 *380:CLK 6.08467e-05 -19 *32:15 *380:D 6.08467e-05 -20 *32:15 *380:RESET_B 5.37479e-05 -21 *32:15 *403:A 2.74462e-05 -22 *32:15 *426:A 6.08467e-05 -23 *32:15 *96:5 0.000139947 -24 *32:15 *103:11 6.08467e-05 -25 *32:15 *115:31 0.000441157 -26 pad_gpio_out *32:10 0.000272862 -27 pad_gpio_out *32:15 0.0006474 -28 pad_gpio_vtrip_sel *32:10 6.33133e-05 -29 *11:29 *32:15 4.59816e-06 -30 *26:8 *32:15 0.000159297 -*RES -1 resetn *32:10 18.856 -2 *32:10 *32:15 45.75 -3 *32:15 *213:DIODE 20.2464 -4 *32:15 *422:A 9.3 -*END - -*D_NET *33 0.00805912 -*CONN -*P resetn_out O -*I *434:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 resetn_out 0.00100584 -2 *434:X 0.00103165 -3 *33:18 0.00203749 -4 resetn_out *34:16 0.000696983 -5 *33:18 *321:B1 6.00813e-05 -6 *33:18 *329:A_N 0.000126762 -7 *33:18 *360:CLK_N 0.000123228 -8 *33:18 *364:RESET_B 0.000221746 -9 *33:18 *413:A 0.000473045 -10 *33:18 *416:A 0.00010813 -11 *33:18 *42:10 0.00018584 -12 *33:18 *42:27 1.23967e-05 -13 *33:18 *102:14 0.000376128 -14 *33:18 *109:11 0.000288428 -15 *33:18 *116:13 8.04164e-05 -16 *33:18 *116:41 2.57986e-05 -17 *33:18 *141:12 0.0011019 -18 pad_gpio_vtrip_sel resetn_out 0 -19 *189:DIODE *33:18 1.5714e-05 -20 *330:B *33:18 2.42295e-05 -21 *32:10 resetn_out 6.33133e-05 -*RES -1 *434:X *33:18 46.4511 -2 *33:18 resetn_out 3.807 -*END - -*D_NET *34 0.0174828 -*CONN -*P serial_clock I -*I *389:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *208:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_clock 0.002882 -2 *389:A 0.000640667 -3 *208:DIODE 0 -4 *34:25 0.00203466 -5 *34:16 0.00555732 -6 *389:A *366:RESET_B 0.000493696 -7 *389:A *116:41 4.78069e-06 -8 *389:A *116:45 3.73948e-05 -9 *389:A *141:12 1.58551e-05 -10 *34:16 serial_clock_out 4.80459e-05 -11 *34:16 serial_data_out 0 -12 *34:16 *322:A 8.58461e-05 -13 *34:16 *369:CLK_N 0.000391616 -14 *34:16 *369:D 9.80426e-05 -15 *34:16 *386:D 1.56833e-05 -16 *34:16 *399:A 0.000480001 -17 *34:16 *52:15 7.58194e-05 -18 *34:16 *117:17 0.000439627 -19 *34:16 *162:8 1.17722e-05 -20 *34:25 *364:RESET_B 0 -21 *34:25 *366:RESET_B 0.000204938 -22 *34:25 *396:A 0 -23 *34:25 *418:A 0.00054717 -24 *34:25 *35:10 6.64392e-05 -25 *34:25 *53:16 0.000516872 -26 *34:25 *114:31 0 -27 *34:25 *126:10 0.000854206 -28 resetn_out *34:16 0.000696983 -29 *186:DIODE *34:25 2.29454e-05 -30 *189:DIODE *34:16 0.000487327 -31 *326:B *34:25 0 -32 *334:B *389:A 2.16355e-05 -33 *12:29 *34:16 0.000187993 -34 *12:29 *34:25 0 -35 *12:43 *34:16 0.000563422 -*RES -1 serial_clock *34:16 42.9555 -2 *34:16 *34:25 45.2411 -3 *34:25 *208:DIODE 9.3 -4 *34:16 *389:A 21.8089 -*END - -*D_NET *35 0.0125763 -*CONN -*P serial_clock_out O -*I *440:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_clock_out 0.00102925 -2 *440:X 0.000348934 -3 *35:13 0.00360935 -4 *35:10 0.00292904 -5 serial_clock_out serial_data_out 0 -6 serial_clock_out serial_load_out 0.000416687 -7 serial_clock_out user_gpio_in 0 -8 serial_clock_out *41:15 0.000207339 -9 *35:10 *357:A 6.84074e-06 -10 *35:10 *39:15 0.000220828 -11 *35:13 *320:B1 0.000193815 -12 *35:13 *339:A_N 1.58551e-05 -13 *35:13 *370:RESET_B 8.79845e-05 -14 *35:13 *119:18 4.78069e-06 -15 pad_gpio_dm[0] *35:13 0.000181147 -16 pad_gpio_dm[2] serial_clock_out 6.45479e-05 -17 pad_gpio_dm[2] *35:13 0 -18 pad_gpio_holdover *35:13 0.000291929 -19 pad_gpio_ib_mode_sel serial_clock_out 0.000462215 -20 pad_gpio_ib_mode_sel *35:13 0 -21 pad_gpio_out serial_clock_out 0.000338549 -22 pad_gpio_outenb *35:13 2.83618e-05 -23 *186:DIODE *35:10 0.000219249 -24 *339:B *35:13 0.00152419 -25 *32:10 serial_clock_out 0.000280959 -26 *34:16 serial_clock_out 4.80459e-05 -27 *34:25 *35:10 6.64392e-05 -*RES -1 *440:X *35:10 25.3536 -2 *35:10 *35:13 42 -3 *35:13 serial_clock_out 30.1232 -*END - -*D_NET *36 0.0144756 -*CONN -*P serial_data_in I -*I *423:A I *D sky130_fd_sc_hd__buf_2 -*I *214:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_data_in 0.0018615 -2 *423:A 0 -3 *214:DIODE 0.00140879 -4 *36:11 0.00395221 -5 *36:10 0.00440492 -6 *214:DIODE *367:RESET_B 0.000108053 -7 *214:DIODE *404:A 0.00010471 -8 *214:DIODE *145:17 0.000112597 -9 *36:11 *367:RESET_B 6.27718e-05 -10 *36:11 *120:47 3.74005e-05 -11 one *36:11 0.00018576 -12 pad_gpio_ana_pol *36:11 0.000976576 -13 *197:DIODE *214:DIODE 1.87146e-05 -14 *2:58 *36:11 0.000258733 -15 *18:5 *36:11 0 -16 *20:9 *214:DIODE 0.000789561 -17 *20:9 *36:11 0.000193315 -18 *23:11 *36:11 0 -*RES -1 serial_data_in *36:10 45.8892 -2 *36:10 *36:11 57.2143 -3 *36:11 *214:DIODE 37.8714 -4 *36:11 *423:A 9.3 -*END - -*D_NET *37 0.00926703 -*CONN -*P serial_data_out O -*I *435:X O *D sky130_fd_sc_hd__buf_16 -*CAP -1 serial_data_out 0.00385445 -2 *435:X 0.00385445 -3 serial_data_out *38:8 0.000704699 -4 serial_data_out *94:8 2.75652e-05 -5 serial_data_out *116:13 7.06176e-05 -6 serial_data_out *117:28 0.00054389 -7 serial_clock_out serial_data_out 0 -8 *183:DIODE serial_data_out 3.05509e-05 -9 *1:17 serial_data_out 0 -10 *2:36 serial_data_out 0.000111154 -11 *12:43 serial_data_out 0 -12 *13:18 serial_data_out 4.57485e-06 -13 *13:42 serial_data_out 6.50851e-05 -14 *34:16 serial_data_out 0 -*RES -1 *435:X serial_data_out 27.9695 -*END - -*D_NET *38 0.0139436 -*CONN -*P serial_load I -*I *390:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *209:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 serial_load 0.00371134 -2 *390:A 0 -3 *209:DIODE 0.000949733 -4 *38:8 0.00466107 -5 *209:DIODE *386:RESET_B 5.00525e-05 -6 *209:DIODE *94:8 0 -7 *209:DIODE *96:25 0.000191379 -8 *209:DIODE *96:34 0.000151041 -9 *209:DIODE *96:41 4.94462e-05 -10 *209:DIODE *97:34 0.000250796 -11 *209:DIODE *114:87 0.000260325 -12 *209:DIODE *158:10 8.21761e-06 -13 *38:8 serial_load_out 3.84497e-05 -14 *38:8 user_gpio_in 0 -15 *38:8 *374:RESET_B 0.000102541 -16 *38:8 *375:RESET_B 0.000147954 -17 *38:8 *386:RESET_B 0.000143542 -18 *38:8 *96:41 0.000101177 -19 *38:8 *96:54 0.000188094 -20 *38:8 *116:13 0.00164347 -21 *38:8 *117:28 0.000134816 -22 pad_gpio_vtrip_sel *209:DIODE 1.99092e-05 -23 pad_gpio_vtrip_sel *38:8 4.6634e-05 -24 serial_data_out *38:8 0.000704699 -25 *183:DIODE *38:8 4.69495e-06 -26 *1:17 *38:8 0.00034941 -27 *1:34 *38:8 3.47804e-05 -*RES -1 serial_load *38:8 24.2336 -2 *38:8 *209:DIODE 28.425 -3 *38:8 *390:A 13.8 -*END - -*D_NET *39 0.0129533 -*CONN -*P serial_load_out O -*I *441:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 serial_load_out 0.000510502 -2 *441:X 0.00209489 -3 *39:27 0.00212794 -4 *39:15 0.00371233 -5 serial_load_out user_gpio_in 3.84497e-05 -6 serial_load_out *41:15 0.000199406 -7 *39:15 *357:A 0.000474181 -8 *39:15 *388:A 5.98037e-05 -9 *39:15 *417:A 7.55603e-05 -10 *39:15 *439:A 0.000534578 -11 *39:15 *441:A 6.88361e-05 -12 *39:15 *97:19 0.000413587 -13 *39:15 *114:31 0.000431176 -14 *39:15 *121:14 0.000107496 -15 *39:15 *146:11 6.08467e-05 -16 *39:27 *315:A 6.08467e-05 -17 *39:27 *315:B 3.38594e-05 -18 *39:27 *118:25 0 -19 *39:27 *120:47 3.99086e-06 -20 pad_gpio_out *39:27 2.55017e-05 -21 pad_gpio_outenb *39:27 0.000627343 -22 serial_clock_out serial_load_out 0.000416687 -23 *201:DIODE *39:15 0.000216458 -24 *14:13 *39:15 4.97617e-05 -25 *14:13 *39:27 0 -26 *30:8 *39:27 0.00034995 -27 *35:10 *39:15 0.000220828 -28 *38:8 serial_load_out 3.84497e-05 -*RES -1 *441:X *39:15 49.8804 -2 *39:15 *39:27 46.3482 -3 *39:27 serial_load_out 16.0333 -*END - -*D_NET *40 0.00663641 -*CONN -*P user_gpio_in O -*I *404:Z O *D sky130_fd_sc_hd__einvp_8 -*CAP -1 user_gpio_in 0.00124665 -2 *404:Z 0.000862974 -3 *40:7 0.00210962 -4 user_gpio_in *41:15 0.00163684 -5 *40:7 *81:13 3.02384e-05 -6 pad_gpio_dm[1] *40:7 0.000118356 -7 pad_gpio_holdover user_gpio_in 0.000176441 -8 pad_gpio_ib_mode_sel user_gpio_in 5.35541e-05 -9 pad_gpio_ib_mode_sel *40:7 0 -10 pad_gpio_out user_gpio_in 1.47712e-05 -11 serial_clock_out user_gpio_in 0 -12 serial_load_out user_gpio_in 3.84497e-05 -13 *14:13 *40:7 0.000103099 -14 *32:10 user_gpio_in 0.000245419 -15 *38:8 user_gpio_in 0 -*RES -1 *404:Z *40:7 30.3536 -2 *40:7 user_gpio_in 35.143 -*END - -*D_NET *41 0.0130704 -*CONN -*P user_gpio_oeb I -*I *316:A0 I *D sky130_fd_sc_hd__mux2_4 -*I *173:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 user_gpio_oeb 0.00151011 -2 *316:A0 0 -3 *173:DIODE 0.00320452 -4 *41:15 0.00471463 -5 *173:DIODE *316:A1 6.08467e-05 -6 *173:DIODE *316:S 3.31745e-05 -7 *173:DIODE *431:A 0.000207901 -8 *173:DIODE *54:16 2.02035e-05 -9 *173:DIODE *67:10 1.66771e-05 -10 *173:DIODE *120:27 2.37827e-05 -11 *173:DIODE *121:60 5.04829e-06 -12 *173:DIODE *129:15 7.86825e-06 -13 *173:DIODE *158:17 2.29386e-05 -14 *41:15 *316:S 1.65872e-05 -15 *41:15 *42:10 8.4215e-05 -16 pad_gpio_slow_sel *41:15 0.000217808 -17 pad_gpio_vtrip_sel *173:DIODE 0.000457519 -18 serial_clock_out *41:15 0.000207339 -19 serial_load_out *41:15 0.000199406 -20 user_gpio_in *41:15 0.00163684 -21 *181:DIODE *173:DIODE 7.97866e-05 -22 *32:10 *41:15 0.000343237 -*RES -1 user_gpio_oeb *41:15 46.8745 -2 *41:15 *173:DIODE 36.4674 -3 *41:15 *316:A0 9.3 -*END - -*D_NET *42 0.0123731 -*CONN -*P user_gpio_out I -*I *317:B I *D sky130_fd_sc_hd__nand2b_2 -*I *174:DIODE I *D sky130_fd_sc_hd__diode_2 -*CAP -1 user_gpio_out 0.00167865 -2 *317:B 0 -3 *174:DIODE 0.000519333 -4 *42:27 0.00133236 -5 *42:10 0.00249168 -6 *174:DIODE *311:A 0.000205336 -7 *174:DIODE *319:A_N 0.000712003 -8 *42:10 *416:A 0.00010813 -9 *42:10 *102:14 0.000275531 -10 *42:10 *103:11 5.15025e-05 -11 *42:27 *321:B1 0.000873531 -12 *42:27 *329:A_N 6.27782e-05 -13 *42:27 *367:RESET_B 9.16397e-05 -14 *42:27 *367:SET_B 0.000299116 -15 *42:27 *369:SET_B 1.26681e-05 -16 *42:27 *388:TE_B 0.000110306 -17 *42:27 *109:11 0.000693343 -18 *182:DIODE *42:10 8.76222e-05 -19 *189:DIODE *42:27 0.000175216 -20 *212:DIODE *174:DIODE 0.00124656 -21 *212:DIODE *42:27 0.000294874 -22 *338:B *42:10 0.000118002 -23 *340:B *42:10 0.000118017 -24 *2:36 *42:27 1.77268e-05 -25 *13:47 *42:10 0.000108286 -26 *13:65 *42:27 0.000278697 -27 *14:13 *42:27 0.000107496 -28 *26:8 *42:27 2.02035e-05 -29 *33:18 *42:10 0.00018584 -30 *33:18 *42:27 1.23967e-05 -31 *41:15 *42:10 8.4215e-05 -*RES -1 user_gpio_out *42:10 26.4211 -2 *42:10 *42:27 36.7857 -3 *42:27 *174:DIODE 30.6214 -4 *42:10 *317:B 9.3 -*END - -*D_NET *47 0.00604742 -*CONN -*P zero O *I *442:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 zero 0.00218593 -2 *442:X 0.00218593 -3 mgmt_gpio_in zero 0.000470254 -4 one zero 0.000997543 -5 *211:DIODE zero 0.000207768 -6 *15:8 zero 0 +1 mgmt_gpio_in 0.00140441 +2 *442:X 0.00140441 +3 mgmt_gpio_in one 0.000242174 +4 mgmt_gpio_in zero 4.31122e-05 +5 mgmt_gpio_in *442:A 3.54295e-05 +6 mgmt_gpio_in *448:A 0.000815926 +7 mgmt_gpio_in *110:7 6.46815e-05 +8 *191:DIODE mgmt_gpio_in 0.00016195 *RES -1 *442:X zero 27.1098 +1 *442:X mgmt_gpio_in 45.3333 *END -*D_NET *48 0.00237505 +*D_NET *15 0.00663708 *CONN -*I *319:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *367:Q_N O *D sky130_fd_sc_hd__dfbbn_2 +*P mgmt_gpio_oeb I +*I *423:A I *D sky130_fd_sc_hd__buf_2 +*I *215:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 *319:A_N 0.000338322 -2 *367:Q_N 0.000338322 -3 *319:A_N *311:A 0.000793037 -4 *319:A_N *400:A 6.36477e-05 -5 pad_gpio_dm[0] *319:A_N 6.08467e-05 -6 *174:DIODE *319:A_N 0.000712003 -7 *212:DIODE *319:A_N 6.88681e-05 +1 mgmt_gpio_oeb 0.00103802 +2 *423:A 0 +3 *215:DIODE 0.000632522 +4 *15:8 0.00167055 +5 *215:DIODE zero 0.000132583 +6 *215:DIODE *442:A 0.000165521 +7 *215:DIODE *448:A 0.000506774 +8 *215:DIODE *120:79 1.16032e-05 +9 *15:8 one 0.000781642 +10 *15:8 pad_gpio_ana_pol 0 +11 *15:8 *16:12 0.000638034 +12 *15:8 *23:9 0.000579319 +13 *15:8 *32:8 0.000241061 +14 *15:8 *99:10 5.72035e-05 +15 *15:8 *120:79 2.11433e-05 +16 *195:DIODE *215:DIODE 5.47126e-05 +17 *195:DIODE *15:8 1.87825e-05 +18 *3:44 *215:DIODE 8.76081e-05 *RES -1 *367:Q_N *319:A_N 39.1893 +1 mgmt_gpio_oeb *15:8 8.99634 +2 *15:8 *215:DIODE 27.3536 +3 *15:8 *423:A 13.8 *END -*D_NET *49 0.00272447 +*D_NET *16 0.00796084 *CONN -*I *404:A I *D sky130_fd_sc_hd__einvp_8 -*I *311:Y O *D sky130_fd_sc_hd__inv_2 +*P mgmt_gpio_out I +*I *216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *424:A I *D sky130_fd_sc_hd__buf_2 *CAP -1 *404:A 0.000650244 -2 *311:Y 0.000650244 -3 *404:A *319:B 3.40414e-05 -4 *404:A *320:A1 0.000107425 -5 *404:A *321:A2 3.85446e-05 -6 *404:A *339:A_N 4.9e-05 -7 *404:A *367:RESET_B 0.000388823 -8 *404:A *79:21 0.000687337 -9 *184:DIODE *404:A 6.64671e-06 -10 *214:DIODE *404:A 0.00010471 -11 *13:65 *404:A 7.45722e-06 +1 mgmt_gpio_out 0.000796644 +2 *216:DIODE 0.00154762 +3 *424:A 0.000207522 +4 *16:12 0.00255178 +5 *216:DIODE *23:9 0.000386773 +6 *216:DIODE *32:8 0.000175389 +7 *216:DIODE *32:12 0.000264039 +8 *216:DIODE *120:90 0.000398946 +9 *424:A *110:10 0.000239666 +10 *16:12 pad_gpio_ana_en 0.00028966 +11 *16:12 pad_gpio_ana_pol 0 +12 *16:12 *217:DIODE 1.85244e-05 +13 *16:12 *26:8 0.000215214 +14 *16:12 *110:10 8.287e-05 +15 *191:DIODE *424:A 9.05084e-06 +16 *13:50 *424:A 6.00417e-05 +17 *13:50 *16:12 7.90662e-05 +18 *15:8 *16:12 0.000638034 *RES -1 *311:Y *404:A 44.1179 +1 mgmt_gpio_out *16:12 8.95169 +2 *16:12 *424:A 18.175 +3 *16:12 *216:DIODE 32.8179 *END -*D_NET *50 0.00049873 +*D_NET *17 0.00647568 *CONN -*I *360:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *322:X O *D sky130_fd_sc_hd__or2_0 +*P one O +*I *428:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 *360:RESET_B 0.000139603 -2 *322:X 0.000139603 -3 *360:RESET_B *322:A 0 -4 *360:RESET_B *360:CLK_N 7.61353e-05 -5 *1:34 *360:RESET_B 0.000143388 +1 one 0.00237381 +2 *428:X 0.00237381 +3 one zero 0 +4 one *428:A 0.000163504 +5 one *117:11 0 +6 one *120:48 7.13655e-06 +7 one *120:70 0.000124349 +8 mgmt_gpio_in one 0.000242174 +9 *177:DIODE one 0.000202055 +10 *3:44 one 0.000207192 +11 *15:8 one 0.000781642 *RES -1 *322:X *360:RESET_B 30.9036 +1 *428:X one 24.2485 *END -*D_NET *51 0.00601278 +*D_NET *18 0.00883916 *CONN -*I *360:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *323:Y O *D sky130_fd_sc_hd__nand2b_2 +*P pad_gpio_ana_en O +*I *443:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 *360:SET_B 0.00112751 -2 *323:Y 0.00112751 -3 *360:SET_B *347:A_N 0.000365296 -4 *360:SET_B *367:CLK_N 2.81826e-05 -5 *360:SET_B *368:CLK_N 0.000174304 -6 *360:SET_B *383:D 4.36541e-05 -7 *360:SET_B *385:D 0.000215891 -8 *360:SET_B *388:TE_B 6.65013e-05 -9 *360:SET_B *427:A 0.00198261 -10 *360:SET_B *121:14 0.000577032 -11 *360:SET_B *145:24 1.17346e-05 -12 *205:DIODE *360:SET_B 0.000110458 -13 *323:B *360:SET_B 0.000182095 -14 *1:34 *360:SET_B 0 +1 pad_gpio_ana_en 0.00116263 +2 *443:X 0.000131407 +3 *18:16 2.68684e-05 +4 *18:8 0.00126717 +5 pad_gpio_ana_en pad_gpio_dm[2] 8.85703e-05 +6 pad_gpio_ana_en *217:DIODE 0.00103356 +7 pad_gpio_ana_en *26:8 0 +8 pad_gpio_ana_en *32:8 0.00478361 +9 *18:8 *444:A 5.57012e-05 +10 *16:12 pad_gpio_ana_en 0.00028966 *RES -1 *323:Y *360:SET_B 49.4071 +1 *443:X *18:8 20.55 +2 *18:8 pad_gpio_ana_en 48.2225 +3 pad_gpio_ana_en *18:16 0.0595333 *END -*D_NET *52 0.00489024 +*D_NET *19 0.00545771 *CONN -*I *361:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *324:X O *D sky130_fd_sc_hd__or2_0 +*P pad_gpio_ana_pol O +*I *444:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 *361:RESET_B 0 -2 *324:X 0.0021522 -3 *52:15 0.0021522 -4 *52:15 *366:RESET_B 0.000139817 -5 *52:15 *386:D 7.5172e-05 -6 *52:15 *53:16 1.72644e-05 -7 *52:15 *116:50 1.43832e-05 -8 *12:12 *52:15 5.6692e-05 -9 *13:18 *52:15 0.000206696 -10 *34:16 *52:15 7.58194e-05 +1 pad_gpio_ana_pol 0.00212261 +2 *444:X 0.00212261 +3 pad_gpio_ana_pol pad_gpio_ana_sel 0.000742222 +4 pad_gpio_ana_pol *27:7 0.000315069 +5 pad_gpio_ana_pol *117:11 0.000155206 +6 pad_gpio_ana_pol *119:18 0 +7 pad_gpio_ana_pol *153:10 0 +8 *15:8 pad_gpio_ana_pol 0 +9 *16:12 pad_gpio_ana_pol 0 *RES -1 *324:X *52:15 45.1393 -2 *52:15 *361:RESET_B 9.3 +1 *444:X pad_gpio_ana_pol 27.4175 *END -*D_NET *53 0.00972052 +*D_NET *20 0.00797323 *CONN -*I *361:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *325:Y O *D sky130_fd_sc_hd__nand2b_2 +*P pad_gpio_ana_sel O +*I *445:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 *361:SET_B 0 -2 *325:Y 0.000448335 -3 *53:16 0.00180619 -4 *53:7 0.00225453 -5 *53:16 *185:DIODE 3.16904e-05 -6 *53:16 *366:RESET_B 0.000130493 -7 *53:16 *366:SET_B 0.00123157 -8 *53:16 *370:SET_B 0.000973185 -9 *53:16 *418:A 0.00038982 -10 *53:16 *63:10 0.000257452 -11 *53:16 *126:10 0.000283649 -12 one *53:16 4.0508e-05 -13 *180:DIODE *53:7 0.000358606 -14 *190:DIODE *53:7 0.000145849 -15 *333:B *53:7 0.000267964 -16 *6:20 *53:7 6.64392e-05 -17 *6:28 *53:7 0.000167438 -18 *12:12 *53:16 0.000332666 -19 *34:25 *53:16 0.000516872 -20 *52:15 *53:16 1.72644e-05 +1 pad_gpio_ana_sel 0.00234139 +2 *445:X 0.00234139 +3 pad_gpio_ana_sel pad_gpio_dm[0] 0.000910313 +4 pad_gpio_ana_sel pad_gpio_dm[1] 2.48795e-05 +5 pad_gpio_ana_sel *339:A 1.05084e-06 +6 pad_gpio_ana_sel *24:9 0.000168134 +7 pad_gpio_ana_sel *117:11 0 +8 pad_gpio_ana_sel *122:11 0.000631375 +9 pad_gpio_ana_sel *122:22 0.000793973 +10 pad_gpio_ana_pol pad_gpio_ana_sel 0.000742222 +11 *184:DIODE pad_gpio_ana_sel 8.40176e-06 +12 *188:DIODE pad_gpio_ana_sel 1.01116e-05 *RES -1 *325:Y *53:7 23.7821 -2 *53:7 *53:16 48 -3 *53:16 *361:SET_B 4.5 +1 *445:X pad_gpio_ana_sel 24.7373 *END -*D_NET *54 0.00381146 +*D_NET *21 0.00634131 *CONN -*I *362:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *326:X O *D sky130_fd_sc_hd__or2_0 +*P pad_gpio_dm[0] O +*I *429:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 *362:RESET_B 0 -2 *326:X 0.00124649 -3 *54:16 0.00124649 -4 *54:16 *362:SET_B 0.00051804 -5 *54:16 *382:D 0.00013188 -6 *54:16 *382:RESET_B 4.03621e-05 -7 *54:16 *384:D 3.88213e-05 -8 *54:16 *407:A 0.000140383 -9 *54:16 *67:10 3.0005e-05 -10 *54:16 *110:17 4.2532e-05 -11 *54:16 *121:30 1.07403e-05 -12 *54:16 *121:60 0.000284801 -13 pad_gpio_vtrip_sel *54:16 1.95924e-05 -14 *173:DIODE *54:16 2.02035e-05 -15 *189:DIODE *54:16 4.11277e-05 +1 pad_gpio_dm[0] 0.00100883 +2 *429:X 0.00100883 +3 pad_gpio_dm[0] pad_gpio_dm[1] 0.00064204 +4 pad_gpio_dm[0] *345:A 0.000208587 +5 pad_gpio_dm[0] *404:A 9.15824e-05 +6 pad_gpio_dm[0] *429:A 0.000112367 +7 pad_gpio_dm[0] *25:13 0.000131666 +8 pad_gpio_dm[0] *26:8 1.41976e-05 +9 pad_gpio_dm[0] *39:9 0.000144892 +10 pad_gpio_dm[0] *67:10 4.61271e-05 +11 pad_gpio_dm[0] *110:10 0.000383058 +12 pad_gpio_dm[0] *117:11 0.000161956 +13 pad_gpio_dm[0] *119:18 0.000105464 +14 pad_gpio_dm[0] *121:7 0.000154145 +15 pad_gpio_dm[0] *132:21 0.000717845 +16 pad_gpio_ana_sel pad_gpio_dm[0] 0.000910313 +17 *195:DIODE pad_gpio_dm[0] 6.08467e-05 +18 *2:51 pad_gpio_dm[0] 0.000389985 +19 *4:42 pad_gpio_dm[0] 4.85806e-05 *RES -1 *326:X *54:16 41.7429 -2 *54:16 *362:RESET_B 9.3 +1 *429:X pad_gpio_dm[0] 39.5202 *END -*D_NET *55 0.00184071 +*D_NET *22 0.00576285 *CONN -*I *362:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *327:Y O *D sky130_fd_sc_hd__nand2b_2 +*P pad_gpio_dm[1] O +*I *430:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 *362:SET_B 0.000406144 -2 *327:Y 0.000406144 -3 *362:SET_B *399:A 0.000132272 -4 *362:SET_B *429:A 1.0017e-05 -5 *362:SET_B *67:10 2.05778e-05 -6 *362:SET_B *110:17 6.93171e-05 -7 *362:SET_B *117:17 6.34971e-05 -8 *362:SET_B *121:30 0.000204346 -9 *2:36 *362:SET_B 1.03594e-05 -10 *54:16 *362:SET_B 0.00051804 +1 pad_gpio_dm[1] 0.00216366 +2 *430:X 0.00216366 +3 pad_gpio_dm[1] *434:A 0 +4 pad_gpio_dm[1] *25:13 0.000131449 +5 pad_gpio_dm[1] *39:9 0.00010756 +6 pad_gpio_dm[1] *122:11 6.60214e-05 +7 pad_gpio_dm[1] *122:22 0.000136949 +8 pad_gpio_ana_sel pad_gpio_dm[1] 2.48795e-05 +9 pad_gpio_dm[0] pad_gpio_dm[1] 0.00064204 +10 *11:32 pad_gpio_dm[1] 0.000326637 *RES -1 *327:Y *362:SET_B 28.2196 +1 *430:X pad_gpio_dm[1] 28.0247 *END -*D_NET *56 0.000794023 +*D_NET *23 0.00837205 *CONN -*I *363:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *328:X O *D sky130_fd_sc_hd__or2_0 +*P pad_gpio_dm[2] O +*I *431:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 *363:RESET_B 0.000198303 -2 *328:X 0.000198303 -3 *363:RESET_B *315:A 0.000143175 -4 *363:RESET_B *100:24 0.000143175 -5 *363:RESET_B *110:17 0.000111067 +1 pad_gpio_dm[2] 0.000382244 +2 *431:X 0.00119008 +3 *23:16 2.68958e-05 +4 *23:9 0.00154543 +5 pad_gpio_dm[2] pad_gpio_holdover 0.000405773 +6 pad_gpio_dm[2] pad_gpio_inenb 0.0006355 +7 pad_gpio_dm[2] *26:7 7.12527e-05 +8 *23:9 *32:8 0.00265697 +9 *23:9 *120:90 0.000403234 +10 pad_gpio_ana_en pad_gpio_dm[2] 8.85703e-05 +11 *216:DIODE *23:9 0.000386773 +12 *15:8 *23:9 0.000579319 *RES -1 *328:X *363:RESET_B 32.1357 +1 *431:X *23:9 48.5857 +2 *23:9 pad_gpio_dm[2] 20.3296 +3 pad_gpio_dm[2] *23:16 0.0595333 *END -*D_NET *57 0.00146723 +*D_NET *24 0.00601228 *CONN -*I *363:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *329:Y O *D sky130_fd_sc_hd__nand2b_2 +*P pad_gpio_holdover O +*I *432:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 *363:SET_B 0.000247534 -2 *329:Y 0.000247534 -3 *363:SET_B *369:SET_B 0.000481356 -4 *363:SET_B *109:11 0.000490809 +1 pad_gpio_holdover 0.000138261 +2 *432:X 0.00191068 +3 *24:9 0.00204894 +4 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000384809 +5 pad_gpio_holdover pad_gpio_inenb 7.12582e-05 +6 *24:9 *208:DIODE 0.00015901 +7 *24:9 *323:B 9.5801e-05 +8 *24:9 *326:A 0.000259406 +9 *24:9 *326:B 0.000271447 +10 *24:9 *27:7 0 +11 *24:9 *39:9 0 +12 *24:9 *113:14 1.07885e-05 +13 *24:9 *122:11 8.79845e-05 +14 pad_gpio_ana_sel *24:9 0.000168134 +15 pad_gpio_dm[2] pad_gpio_holdover 0.000405773 *RES -1 *329:Y *363:SET_B 25.4071 +1 *432:X *24:9 48.175 +2 *24:9 pad_gpio_holdover 14.5618 *END -*D_NET *58 0.00268051 +*D_NET *25 0.00743214 *CONN -*I *364:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *330:X O *D sky130_fd_sc_hd__or2_0 +*P pad_gpio_ib_mode_sel O +*I *433:X O *D sky130_fd_sc_hd__buf_16 *CAP -1 *364:RESET_B 0.000604356 -2 *330:X 0.000604356 -3 *364:RESET_B *373:RESET_B 2.92665e-05 -4 *364:RESET_B *396:A 2.14842e-06 -5 *364:RESET_B *116:41 7.19065e-05 -6 *364:RESET_B *117:34 0.000231706 -7 *364:RESET_B *132:6 0 -8 *364:RESET_B *141:12 0.000601934 -9 *2:36 *364:RESET_B 0.000313087 -10 *33:18 *364:RESET_B 0.000221746 -11 *34:25 *364:RESET_B 0 +1 pad_gpio_ib_mode_sel 0.000251565 +2 *433:X 0.00131694 +3 *25:20 2.68684e-05 +4 *25:13 0.00154164 +5 pad_gpio_ib_mode_sel pad_gpio_inenb 4.90825e-05 +6 *25:13 *436:A 6.64392e-05 +7 *25:13 *39:9 0.00353169 +8 *25:20 *28:32 0 +9 pad_gpio_dm[0] *25:13 0.000131666 +10 pad_gpio_dm[1] *25:13 0.000131449 +11 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000384809 *RES -1 *330:X *364:RESET_B 42.1893 +1 *433:X *25:13 48.7286 +2 *25:13 pad_gpio_ib_mode_sel 14.2582 +3 pad_gpio_ib_mode_sel *25:20 0.0595333 *END -*D_NET *59 0.00298091 +*D_NET *26 0.0102593 *CONN -*I *364:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *331:Y O *D sky130_fd_sc_hd__nand2b_2 +*P pad_gpio_in I +*I *425:A I *D sky130_fd_sc_hd__buf_2 +*I *217:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 *364:SET_B 0.00121942 -2 *331:Y 0.00121942 -3 *364:SET_B *376:CLK 7.12487e-06 -4 *364:SET_B *376:D 7.60548e-05 -5 *364:SET_B *376:RESET_B 5.56794e-05 -6 *364:SET_B *414:A 4.89392e-05 -7 *364:SET_B *111:8 9.0951e-05 -8 *364:SET_B *142:10 0.00016553 -9 *364:SET_B *143:10 6.83106e-06 -10 *12:12 *364:SET_B 9.0951e-05 +1 pad_gpio_in 0.000491232 +2 *425:A 0 +3 *217:DIODE 0.00152557 +4 *26:25 2.68684e-05 +5 *26:8 0.00307451 +6 *26:7 0.00201331 +7 *217:DIODE *32:8 0.000290632 +8 *217:DIODE *32:12 0.000127692 +9 *217:DIODE *110:10 0.000143309 +10 *26:7 pad_gpio_inenb 0.00110901 +11 *26:8 *27:7 0 +12 *26:8 *32:8 0 +13 pad_gpio_ana_en *217:DIODE 0.00103356 +14 pad_gpio_ana_en *26:8 0 +15 pad_gpio_dm[0] *26:8 1.41976e-05 +16 pad_gpio_dm[2] *26:7 7.12527e-05 +17 *2:51 *217:DIODE 7.88662e-05 +18 *2:51 *26:8 1.03403e-05 +19 *13:50 *217:DIODE 1.52231e-05 +20 *16:12 *217:DIODE 1.85244e-05 +21 *16:12 *26:8 0.000215214 *RES -1 *331:Y *364:SET_B 32.1036 +1 pad_gpio_in *26:7 22.7582 +2 *26:7 *26:8 30.9286 +3 *26:8 *217:DIODE 38.4429 +4 *26:8 *425:A 9.3 +5 pad_gpio_in *26:25 0.0595333 *END -*D_NET *60 0.00142063 +*D_NET *27 0.00589513 +*CONN +*P pad_gpio_inenb O +*I *434:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_inenb 0.000274731 +2 *434:X 0.00154026 +3 *27:7 0.00181499 +4 pad_gpio_inenb pad_gpio_out 8.52258e-05 +5 pad_gpio_ana_pol *27:7 0.000315069 +6 pad_gpio_dm[2] pad_gpio_inenb 0.0006355 +7 pad_gpio_holdover pad_gpio_inenb 7.12582e-05 +8 pad_gpio_ib_mode_sel pad_gpio_inenb 4.90825e-05 +9 *24:9 *27:7 0 +10 *26:7 pad_gpio_inenb 0.00110901 +11 *26:8 *27:7 0 +*RES +1 *434:X *27:7 44.3179 +2 *27:7 pad_gpio_inenb 18.2582 +*END + +*D_NET *28 0.00632497 +*CONN +*P pad_gpio_out O +*I *435:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_out 0.00178618 +2 *435:X 0.000796579 +3 *28:32 2.68684e-05 +4 *28:19 0.00255589 +5 pad_gpio_out *175:DIODE 5.481e-05 +6 pad_gpio_out *325:B1 3.96379e-06 +7 pad_gpio_out *36:11 0.000105452 +8 pad_gpio_out *42:11 2.08274e-05 +9 *28:19 pad_gpio_outenb 6.93171e-05 +10 *28:19 *321:A_N 3.82228e-05 +11 *28:19 *323:B 6.93171e-05 +12 *28:19 *325:B1 4.77168e-06 +13 *28:19 *374:SET_B 2.98969e-05 +14 *28:19 *429:A 0.000536538 +15 *28:19 *444:A 0.000122844 +16 *28:19 *36:11 1.82679e-05 +17 *28:19 *118:13 0 +18 pad_gpio_inenb pad_gpio_out 8.52258e-05 +19 *25:20 *28:32 0 +*RES +1 *435:X *28:19 38.3804 +2 *28:19 pad_gpio_out 44.4279 +3 pad_gpio_out *28:32 0.0595333 +*END + +*D_NET *29 0.00494188 +*CONN +*P pad_gpio_outenb O +*I *436:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_outenb 0.00132325 +2 *436:X 0.00132325 +3 pad_gpio_outenb pad_gpio_slow_sel 0.000704906 +4 pad_gpio_outenb serial_clock_out 1.94224e-05 +5 pad_gpio_outenb *321:A_N 1.66626e-05 +6 pad_gpio_outenb *323:B 0.000208598 +7 pad_gpio_outenb *324:A2 2.80455e-05 +8 pad_gpio_outenb *325:A1 0.000852492 +9 pad_gpio_outenb *325:A2 6.85619e-05 +10 pad_gpio_outenb *325:B1 0.000327379 +11 *28:19 pad_gpio_outenb 6.93171e-05 +*RES +1 *436:X pad_gpio_outenb 33.8997 +*END + +*D_NET *30 0.00761486 +*CONN +*P pad_gpio_slow_sel O +*I *437:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_slow_sel 0.00247123 +2 *437:X 0.00247123 +3 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00100692 +4 pad_gpio_slow_sel *41:21 0.000463455 +5 pad_gpio_slow_sel *61:11 0.000342181 +6 pad_gpio_slow_sel *114:8 0 +7 pad_gpio_slow_sel *115:22 3.17436e-05 +8 pad_gpio_slow_sel *115:36 5.87854e-05 +9 pad_gpio_slow_sel *122:22 6.44155e-05 +10 pad_gpio_outenb pad_gpio_slow_sel 0.000704906 +*RES +1 *437:X pad_gpio_slow_sel 28.8021 +*END + +*D_NET *31 0.00893657 +*CONN +*P pad_gpio_vtrip_sel O +*I *438:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_vtrip_sel 0.00382722 +2 *438:X 0.00382722 +3 pad_gpio_vtrip_sel resetn_out 0 +4 pad_gpio_vtrip_sel *343:A 0 +5 pad_gpio_vtrip_sel *34:7 0 +6 pad_gpio_vtrip_sel *65:21 0.000156035 +7 pad_gpio_vtrip_sel *105:13 0 +8 pad_gpio_vtrip_sel *115:22 7.46988e-05 +9 pad_gpio_vtrip_sel *127:11 2.09072e-05 +10 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00100692 +11 *3:17 pad_gpio_vtrip_sel 2.35815e-05 +12 *10:26 pad_gpio_vtrip_sel 0 +*RES +1 *438:X pad_gpio_vtrip_sel 26.5547 +*END + +*D_NET *32 0.0173682 +*CONN +*P resetn I +*I *218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *426:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 resetn 0.00112401 +2 *218:DIODE 0 +3 *426:A 0.000353377 +4 *32:29 2.68684e-05 +5 *32:12 0.000867955 +6 *32:8 0.00238279 +7 *32:7 0.00296536 +8 *426:A *212:DIODE 0.000135738 +9 *426:A *403:A 4.94e-06 +10 *426:A *36:41 4.73523e-05 +11 *426:A *129:10 3.16904e-05 +12 *32:7 serial_load_out 0.000807775 +13 pad_gpio_ana_en *32:8 0.00478361 +14 *179:DIODE *426:A 2.57986e-05 +15 *197:DIODE *426:A 3.25052e-05 +16 *197:DIODE *32:12 4.29314e-06 +17 *200:DIODE *426:A 1.83992e-05 +18 *216:DIODE *32:8 0.000175389 +19 *216:DIODE *32:12 0.000264039 +20 *217:DIODE *32:8 0.000290632 +21 *217:DIODE *32:12 0.000127692 +22 *15:8 *32:8 0.000241061 +23 *23:9 *32:8 0.00265697 +24 *26:8 *32:8 0 +*RES +1 resetn *32:7 36.1154 +2 *32:7 *32:8 68.7143 +3 *32:8 *32:12 10.8214 +4 *32:12 *426:A 25.8 +5 *32:12 *218:DIODE 9.3 +6 resetn *32:29 0.0595333 +*END + +*D_NET *33 0.00602787 +*CONN +*P resetn_out O +*I *439:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 resetn_out 0.00204398 +2 *439:X 0.00204398 +3 resetn_out *365:RESET_B 0 +4 resetn_out *373:SET_B 3.05778e-05 +5 resetn_out *374:D 3.30938e-05 +6 resetn_out *374:SET_B 0.000184694 +7 resetn_out *34:7 0.000800179 +8 resetn_out *97:15 0.000524556 +9 resetn_out *119:79 0 +10 resetn_out *162:10 0.000366807 +11 pad_gpio_vtrip_sel resetn_out 0 +*RES +1 *439:X resetn_out 35.7472 +*END + +*D_NET *34 0.0129755 +*CONN +*P serial_clock I +*I *211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *394:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 serial_clock 0.00247862 +2 *211:DIODE 0.00114465 +3 *394:A 0.000206587 +4 *34:7 0.00382986 +5 *211:DIODE *366:CLK_N 7.82329e-05 +6 *211:DIODE *366:SET_B 0.00022279 +7 *211:DIODE *379:D 1.84566e-05 +8 *211:DIODE *379:RESET_B 0.00017527 +9 *211:DIODE *380:D 0.000373916 +10 *211:DIODE *116:54 4.78069e-06 +11 *211:DIODE *140:22 8.03393e-06 +12 *211:DIODE *163:13 6.65668e-05 +13 *394:A *330:A_N 5.04829e-06 +14 *394:A *366:CLK_N 6.94612e-05 +15 *394:A *366:SET_B 0.000137275 +16 *394:A *396:A 8.48574e-06 +17 *394:A *398:A 6.03595e-07 +18 *394:A *438:A 1.25417e-05 +19 *394:A *92:7 0.000144977 +20 *34:7 serial_clock_out 0.000690322 +21 *34:7 serial_data_out 0 +22 *34:7 *343:A 0.00165012 +23 *34:7 *366:SET_B 2.57238e-05 +24 *34:7 *390:CLK 4.8729e-05 +25 *34:7 *51:14 0.000187736 +26 *34:7 *119:59 0.000251769 +27 pad_gpio_vtrip_sel *34:7 0 +28 resetn_out *34:7 0.000800179 +29 *183:DIODE *34:7 7.48998e-06 +30 *330:B *394:A 8.73932e-05 +31 *2:19 *34:7 5.20873e-05 +32 *3:17 *34:7 4.78554e-06 +33 *13:40 *34:7 0.000182981 +*RES +1 serial_clock *34:7 11.4689 +2 *34:7 *394:A 18.9518 +3 *34:7 *211:DIODE 28.9339 +*END + +*D_NET *35 0.00648844 +*CONN +*P serial_clock_out O +*I *446:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 serial_clock_out 0.00134824 +2 *446:X 0.00134824 +3 serial_clock_out serial_data_out 0 +4 serial_clock_out *175:DIODE 0.00030797 +5 serial_clock_out *320:A1 0.000344058 +6 serial_clock_out *325:A1 0.000628199 +7 serial_clock_out *325:A2 0.000860104 +8 serial_clock_out *333:A 8.26574e-05 +9 serial_clock_out *334:A_N 2.012e-05 +10 serial_clock_out *368:SET_B 0.00021667 +11 serial_clock_out *431:A 1.53954e-05 +12 serial_clock_out *36:10 0.000496102 +13 serial_clock_out *102:8 6.08403e-05 +14 pad_gpio_outenb serial_clock_out 1.94224e-05 +15 *2:37 serial_clock_out 5.00953e-05 +16 *34:7 serial_clock_out 0.000690322 +*RES +1 *446:X serial_clock_out 41.6484 +*END + +*D_NET *36 0.0147699 +*CONN +*P serial_data_in I +*I *219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *427:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 serial_data_in 0.0013381 +2 *219:DIODE 0 +3 *427:A 0.000452166 +4 *36:41 0.00111051 +5 *36:11 0.00401983 +6 *36:10 0.00379526 +7 *427:A *385:D 0.000154145 +8 *427:A *396:A 0.000107496 +9 *427:A *69:15 3.14466e-05 +10 *427:A *93:10 3.4788e-05 +11 *427:A *114:15 2.11837e-06 +12 *36:10 serial_data_out 0.000496102 +13 *36:11 *175:DIODE 5.20167e-05 +14 *36:11 *325:B1 0.000202726 +15 *36:11 *42:11 2.16355e-05 +16 *36:11 *114:15 1.4091e-06 +17 *36:11 *115:42 0.000110949 +18 *36:11 *115:51 1.58551e-05 +19 *36:11 *118:26 0.000534606 +20 *36:41 *318:A 0.000388324 +21 *36:41 *350:A_N 0.000161152 +22 *36:41 *366:CLK_N 0.000114976 +23 *36:41 *376:SET_B 0.000218337 +24 *36:41 *403:A 1.66771e-05 +25 *36:41 *447:A 0.000294093 +26 *36:41 *69:15 7.88662e-05 +27 *36:41 *114:10 2.1667e-05 +28 *36:41 *114:15 0.000107516 +29 pad_gpio_out *36:11 0.000105452 +30 serial_clock_out *36:10 0.000496102 +31 *185:DIODE *36:11 0 +32 *188:DIODE *36:41 5.04829e-06 +33 *197:DIODE *36:41 0.000129936 +34 *200:DIODE *36:41 2.88594e-05 +35 *333:B *36:10 0 +36 *426:A *36:41 4.73523e-05 +37 *5:26 *36:41 0 +38 *10:27 *427:A 4.41583e-05 +39 *11:23 *36:11 1.19726e-05 +40 *13:41 *36:10 0 +41 *13:50 *36:10 0 +42 *28:19 *36:11 1.82679e-05 +*RES +1 serial_data_in *36:10 28.1596 +2 *36:10 *36:11 27.6429 +3 *36:11 *427:A 23.925 +4 *36:11 *36:41 41.5 +5 *36:41 *219:DIODE 9.3 +*END + +*D_NET *37 0.00841152 +*CONN +*P serial_data_out O +*I *440:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 serial_data_out 0.00248483 +2 *440:X 0.00248483 +3 serial_data_out *328:A_N 0.000284572 +4 serial_data_out *371:SET_B 6.47933e-05 +5 serial_data_out *378:RESET_B 1.66771e-05 +6 serial_data_out *38:17 0.000709868 +7 serial_data_out *113:25 0.000162695 +8 serial_data_out *117:51 9.10173e-05 +9 serial_data_out *117:52 2.77394e-05 +10 serial_clock_out serial_data_out 0 +11 *328:B serial_data_out 0.00151519 +12 *2:19 serial_data_out 7.32094e-05 +13 *13:11 serial_data_out 0 +14 *13:40 serial_data_out 0 +15 *34:7 serial_data_out 0 +16 *36:10 serial_data_out 0.000496102 +*RES +1 *440:X serial_data_out 31.0699 +*END + +*D_NET *38 0.0162813 +*CONN +*P serial_load I +*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *395:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 serial_load 0.00374853 +2 *212:DIODE 0.000572078 +3 *395:A 0 +4 *38:17 0.0043206 +5 *212:DIODE *355:A 6.08467e-05 +6 *212:DIODE *366:CLK_N 0.000978333 +7 *212:DIODE *403:A 3.98812e-06 +8 *212:DIODE *129:10 0.00139469 +9 *38:17 user_gpio_in 0 +10 *38:17 *328:A_N 0.0015956 +11 *38:17 *355:A 8.78962e-05 +12 *38:17 *375:CLK_N 0.000110297 +13 *38:17 *377:CLK_N 0.000230231 +14 *38:17 *377:RESET_B 4.43579e-05 +15 *38:17 *390:D 7.59979e-05 +16 *38:17 *391:D 0.000199186 +17 *38:17 *94:36 4.24965e-05 +18 *38:17 *113:25 0.00134712 +19 *38:17 *119:37 0.000427789 +20 *38:17 *131:8 0.000187728 +21 serial_data_out *38:17 0.000709868 +22 *181:DIODE *38:17 0 +23 *426:A *212:DIODE 0.000135738 +24 *9:47 *38:17 7.92757e-06 +*RES +1 serial_load *38:17 49.6347 +2 *38:17 *395:A 9.3 +3 *38:17 *212:DIODE 37.4786 +*END + +*D_NET *39 0.0151123 +*CONN +*P serial_load_out O +*I *447:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 serial_load_out 0.0013142 +2 *447:X 0.000878201 +3 *39:16 2.68684e-05 +4 *39:9 0.00423829 +5 *39:7 0.00382916 +6 *39:7 *111:13 1.03403e-05 +7 *39:7 *120:41 6.08467e-05 +8 *39:7 *120:46 6.08467e-05 +9 pad_gpio_dm[0] *39:9 0.000144892 +10 pad_gpio_dm[1] *39:9 0.00010756 +11 *188:DIODE *39:7 2.99287e-05 +12 *5:29 *39:7 7.16754e-05 +13 *24:9 *39:9 0 +14 *25:13 *39:9 0.00353169 +15 *32:7 serial_load_out 0.000807775 +*RES +1 *447:X *39:7 23.1929 +2 *39:7 *39:9 63.375 +3 *39:9 serial_load_out 40.0618 +4 serial_load_out *39:16 0.0595333 +*END + +*D_NET *40 0.00970334 +*CONN +*P user_gpio_in O +*I *441:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 user_gpio_in 0.00460752 +2 *441:X 0.00460752 +3 user_gpio_in *344:A_N 0.000111884 +4 user_gpio_in *391:D 0 +5 user_gpio_in *41:13 4.31122e-05 +6 user_gpio_in *42:11 0.000189294 +7 user_gpio_in *120:30 1.53815e-05 +8 *5:19 user_gpio_in 5.58835e-05 +9 *7:24 user_gpio_in 7.27515e-05 +10 *38:17 user_gpio_in 0 +*RES +1 *441:X user_gpio_in 27.0588 +*END + +*D_NET *41 0.0165781 +*CONN +*P user_gpio_oeb I +*I *320:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *174:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_gpio_oeb 0.000983337 +2 *320:A0 0 +3 *174:DIODE 0.00360626 +4 *41:21 0.00512839 +5 *41:19 0.00289855 +6 *41:13 0.00235976 +7 *174:DIODE *320:S 8.85947e-05 +8 *174:DIODE *361:A 6.57391e-05 +9 *174:DIODE *367:CLK_N 1.17054e-05 +10 *174:DIODE *383:CLK 0.000110458 +11 *174:DIODE *383:RESET_B 1.87068e-05 +12 *174:DIODE *386:D 6.08467e-05 +13 *174:DIODE *386:RESET_B 0.000105026 +14 *174:DIODE *402:A 0.000127654 +15 *174:DIODE *414:A 7.65994e-05 +16 *174:DIODE *420:A 0.000140228 +17 *174:DIODE *436:A 0.000247443 +18 *174:DIODE *437:A 3.8158e-06 +19 *41:13 *42:11 3.84497e-05 +20 pad_gpio_slow_sel *41:21 0.000463455 +21 user_gpio_in *41:13 4.31122e-05 +22 *185:DIODE *41:21 0 +*RES +1 user_gpio_oeb *41:13 31.4976 +2 *41:13 *41:19 32.7946 +3 *41:19 *41:21 30.3125 +4 *41:21 *174:DIODE 47.9429 +5 *41:21 *320:A0 9.3 +*END + +*D_NET *42 0.0105541 +*CONN +*P user_gpio_out I +*I *321:B I *D sky130_fd_sc_hd__nand2b_2 +*I *175:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_gpio_out 0.00200012 +2 *321:B 0 +3 *175:DIODE 0.000814663 +4 *42:11 0.00281478 +5 *175:DIODE *321:A_N 1.63255e-05 +6 *175:DIODE *323:B 0 +7 *175:DIODE *325:A1 0.000155279 +8 *175:DIODE *325:B1 1.50924e-05 +9 *175:DIODE *326:B 0.000314471 +10 *175:DIODE *392:A 0.000382134 +11 *175:DIODE *408:A 0.000387025 +12 *175:DIODE *428:A 0 +13 *175:DIODE *431:A 0.000230141 +14 *175:DIODE *120:90 0 +15 *175:DIODE *122:11 3.27606e-06 +16 *42:11 *102:8 0.000217878 +17 *42:11 *110:10 0.00127694 +18 pad_gpio_out *175:DIODE 5.481e-05 +19 pad_gpio_out *42:11 2.08274e-05 +20 serial_clock_out *175:DIODE 0.00030797 +21 user_gpio_in *42:11 0.000189294 +22 *191:DIODE *175:DIODE 0.000399866 +23 *333:B *42:11 0.000100208 +24 *2:37 *175:DIODE 0.000221994 +25 *13:41 *42:11 0.000109083 +26 *13:50 *42:11 0.000409861 +27 *36:11 *175:DIODE 5.20167e-05 +28 *36:11 *42:11 2.16355e-05 +29 *41:13 *42:11 3.84497e-05 +*RES +1 user_gpio_out *42:11 41.0238 +2 *42:11 *175:DIODE 41.1571 +3 *42:11 *321:B 9.3 +*END + +*D_NET *47 0.00524013 +*CONN +*P zero O +*I *448:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 zero 0.00242601 +2 *448:X 0.00242601 +3 zero *209:DIODE 0.000212426 +4 mgmt_gpio_in zero 4.31122e-05 +5 one zero 0 +6 *215:DIODE zero 0.000132583 +*RES +1 *448:X zero 26.6235 +*END + +*D_NET *48 0.00136856 +*CONN +*I *323:A_N I *D sky130_fd_sc_hd__and2b_2 +*I *372:Q_N O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *323:A_N 0.000199245 +2 *372:Q_N 0.000199245 +3 *323:A_N *326:B 6.27718e-05 +4 *323:A_N *99:10 0.000444458 +5 *323:A_N *118:13 0.000462844 +*RES +1 *372:Q_N *323:A_N 34.3321 +*END + +*D_NET *49 0.00185858 *CONN *I *365:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *332:X O *D sky130_fd_sc_hd__or2_0 +*I *327:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *365:RESET_B 0.000359918 -2 *332:X 0.000359918 -3 *365:RESET_B *376:RESET_B 6.25883e-06 -4 *365:RESET_B *396:A 5.31635e-05 -5 *365:RESET_B *410:A 0.000136646 -6 *365:RESET_B *114:31 0.000388714 -7 *365:RESET_B *115:10 1.9883e-05 -8 *365:RESET_B *115:61 6.13294e-05 -9 *326:B *365:RESET_B 3.05074e-05 -10 *332:B *365:RESET_B 4.29314e-06 +1 *365:RESET_B 0.000446138 +2 *327:X 0.000446138 +3 *365:RESET_B *365:SET_B 0.000167441 +4 *365:RESET_B *113:25 0.000159032 +5 *365:RESET_B *119:79 0.000123221 +6 *365:RESET_B *131:8 8.35465e-05 +7 *365:RESET_B *164:15 0.000302956 +8 resetn_out *365:RESET_B 0 +9 *181:DIODE *365:RESET_B 0.000130109 *RES -1 *332:X *365:RESET_B 35.475 +1 *327:X *365:RESET_B 37.8679 *END -*D_NET *61 0.00594167 +*D_NET *50 0.00221638 *CONN *I *365:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *333:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *328:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *365:SET_B 0.00105073 -2 *333:Y 0.000795503 -3 *61:11 0.00184623 -4 *365:SET_B *185:DIODE 7.21985e-05 -5 *365:SET_B *366:SET_B 0.000235705 -6 *365:SET_B *370:D 0.000101638 -7 *365:SET_B *371:D 0.000401769 -8 *365:SET_B *63:10 4.50769e-05 -9 *365:SET_B *111:8 0.000163211 -10 *365:SET_B *147:8 8.96342e-05 -11 *188:DIODE *61:11 8.21137e-05 -12 *192:DIODE *61:11 0.000117376 -13 *206:DIODE *365:SET_B 0.00031431 -14 *11:11 *365:SET_B 0.000626173 +1 *365:SET_B 0.0004245 +2 *328:Y 0.0004245 +3 *365:SET_B *415:A 2.60765e-05 +4 *365:SET_B *98:8 0.000439511 +5 *181:DIODE *365:SET_B 0.000133526 +6 *328:B *365:SET_B 0.000600827 +7 *365:RESET_B *365:SET_B 0.000167441 *RES -1 *333:Y *61:11 36.2643 -2 *61:11 *365:SET_B 30.4286 +1 *328:Y *365:SET_B 20.0411 *END -*D_NET *62 0.00243433 +*D_NET *51 0.00815084 *CONN *I *366:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *334:X O *D sky130_fd_sc_hd__or2_0 +*I *329:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *366:RESET_B 0.0004929 -2 *334:X 0.0004929 -3 *366:RESET_B *126:10 0.000177979 -4 *366:RESET_B *141:12 0.0001738 -5 *366:RESET_B *164:16 0.000127809 -6 *389:A *366:RESET_B 0.000493696 -7 *34:25 *366:RESET_B 0.000204938 -8 *52:15 *366:RESET_B 0.000139817 -9 *53:16 *366:RESET_B 0.000130493 +1 *366:RESET_B 6.36562e-05 +2 *329:X 0.00211756 +3 *51:14 0.00218121 +4 *366:RESET_B *340:A_N 0.000134167 +5 *51:14 *384:CLK 2.44579e-05 +6 *51:14 *419:A 0.000109119 +7 *51:14 *65:21 4.35492e-05 +8 *51:14 *114:8 1.30473e-05 +9 *51:14 *128:8 0.000505747 +10 *183:DIODE *51:14 0.00115716 +11 *198:DIODE *51:14 7.60183e-05 +12 *340:B *366:RESET_B 0.000134167 +13 *3:17 *51:14 0 +14 *6:7 *51:14 6.98415e-05 +15 *6:12 *51:14 0.000145722 +16 *12:7 *51:14 0.000251949 +17 *12:16 *51:14 0.000372366 +18 *13:11 *51:14 0.000563361 +19 *34:7 *51:14 0.000187736 *RES -1 *334:X *366:RESET_B 40.8143 +1 *329:X *51:14 49.4776 +2 *51:14 *366:RESET_B 11.8893 *END -*D_NET *63 0.00748655 +*D_NET *52 0.00388183 *CONN *I *366:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *335:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *330:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *366:SET_B 0.00105887 -2 *335:Y 0.000384553 -3 *63:10 0.00144342 -4 *366:SET_B *370:SET_B 0.000976529 -5 *366:SET_B *111:8 0 -6 *63:10 *185:DIODE 9.43419e-05 -7 *63:10 *116:81 2.41827e-05 -8 *63:10 *116:87 2.44599e-05 -9 *204:DIODE *63:10 0.000259547 -10 *206:DIODE *366:SET_B 0.000310818 -11 *365:SET_B *366:SET_B 0.000235705 -12 *365:SET_B *63:10 4.50769e-05 -13 *11:11 *366:SET_B 0.000959863 -14 *12:12 *366:SET_B 0.00018016 -15 *53:16 *366:SET_B 0.00123157 -16 *53:16 *63:10 0.000257452 +1 *366:SET_B 0.000932082 +2 *330:Y 0.000932082 +3 *366:SET_B *330:A_N 0.000107496 +4 *366:SET_B *335:A 3.78678e-05 +5 *366:SET_B *340:A_N 1.06708e-05 +6 *366:SET_B *343:A 1.09113e-05 +7 *366:SET_B *360:A 0.000119218 +8 *366:SET_B *366:CLK_N 0 +9 *366:SET_B *398:A 0.000169125 +10 *366:SET_B *438:A 0.000202726 +11 *366:SET_B *440:A 8.03393e-06 +12 *366:SET_B *57:17 0.000561276 +13 *366:SET_B *140:22 5.14448e-05 +14 *366:SET_B *163:13 3.39313e-06 +15 *211:DIODE *366:SET_B 0.00022279 +16 *394:A *366:SET_B 0.000137275 +17 *3:17 *366:SET_B 0.000240318 +18 *5:26 *366:SET_B 0.000109402 +19 *34:7 *366:SET_B 2.57238e-05 *RES -1 *335:Y *63:10 22.0589 -2 *63:10 *366:SET_B 37.6875 +1 *330:Y *366:SET_B 43.3392 *END -*D_NET *64 0.00376863 +*D_NET *53 0.00233608 *CONN *I *367:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *336:X O *D sky130_fd_sc_hd__or2_0 +*I *331:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *367:RESET_B 0.000741624 -2 *336:X 0.000741624 -3 *367:RESET_B *321:A2 3.85446e-05 -4 *367:RESET_B *339:A_N 5.23435e-05 -5 *367:RESET_B *367:SET_B 0.000280433 -6 *367:RESET_B *439:A 0.000104572 -7 *367:RESET_B *79:21 3.60437e-05 -8 pad_gpio_ana_pol *367:RESET_B 1.88563e-05 -9 *184:DIODE *367:RESET_B 5.04829e-06 -10 *212:DIODE *367:RESET_B 1.6299e-05 -11 *214:DIODE *367:RESET_B 0.000108053 -12 *404:A *367:RESET_B 0.000388823 -13 *13:65 *367:RESET_B 0.00108195 -14 *36:11 *367:RESET_B 6.27718e-05 -15 *42:27 *367:RESET_B 9.16397e-05 +1 *367:RESET_B 0.000502541 +2 *331:X 0.000502541 +3 *367:RESET_B *331:A 1.37669e-05 +4 *367:RESET_B *439:A 0.000479744 +5 *367:RESET_B *113:25 0.000479744 +6 *4:23 *367:RESET_B 0.000296893 +7 *11:13 *367:RESET_B 6.08467e-05 *RES -1 *336:X *367:RESET_B 48.2607 +1 *331:X *367:RESET_B 37.8143 *END -*D_NET *65 0.00228382 +*D_NET *54 0.000640174 *CONN *I *367:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *337:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *332:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *367:SET_B 0.000533432 -2 *337:Y 0.000533432 -3 *367:SET_B *316:A1 6.67641e-06 -4 *367:SET_B *321:B1 0.000151494 -5 *367:SET_B *388:A 5.37479e-05 -6 *367:SET_B *439:A 3.05039e-05 -7 *367:SET_B *78:8 0 -8 *367:SET_B *102:14 0.000331805 -9 *176:DIODE *367:SET_B 2.33103e-06 -10 *367:RESET_B *367:SET_B 0.000280433 -11 *13:65 *367:SET_B 6.08467e-05 -12 *42:27 *367:SET_B 0.000299116 +1 *367:SET_B 0.000103794 +2 *332:Y 0.000103794 +3 *367:SET_B *367:D 6.08467e-05 +4 *367:SET_B *434:A 0.0001807 +5 *367:SET_B *118:35 1.03403e-05 +6 *4:23 *367:SET_B 0.0001807 *RES -1 *337:Y *367:SET_B 29.7018 +1 *332:Y *367:SET_B 21.3893 *END -*D_NET *66 0.00204748 +*D_NET *55 0.00170987 *CONN *I *368:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *338:X O *D sky130_fd_sc_hd__or2_0 +*I *333:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *368:RESET_B 0.000557896 -2 *338:X 0.000557896 -3 *368:RESET_B *338:A 6.27782e-05 -4 *368:RESET_B *340:A 6.08467e-05 -5 *368:RESET_B *369:RESET_B 0.000341729 -6 *368:RESET_B *99:14 0.000149923 -7 *368:RESET_B *100:22 6.1578e-06 -8 *368:RESET_B *103:11 0.000310252 +1 *368:RESET_B 0.000455087 +2 *333:X 0.000455087 +3 *368:RESET_B *324:A2 0.000205509 +4 *368:RESET_B *325:A2 0.000538793 +5 *368:RESET_B *98:8 4.89021e-05 +6 *368:RESET_B *101:12 6.49066e-06 *RES -1 *338:X *368:RESET_B 39.5821 +1 *333:X *368:RESET_B 38.0821 *END -*D_NET *67 0.00630527 +*D_NET *56 0.00142173 *CONN *I *368:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *339:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *334:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *368:SET_B 8.91202e-05 -2 *339:Y 0.00105595 -3 *67:10 0.00114507 -4 *368:SET_B *99:14 1.83545e-05 -5 *67:10 *369:SET_B 0.00209271 -6 *67:10 *407:A 0.000323445 -7 *67:10 *425:A 0.000659237 -8 *67:10 *430:A 0.000137124 -9 *67:10 *102:14 1.5714e-05 -10 *67:10 *121:30 0 -11 *67:10 *121:60 0.000284801 -12 *67:10 *162:8 0.000196709 -13 pad_gpio_holdover *67:10 6.36477e-05 -14 *173:DIODE *67:10 1.66771e-05 -15 *327:B *67:10 5.49916e-05 -16 *339:B *67:10 2.16355e-05 -17 *362:SET_B *67:10 2.05778e-05 -18 *2:36 *67:10 4.39506e-05 -19 *13:42 *67:10 3.55378e-05 -20 *54:16 *67:10 3.0005e-05 +1 *368:SET_B 0.000314546 +2 *334:Y 0.000314546 +3 *368:SET_B *334:A_N 7.53431e-05 +4 *368:SET_B *102:8 5.50489e-05 +5 *368:SET_B *109:17 0.000338082 +6 serial_clock_out *368:SET_B 0.00021667 +7 *334:B *368:SET_B 0.000107496 *RES -1 *339:Y *67:10 48.7107 -2 *67:10 *368:SET_B 1.29464 +1 *334:Y *368:SET_B 25.0411 *END -*D_NET *68 0.00122846 +*D_NET *57 0.00356185 *CONN *I *369:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *340:X O *D sky130_fd_sc_hd__or2_0 +*I *335:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *369:RESET_B 0.000329942 -2 *340:X 0.000329942 -3 *369:RESET_B *338:A 0 -4 *369:RESET_B *416:A 2.41274e-06 -5 *369:RESET_B *100:22 0.000186208 -6 *369:RESET_B *103:11 3.82228e-05 -7 *368:RESET_B *369:RESET_B 0.000341729 +1 *369:RESET_B 0 +2 *335:X 0.00100707 +3 *57:17 0.00100707 +4 *57:17 *360:A 0.000698429 +5 *57:17 *440:A 3.58974e-05 +6 *57:17 *113:25 6.36477e-05 +7 *57:17 *114:8 0.00010376 +8 *57:17 *131:8 6.36477e-05 +9 *57:17 *163:13 2.10488e-05 +10 *366:SET_B *57:17 0.000561276 +11 *11:13 *57:17 0 *RES -1 *340:X *369:RESET_B 34.8679 +1 *335:X *57:17 45.425 +2 *57:17 *369:RESET_B 9.3 *END -*D_NET *69 0.00735216 +*D_NET *58 0.00434126 *CONN *I *369:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *341:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *336:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *369:SET_B 0.00143406 -2 *341:Y 8.67619e-05 -3 *69:8 0.00152082 -4 *369:SET_B *311:A 0.000883018 -5 *369:SET_B *341:A_N 9.93876e-06 -6 *369:SET_B *369:D 1.13359e-05 -7 *369:SET_B *400:A 1.81789e-05 -8 *369:SET_B *425:A 6.474e-05 -9 *369:SET_B *100:22 4.99006e-05 -10 *369:SET_B *109:11 0.000349932 -11 *369:SET_B *162:8 8.34482e-05 -12 *69:8 *311:A 2.47303e-05 -13 *69:8 *400:A 7.14062e-05 -14 *363:SET_B *369:SET_B 0.000481356 -15 *13:65 *369:SET_B 0.000157159 -16 *42:27 *369:SET_B 1.26681e-05 -17 *67:10 *369:SET_B 0.00209271 +1 *369:SET_B 0.00100503 +2 *336:Y 0.00100503 +3 *369:SET_B *336:A_N 0.000302705 +4 *369:SET_B *386:D 0.000149186 +5 *369:SET_B *401:A 0.000470378 +6 *369:SET_B *420:A 0.000154597 +7 *369:SET_B *61:11 0.000104764 +8 *369:SET_B *65:21 0.000655088 +9 *369:SET_B *114:8 0 +10 *369:SET_B *115:42 0.000117721 +11 *369:SET_B *148:9 1.63652e-05 +12 *369:SET_B *153:10 5.90236e-05 +13 *3:17 *369:SET_B 3.6099e-05 +14 *5:26 *369:SET_B 3.79231e-05 +15 *11:13 *369:SET_B 0.000169314 +16 *12:16 *369:SET_B 5.80392e-05 *RES -1 *341:Y *69:8 15.3982 -2 *69:8 *369:SET_B 42.5446 +1 *336:Y *369:SET_B 47.4429 *END -*D_NET *70 0.0012244 +*D_NET *59 0.00402558 *CONN *I *370:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *342:X O *D sky130_fd_sc_hd__or2_0 +*I *337:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *370:RESET_B 0.000266714 -2 *342:X 0.000266714 -3 *370:RESET_B *354:A 1.65872e-05 -4 *370:RESET_B *367:CLK_N 2.16355e-05 -5 *370:RESET_B *97:5 2.16355e-05 -6 *370:RESET_B *98:17 0.000200794 -7 *370:RESET_B *119:18 7.78739e-05 -8 *370:RESET_B *132:6 0.000134976 -9 *3:31 *370:RESET_B 9.88127e-05 -10 *3:37 *370:RESET_B 3.0676e-05 -11 *35:13 *370:RESET_B 8.79845e-05 +1 *370:RESET_B 0 +2 *337:X 0.000772298 +3 *59:19 0.000772298 +4 *59:19 *330:A_N 0.000145355 +5 *59:19 *336:A_N 7.40736e-05 +6 *59:19 *361:A 0.000302686 +7 *59:19 *366:CLK_N 0.000650098 +8 *59:19 *368:CLK_N 0.000111222 +9 *59:19 *370:SET_B 4.69234e-05 +10 *59:19 *383:RESET_B 6.08467e-05 +11 *59:19 *396:A 1.58745e-05 +12 *59:19 *402:A 4.97617e-05 +13 *59:19 *413:A 3.92784e-05 +14 *59:19 *420:A 0.000397916 +15 *59:19 *93:10 0.000230161 +16 *59:19 *114:8 0.000134394 +17 *59:19 *115:16 0.000139947 +18 *59:19 *148:9 7.64461e-05 +19 *10:26 *59:19 5.99857e-06 *RES -1 *342:X *370:RESET_B 33.9036 +1 *337:X *59:19 46.9786 +2 *59:19 *370:RESET_B 9.3 *END -*D_NET *71 0.0060993 +*D_NET *60 0.00353316 *CONN *I *370:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *343:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *338:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *370:SET_B 0.00156549 -2 *343:Y 0.00156549 -3 *370:SET_B *343:A_N 0.000205659 -4 *370:SET_B *381:CLK 2.16355e-05 -5 *370:SET_B *381:D 9.44796e-05 -6 *370:SET_B *96:25 1.54577e-05 -7 *370:SET_B *119:18 1.68666e-05 -8 *370:SET_B *157:7 6.08467e-05 -9 *343:B *370:SET_B 1.65872e-05 -10 *366:SET_B *370:SET_B 0.000976529 -11 *9:14 *370:SET_B 0.000587064 -12 *53:16 *370:SET_B 0.000973185 +1 *370:SET_B 0.00102403 +2 *338:Y 0.00102403 +3 *370:SET_B *336:A_N 4.86175e-05 +4 *370:SET_B *375:SET_B 5.21758e-06 +5 *370:SET_B *376:CLK_N 2.16355e-05 +6 *370:SET_B *376:D 0.000406202 +7 *370:SET_B *446:A 7.46933e-06 +8 *370:SET_B *69:15 7.41322e-05 +9 *370:SET_B *94:11 3.16904e-05 +10 *370:SET_B *94:36 8.70662e-06 +11 *370:SET_B *114:8 0 +12 *370:SET_B *122:22 0 +13 *370:SET_B *148:9 4.32942e-05 +14 *370:SET_B *150:6 9.29919e-05 +15 *370:SET_B *158:21 2.18741e-05 +16 *197:DIODE *370:SET_B 0.000603588 +17 *5:26 *370:SET_B 7.27543e-05 +18 *59:19 *370:SET_B 4.69234e-05 *RES -1 *343:Y *370:SET_B 47.7018 +1 *338:Y *370:SET_B 38.0321 *END -*D_NET *72 0.00220122 +*D_NET *61 0.00517578 *CONN *I *371:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *344:X O *D sky130_fd_sc_hd__or2_0 +*I *339:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *371:RESET_B 0.000544884 -2 *344:X 0.000544884 -3 *371:RESET_B *344:A 6.08467e-05 -4 *371:RESET_B *349:A 6.96979e-05 -5 *371:RESET_B *362:CLK_N 1.9898e-05 -6 *371:RESET_B *388:TE_B 0.000173635 -7 *371:RESET_B *428:A 6.11834e-06 -8 *371:RESET_B *158:70 0.000186579 -9 *3:28 *371:RESET_B 0.000370516 -10 *4:21 *371:RESET_B 0.000169348 -11 *14:13 *371:RESET_B 5.481e-05 +1 *371:RESET_B 0 +2 *339:X 0.00109976 +3 *61:11 0.00109976 +4 *61:11 *371:SET_B 0.00011372 +5 *61:11 *386:D 0.000295048 +6 *61:11 *386:RESET_B 3.29488e-05 +7 *61:11 *420:A 0.000158138 +8 *61:11 *105:13 2.95017e-05 +9 *61:11 *114:8 0 +10 *61:11 *117:51 5.46759e-05 +11 *61:11 *120:46 1.03594e-05 +12 *61:11 *140:22 4.45999e-05 +13 *61:11 *149:8 0.000338104 +14 pad_gpio_slow_sel *61:11 0.000342181 +15 *369:SET_B *61:11 0.000104764 +16 *1:40 *61:11 0.000192512 +17 *2:19 *61:11 3.52697e-05 +18 *3:17 *61:11 0.000265092 +19 *5:26 *61:11 0.000959351 *RES -1 *344:X *371:RESET_B 39.2429 +1 *339:X *61:11 46.5143 +2 *61:11 *371:RESET_B 9.3 *END -*D_NET *73 0.00115554 +*D_NET *62 0.00262648 *CONN *I *371:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *345:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *340:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *371:SET_B 0.00052011 -2 *345:Y 0.00052011 -3 *371:SET_B *371:CLK_N 7.13972e-05 -4 *371:SET_B *371:D 3.82228e-05 -5 *206:DIODE *371:SET_B 5.70034e-06 +1 *371:SET_B 0.000676618 +2 *340:Y 0.000676618 +3 *371:SET_B *340:A_N 0.000184083 +4 *371:SET_B *379:D 0.00035296 +5 *371:SET_B *103:8 0.0002436 +6 *371:SET_B *117:51 0.000120993 +7 *371:SET_B *140:22 6.27449e-05 +8 serial_data_out *371:SET_B 6.47933e-05 +9 *340:B *371:SET_B 1.87049e-05 +10 *2:19 *371:SET_B 0.00011164 +11 *61:11 *371:SET_B 0.00011372 *RES -1 *345:Y *371:SET_B 15.2732 +1 *340:Y *371:SET_B 32.4161 *END -*D_NET *74 0.00113305 +*D_NET *63 0.000538914 *CONN *I *372:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *346:X O *D sky130_fd_sc_hd__or2_0 +*I *341:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *372:RESET_B 0.000156513 -2 *346:X 0.000156513 -3 *372:RESET_B *347:A_N 0.00015607 -4 *372:RESET_B *367:D 0.000143309 -5 *1:34 *372:RESET_B 0.000143309 -6 *11:44 *372:RESET_B 3.17103e-05 -7 *32:15 *372:RESET_B 0.000345628 +1 *372:RESET_B 0.000209955 +2 *341:X 0.000209955 +3 *372:RESET_B *429:A 0.000119004 *RES -1 *346:X *372:RESET_B 32.5464 +1 *341:X *372:RESET_B 22.0107 *END -*D_NET *75 0.00139549 +*D_NET *64 0.000841136 *CONN *I *372:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *347:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *342:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *372:SET_B 0.000256577 -2 *347:Y 0.000256577 -3 *372:SET_B *347:A_N 1.79338e-05 -4 *372:SET_B *367:CLK_N 0.000141811 -5 *372:SET_B *121:14 0.000246031 -6 *323:B *372:SET_B 0.000310867 -7 *1:34 *372:SET_B 0.000165698 +1 *372:SET_B 0.000234873 +2 *342:Y 0.000234873 +3 *372:SET_B *374:SET_B 0.00016697 +4 *372:SET_B *435:A 1.7883e-05 +5 *372:SET_B *436:A 0.000156763 +6 *372:SET_B *118:26 3.99086e-06 +7 *342:B *372:SET_B 2.57847e-05 *RES -1 *347:Y *372:SET_B 25.6839 +1 *342:Y *372:SET_B 22.3179 *END -*D_NET *76 0.00249013 +*D_NET *65 0.00926441 *CONN -*I *316:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *315:X O *D sky130_fd_sc_hd__and2_0 +*I *373:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *343:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *316:A1 0.00065067 -2 *315:X 0.00065067 -3 *316:A1 *316:S 6.08467e-05 -4 *316:A1 *321:A2 0.000305827 -5 *316:A1 *79:21 0.000128267 -6 *316:A1 *102:14 8.58786e-05 -7 *316:A1 *103:11 6.91431e-05 -8 *173:DIODE *316:A1 6.08467e-05 -9 *176:DIODE *316:A1 1.57386e-05 -10 *367:SET_B *316:A1 6.67641e-06 -11 *2:41 *316:A1 0.000188517 -12 *13:65 *316:A1 0.000205476 -13 *26:8 *316:A1 6.1567e-05 +1 *373:RESET_B 0.000300066 +2 *343:X 0.00267134 +3 *65:21 0.0029714 +4 *373:RESET_B *101:12 0.000411353 +5 *373:RESET_B *133:15 0.000468708 +6 *65:21 *386:CLK 6.11872e-05 +7 *65:21 *438:A 6.25248e-05 +8 *65:21 *95:26 0.000360078 +9 *65:21 *95:28 5.481e-05 +10 *65:21 *109:17 6.24048e-05 +11 *65:21 *141:8 0.000200794 +12 *65:21 *148:9 0 +13 pad_gpio_vtrip_sel *65:21 0.000156035 +14 *344:B *373:RESET_B 3.23163e-05 +15 *369:SET_B *65:21 0.000655088 +16 *3:17 *65:21 0.000296313 +17 *5:26 *65:21 0.000108929 +18 *6:12 *65:21 1.48325e-05 +19 *10:26 *65:21 0.00033268 +20 *51:14 *65:21 4.35492e-05 *RES -1 *315:X *316:A1 49.1464 +1 *343:X *65:21 49.7958 +2 *65:21 *373:RESET_B 26.05 *END -*D_NET *77 0.00251915 +*D_NET *66 0.00265935 *CONN -*I *321:B1 I *D sky130_fd_sc_hd__o21ai_4 -*I *317:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *373:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *344:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *321:B1 0.000383249 -2 *317:Y 0.000383249 -3 *321:B1 *102:14 8.81131e-05 -4 *321:B1 *120:27 0.000122978 -5 *181:DIODE *321:B1 0.000348958 -6 *367:SET_B *321:B1 0.000151494 -7 *2:36 *321:B1 0.000107496 -8 *33:18 *321:B1 6.00813e-05 -9 *42:27 *321:B1 0.000873531 +1 *373:SET_B 0.000480234 +2 *344:Y 0.000480234 +3 *373:SET_B *344:A_N 5.85486e-05 +4 *373:SET_B *374:SET_B 0.000339642 +5 *373:SET_B *133:15 0.000284771 +6 *373:SET_B *164:15 0.000466234 +7 resetn_out *373:SET_B 3.05778e-05 +8 *344:B *373:SET_B 0.000519112 *RES -1 *317:Y *321:B1 40.2071 +1 *344:Y *373:SET_B 31.7107 *END -*D_NET *78 0.00368405 +*D_NET *67 0.00780538 *CONN -*I *320:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *319:B I *D sky130_fd_sc_hd__and2b_2 -*I *318:X O *D sky130_fd_sc_hd__and3b_2 +*I *374:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *345:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *320:A2 0 -2 *319:B 0.000225369 -3 *318:X 0.000333592 -4 *78:8 0.00055896 -5 *319:B *320:A1 0.000395444 -6 *319:B *400:A 6.55312e-05 -7 *319:B *426:A 1.22289e-05 -8 *319:B *79:21 0.000329919 -9 *78:8 *318:B 0.000114594 -10 *78:8 *320:B1 0.000645625 -11 *78:8 *426:A 1.07403e-05 -12 *78:8 *79:21 0.00059733 -13 pad_gpio_out *78:8 0.000245422 -14 *176:DIODE *78:8 0.000115252 -15 *367:SET_B *78:8 0 -16 *404:A *319:B 3.40414e-05 +1 *374:RESET_B 0.000911853 +2 *345:X 0.00089513 +3 *67:10 0.00180698 +4 *374:RESET_B *321:A_N 0.000195936 +5 *374:RESET_B *388:CLK 0.000525735 +6 *374:RESET_B *95:16 0.000129898 +7 *374:RESET_B *98:8 0.000145865 +8 *374:RESET_B *98:10 0.00028457 +9 *374:RESET_B *101:12 5.89177e-05 +10 *374:RESET_B *102:8 0 +11 *67:10 *321:A_N 6.43021e-05 +12 *67:10 *345:A 0.000226424 +13 *67:10 *404:A 0 +14 *67:10 *430:A 0.00037205 +15 *67:10 *99:10 0.00115181 +16 *67:10 *119:5 6.08467e-05 +17 pad_gpio_dm[0] *67:10 4.61271e-05 +18 *342:B *67:10 0.0001251 +19 *344:B *374:RESET_B 0.000578706 +20 *2:19 *374:RESET_B 1.30557e-05 +21 *2:19 *67:10 0.000212073 *RES -1 *318:X *78:8 25.2107 -2 *78:8 *319:B 20.7464 -3 *78:8 *320:A2 13.8 +1 *345:X *67:10 36.1304 +2 *67:10 *374:RESET_B 35.9875 *END -*D_NET *79 0.00374146 +*D_NET *68 0.00560776 *CONN -*I *321:A1 I *D sky130_fd_sc_hd__o21ai_4 -*I *319:X O *D sky130_fd_sc_hd__and2b_2 +*I *374:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *346:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *321:A1 0 -2 *319:X 0.000835658 -3 *79:21 0.000835658 -4 *79:21 *320:B1 1.28732e-05 -5 *79:21 *102:14 1.3934e-05 -6 *79:21 *103:11 0.000137668 -7 pad_gpio_dm[0] *79:21 6.27782e-05 -8 *316:A1 *79:21 0.000128267 -9 *319:B *79:21 0.000329919 -10 *367:RESET_B *79:21 3.60437e-05 -11 *404:A *79:21 0.000687337 -12 *26:8 *79:21 6.39957e-05 -13 *78:8 *79:21 0.00059733 +1 *374:SET_B 0.00117043 +2 *346:Y 0.00117043 +3 *374:SET_B *367:CLK_N 0.000297086 +4 *374:SET_B *388:D 0.000315218 +5 *374:SET_B *429:A 0.000240318 +6 *374:SET_B *436:A 0.000313446 +7 *374:SET_B *446:A 0.000160047 +8 *374:SET_B *97:15 5.50889e-06 +9 *374:SET_B *101:12 1.23606e-05 +10 *374:SET_B *118:26 0.000151097 +11 *374:SET_B *133:15 9.14671e-05 +12 *374:SET_B *141:8 0.000223703 +13 *374:SET_B *162:10 0.000363397 +14 resetn_out *374:SET_B 0.000184694 +15 *372:SET_B *374:SET_B 0.00016697 +16 *373:SET_B *374:SET_B 0.000339642 +17 *2:19 *374:SET_B 0.000372047 +18 *28:19 *374:SET_B 2.98969e-05 *RES -1 *319:X *79:21 42.1533 -2 *79:21 *321:A1 9.3 +1 *346:Y *374:SET_B 49.9429 *END -*D_NET *80 0.00340884 +*D_NET *69 0.00497548 *CONN -*I *321:A2 I *D sky130_fd_sc_hd__o21ai_4 -*I *320:Y O *D sky130_fd_sc_hd__o21ai_2 +*I *375:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *347:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *321:A2 0.00145864 -2 *320:Y 0.00145864 -3 *321:A2 *102:14 6.85852e-05 -4 pad_gpio_dm[1] *321:A2 1.31983e-05 -5 *316:A1 *321:A2 0.000305827 -6 *367:RESET_B *321:A2 3.85446e-05 -7 *404:A *321:A2 3.85446e-05 -8 *26:8 *321:A2 2.68674e-05 +1 *375:RESET_B 0 +2 *347:X 0.000706308 +3 *69:15 0.000706308 +4 *69:15 *336:A_N 7.11426e-05 +5 *69:15 *347:A 0.000699716 +6 *69:15 *375:SET_B 0.000155388 +7 *69:15 *384:CLK 2.20471e-05 +8 *69:15 *385:D 5.481e-05 +9 *69:15 *396:A 3.13905e-05 +10 *69:15 *114:8 1.4426e-05 +11 *69:15 *114:10 4.97926e-05 +12 *69:15 *114:15 0.000250852 +13 *69:15 *114:17 0.00053324 +14 *69:15 *157:17 0.000799271 +15 *69:15 *157:82 0.00037853 +16 *197:DIODE *69:15 0.000190001 +17 *370:SET_B *69:15 7.41322e-05 +18 *427:A *69:15 3.14466e-05 +19 *5:26 *69:15 0.000127809 +20 *36:41 *69:15 7.88662e-05 *RES -1 *320:Y *321:A2 44.8726 +1 *347:X *69:15 41.2286 +2 *69:15 *375:RESET_B 9.3 *END -*D_NET *81 0.00881265 +*D_NET *70 0.000514513 *CONN -*I *388:TE_B I *D sky130_fd_sc_hd__ebufn_8 -*I *314:Y O *D sky130_fd_sc_hd__nand2b_2 +*I *375:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *348:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *388:TE_B 0.00106275 -2 *314:Y 0.0011011 -3 *81:13 0.00216385 -4 *388:TE_B *388:A 0.000345401 -5 *388:TE_B *428:A 0.000132607 -6 *388:TE_B *438:A 4.44684e-05 -7 *388:TE_B *98:17 0.000154145 -8 *388:TE_B *117:17 0.000263116 -9 *81:13 *315:A 0.0012093 -10 *81:13 *318:C 0.000199793 -11 *81:13 *426:A 2.51664e-05 -12 *81:13 *101:8 0.000134976 -13 *81:13 *118:25 0 -14 *81:13 *118:34 0 -15 *81:13 *118:37 4.0315e-05 -16 *81:13 *120:47 0.000271402 -17 *205:DIODE *388:TE_B 1.22742e-05 -18 *323:B *388:TE_B 6.76752e-05 -19 *360:SET_B *388:TE_B 6.65013e-05 -20 *371:RESET_B *388:TE_B 0.000173635 -21 *4:21 *388:TE_B 3.39313e-06 -22 *14:13 *388:TE_B 0.000806943 -23 *14:13 *81:13 0.000393293 -24 *40:7 *81:13 3.02384e-05 -25 *42:27 *388:TE_B 0.000110306 +1 *375:SET_B 8.89299e-05 +2 *348:Y 8.89299e-05 +3 *375:SET_B *114:8 0.000176048 +4 *370:SET_B *375:SET_B 5.21758e-06 +5 *69:15 *375:SET_B 0.000155388 *RES -1 *314:Y *81:13 44.9786 -2 *81:13 *388:TE_B 40.2464 +1 *348:Y *375:SET_B 20.8536 *END -*D_NET *94 0.00516259 +*D_NET *71 0.0011678 *CONN -*I *393:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *391:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *389:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *376:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *349:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *393:A 2.86212e-05 -2 *391:A 0.000252132 -3 *389:X 0.000855353 -4 *94:8 0.00113611 -5 *391:A *365:CLK_N 0.000304859 -6 *391:A *378:RESET_B 4.06342e-05 -7 *391:A *114:87 0.000113295 -8 *94:8 *378:RESET_B 0.000143175 -9 *94:8 *386:RESET_B 0 -10 *94:8 *116:45 7.92757e-06 -11 *94:8 *142:10 0.000133034 -12 serial_data_out *94:8 2.75652e-05 -13 *209:DIODE *94:8 0 -14 *213:DIODE *391:A 0.000132486 -15 *324:B *94:8 5.04829e-06 -16 *343:B *393:A 6.08467e-05 -17 *6:13 *391:A 0.000322537 -18 *6:13 *94:8 0.00157222 -19 *9:35 *391:A 2.67514e-05 +1 *376:RESET_B 0.00032978 +2 *349:X 0.00032978 +3 *376:RESET_B *349:A 6.08467e-05 +4 *376:RESET_B *412:A 0.000107496 +5 *376:RESET_B *446:A 4.45999e-05 +6 *376:RESET_B *94:60 7.78879e-05 +7 *376:RESET_B *111:13 2.57986e-05 +8 *376:RESET_B *123:10 5.77383e-05 +9 *376:RESET_B *153:10 0.000133878 *RES -1 *389:X *94:8 35.4071 -2 *94:8 *391:A 21.0679 -3 *94:8 *393:A 14.3357 +1 *349:X *376:RESET_B 33.9036 *END -*D_NET *95 0.00476071 +*D_NET *72 0.00202573 *CONN -*I *394:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *392:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *390:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *376:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *350:Y O *D sky130_fd_sc_hd__nand2b_2 *CAP -1 *394:A 1.14991e-05 -2 *392:A 0.000287429 -3 *390:X 0.00100516 -4 *95:14 0.00130409 -5 *392:A *440:A 6.0518e-05 -6 *392:A *114:87 7.28994e-06 -7 *392:A *119:18 0.000177305 -8 *394:A *371:CLK_N 6.27782e-05 -9 *394:A *158:46 6.27782e-05 -10 *95:14 *378:CLK 0.000124378 -11 *95:14 *378:D 4.2273e-06 -12 *95:14 *408:A 0.000269771 -13 *95:14 *440:A 2.3301e-05 -14 *6:13 *95:14 0.000179248 -15 *7:19 *95:14 4.47546e-05 -16 *8:19 *392:A 0.000280206 -17 *8:19 *95:14 0.000329912 -18 *9:35 *392:A 0.000515705 -19 *9:37 *392:A 1.03594e-05 +1 *376:SET_B 0.000317325 +2 *350:Y 0.000317325 +3 *376:SET_B *326:A 0.000489401 +4 *376:SET_B *339:A 0 +5 *376:SET_B *350:A_N 1.41976e-05 +6 *188:DIODE *376:SET_B 0.000173175 +7 *197:DIODE *376:SET_B 0.000435127 +8 *350:B *376:SET_B 6.08467e-05 +9 *36:41 *376:SET_B 0.000218337 *RES -1 *390:X *95:14 37.675 -2 *95:14 *392:A 23.3357 -3 *95:14 *394:A 14.3357 +1 *350:Y *376:SET_B 28.1214 *END -*D_NET *96 0.0132999 +*D_NET *73 0.00083159 *CONN -*I *380:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *381:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *375:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *376:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *377:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *379:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *378:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *313:A I *D sky130_fd_sc_hd__inv_2 -*I *391:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *377:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *351:X O *D sky130_fd_sc_hd__or2_0 *CAP -1 *380:CLK 1.69747e-05 -2 *381:CLK 2.90725e-05 -3 *375:CLK 0.000890583 -4 *374:CLK 0 -5 *376:CLK 0.000171348 -6 *377:CLK 0.000298089 -7 *379:CLK 0 -8 *378:CLK 0.00031079 -9 *313:A 0.000622602 -10 *391:X 8.76133e-05 -11 *96:66 0.00116654 -12 *96:54 0.000545001 -13 *96:41 0.000441066 -14 *96:34 0.000113782 -15 *96:25 0.00067783 -16 *96:19 0.000482891 -17 *96:7 0.000777885 -18 *96:5 0.000104588 -19 *313:A *116:81 6.64392e-05 -20 *313:A *137:9 0.000338771 -21 *375:CLK *366:D 0.000442556 -22 *375:CLK *373:CLK 0.000136287 -23 *375:CLK *375:D 0.000167915 -24 *375:CLK *129:15 6.12919e-06 -25 *376:CLK *376:D 5.04829e-06 -26 *377:CLK *433:A 1.37937e-05 -27 *378:CLK *378:D 0.00031896 -28 *380:CLK *403:A 1.65872e-05 -29 *96:5 *403:A 2.16355e-05 -30 *96:5 *114:87 6.27718e-05 -31 *96:25 *386:RESET_B 0.000187902 -32 *96:34 *386:RESET_B 0.000158138 -33 *96:41 *386:RESET_B 0.00014603 -34 *96:54 *386:RESET_B 0.000190134 -35 *96:66 *373:CLK 0.000223114 -36 *96:66 *376:D 7.78924e-05 -37 *204:DIODE *313:A 6.3657e-05 -38 *209:DIODE *96:25 0.000191379 -39 *209:DIODE *96:34 0.000151041 -40 *209:DIODE *96:41 4.94462e-05 -41 *213:DIODE *96:5 0.000113713 -42 *364:SET_B *376:CLK 7.12487e-06 -43 *370:SET_B *381:CLK 2.16355e-05 -44 *370:SET_B *96:25 1.54577e-05 -45 *5:17 *313:A 0.00121528 -46 *5:17 *96:19 0.000346414 -47 *5:21 *313:A 9.89388e-06 -48 *8:11 *375:CLK 4.90273e-05 -49 *8:11 *96:66 5.43153e-05 -50 *8:19 *96:66 3.50296e-05 -51 *9:14 *96:25 0.000342667 -52 *10:18 *313:A 0.000351444 -53 *10:18 *96:19 0.000351188 -54 *32:15 *380:CLK 6.08467e-05 -55 *32:15 *96:5 0.000139947 -56 *38:8 *96:41 0.000101177 -57 *38:8 *96:54 0.000188094 -58 *95:14 *378:CLK 0.000124378 +1 *377:RESET_B 0.000197772 +2 *351:X 0.000197772 +3 *377:RESET_B *377:SET_B 0.000101875 +4 *377:RESET_B *439:A 0.000143161 +5 *377:RESET_B *120:41 0.000146652 +6 *38:17 *377:RESET_B 4.43579e-05 *RES -1 *391:X *96:5 11.4786 -2 *96:5 *96:7 4.5 -3 *96:7 *313:A 31.4607 -4 *96:7 *96:19 9.48214 -5 *96:19 *96:25 11.3036 -6 *96:25 *378:CLK 21.3179 -7 *96:25 *96:34 2.25 -8 *96:34 *379:CLK 13.8 -9 *96:34 *96:41 1.94643 -10 *96:41 *377:CLK 17.1214 -11 *96:41 *96:54 7.05357 -12 *96:54 *376:CLK 11.3893 -13 *96:54 *96:66 9.42857 -14 *96:66 *374:CLK 13.8 -15 *96:66 *375:CLK 25.6393 -16 *96:19 *381:CLK 9.83571 -17 *96:5 *380:CLK 9.83571 +1 *351:X *377:RESET_B 32.1357 *END -*D_NET *97 0.0104914 +*D_NET *74 0.00161075 +*CONN +*I *377:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *352:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *377:SET_B 0.0002498 +2 *352:Y 0.0002498 +3 *377:SET_B *372:CLK_N 0.000126335 +4 *377:SET_B *113:14 2.40628e-05 +5 *377:SET_B *113:25 0.000130582 +6 *377:SET_B *119:37 0.000284295 +7 *377:SET_B *122:22 6.56354e-05 +8 *377:RESET_B *377:SET_B 0.000101875 +9 *11:23 *377:SET_B 0.000326026 +10 *11:32 *377:SET_B 5.23435e-05 +*RES +1 *352:Y *377:SET_B 26.5946 +*END + +*D_NET *75 0.00133438 +*CONN +*I *320:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *319:X O *D sky130_fd_sc_hd__and2_0 +*CAP +1 *320:A1 0.000278996 +2 *319:X 0.000278996 +3 *320:A1 *322:B 0.000200794 +4 *320:A1 *322:C 6.31036e-05 +5 *320:A1 *102:8 0.000144568 +6 serial_clock_out *320:A1 0.000344058 +7 *2:37 *320:A1 2.38618e-05 +*RES +1 *319:X *320:A1 34.8679 +*END + +*D_NET *76 0.00145918 +*CONN +*I *325:B1 I *D sky130_fd_sc_hd__o21ai_4 +*I *321:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *325:B1 0.00026047 +2 *321:Y 0.00026047 +3 *325:B1 *321:A_N 3.82228e-05 +4 *325:B1 *323:B 0.000195927 +5 *325:B1 *324:A2 0.000139817 +6 pad_gpio_out *325:B1 3.96379e-06 +7 pad_gpio_outenb *325:B1 0.000327379 +8 *175:DIODE *325:B1 1.50924e-05 +9 *185:DIODE *325:B1 1.03403e-05 +10 *28:19 *325:B1 4.77168e-06 +11 *36:11 *325:B1 0.000202726 +*RES +1 *321:Y *325:B1 34.5821 +*END + +*D_NET *77 0.00595349 +*CONN +*I *324:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *323:B I *D sky130_fd_sc_hd__and2b_2 +*I *322:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *324:A2 0.000636712 +2 *323:B 0.000646756 +3 *322:X 4.51842e-05 +4 *77:7 0.00132865 +5 *323:B *321:A_N 3.2766e-05 +6 *323:B *325:A1 3.12496e-05 +7 *323:B *435:A 0.000219602 +8 *323:B *99:10 8.68003e-06 +9 *323:B *118:13 0.000715623 +10 *323:B *122:11 1.41214e-05 +11 *324:A2 *321:A_N 8.52116e-05 +12 *324:A2 *324:B1 0.000157107 +13 *324:A2 *325:A2 0.000131661 +14 *324:A2 *435:A 8.5976e-05 +15 *324:A2 *98:8 3.20407e-05 +16 *324:A2 *98:10 0.000691062 +17 *324:A2 *163:13 1.43832e-05 +18 *77:7 *322:B 6.08467e-05 +19 pad_gpio_outenb *323:B 0.000208598 +20 pad_gpio_outenb *324:A2 2.80455e-05 +21 *175:DIODE *323:B 0 +22 *325:B1 *323:B 0.000195927 +23 *325:B1 *324:A2 0.000139817 +24 *368:RESET_B *324:A2 0.000205509 +25 *13:40 *324:A2 7.28441e-05 +26 *24:9 *323:B 9.5801e-05 +27 *28:19 *323:B 6.93171e-05 +*RES +1 *322:X *77:7 14.3357 +2 *77:7 *323:B 28.9607 +3 *77:7 *324:A2 30.5857 +*END + +*D_NET *78 0.00308971 +*CONN +*I *325:A1 I *D sky130_fd_sc_hd__o21ai_4 +*I *323:X O *D sky130_fd_sc_hd__and2b_2 +*CAP +1 *325:A1 0.000598551 +2 *323:X 0.000598551 +3 *325:A1 *326:B 2.16355e-05 +4 pad_gpio_outenb *325:A1 0.000852492 +5 serial_clock_out *325:A1 0.000628199 +6 *175:DIODE *325:A1 0.000155279 +7 *185:DIODE *325:A1 0.000203756 +8 *323:B *325:A1 3.12496e-05 +*RES +1 *323:X *325:A1 43.8143 +*END + +*D_NET *79 0.00243892 +*CONN +*I *325:A2 I *D sky130_fd_sc_hd__o21ai_4 +*I *324:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *325:A2 0.000416997 +2 *324:Y 0.000416997 +3 *325:A2 *333:A 5.80533e-06 +4 pad_gpio_outenb *325:A2 6.85619e-05 +5 serial_clock_out *325:A2 0.000860104 +6 *324:A2 *325:A2 0.000131661 +7 *368:RESET_B *325:A2 0.000538793 +*RES +1 *324:Y *325:A2 40.4036 +*END + +*D_NET *92 0.010179 +*CONN +*I *398:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *396:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *394:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *398:A 0.00189628 +2 *396:A 0.000765833 +3 *394:X 0.000741084 +4 *92:7 0.0034032 +5 *396:A *330:A_N 2.57465e-06 +6 *396:A *377:CLK_N 8.96342e-05 +7 *396:A *382:RESET_B 0.000316051 +8 *396:A *413:A 0.000110117 +9 *396:A *93:10 2.81678e-06 +10 *396:A *94:36 0.000107496 +11 *396:A *115:22 7.71816e-05 +12 *396:A *143:19 0.000154145 +13 *396:A *157:82 0.000110949 +14 *396:A *158:21 7.00967e-05 +15 *398:A *343:A 4.65615e-06 +16 *398:A *360:A 1.60086e-05 +17 *398:A *382:RESET_B 0.000132094 +18 *398:A *389:CLK 9.44631e-06 +19 *398:A *389:D 6.27718e-05 +20 *398:A *410:A 6.08467e-05 +21 *398:A *118:62 1.64821e-05 +22 *92:7 *330:A_N 1.58551e-05 +23 *92:7 *343:A 0.000219318 +24 *330:B *92:7 1.95066e-05 +25 *366:SET_B *398:A 0.000169125 +26 *394:A *396:A 8.48574e-06 +27 *394:A *398:A 6.03595e-07 +28 *394:A *92:7 0.000144977 +29 *427:A *396:A 0.000107496 +30 *4:23 *398:A 1.4091e-06 +31 *10:26 *396:A 0.000489673 +32 *10:27 *396:A 0.000299374 +33 *11:13 *398:A 1.02497e-05 +34 *12:16 *398:A 0.000238532 +35 *12:28 *398:A 0.000257389 +36 *59:19 *396:A 1.58745e-05 +37 *69:15 *396:A 3.13905e-05 +*RES +1 *394:X *92:7 22.1393 +2 *92:7 *396:A 39.8536 +3 *92:7 *398:A 36.2107 +*END + +*D_NET *93 0.00567764 +*CONN +*I *397:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *399:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *395:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *397:A 0 +2 *399:A 0.000584834 +3 *395:X 0.000796206 +4 *93:10 0.00138104 +5 *399:A *326:A 0 +6 *399:A *337:A 0.000158451 +7 *399:A *116:14 0.000780255 +8 *93:10 *355:A 0.000848207 +9 *93:10 *366:CLK_N 2.71751e-05 +10 *93:10 *114:46 0.000106461 +11 *93:10 *114:48 2.75449e-05 +12 *93:10 *157:85 1.36815e-05 +13 *337:B *399:A 0.000206696 +14 *396:A *93:10 2.81678e-06 +15 *427:A *93:10 3.4788e-05 +16 *6:21 *399:A 0.000291057 +17 *8:34 *399:A 0.000174831 +18 *10:27 *93:10 1.34407e-05 +19 *59:19 *93:10 0.000230161 +*RES +1 *395:X *93:10 30.1929 +2 *93:10 *399:A 34.55 +3 *93:10 *397:A 9.3 +*END + +*D_NET *94 0.014228 *CONN *I *354:A I *D sky130_fd_sc_hd__inv_2 -*I *349:A I *D sky130_fd_sc_hd__inv_2 *I *359:A I *D sky130_fd_sc_hd__inv_2 -*I *358:A I *D sky130_fd_sc_hd__inv_2 -*I *352:A I *D sky130_fd_sc_hd__inv_2 -*I *357:A I *D sky130_fd_sc_hd__inv_2 -*I *392:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *362:A I *D sky130_fd_sc_hd__inv_2 +*I *355:A I *D sky130_fd_sc_hd__inv_2 +*I *363:A I *D sky130_fd_sc_hd__inv_2 +*I *364:A I *D sky130_fd_sc_hd__inv_2 +*I *397:X O *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 *354:A 5.21185e-05 -2 *349:A 0.000502934 -3 *359:A 3.48025e-05 -4 *358:A 0 -5 *352:A 0.000112205 -6 *357:A 0.000189161 -7 *392:X 0.000121642 -8 *97:34 0.000738594 -9 *97:22 0.00121255 -10 *97:19 0.0013454 -11 *97:7 0.000480274 -12 *97:5 0.000173761 -13 *349:A *362:CLK_N 0.000285948 -14 *349:A *383:CLK 0.000176108 -15 *349:A *146:11 3.82228e-05 -16 *349:A *158:46 9.80242e-07 -17 *349:A *158:70 3.85599e-05 -18 *352:A *116:52 4.11616e-05 -19 *352:A *158:10 1.37566e-05 -20 *357:A *441:A 0.000161817 -21 *357:A *158:51 0.000387111 -22 *97:5 *98:17 7.5301e-06 -23 *97:5 *114:31 6.36477e-05 -24 *97:5 *114:35 3.89073e-05 -25 *97:19 *381:D 5.71849e-05 -26 *97:19 *114:31 5.68071e-05 -27 *97:19 *115:22 0.000210452 -28 *97:19 *132:6 3.01773e-05 -29 *97:19 *158:51 0.00065499 -30 *97:22 *372:CLK_N 0.000194158 -31 *97:22 *158:46 9.94673e-06 -32 *97:34 *408:A 0.000128765 -33 *97:34 *114:87 0.00026225 -34 *97:34 *149:7 6.08467e-05 -35 *97:34 *158:10 0.000104653 -36 *97:34 *158:46 0.000872637 -37 *209:DIODE *97:34 0.000250796 -38 *342:B *97:5 6.64392e-05 -39 *370:RESET_B *354:A 1.65872e-05 -40 *370:RESET_B *97:5 2.16355e-05 -41 *371:RESET_B *349:A 6.96979e-05 -42 *3:28 *349:A 0.000311569 -43 *35:10 *357:A 6.84074e-06 -44 *39:15 *357:A 0.000474181 -45 *39:15 *97:19 0.000413587 +1 *354:A 0.000728456 +2 *359:A 0.000204804 +3 *362:A 0 +4 *355:A 0.000697856 +5 *363:A 3.78784e-05 +6 *364:A 9.0177e-05 +7 *397:X 0 +8 *94:60 0.0014814 +9 *94:36 0.000983271 +10 *94:11 0.000541338 +11 *94:7 0.00105925 +12 *94:4 0.000908694 +13 *354:A *389:D 0.000648008 +14 *354:A *417:A 6.08467e-05 +15 *354:A *444:A 0.000301135 +16 *354:A *95:26 0.000250405 +17 *354:A *97:15 1.07403e-05 +18 *354:A *109:17 6.65668e-05 +19 *354:A *118:26 2.86799e-05 +20 *354:A *118:32 0 +21 *354:A *119:37 1.07717e-05 +22 *355:A *368:CLK_N 8.85947e-05 +23 *355:A *370:CLK_N 0.000208621 +24 *355:A *384:RESET_B 3.99086e-06 +25 *355:A *414:A 8.09625e-05 +26 *355:A *116:14 8.36586e-06 +27 *355:A *116:28 0.000280818 +28 *355:A *116:31 3.21735e-05 +29 *359:A *444:A 0.000169684 +30 *359:A *446:A 0.000182216 +31 *359:A *119:37 4.34146e-05 +32 *363:A *318:A 2.53145e-06 +33 *363:A *137:10 2.30636e-05 +34 *364:A *376:CLK_N 0.000161956 +35 *364:A *377:CLK_N 6.27782e-05 +36 *364:A *137:10 1.88563e-05 +37 *94:11 *376:D 0.000190001 +38 *94:11 *377:CLK_N 6.72204e-05 +39 *94:11 *158:8 0.000321452 +40 *94:11 *158:21 0.000400419 +41 *94:36 *376:D 0.000210184 +42 *94:36 *150:6 8.46261e-05 +43 *94:36 *158:21 0.000309595 +44 *94:60 *349:A 6.08467e-05 +45 *94:60 *372:D 6.27718e-05 +46 *94:60 *412:A 0.000158451 +47 *94:60 *119:37 0.000138257 +48 *94:60 *120:41 0.000346979 +49 *94:60 *149:8 2.57847e-05 +50 *197:DIODE *94:11 0.000170245 +51 *212:DIODE *355:A 6.08467e-05 +52 *370:SET_B *94:11 3.16904e-05 +53 *370:SET_B *94:36 8.70662e-06 +54 *376:RESET_B *94:60 7.78879e-05 +55 *396:A *94:36 0.000107496 +56 *6:12 *355:A 8.23367e-05 +57 *6:21 *355:A 0.000699198 +58 *9:47 *94:36 0.00015607 +59 *38:17 *355:A 8.78962e-05 +60 *38:17 *94:36 4.24965e-05 +61 *93:10 *355:A 0.000848207 *RES -1 *392:X *97:5 11.8893 -2 *97:5 *97:7 4.5 -3 *97:7 *357:A 20.4429 -4 *97:7 *97:19 14.9464 -5 *97:19 *97:22 6.30357 -6 *97:22 *97:34 15.1071 -7 *97:34 *352:A 20.55 -8 *97:34 *358:A 9.3 -9 *97:22 *359:A 10.0321 -10 *97:19 *349:A 27.6929 -11 *97:5 *354:A 10.2643 +1 *397:X *94:4 9.3 +2 *94:4 *94:7 9.55357 +3 *94:7 *94:11 16.5893 +4 *94:11 *364:A 11.4964 +5 *94:11 *363:A 10.2643 +6 *94:7 *94:36 12.4018 +7 *94:36 *355:A 37.7732 +8 *94:36 *362:A 9.3 +9 *94:4 *94:60 15.3036 +10 *94:60 *359:A 18.6571 +11 *94:60 *354:A 30.3714 *END -*D_NET *98 0.0070228 +*D_NET *95 0.0081941 *CONN -*I *404:TE I *D sky130_fd_sc_hd__einvp_8 -*I *405:gpio_logic1 O *D gpio_logic_high +*I *390:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *387:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *386:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *388:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *389:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *398:X O *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 *404:TE 0 -2 *405:gpio_logic1 0.00243163 -3 *98:17 0.00243163 -4 *98:17 *367:CLK_N 0.000121018 -5 *98:17 *429:A 0.000229358 -6 *98:17 *114:31 2.28961e-05 -7 *98:17 *114:35 0.000961877 -8 *98:17 *114:59 0.000159917 -9 *98:17 *153:10 0.000232849 -10 pad_gpio_ib_mode_sel *98:17 1.03403e-05 -11 *205:DIODE *98:17 5.04829e-06 -12 *370:RESET_B *98:17 0.000200794 -13 *388:TE_B *98:17 0.000154145 -14 *7:19 *98:17 5.37732e-05 -15 *97:5 *98:17 7.5301e-06 +1 *390:CLK 0.000295946 +2 *387:CLK 0 +3 *386:CLK 0.000233593 +4 *388:CLK 0.000236707 +5 *389:CLK 0.000164623 +6 *398:X 0.000159383 +7 *95:28 0.000302258 +8 *95:26 0.000762356 +9 *95:16 0.000708841 +10 *95:5 0.000398395 +11 *386:CLK *109:17 2.61955e-05 +12 *386:CLK *123:10 0.000346217 +13 *388:CLK *101:12 6.84074e-06 +14 *389:CLK *118:62 0.000343703 +15 *390:CLK *439:A 0.000287501 +16 *390:CLK *113:25 3.29488e-05 +17 *390:CLK *120:41 0.000258253 +18 *390:CLK *163:13 1.34231e-05 +19 *95:5 *118:62 0.000379117 +20 *95:16 *101:12 0.000116299 +21 *95:26 *417:A 3.03541e-05 +22 *95:26 *109:17 0.000134566 +23 *95:26 *141:8 0.000250254 +24 *95:28 *109:17 2.37827e-05 +25 *344:B *390:CLK 0.000107496 +26 *354:A *95:26 0.000250405 +27 *374:RESET_B *388:CLK 0.000525735 +28 *374:RESET_B *95:16 0.000129898 +29 *398:A *389:CLK 9.44631e-06 +30 *2:19 *388:CLK 0.000497389 +31 *2:19 *95:26 0.000154145 +32 *11:13 *386:CLK 0.000342958 +33 *13:40 *390:CLK 0.000140268 +34 *34:7 *390:CLK 4.8729e-05 +35 *65:21 *386:CLK 6.11872e-05 +36 *65:21 *95:26 0.000360078 +37 *65:21 *95:28 5.481e-05 *RES -1 *405:gpio_logic1 *98:17 47.9797 -2 *98:17 *404:TE 9.3 +1 *398:X *95:5 12.7107 +2 *95:5 *389:CLK 12.7286 +3 *95:5 *95:16 6.44643 +4 *95:16 *388:CLK 21.2107 +5 *95:16 *95:26 14.8929 +6 *95:26 *95:28 1.35714 +7 *95:28 *386:CLK 24.6393 +8 *95:28 *387:CLK 9.3 +9 *95:26 *390:CLK 31.1861 *END -*D_NET *99 0.00792899 +*D_NET *96 0.00466188 *CONN -*I *314:B I *D sky130_fd_sc_hd__nand2b_2 -*I *315:A I *D sky130_fd_sc_hd__and2_0 -*I *366:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *326:A I *D sky130_fd_sc_hd__and2_2 +*I *409:gpio_logic1 O *D gpio_logic_high *CAP -1 *314:B 0 -2 *315:A 0.000657642 -3 *366:Q 0.0016495 -4 *99:14 0.00230714 -5 *315:A *315:B 2.58757e-05 -6 *315:A *320:B1 0.000201584 -7 *315:A *426:A 0.000170369 -8 *315:A *100:22 0.000163045 -9 *315:A *100:24 0.000294912 -10 *99:14 *340:A 0.000109427 -11 *99:14 *368:D 3.40176e-05 -12 *99:14 *373:D 0.000579458 -13 *99:14 *100:22 0.000136159 -14 *99:14 *102:14 1.8254e-05 -15 *363:RESET_B *315:A 0.000143175 -16 *368:RESET_B *99:14 0.000149923 -17 *368:SET_B *99:14 1.83545e-05 -18 *39:27 *315:A 6.08467e-05 -19 *81:13 *315:A 0.0012093 +1 *326:A 0.00139966 +2 *409:gpio_logic1 0.00139966 +3 *326:A *208:DIODE 0.000761767 +4 *326:A *350:A_N 7.90842e-05 +5 *326:A *113:14 0.000248959 +6 *326:A *116:14 1.66626e-05 +7 *326:A *127:11 7.27245e-06 +8 *376:SET_B *326:A 0.000489401 +9 *399:A *326:A 0 +10 *24:9 *326:A 0.000259406 *RES -1 *366:Q *99:14 34.4607 -2 *99:14 *315:A 33.9429 -3 *99:14 *314:B 13.8 +1 *409:gpio_logic1 *326:A 44.6547 *END -*D_NET *100 0.00892494 +*D_NET *97 0.00572279 *CONN -*I *317:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *316:S I *D sky130_fd_sc_hd__mux2_4 -*I *320:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *360:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *319:A I *D sky130_fd_sc_hd__and2_0 +*I *371:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *317:A_N 2.86212e-05 -2 *316:S 0.000134438 -3 *320:B1 0.000626326 -4 *360:Q 0.000843588 -5 *100:24 0.00100075 -6 *100:22 0.0011122 -7 *320:B1 *339:A_N 6.08467e-05 -8 *320:B1 *426:A 0.000709289 -9 *320:B1 *103:11 0.00048062 -10 *100:22 *338:A 0 -11 *100:22 *360:CLK_N 0.000171651 -12 *100:22 *369:D 0.000161252 -13 *100:22 *398:A 3.71846e-05 -14 *100:22 *399:A 4.45999e-05 -15 *100:22 *103:11 0.000327773 -16 *100:22 *109:11 4.63742e-05 -17 *100:22 *116:13 0.000299994 -18 *100:24 *103:11 0.000580973 -19 pad_gpio_holdover *320:B1 4.037e-05 -20 *173:DIODE *316:S 3.31745e-05 -21 *182:DIODE *100:22 1.31872e-05 -22 *189:DIODE *317:A_N 6.08467e-05 -23 *315:A *320:B1 0.000201584 -24 *315:A *100:22 0.000163045 -25 *315:A *100:24 0.000294912 -26 *316:A1 *316:S 6.08467e-05 -27 *363:RESET_B *100:24 0.000143175 -28 *368:RESET_B *100:22 6.1578e-06 -29 *369:RESET_B *100:22 0.000186208 -30 *369:SET_B *100:22 4.99006e-05 -31 *35:13 *320:B1 0.000193815 -32 *41:15 *316:S 1.65872e-05 -33 *78:8 *320:B1 0.000645625 -34 *79:21 *320:B1 1.28732e-05 -35 *99:14 *100:22 0.000136159 +1 *319:A 0 +2 *371:Q 0.00159762 +3 *97:15 0.00159762 +4 *97:15 *322:B 6.08467e-05 +5 *97:15 *367:D 0.000113104 +6 *97:15 *373:D 3.23874e-05 +7 *97:15 *379:D 0.00019752 +8 *97:15 *388:D 6.5389e-05 +9 *97:15 *389:D 0.000865113 +10 *97:15 *118:26 0.00020561 +11 *97:15 *119:70 0 +12 *97:15 *138:5 3.59523e-05 +13 *97:15 *140:22 0.000108842 +14 *97:15 *141:8 9.54196e-05 +15 *97:15 *147:5 0.000206566 +16 resetn_out *97:15 0.000524556 +17 *181:DIODE *97:15 0 +18 *354:A *97:15 1.07403e-05 +19 *374:SET_B *97:15 5.50889e-06 *RES -1 *360:Q *100:22 41.5857 -2 *100:22 *100:24 8.01786 -3 *100:24 *320:B1 32.7464 -4 *100:24 *316:S 15.9964 -5 *100:22 *317:A_N 14.3357 +1 *371:Q *97:15 49.2107 +2 *97:15 *319:A 9.3 *END -*D_NET *101 0.00576337 +*D_NET *98 0.00596272 *CONN -*I *318:C I *D sky130_fd_sc_hd__and3b_2 -*I *315:B I *D sky130_fd_sc_hd__and2_0 -*I *419:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *318:C 0.000198249 -2 *315:B 0.000117103 -3 *419:X 0.00108767 -4 *101:8 0.00140302 -5 *315:B *120:47 0.000107496 -6 *318:C *426:A 4.66492e-05 -7 *318:C *103:11 6.27718e-05 -8 *318:C *120:47 0.000208159 -9 *101:8 *120:47 0.000472958 -10 pad_gpio_ana_pol *101:8 0.000637172 -11 pad_gpio_out *318:C 0.000353933 -12 *315:A *315:B 2.58757e-05 -13 *2:58 *101:8 0.000490545 -14 *32:15 *318:C 0.000183137 -15 *39:27 *315:B 3.38594e-05 -16 *81:13 *318:C 0.000199793 -17 *81:13 *101:8 0.000134976 -*RES -1 *419:X *101:8 35.4786 -2 *101:8 *315:B 15.9786 -3 *101:8 *318:C 20.6616 -*END - -*D_NET *102 0.00856581 -*CONN -*I *318:B I *D sky130_fd_sc_hd__and3b_2 -*I *425:A I *D sky130_fd_sc_hd__buf_16 -*I *368:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *318:B 0.000263757 -2 *425:A 0.000658769 -3 *368:Q 0.000929966 -4 *102:14 0.00185249 -5 *318:B *388:A 0.000154145 -6 *425:A *341:A_N 0.000114495 -7 *425:A *388:A 0.000169164 -8 *425:A *400:A 6.49742e-05 -9 *425:A *121:30 0.000197771 -10 *102:14 *120:27 0.0001195 -11 *102:14 *121:30 2.0456e-06 -12 pad_gpio_out *318:B 0.000249814 -13 *176:DIODE *102:14 0.000430257 -14 *181:DIODE *102:14 0.0003456 -15 *316:A1 *102:14 8.58786e-05 -16 *321:A2 *102:14 6.85852e-05 -17 *321:B1 *102:14 8.81131e-05 -18 *367:SET_B *102:14 0.000331805 -19 *369:SET_B *425:A 6.474e-05 -20 *2:41 *102:14 0.000701342 -21 *13:47 *102:14 1.09644e-05 -22 *26:8 *102:14 0.000188244 -23 *33:18 *102:14 0.000376128 -24 *42:10 *102:14 0.000275531 -25 *67:10 *425:A 0.000659237 -26 *67:10 *102:14 1.5714e-05 -27 *78:8 *318:B 0.000114594 -28 *79:21 *102:14 1.3934e-05 -29 *99:14 *102:14 1.8254e-05 -*RES -1 *368:Q *102:14 40.7792 -2 *102:14 *425:A 28.8893 -3 *102:14 *318:B 18.175 -*END - -*D_NET *103 0.00858961 -*CONN -*I *426:A I *D sky130_fd_sc_hd__buf_16 -*I *318:A_N I *D sky130_fd_sc_hd__and3b_2 -*I *369:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *426:A 0.000496721 -2 *318:A_N 0 -3 *369:Q 0.000792551 -4 *103:11 0.00128927 -5 *426:A *320:A1 0.000565081 -6 *426:A *120:47 0.00107911 -7 *181:DIODE *103:11 0.000214506 -8 *182:DIODE *103:11 0.000408726 -9 *315:A *426:A 0.000170369 -10 *316:A1 *103:11 6.91431e-05 -11 *318:C *426:A 4.66492e-05 -12 *318:C *103:11 6.27718e-05 -13 *319:B *426:A 1.22289e-05 -14 *320:B1 *426:A 0.000709289 -15 *320:B1 *103:11 0.00048062 -16 *328:B *103:11 1.94472e-05 -17 *368:RESET_B *103:11 0.000310252 -18 *369:RESET_B *103:11 3.82228e-05 -19 *13:47 *103:11 1.64597e-05 -20 *13:65 *103:11 0.000552676 -21 *32:15 *426:A 6.08467e-05 -22 *32:15 *103:11 6.08467e-05 -23 *42:10 *103:11 5.15025e-05 -24 *78:8 *426:A 1.07403e-05 -25 *79:21 *103:11 0.000137668 -26 *81:13 *426:A 2.51664e-05 -27 *100:22 *103:11 0.000327773 -28 *100:24 *103:11 0.000580973 -*RES -1 *369:Q *103:11 43.3179 -2 *103:11 *318:A_N 9.3 -3 *103:11 *426:A 36.5679 -*END - -*D_NET *104 0.00727802 -*CONN -*I *427:A I *D sky130_fd_sc_hd__buf_16 -*I *361:Q O *D sky130_fd_sc_hd__dfbbn_2 -*CAP -1 *427:A 0.00112047 -2 *361:Q 0.000105589 -3 *104:7 0.00122606 -4 *427:A *367:CLK_N 2.51711e-05 -5 *427:A *403:A 0.000143295 -6 *427:A *412:A 0.000132503 -7 *427:A *119:18 3.00829e-05 -8 *427:A *164:16 0.000250919 -9 *104:7 *369:CLK_N 0.000106653 -10 *104:7 *386:D 0.000442865 -11 *104:7 *140:8 0.000161243 -12 pad_gpio_dm[1] *427:A 7.57823e-05 -13 *339:B *427:A 6.27718e-05 -14 *360:SET_B *427:A 0.00198261 -15 *4:9 *427:A 7.78076e-05 -16 *4:21 *427:A 0.0013342 -*RES -1 *361:Q *104:7 17.5857 -2 *104:7 *427:A 47.8536 -*END - -*D_NET *105 0.00278688 -*CONN -*I *428:A I *D sky130_fd_sc_hd__buf_16 +*I *324:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *320:S I *D sky130_fd_sc_hd__mux2_4 +*I *321:A_N I *D sky130_fd_sc_hd__nand2b_2 *I *365:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *428:A 0.00056924 -2 *365:Q 0.00056924 -3 *428:A *417:A 0.000152131 -4 *428:A *438:A 3.73256e-05 -5 *428:A *115:7 1.56164e-06 -6 *428:A *132:6 6.2796e-05 -7 *428:A *146:11 0.000126673 -8 *344:B *428:A 0.000141654 -9 *371:RESET_B *428:A 6.11834e-06 -10 *388:TE_B *428:A 0.000132607 -11 *3:28 *428:A 0.00064301 -12 *3:31 *428:A 0.000338527 -13 *14:13 *428:A 5.99857e-06 +1 *324:B1 5.17552e-05 +2 *320:S 8.287e-05 +3 *321:A_N 0.000482302 +4 *365:Q 0.000370141 +5 *98:10 0.00090141 +6 *98:8 0.000758135 +7 *321:A_N *435:A 0.000305578 +8 *321:A_N *99:10 0.000177868 +9 *321:A_N *118:13 9.72261e-06 +10 *321:A_N *118:26 3.13805e-06 +11 *324:B1 *163:13 3.99086e-06 +12 *98:8 *415:A 0.000135341 +13 *98:8 *102:8 0 +14 pad_gpio_outenb *321:A_N 1.66626e-05 +15 *174:DIODE *320:S 8.85947e-05 +16 *175:DIODE *321:A_N 1.63255e-05 +17 *181:DIODE *98:8 0.000101411 +18 *323:B *321:A_N 3.2766e-05 +19 *324:A2 *321:A_N 8.52116e-05 +20 *324:A2 *324:B1 0.000157107 +21 *324:A2 *98:8 3.20407e-05 +22 *324:A2 *98:10 0.000691062 +23 *325:B1 *321:A_N 3.82228e-05 +24 *365:SET_B *98:8 0.000439511 +25 *368:RESET_B *98:8 4.89021e-05 +26 *374:RESET_B *321:A_N 0.000195936 +27 *374:RESET_B *98:8 0.000145865 +28 *374:RESET_B *98:10 0.00028457 +29 *13:40 *324:B1 0.000203756 +30 *28:19 *321:A_N 3.82228e-05 +31 *67:10 *321:A_N 6.43021e-05 *RES -1 *365:Q *428:A 42.5107 +1 *365:Q *98:8 22.8536 +2 *98:8 *98:10 9.53571 +3 *98:10 *321:A_N 30.6332 +4 *98:10 *320:S 15.5679 +5 *98:8 *324:B1 15.5679 *END -*D_NET *106 0.00881279 +*D_NET *99 0.00480663 +*CONN +*I *319:B I *D sky130_fd_sc_hd__and2_0 +*I *322:C I *D sky130_fd_sc_hd__and3b_2 +*I *423:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *319:B 0 +2 *322:C 0.000111736 +3 *423:X 0.000908778 +4 *99:10 0.00102051 +5 *322:C *322:B 2.71504e-05 +6 *99:10 *406:A 3.03791e-05 +7 *99:10 *428:A 6.36477e-05 +8 *99:10 *118:13 0.000213366 +9 *195:DIODE *99:10 0.000257169 +10 *320:A1 *322:C 6.31036e-05 +11 *321:A_N *99:10 0.000177868 +12 *323:A_N *99:10 0.000444458 +13 *323:B *99:10 8.68003e-06 +14 *2:37 *322:C 0.000270768 +15 *15:8 *99:10 5.72035e-05 +16 *67:10 *99:10 0.00115181 +*RES +1 *423:X *99:10 39.9071 +2 *99:10 *322:C 12.1125 +3 *99:10 *319:B 9.3 +*END + +*D_NET *100 0.00324101 *CONN -*I *314:A_N I *D sky130_fd_sc_hd__nand2b_2 *I *429:A I *D sky130_fd_sc_hd__buf_16 -*I *364:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *372:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *314:A_N 0.000320736 -2 *429:A 0.00108872 -3 *364:Q 0.000755042 -4 *106:11 0.00216449 -5 *314:A_N *329:A_N 6.08467e-05 -6 *314:A_N *118:37 7.13481e-05 -7 *429:A *383:RESET_B 0.000334437 -8 *429:A *384:CLK 1.90075e-05 -9 *429:A *384:D 0.000146827 -10 *429:A *431:A 0.00109331 -11 *429:A *439:A 0.00015104 -12 *429:A *117:17 0.000526924 -13 *429:A *120:8 4.86175e-05 -14 *429:A *120:81 0.000177845 -15 *429:A *121:30 2.60153e-05 -16 *429:A *153:10 0.000349941 -17 *106:11 *384:CLK 0.00016547 -18 *106:11 *385:D 1.88654e-05 -19 *106:11 *396:A 0.00024329 -20 *106:11 *411:A 0.000154145 -21 *106:11 *118:37 1.86908e-05 -22 *106:11 *157:47 1.88422e-05 -23 *329:B *314:A_N 0.000136437 -24 *340:B *106:11 1.83827e-06 -25 *362:SET_B *429:A 1.0017e-05 -26 *13:42 *314:A_N 0.000480689 -27 *98:17 *429:A 0.000229358 +1 *429:A 0.000777432 +2 *372:Q 0.000777432 +3 *429:A *404:A 0.000357307 +4 *429:A *430:A 0 +5 *429:A *436:A 5.0263e-05 +6 *429:A *444:A 0.000220237 +7 *429:A *117:11 5.39635e-06 +8 *429:A *119:18 4.47179e-05 +9 pad_gpio_dm[0] *429:A 0.000112367 +10 *372:RESET_B *429:A 0.000119004 +11 *374:SET_B *429:A 0.000240318 +12 *28:19 *429:A 0.000536538 *RES -1 *364:Q *106:11 19.8 -2 *106:11 *429:A 47.2643 -3 *106:11 *314:A_N 16.0143 +1 *372:Q *429:A 46.2071 *END -*D_NET *107 0.000530759 +*D_NET *101 0.00792555 *CONN +*I *322:B I *D sky130_fd_sc_hd__and3b_2 *I *430:A I *D sky130_fd_sc_hd__buf_16 -*I *321:Y O *D sky130_fd_sc_hd__o21ai_4 +*I *373:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *430:A 0.000176762 -2 *321:Y 0.000176762 -3 *430:A *121:30 4.01117e-05 -4 *67:10 *430:A 0.000137124 +1 *322:B 0.000253173 +2 *430:A 0.000815296 +3 *373:Q 0.000943993 +4 *101:12 0.00201246 +5 *430:A *404:A 5.05869e-05 +6 *430:A *434:A 3.58349e-05 +7 *430:A *436:A 0.000732171 +8 *101:12 *367:CLK_N 1.08183e-05 +9 *101:12 *436:A 0.000296612 +10 *101:12 *133:15 0.000321032 +11 *320:A1 *322:B 0.000200794 +12 *322:C *322:B 2.71504e-05 +13 *342:B *430:A 0.000131349 +14 *344:B *101:12 0.0001729 +15 *368:RESET_B *101:12 6.49066e-06 +16 *373:RESET_B *101:12 0.000411353 +17 *374:RESET_B *101:12 5.89177e-05 +18 *374:SET_B *101:12 1.23606e-05 +19 *388:CLK *101:12 6.84074e-06 +20 *429:A *430:A 0 +21 *2:19 *430:A 6.88248e-05 +22 *2:19 *101:12 0.000663196 +23 *2:37 *322:B 1.58551e-05 +24 *4:42 *430:A 6.749e-05 +25 *67:10 *430:A 0.00037205 +26 *77:7 *322:B 6.08467e-05 +27 *95:16 *101:12 0.000116299 +28 *97:15 *322:B 6.08467e-05 *RES -1 *321:Y *430:A 30.9036 +1 *373:Q *101:12 33.7107 +2 *101:12 *430:A 32.5321 +3 *101:12 *322:B 18.6036 *END -*D_NET *108 0.00360696 +*D_NET *102 0.00756022 *CONN +*I *322:A_N I *D sky130_fd_sc_hd__and3b_2 *I *431:A I *D sky130_fd_sc_hd__buf_16 -*I *316:X O *D sky130_fd_sc_hd__mux2_4 +*I *374:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *431:A 0.00052367 -2 *316:X 0.00052367 -3 *431:A *439:A 0.00041312 -4 *431:A *120:27 0.000144123 -5 *431:A *121:23 1.03403e-05 -6 *431:A *121:30 0.000667428 -7 *431:A *121:60 2.33978e-05 -8 *173:DIODE *431:A 0.000207901 -9 *429:A *431:A 0.00109331 +1 *322:A_N 0 +2 *431:A 0.000571074 +3 *374:Q 0.000862762 +4 *102:8 0.00143384 +5 *431:A *408:A 0.000387025 +6 *431:A *110:10 0.0014508 +7 *102:8 *328:A_N 4.20184e-06 +8 *102:8 *333:A 0.000418389 +9 *102:8 *334:A_N 0.000128854 +10 *102:8 *110:10 0.00110429 +11 serial_clock_out *431:A 1.53954e-05 +12 serial_clock_out *102:8 6.08403e-05 +13 *175:DIODE *431:A 0.000230141 +14 *191:DIODE *431:A 0.000133612 +15 *320:A1 *102:8 0.000144568 +16 *368:SET_B *102:8 5.50489e-05 +17 *374:RESET_B *102:8 0 +18 *2:37 *431:A 0.000341502 +19 *42:11 *102:8 0.000217878 +20 *98:8 *102:8 0 *RES -1 *316:X *431:A 45.7071 +1 *374:Q *102:8 36.05 +2 *102:8 *431:A 33.3536 +3 *102:8 *322:A_N 13.8 *END -*D_NET *109 0.00449798 +*D_NET *103 0.00746328 *CONN *I *432:A I *D sky130_fd_sc_hd__buf_16 -*I *362:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *366:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP 1 *432:A 0 -2 *362:Q 0.000968186 -3 *109:11 0.000968186 -4 *109:11 *329:A_N 6.1578e-06 -5 *109:11 *360:CLK_N 0.000414369 -6 *109:11 *413:A 0.000216458 -7 *109:11 *116:13 3.19342e-05 -8 *363:SET_B *109:11 0.000490809 -9 *369:SET_B *109:11 0.000349932 -10 *13:65 *109:11 2.37991e-05 -11 *33:18 *109:11 0.000288428 -12 *42:27 *109:11 0.000693343 -13 *100:22 *109:11 4.63742e-05 +2 *366:Q 0.00125506 +3 *103:8 0.00125506 +4 *103:8 *331:A 0.000286066 +5 *103:8 *340:A_N 0.0002436 +6 *103:8 *379:D 0.000106543 +7 *103:8 *410:A 0.000287862 +8 *103:8 *433:A 0.00115531 +9 *103:8 *437:A 3.13307e-05 +10 *103:8 *115:51 1.2346e-05 +11 *103:8 *120:41 0.0017983 +12 *103:8 *142:8 0.00017033 +13 *103:8 *153:10 7.36117e-05 +14 *349:B *103:8 0.00051221 +15 *371:SET_B *103:8 0.0002436 +16 *4:23 *103:8 3.20412e-05 *RES -1 *362:Q *109:11 42.3357 -2 *109:11 *432:A 9.3 +1 *366:Q *103:8 49.7464 +2 *103:8 *432:A 13.8 *END -*D_NET *110 0.00722715 +*D_NET *104 0.00368008 *CONN *I *433:A I *D sky130_fd_sc_hd__buf_16 -*I *363:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *370:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *433:A 0.00130922 -2 *363:Q 0.00168063 -3 *110:17 0.00298985 -4 *433:A *377:RESET_B 0.000260325 -5 *433:A *114:17 0.000250405 -6 *110:17 *382:D 0.000105795 -7 *110:17 *382:RESET_B 6.31355e-05 -8 *110:17 *117:17 7.26606e-05 -9 *110:17 *138:10 0.000247443 -10 *362:SET_B *110:17 6.93171e-05 -11 *363:RESET_B *110:17 0.000111067 -12 *377:CLK *433:A 1.37937e-05 -13 *11:11 *433:A 1.09755e-05 -14 *54:16 *110:17 4.2532e-05 +1 *433:A 0.000738923 +2 *370:Q 0.000738923 +3 *433:A *331:A 1.21461e-06 +4 *433:A *368:CLK_N 9.80242e-07 +5 *433:A *420:A 5.26464e-05 +6 *433:A *437:A 8.4497e-05 +7 *433:A *153:10 0.000810393 +8 *11:13 *433:A 9.71867e-05 +9 *103:8 *433:A 0.00115531 *RES -1 *363:Q *110:17 38.7643 -2 *110:17 *433:A 23.6036 +1 *370:Q *433:A 45.8321 *END -*D_NET *111 0.00598682 +*D_NET *105 0.00895857 *CONN *I *434:A I *D sky130_fd_sc_hd__buf_16 -*I *387:X O *D sky130_fd_sc_hd__buf_2 +*I *369:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *434:A 0 -2 *387:X 0.00130484 -3 *111:8 0.00130484 -4 *111:8 *370:D 0.000366928 -5 *111:8 *371:D 0.000164976 -6 *111:8 *379:D 0.000550373 -7 *111:8 *137:9 2.02993e-05 -8 *111:8 *147:8 8.46261e-05 -9 *364:SET_B *111:8 9.0951e-05 -10 *365:SET_B *111:8 0.000163211 -11 *366:SET_B *111:8 0 -12 *11:11 *111:8 0.00119791 -13 *12:12 *111:8 5.48032e-05 -14 *13:18 *111:8 0.000683064 +1 *434:A 0.000966918 +2 *369:Q 0.000639666 +3 *105:13 0.00160658 +4 *434:A *119:18 7.28994e-06 +5 *434:A *119:37 0.000586258 +6 *434:A *122:22 0.00109693 +7 *105:13 *343:A 0.000799918 +8 *105:13 *401:A 0.00033486 +9 *105:13 *114:8 0.000161163 +10 *105:13 *119:59 5.20784e-05 +11 *105:13 *123:10 7.69594e-06 +12 pad_gpio_dm[1] *434:A 0 +13 pad_gpio_vtrip_sel *105:13 0 +14 *196:DIODE *434:A 6.27782e-05 +15 *332:B *434:A 0.00027623 +16 *367:SET_B *434:A 0.0001807 +17 *430:A *434:A 3.58349e-05 +18 *1:40 *105:13 0.000153427 +19 *3:17 *105:13 0.000191136 +20 *4:23 *434:A 6.10871e-05 +21 *4:25 *434:A 0.000794411 +22 *4:29 *434:A 0.000468241 +23 *4:42 *434:A 0.000445868 +24 *61:11 *105:13 2.95017e-05 *RES -1 *387:X *111:8 45.5679 -2 *111:8 *434:A 13.8 +1 *369:Q *105:13 26.829 +2 *105:13 *434:A 44.2375 *END -*D_NET *112 0.00203837 -*CONN -*I *320:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *420:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *320:A1 0.000395843 -2 *420:X 0.000395843 -3 *320:A1 *120:47 5.73775e-05 -4 *195:DIODE *320:A1 0.00012136 -5 *319:B *320:A1 0.000395444 -6 *404:A *320:A1 0.000107425 -7 *426:A *320:A1 0.000565081 -*RES -1 *420:X *320:A1 38.9571 -*END - -*D_NET *113 0.00163749 +*D_NET *106 0.00151278 *CONN *I *435:A I *D sky130_fd_sc_hd__buf_16 -*I *386:Q O *D sky130_fd_sc_hd__dfrtp_2 +*I *325:Y O *D sky130_fd_sc_hd__o21ai_4 *CAP -1 *435:A 0.000355486 -2 *386:Q 0.000355486 -3 *435:A *124:13 6.34773e-05 -4 *435:A *126:10 0.000803011 -5 *7:11 *435:A 6.00269e-05 +1 *435:A 0.00023987 +2 *325:Y 0.00023987 +3 *435:A *118:13 2.41274e-06 +4 *435:A *118:26 0.000294093 +5 *321:A_N *435:A 0.000305578 +6 *323:B *435:A 0.000219602 +7 *324:A2 *435:A 8.5976e-05 +8 *342:B *435:A 0.000107496 +9 *372:SET_B *435:A 1.7883e-05 *RES -1 *386:Q *435:A 36.2429 +1 *325:Y *435:A 34.5643 *END -*D_NET *114 0.0173955 +*D_NET *107 0.00313739 *CONN -*I *332:A I *D sky130_fd_sc_hd__or2_0 -*I *342:A I *D sky130_fd_sc_hd__or2_0 -*I *378:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *343:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *345:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *333:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *377:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *396:X O *D sky130_fd_sc_hd__buf_2 +*I *436:A I *D sky130_fd_sc_hd__buf_16 +*I *320:X O *D sky130_fd_sc_hd__mux2_4 *CAP -1 *332:A 2.86212e-05 -2 *342:A 0 -3 *378:RESET_B 0.000109061 -4 *343:A_N 0.00017886 -5 *345:A_N 3.73114e-05 -6 *333:A_N 0 -7 *377:RESET_B 0.000111504 -8 *396:X 0.000215208 -9 *114:87 0.000895009 -10 *114:66 0.000732398 -11 *114:59 0.00110359 -12 *114:35 0.00139656 -13 *114:31 0.00116763 -14 *114:17 0.00122293 -15 *114:12 0.000392598 -16 *114:12 *374:D 9.08756e-05 -17 *114:12 *396:A 1.65872e-05 -18 *114:12 *411:A 8.32204e-06 -19 *114:12 *132:6 5.40594e-05 -20 *114:12 *157:47 0.00027632 -21 *114:17 *132:6 0.000137668 -22 *114:17 *157:47 5.29468e-05 -23 *114:31 *115:10 3.26441e-05 -24 *114:31 *115:22 0.000351444 -25 *114:59 *440:A 5.3855e-05 -26 *114:59 *116:57 0.000334808 -27 *114:59 *116:65 0.000271602 -28 *114:59 *116:71 0.000220799 -29 *114:59 *116:77 9.86659e-05 -30 *114:59 *119:18 0.000218651 -31 *114:66 *440:A 0.000225473 -32 *114:87 *386:RESET_B 7.97456e-05 -33 *114:87 *440:A 0.000316939 -34 *180:DIODE *114:59 0.000104639 -35 *201:DIODE *114:59 0.000100222 -36 *204:DIODE *114:59 6.0414e-05 -37 *206:DIODE *345:A_N 1.03403e-05 -38 *209:DIODE *114:87 0.000260325 -39 *213:DIODE *114:87 0.000131252 -40 *326:B *377:RESET_B 6.08467e-05 -41 *326:B *114:17 0.000142909 -42 *326:B *114:31 2.43314e-05 -43 *332:B *332:A 6.08467e-05 -44 *333:B *114:59 9.43222e-06 -45 *342:B *114:35 0.000877112 -46 *342:B *114:59 6.08467e-05 -47 *343:B *343:A_N 0.000255248 -48 *365:RESET_B *114:31 0.000388714 -49 *370:SET_B *343:A_N 0.000205659 -50 *391:A *378:RESET_B 4.06342e-05 -51 *391:A *114:87 0.000113295 -52 *392:A *114:87 7.28994e-06 -53 *433:A *377:RESET_B 0.000260325 -54 *433:A *114:17 0.000250405 -55 *2:36 *114:12 0.000158451 -56 *6:20 *114:59 7.65484e-05 -57 *6:28 *114:59 6.89158e-05 -58 *8:19 *114:59 6.95527e-05 -59 *9:35 *378:RESET_B 2.86829e-05 -60 *9:35 *114:66 0.000218651 -61 *9:35 *114:87 0.000568166 -62 *9:37 *114:59 3.05765e-05 -63 *9:37 *114:66 2.86829e-05 -64 *11:29 *114:31 8.60778e-05 -65 *34:25 *114:31 0 -66 *39:15 *114:31 0.000431176 -67 *94:8 *378:RESET_B 0.000143175 -68 *96:5 *114:87 6.27718e-05 -69 *97:5 *114:31 6.36477e-05 -70 *97:5 *114:35 3.89073e-05 -71 *97:19 *114:31 5.68071e-05 -72 *97:34 *114:87 0.00026225 -73 *98:17 *114:31 2.28961e-05 -74 *98:17 *114:35 0.000961877 -75 *98:17 *114:59 0.000159917 +1 *436:A 0.000599425 +2 *320:X 0.000599425 +3 *436:A *361:A 6.63616e-05 +4 *436:A *367:CLK_N 5.04829e-06 +5 *436:A *133:15 3.99086e-06 +6 *174:DIODE *436:A 0.000247443 +7 *372:SET_B *436:A 0.000156763 +8 *374:SET_B *436:A 0.000313446 +9 *429:A *436:A 5.0263e-05 +10 *430:A *436:A 0.000732171 +11 *25:13 *436:A 6.64392e-05 +12 *101:12 *436:A 0.000296612 *RES -1 *396:X *114:12 18.9786 -2 *114:12 *114:17 8.625 -3 *114:17 *377:RESET_B 2.84821 -4 *114:17 *114:31 29.6964 -5 *114:31 *114:35 8.76786 -6 *114:35 *114:59 44.6964 -7 *114:59 *333:A_N 9.3 -8 *114:35 *114:66 7.66071 -9 *114:66 *345:A_N 14.3357 -10 *114:66 *114:87 33.0714 -11 *114:87 *343:A_N 17.9429 -12 *114:87 *378:RESET_B 7.05357 -13 *114:31 *342:A 9.3 -14 *114:12 *332:A 14.3357 +1 *320:X *436:A 44.7071 *END -*D_NET *115 0.00904576 +*D_NET *108 0.000907244 *CONN -*I *396:A I *D sky130_fd_sc_hd__buf_2 -*I *379:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *344:A I *D sky130_fd_sc_hd__or2_0 -*I *347:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *346:A I *D sky130_fd_sc_hd__or2_0 -*I *380:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *381:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *397:X O *D sky130_fd_sc_hd__buf_2 +*I *437:A I *D sky130_fd_sc_hd__buf_16 +*I *367:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *396:A 0.000418352 -2 *379:RESET_B 0.000455795 -3 *344:A 0.000228185 -4 *347:A_N 0.000230601 -5 *346:A 0 -6 *380:RESET_B 1.60128e-05 -7 *381:RESET_B 3.16642e-05 -8 *397:X 0.000202859 -9 *115:61 0.000982394 -10 *115:31 0.000406072 -11 *115:22 0.000350365 -12 *115:11 0.000239243 -13 *115:10 0.000371806 -14 *115:7 0.000406029 -15 *344:A *362:CLK_N 2.57847e-05 -16 *344:A *381:D 1.41976e-05 -17 *344:A *409:A 7.54269e-06 -18 *347:A_N *367:CLK_N 0.000162128 -19 *347:A_N *121:14 0.000160001 -20 *379:RESET_B *363:CLK_N 0.000451209 -21 *379:RESET_B *151:8 1.86537e-05 -22 *381:RESET_B *381:D 1.91114e-05 -23 *396:A *374:D 3.82228e-05 -24 *396:A *410:A 8.94675e-05 -25 *396:A *132:6 0.000449942 -26 *396:A *157:47 0.000202726 -27 *115:7 *383:CLK 1.82679e-05 -28 *115:10 *132:6 0.000189678 -29 *115:11 *381:D 3.46213e-05 -30 *115:22 *381:D 4.62703e-05 -31 *115:22 *132:6 1.06049e-05 -32 *115:61 *410:A 4.37678e-05 -33 *115:61 *132:6 0.000196101 -34 *332:B *396:A 1.5714e-05 -35 *344:B *344:A 0.000156946 -36 *360:SET_B *347:A_N 0.000365296 -37 *364:RESET_B *396:A 2.14842e-06 -38 *365:RESET_B *396:A 5.31635e-05 -39 *365:RESET_B *115:10 1.9883e-05 -40 *365:RESET_B *115:61 6.13294e-05 -41 *371:RESET_B *344:A 6.08467e-05 -42 *372:RESET_B *347:A_N 0.00015607 -43 *372:SET_B *347:A_N 1.79338e-05 -44 *428:A *115:7 1.56164e-06 -45 *2:36 *396:A 4.37376e-05 -46 *11:29 *380:RESET_B 1.31768e-05 -47 *11:29 *115:31 5.76421e-05 -48 *11:44 *347:A_N 9.86288e-05 -49 *11:44 *115:31 1.7883e-05 -50 *12:29 *396:A 4.19401e-06 -51 *32:15 *347:A_N 3.26094e-05 -52 *32:15 *380:RESET_B 5.37479e-05 -53 *32:15 *115:31 0.000441157 -54 *34:25 *396:A 0 -55 *97:19 *115:22 0.000210452 -56 *106:11 *396:A 0.00024329 -57 *114:12 *396:A 1.65872e-05 -58 *114:31 *115:10 3.26441e-05 -59 *114:31 *115:22 0.000351444 +1 *437:A 0.000166725 +2 *367:Q 0.000166725 +3 *437:A *331:A 0.000135866 +4 *437:A *361:A 1.8254e-05 +5 *174:DIODE *437:A 3.8158e-06 +6 *433:A *437:A 8.4497e-05 +7 *11:13 *437:A 0.000300031 +8 *103:8 *437:A 3.13307e-05 *RES -1 *397:X *115:7 16.3893 -2 *115:7 *115:10 7.05357 -3 *115:10 *115:11 0.946429 -4 *115:11 *381:RESET_B 0.473214 -5 *115:11 *115:22 13.9821 -6 *115:22 *380:RESET_B 0.473214 -7 *115:22 *115:31 4.25 -8 *115:31 *346:A 9.3 -9 *115:31 *347:A_N 25.4607 -10 *115:10 *344:A 14.0143 -11 *115:7 *115:61 2.85714 -12 *115:61 *379:RESET_B 10.4554 -13 *115:61 *396:A 23.7107 +1 *367:Q *437:A 32.1357 *END -*D_NET *116 0.0272088 +*D_NET *109 0.00724717 *CONN -*I *334:A I *D sky130_fd_sc_hd__or2_0 -*I *324:A I *D sky130_fd_sc_hd__or2_0 -*I *207:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *331:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *177:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *179:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *335:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *193:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *185:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *191:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *187:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *325:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *330:A I *D sky130_fd_sc_hd__or2_0 -*I *376:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *398:X O *D sky130_fd_sc_hd__buf_2 +*I *438:A I *D sky130_fd_sc_hd__buf_16 +*I *368:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *334:A 0 -2 *324:A 0 -3 *207:DIODE 0 -4 *331:A_N 0.000129716 -5 *177:DIODE 6.99491e-05 -6 *179:DIODE 0 -7 *335:A_N 0 -8 *193:DIODE 0 -9 *185:DIODE 0.000599556 -10 *191:DIODE 0 -11 *187:DIODE 0 -12 *325:A_N 3.77153e-05 -13 *330:A 0 -14 *376:RESET_B 0.000904911 -15 *398:X 0.000821044 -16 *116:119 0.000184609 -17 *116:87 0.000651549 -18 *116:81 0.000320869 -19 *116:77 0.000588465 -20 *116:71 0.000443271 -21 *116:65 0.000314579 -22 *116:57 0.000727361 -23 *116:52 0.00223046 -24 *116:50 0.00219575 -25 *116:45 0.000829107 -26 *116:41 0.000763904 -27 *116:27 0.000691606 -28 *116:13 0.00200367 -29 *376:RESET_B *411:A 0.000195049 -30 *376:RESET_B *415:A 0.000148641 -31 *376:RESET_B *143:10 1.61247e-05 -32 *116:13 *117:28 0.00109471 -33 *116:41 *141:12 0.000633387 -34 *116:50 *351:A 0.000210624 -35 *116:50 *124:13 1.67286e-05 -36 *116:50 *158:24 0.000501053 -37 *116:52 *158:10 0.000252128 -38 *116:52 *158:17 0.000549196 -39 *116:52 *158:24 0.000263436 -40 serial_data_out *116:13 7.06176e-05 -41 *175:DIODE *116:50 0.000130237 -42 *186:DIODE *185:DIODE 0.000122366 -43 *200:DIODE *185:DIODE 0.000114271 -44 *204:DIODE *116:81 0.000108704 -45 *313:A *116:81 6.64392e-05 -46 *324:B *116:45 0.000307799 -47 *325:B *325:A_N 0 -48 *330:B *116:41 0.00124692 -49 *330:B *116:45 1.37669e-05 -50 *332:B *376:RESET_B 0.000203604 -51 *333:B *325:A_N 4.76161e-06 -52 *333:B *116:57 3.62662e-06 -53 *333:B *116:65 3.62662e-06 -54 *334:B *116:45 6.08467e-05 -55 *352:A *116:52 4.11616e-05 -56 *364:RESET_B *116:41 7.19065e-05 -57 *364:SET_B *376:RESET_B 5.56794e-05 -58 *365:RESET_B *376:RESET_B 6.25883e-06 -59 *365:SET_B *185:DIODE 7.21985e-05 -60 *389:A *116:41 4.78069e-06 -61 *389:A *116:45 3.73948e-05 -62 *1:5 *116:50 9.47178e-05 -63 *2:36 *376:RESET_B 1.77894e-05 -64 *3:28 *376:RESET_B 0.000329515 -65 *3:28 *116:27 0 -66 *4:9 *376:RESET_B 0.00025115 -67 *4:9 *116:27 0.000345743 -68 *4:9 *116:41 5.33048e-05 -69 *4:21 *376:RESET_B 2.97724e-05 -70 *4:22 *185:DIODE 0.000151001 -71 *5:11 *116:45 0.00125067 -72 *8:19 *116:77 0.000304493 -73 *12:12 *376:RESET_B 4.00648e-05 -74 *33:18 *116:13 8.04164e-05 -75 *33:18 *116:41 2.57986e-05 -76 *38:8 *116:13 0.00164347 -77 *52:15 *116:50 1.43832e-05 -78 *53:16 *185:DIODE 3.16904e-05 -79 *63:10 *185:DIODE 9.43419e-05 -80 *63:10 *116:81 2.41827e-05 -81 *63:10 *116:87 2.44599e-05 -82 *94:8 *116:45 7.92757e-06 -83 *100:22 *116:13 0.000299994 -84 *109:11 *116:13 3.19342e-05 -85 *114:59 *116:57 0.000334808 -86 *114:59 *116:65 0.000271602 -87 *114:59 *116:71 0.000220799 -88 *114:59 *116:77 9.86659e-05 +1 *438:A 0.000809632 +2 *368:Q 0.00214779 +3 *109:17 0.00295742 +4 *438:A *330:A_N 1.65872e-05 +5 *438:A *391:CLK 0.000108053 +6 *438:A *157:31 0.000111479 +7 *109:17 *367:D 2.41826e-06 +8 *109:17 *368:D 4.0315e-05 +9 *330:B *438:A 0.000124083 +10 *354:A *109:17 6.65668e-05 +11 *366:SET_B *438:A 0.000202726 +12 *368:SET_B *109:17 0.000338082 +13 *386:CLK *109:17 2.61955e-05 +14 *394:A *438:A 1.25417e-05 +15 *65:21 *438:A 6.25248e-05 +16 *65:21 *109:17 6.24048e-05 +17 *95:26 *109:17 0.000134566 +18 *95:28 *109:17 2.37827e-05 *RES -1 *398:X *116:13 26.6058 -2 *116:13 *376:RESET_B 21.5179 -3 *116:13 *116:27 5.13393 -4 *116:27 *330:A 13.8 -5 *116:27 *116:41 17.4107 -6 *116:41 *116:45 11.2321 -7 *116:45 *116:50 17.2143 -8 *116:50 *116:52 36.5536 -9 *116:52 *116:57 11.1964 -10 *116:57 *325:A_N 10.0321 -11 *116:57 *116:65 2.58929 -12 *116:65 *187:DIODE 9.3 -13 *116:65 *116:71 1.76786 -14 *116:71 *116:77 15.9464 -15 *116:77 *116:81 5.07143 -16 *116:81 *191:DIODE 9.3 -17 *116:81 *116:87 0.946429 -18 *116:87 *185:DIODE 26.8357 -19 *116:87 *193:DIODE 9.3 -20 *116:77 *335:A_N 9.3 -21 *116:71 *179:DIODE 9.3 -22 *116:52 *177:DIODE 14.7464 -23 *116:50 *116:119 5.03571 -24 *116:119 *331:A_N 11.3893 -25 *116:119 *207:DIODE 9.3 -26 *116:45 *324:A 9.3 -27 *116:41 *334:A 9.3 +1 *368:Q *109:17 41.9607 +2 *109:17 *438:A 28.2821 *END -*D_NET *117 0.0155135 +*D_NET *110 0.00751929 *CONN -*I *398:A I *D sky130_fd_sc_hd__buf_2 -*I *373:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *375:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *374:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *323:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *399:X O *D sky130_fd_sc_hd__buf_2 +*I *324:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *424:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *398:A 0.000459176 -2 *373:RESET_B 0.000110035 -3 *375:RESET_B 0.000107545 -4 *374:RESET_B 0.000113927 -5 *323:A_N 0 -6 *399:X 0 -7 *117:34 0.00143802 -8 *117:28 0.00201246 -9 *117:17 0.00136949 -10 *117:7 0.0021352 -11 *117:4 0.000539001 -12 *373:RESET_B *373:D 0.000267221 -13 *374:RESET_B *364:CLK_N 8.4771e-05 -14 *374:RESET_B *386:RESET_B 9.39684e-05 -15 *375:RESET_B *366:D 1.99581e-05 -16 *375:RESET_B *386:RESET_B 0.00015497 -17 *375:RESET_B *129:15 0.000102546 -18 *398:A *360:CLK_N 0.000353661 -19 *117:7 *360:CLK_N 0.000107496 -20 *117:17 *322:A 5.96266e-05 -21 *117:17 *367:D 0.000424419 -22 *117:17 *383:D 0.000113333 -23 *117:17 *384:D 0 -24 *117:17 *399:A 0.000177683 -25 *117:17 *118:46 2.84787e-06 -26 *117:17 *145:24 0.000658954 -27 *117:34 *364:CLK_N 0.000111067 -28 *117:34 *364:D 1.03403e-05 -29 *117:34 *373:D 0.000148683 -30 *117:34 *374:D 4.26427e-05 -31 serial_data_out *117:28 0.00054389 -32 *183:DIODE *374:RESET_B 5.58964e-05 -33 *205:DIODE *117:17 4.78251e-05 -34 *323:B *117:17 0.000112231 -35 *362:SET_B *117:17 6.34971e-05 -36 *364:RESET_B *373:RESET_B 2.92665e-05 -37 *364:RESET_B *117:34 0.000231706 -38 *388:TE_B *117:17 0.000263116 -39 *429:A *117:17 0.000526924 -40 *1:34 *117:17 0.000185517 -41 *2:36 *373:RESET_B 6.68253e-06 -42 *2:36 *117:34 3.553e-05 -43 *11:44 *117:17 0.000162857 -44 *34:16 *117:17 0.000439627 -45 *38:8 *374:RESET_B 0.000102541 -46 *38:8 *375:RESET_B 0.000147954 -47 *38:8 *117:28 0.000134816 -48 *100:22 *398:A 3.71846e-05 -49 *110:17 *117:17 7.26606e-05 -50 *116:13 *117:28 0.00109471 +1 *324:A1 0 +2 *424:X 4.12775e-05 +3 *110:10 0.00115864 +4 *110:7 0.00119992 +5 mgmt_gpio_in *110:7 6.46815e-05 +6 pad_gpio_dm[0] *110:10 0.000383058 +7 *191:DIODE *110:10 0.000110849 +8 *217:DIODE *110:10 0.000143309 +9 *424:A *110:10 0.000239666 +10 *431:A *110:10 0.0014508 +11 *2:51 *110:10 0.000203552 +12 *13:50 *110:10 5.9435e-05 +13 *16:12 *110:10 8.287e-05 +14 *42:11 *110:10 0.00127694 +15 *102:8 *110:10 0.00110429 *RES -1 *399:X *117:4 9.3 -2 *117:4 *117:7 5.44643 -3 *117:7 *117:17 45.5536 -4 *117:17 *323:A_N 9.3 -5 *117:7 *117:28 8.95693 -6 *117:28 *117:34 23.5268 -7 *117:34 *374:RESET_B 7.54464 -8 *117:34 *375:RESET_B 7.63393 -9 *117:28 *373:RESET_B 7.19643 -10 *117:4 *398:A 15.0857 +1 *424:X *110:7 14.3357 +2 *110:7 *110:10 42.875 +3 *110:10 *324:A1 9.3 *END -*D_NET *118 0.0141812 +*D_NET *111 0.00703022 *CONN -*I *338:A I *D sky130_fd_sc_hd__or2_0 -*I *340:A I *D sky130_fd_sc_hd__or2_0 -*I *322:A I *D sky130_fd_sc_hd__or2_0 -*I *384:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *439:A I *D sky130_fd_sc_hd__buf_16 +*I *393:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *439:A 0.00131481 +2 *393:X 0.000251839 +3 *111:13 0.00156665 +4 *439:A *327:A 2.1203e-06 +5 *439:A *352:A_N 0.000164842 +6 *439:A *387:D 0.000178654 +7 *439:A *418:A 0.000157296 +8 *439:A *113:14 0.000423365 +9 *439:A *113:25 0.000442869 +10 *439:A *115:51 0.000185159 +11 *439:A *120:30 2.77676e-05 +12 *439:A *120:41 0.000465739 +13 *439:A *164:15 7.38526e-06 +14 *111:13 *445:A 0.000389915 +15 *111:13 *446:A 0.000255186 +16 *111:13 *123:10 0.000209093 +17 *111:13 *153:10 8.32204e-06 +18 *349:B *439:A 1.60111e-05 +19 *367:RESET_B *439:A 0.000479744 +20 *376:RESET_B *111:13 2.57986e-05 +21 *377:RESET_B *439:A 0.000143161 +22 *390:CLK *439:A 0.000287501 +23 *7:47 *439:A 1.66626e-05 +24 *39:7 *111:13 1.03403e-05 +*RES +1 *393:X *111:13 29.1929 +2 *111:13 *439:A 45.8 +*END + +*D_NET *112 0.00294527 +*CONN +*I *440:A I *D sky130_fd_sc_hd__buf_16 +*I *391:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *440:A 0.00120743 +2 *391:Q 0.00120743 +3 *440:A *335:A 0.00010515 +4 *440:A *366:D 4.23858e-05 +5 *440:A *381:D 0.000223774 +6 *440:A *120:12 1.86879e-05 +7 *440:A *122:54 7.07939e-05 +8 *440:A *146:10 1.07992e-05 +9 *366:SET_B *440:A 8.03393e-06 +10 *11:13 *440:A 1.48943e-05 +11 *57:17 *440:A 3.58974e-05 +*RES +1 *391:Q *440:A 42.0286 +*END + +*D_NET *113 0.0118916 +*CONN +*I *441:A I *D sky130_fd_sc_hd__buf_16 +*I *326:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *441:A 0 +2 *326:X 0.00126311 +3 *113:25 0.00173448 +4 *113:14 0.00299759 +5 *113:14 *326:B 4.2372e-05 +6 *113:25 *327:A 6.27782e-05 +7 *113:25 *360:A 0.000397914 +8 *113:25 *418:A 0.000157296 +9 *113:25 *119:37 3.59786e-05 +10 *113:25 *131:8 0.000630764 +11 *113:25 *164:15 0.000240745 +12 serial_data_out *113:25 0.000162695 +13 *326:A *113:14 0.000248959 +14 *327:B *113:25 6.08467e-05 +15 *349:B *113:14 0.000111788 +16 *365:RESET_B *113:25 0.000159032 +17 *367:RESET_B *113:25 0.000479744 +18 *377:SET_B *113:14 2.40628e-05 +19 *377:SET_B *113:25 0.000130582 +20 *390:CLK *113:25 3.29488e-05 +21 *439:A *113:14 0.000423365 +22 *439:A *113:25 0.000442869 +23 *11:23 *113:14 0.00031766 +24 *11:32 *113:14 0.000312443 +25 *24:9 *113:14 1.07885e-05 +26 *38:17 *113:25 0.00134712 +27 *57:17 *113:25 6.36477e-05 +*RES +1 *326:X *113:14 34.7732 +2 *113:14 *113:25 46.5804 +3 *113:25 *441:A 9.3 +*END + +*D_NET *114 0.0125124 +*CONN +*I *348:A_N I *D sky130_fd_sc_hd__nand2b_2 *I *385:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *328:A I *D sky130_fd_sc_hd__or2_0 -*I *339:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *400:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *338:A 0.000539114 -2 *340:A 0.000163298 -3 *322:A 0.000542092 -4 *384:RESET_B 0.000339365 -5 *385:RESET_B 3.63849e-05 -6 *328:A 0.000230388 -7 *339:A_N 0.000450819 -8 *400:X 0.000304408 -9 *118:72 0.000811527 -10 *118:46 0.000900914 -11 *118:37 0.000554067 -12 *118:34 0.000922266 -13 *118:25 0.00148047 -14 *118:8 0.00169039 -15 *322:A *369:CLK_N 0.000210531 -16 *322:A *369:D 6.36477e-05 -17 *322:A *386:D 0.000258461 -18 *384:RESET_B *312:A 1.94912e-05 -19 *384:RESET_B *384:CLK 4.22518e-05 -20 *384:RESET_B *384:D 2.77564e-05 -21 *118:25 *120:47 7.77309e-06 -22 mgmt_gpio_in *118:25 0 -23 pad_gpio_dm[0] *118:8 0.000306689 -24 pad_gpio_dm[0] *118:25 0 -25 pad_gpio_holdover *339:A_N 0.000689854 -26 pad_gpio_inenb *339:A_N 1.30977e-05 -27 pad_gpio_outenb *118:25 0 -28 *314:A_N *118:37 7.13481e-05 -29 *320:B1 *339:A_N 6.08467e-05 -30 *329:B *118:37 0.000346665 -31 *339:B *339:A_N 2.91008e-06 -32 *340:B *118:37 0.000826641 -33 *360:RESET_B *322:A 0 -34 *367:RESET_B *339:A_N 5.23435e-05 -35 *368:RESET_B *338:A 6.27782e-05 -36 *368:RESET_B *340:A 6.08467e-05 -37 *369:RESET_B *338:A 0 -38 *404:A *339:A_N 4.9e-05 -39 *1:34 *322:A 0 -40 *1:34 *384:RESET_B 0 -41 *2:58 *118:8 0.000284969 -42 *2:58 *118:25 0.00123605 -43 *30:8 *338:A 0.000189146 -44 *30:8 *118:25 0 -45 *30:8 *118:34 0 -46 *30:8 *118:72 0 -47 *34:16 *322:A 8.58461e-05 -48 *35:13 *339:A_N 1.58551e-05 -49 *39:27 *118:25 0 -50 *81:13 *118:25 0 -51 *81:13 *118:34 0 -52 *81:13 *118:37 4.0315e-05 -53 *99:14 *340:A 0.000109427 -54 *100:22 *338:A 0 -55 *106:11 *118:37 1.86908e-05 -56 *117:17 *322:A 5.96266e-05 -57 *117:17 *118:46 2.84787e-06 -*RES -1 *400:X *118:8 19.8536 -2 *118:8 *339:A_N 29.9607 -3 *118:8 *118:25 22.5893 -4 *118:25 *328:A 17.2107 -5 *118:25 *118:34 5.58929 -6 *118:34 *118:37 15.7143 -7 *118:37 *385:RESET_B 0.669643 -8 *118:37 *118:46 4.79464 -9 *118:46 *384:RESET_B 9.46429 -10 *118:46 *322:A 25.1125 -11 *118:34 *118:72 1.94643 -12 *118:72 *340:A 17.2107 -13 *118:72 *338:A 24.6214 -*END - -*D_NET *119 0.0132594 -*CONN -*I *341:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *387:A I *D sky130_fd_sc_hd__buf_2 -*I *386:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *337:A I *D sky130_fd_sc_hd__or2_0 +*I *338:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *350:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *384:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *347:A I *D sky130_fd_sc_hd__or2_0 *I *401:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *341:A_N 0.000172717 -2 *387:A 5.99221e-05 -3 *386:RESET_B 0.0012776 -4 *401:X 0 -5 *119:18 0.00353032 -6 *119:4 0.00236551 -7 *341:A_N *400:A 0.000301966 -8 *341:A_N *439:A 5.49915e-05 -9 *386:RESET_B *386:D 7.38313e-06 -10 *386:RESET_B *124:13 0.000307892 -11 *119:18 *370:D 6.54273e-05 -12 *119:18 *436:A 0.000399391 -13 pad_gpio_holdover *341:A_N 6.08467e-05 -14 pad_gpio_holdover *119:18 0.000157107 -15 *209:DIODE *386:RESET_B 5.00525e-05 -16 *339:B *119:18 0.00020578 -17 *369:SET_B *341:A_N 9.93876e-06 -18 *370:RESET_B *119:18 7.78739e-05 -19 *370:SET_B *119:18 1.68666e-05 -20 *374:RESET_B *386:RESET_B 9.39684e-05 -21 *375:RESET_B *386:RESET_B 0.00015497 -22 *392:A *119:18 0.000177305 -23 *425:A *341:A_N 0.000114495 -24 *427:A *119:18 3.00829e-05 -25 *8:11 *386:RESET_B 0.000702433 -26 *8:19 *386:RESET_B 0.00102216 -27 *8:19 *119:18 0.000626698 -28 *9:35 *386:RESET_B 8.18248e-05 -29 *9:37 *119:18 4.92017e-06 -30 *35:13 *119:18 4.78069e-06 -31 *38:8 *386:RESET_B 0.000143542 -32 *94:8 *386:RESET_B 0 -33 *96:25 *386:RESET_B 0.000187902 -34 *96:34 *386:RESET_B 0.000158138 -35 *96:41 *386:RESET_B 0.00014603 -36 *96:54 *386:RESET_B 0.000190134 -37 *114:59 *119:18 0.000218651 -38 *114:87 *386:RESET_B 7.97456e-05 +1 *348:A_N 2.66954e-05 +2 *385:RESET_B 0 +3 *337:A 9.59537e-05 +4 *338:A_N 0 +5 *350:A_N 0.000262145 +6 *384:RESET_B 0.000457548 +7 *347:A 0.000548122 +8 *401:X 0.00108617 +9 *114:48 0.000344089 +10 *114:46 0.00022897 +11 *114:17 0.00138142 +12 *114:15 0.000927185 +13 *114:10 0.000595157 +14 *114:8 0.00120766 +15 *347:A *384:CLK 3.73686e-05 +16 *347:A *157:17 3.13805e-06 +17 *348:A_N *377:CLK_N 6.3657e-05 +18 *350:A_N *318:A 0.00033831 +19 *350:A_N *366:CLK_N 5.46103e-05 +20 *384:RESET_B *375:D 7.84129e-05 +21 *384:RESET_B *116:80 7.84129e-05 +22 *384:RESET_B *143:19 0.000139684 +23 *384:RESET_B *157:20 0.000139684 +24 *114:8 *386:RESET_B 0 +25 *114:8 *401:A 4.83193e-05 +26 *114:8 *148:9 0.000113644 +27 *114:8 *163:13 0.000690375 +28 *114:46 *157:85 0.000114495 +29 *114:48 *318:A 5.79499e-05 +30 *114:48 *366:CLK_N 4.19783e-05 +31 *114:48 *157:85 0.000131747 +32 pad_gpio_slow_sel *114:8 0 +33 *326:A *350:A_N 7.90842e-05 +34 *355:A *384:RESET_B 3.99086e-06 +35 *369:SET_B *114:8 0 +36 *370:SET_B *114:8 0 +37 *375:SET_B *114:8 0.000176048 +38 *376:SET_B *350:A_N 1.41976e-05 +39 *399:A *337:A 0.000158451 +40 *427:A *114:15 2.11837e-06 +41 *3:17 *114:8 3.99086e-06 +42 *5:26 *114:8 0 +43 *6:21 *384:RESET_B 1.82679e-05 +44 *8:29 *384:RESET_B 0.000280426 +45 *9:24 *384:RESET_B 1.66771e-05 +46 *12:16 *114:8 1.55329e-05 +47 *13:11 *114:8 6.45209e-05 +48 *36:11 *114:15 1.4091e-06 +49 *36:41 *350:A_N 0.000161152 +50 *36:41 *114:10 2.1667e-05 +51 *36:41 *114:15 0.000107516 +52 *51:14 *114:8 1.30473e-05 +53 *57:17 *114:8 0.00010376 +54 *59:19 *114:8 0.000134394 +55 *61:11 *114:8 0 +56 *69:15 *347:A 0.000699716 +57 *69:15 *114:8 1.4426e-05 +58 *69:15 *114:10 4.97926e-05 +59 *69:15 *114:15 0.000250852 +60 *69:15 *114:17 0.00053324 +61 *93:10 *114:46 0.000106461 +62 *93:10 *114:48 2.75449e-05 +63 *105:13 *114:8 0.000161163 *RES -1 *401:X *119:4 9.3 -2 *119:4 *119:18 41.6607 -3 *119:18 *386:RESET_B 40.9911 -4 *119:18 *387:A 10.2464 -5 *119:4 *341:A_N 22.9071 +1 *401:X *114:8 34.9786 +2 *114:8 *114:10 1.94643 +3 *114:10 *114:15 10.8929 +4 *114:15 *114:17 4.64286 +5 *114:17 *347:A 16.8536 +6 *114:17 *384:RESET_B 27.0804 +7 *114:15 *114:46 6.14286 +8 *114:46 *114:48 2.55357 +9 *114:48 *350:A_N 20.675 +10 *114:48 *338:A_N 13.8 +11 *114:46 *337:A 15.5679 +12 *114:10 *385:RESET_B 4.5 +13 *114:8 *348:A_N 14.3357 *END -*D_NET *120 0.0132776 +*D_NET *115 0.0115278 *CONN -*I *329:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *327:A_N I *D sky130_fd_sc_hd__nand2b_2 -*I *326:A I *D sky130_fd_sc_hd__or2_0 *I *382:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *336:A I *D sky130_fd_sc_hd__or2_0 -*I *337:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *401:A I *D sky130_fd_sc_hd__buf_2 +*I *349:A I *D sky130_fd_sc_hd__or2_0 +*I *352:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *351:A I *D sky130_fd_sc_hd__or2_0 +*I *386:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 *I *383:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 *I *402:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *329:A_N 0.00020662 -2 *327:A_N 1.98887e-05 -3 *326:A 0.000638697 -4 *382:RESET_B 0.000710727 -5 *336:A 0 -6 *337:A_N 0 -7 *383:RESET_B 0.000864645 -8 *402:X 0.000135932 -9 *120:81 0.000666139 -10 *120:47 0.00114654 -11 *120:27 0.00183254 -12 *120:8 0.000273288 -13 *120:7 0.000598178 -14 *326:A *312:A 7.86825e-06 -15 *326:A *383:CLK 7.86825e-06 -16 *326:A *132:6 2.29386e-05 -17 *326:A *157:27 0.000189821 -18 *327:A_N *312:A 4.31603e-06 -19 *329:A_N *312:A 1.82679e-05 -20 *329:A_N *360:CLK_N 0.000111487 -21 *382:RESET_B *138:10 0.000107496 -22 *383:RESET_B *384:D 0.000334437 -23 *120:7 *406:A 0.000154145 -24 *120:7 *138:10 1.34424e-05 -25 *120:8 *384:D 4.37926e-05 -26 *120:27 *121:23 8.81872e-06 -27 *120:81 *312:A 2.60242e-05 -28 *120:81 *384:CLK 5.02728e-05 -29 *120:81 *384:D 9.76817e-05 -30 pad_gpio_vtrip_sel *326:A 9.06378e-05 -31 *173:DIODE *120:27 2.37827e-05 -32 *189:DIODE *326:A 4.39881e-05 -33 *195:DIODE *120:47 2.86829e-05 -34 *314:A_N *329:A_N 6.08467e-05 -35 *315:B *120:47 0.000107496 -36 *318:C *120:47 0.000208159 -37 *320:A1 *120:47 5.73775e-05 -38 *321:B1 *120:27 0.000122978 -39 *327:B *120:81 2.16355e-05 -40 *329:B *329:A_N 6.08467e-05 -41 *426:A *120:47 0.00107911 -42 *429:A *383:RESET_B 0.000334437 -43 *429:A *120:8 4.86175e-05 -44 *429:A *120:81 0.000177845 -45 *431:A *120:27 0.000144123 -46 *2:36 *329:A_N 2.77625e-06 -47 *2:46 *120:27 0.000154145 -48 *2:46 *120:47 9.52298e-05 -49 *2:58 *120:47 0.00086765 -50 *10:42 *326:A 3.51785e-06 -51 *13:65 *120:27 3.96696e-05 -52 *33:18 *329:A_N 0.000126762 -53 *36:11 *120:47 3.74005e-05 -54 *39:27 *120:47 3.99086e-06 -55 *42:27 *329:A_N 6.27782e-05 -56 *54:16 *382:RESET_B 4.03621e-05 -57 *81:13 *120:47 0.000271402 -58 *101:8 *120:47 0.000472958 -59 *102:14 *120:27 0.0001195 -60 *109:11 *329:A_N 6.1578e-06 -61 *110:17 *382:RESET_B 6.31355e-05 -62 *118:25 *120:47 7.77309e-06 +1 *382:RESET_B 0.000248285 +2 *401:A 0.000509297 +3 *349:A 1.47608e-05 +4 *352:A_N 0.000105938 +5 *351:A 0 +6 *386:RESET_B 5.69849e-05 +7 *383:RESET_B 0.000532184 +8 *402:X 0 +9 *115:51 0.000237372 +10 *115:42 0.000455189 +11 *115:36 0.000991791 +12 *115:22 0.000920417 +13 *115:16 0.00108048 +14 *115:4 0.000644928 +15 *352:A_N *446:A 0.000109421 +16 *382:RESET_B *360:A 0.000462545 +17 *382:RESET_B *158:21 8.36586e-06 +18 *383:RESET_B *383:CLK 1.56911e-05 +19 *383:RESET_B *402:A 7.92757e-06 +20 *383:RESET_B *127:11 3.51893e-05 +21 *386:RESET_B *420:A 4.48613e-05 +22 *401:A *123:10 0.000731166 +23 *401:A *163:13 1.5252e-05 +24 *115:22 *122:22 7.6098e-05 +25 *115:22 *158:21 7.67332e-05 +26 *115:36 *122:22 6.65414e-05 +27 *115:42 *386:D 2.08804e-05 +28 *115:42 *123:10 0.000450854 +29 *115:51 *120:41 0.000139684 +30 pad_gpio_slow_sel *115:22 3.17436e-05 +31 pad_gpio_slow_sel *115:36 5.87854e-05 +32 pad_gpio_vtrip_sel *115:22 7.46988e-05 +33 *174:DIODE *383:RESET_B 1.87068e-05 +34 *174:DIODE *386:RESET_B 0.000105026 +35 *344:B *401:A 8.67224e-05 +36 *349:B *352:A_N 0.000171677 +37 *369:SET_B *401:A 0.000470378 +38 *369:SET_B *115:42 0.000117721 +39 *376:RESET_B *349:A 6.08467e-05 +40 *396:A *382:RESET_B 0.000316051 +41 *396:A *115:22 7.71816e-05 +42 *398:A *382:RESET_B 0.000132094 +43 *439:A *352:A_N 0.000164842 +44 *439:A *115:51 0.000185159 +45 *1:40 *115:42 0.000291851 +46 *3:17 *401:A 5.34459e-05 +47 *8:29 *383:RESET_B 3.13665e-05 +48 *10:26 *115:22 2.58954e-06 +49 *11:16 *115:42 6.08467e-05 +50 *11:23 *115:42 3.27857e-05 +51 *11:23 *115:51 0.000107496 +52 *36:11 *115:42 0.000110949 +53 *36:11 *115:51 1.58551e-05 +54 *59:19 *383:RESET_B 6.08467e-05 +55 *59:19 *115:16 0.000139947 +56 *61:11 *386:RESET_B 3.29488e-05 +57 *94:60 *349:A 6.08467e-05 +58 *103:8 *115:51 1.2346e-05 +59 *105:13 *401:A 0.00033486 +60 *114:8 *386:RESET_B 0 +61 *114:8 *401:A 4.83193e-05 *RES -1 *402:X *120:7 15.9786 -2 *120:7 *120:8 0.732143 -3 *120:8 *383:RESET_B 9.84821 -4 *383:RESET_B *120:27 18.7768 -5 *120:27 *337:A_N 9.3 -6 *120:27 *120:47 48.875 -7 *120:47 *336:A 9.3 -8 *120:8 *382:RESET_B 6.61607 -9 *382:RESET_B *326:A 27.0178 -10 *120:7 *120:81 11.4464 -11 *120:81 *327:A_N 9.72857 -12 *120:81 *329:A_N 22.55 +1 *402:X *115:4 9.3 +2 *115:4 *383:RESET_B 15.3929 +3 *115:4 *115:16 5.85714 +4 *115:16 *115:22 5.87903 +5 *115:22 *386:RESET_B 9.37429 +6 *115:22 *115:36 3.74527 +7 *115:36 *115:42 13.8661 +8 *115:42 *351:A 9.3 +9 *115:42 *115:51 8 +10 *115:51 *352:A_N 16.9964 +11 *115:51 *349:A 14.3357 +12 *115:36 *401:A 27.367 +13 *115:16 *382:RESET_B 11.3036 *END -*D_NET *121 0.0130926 +*D_NET *116 0.0197078 *CONN -*I *402:A I *D sky130_fd_sc_hd__buf_2 -*I *399:A I *D sky130_fd_sc_hd__buf_2 -*I *401:A I *D sky130_fd_sc_hd__buf_2 -*I *400:A I *D sky130_fd_sc_hd__buf_2 -*I *397:A I *D sky130_fd_sc_hd__buf_2 +*I *330:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *336:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *340:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *335:A I *D sky130_fd_sc_hd__or2_0 +*I *379:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *381:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *329:A I *D sky130_fd_sc_hd__or2_0 *I *403:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *402:A 0 -2 *399:A 0.000450356 -3 *401:A 2.60228e-05 -4 *400:A 0.000423387 -5 *397:A 0.00024007 -6 *403:X 0.000394549 -7 *121:60 0.000746877 -8 *121:30 0.00107041 -9 *121:23 0.0015807 -10 *121:14 0.00129779 -11 *397:A *383:CLK 1.54322e-05 -12 *397:A *383:D 5.04829e-06 -13 *399:A *360:CLK_N 0.000111802 -14 *399:A *162:8 0.000114348 -15 *400:A *311:A 4.73113e-06 -16 *400:A *439:A 0.00023747 -17 *121:14 *383:D 4.86266e-05 -18 *121:14 *388:A 1.43312e-05 -19 *121:14 *145:24 0.000122502 -20 *121:23 *383:D 1.65872e-05 -21 *121:30 *388:A 0.000151349 -22 *121:30 *407:A 5.78902e-05 -23 *121:30 *439:A 0.000555879 -24 *121:60 *406:A 0.000154145 -25 *121:60 *138:10 0.00015607 -26 pad_gpio_dm[0] *400:A 0.000303914 -27 pad_gpio_holdover *401:A 4.45999e-05 -28 *173:DIODE *121:60 5.04829e-06 -29 *319:A_N *400:A 6.36477e-05 -30 *319:B *400:A 6.55312e-05 -31 *339:B *401:A 0.000111802 -32 *341:A_N *400:A 0.000301966 -33 *341:B *400:A 0.000117376 -34 *347:A_N *121:14 0.000160001 -35 *360:SET_B *121:14 0.000577032 -36 *362:SET_B *399:A 0.000132272 -37 *362:SET_B *121:30 0.000204346 -38 *369:SET_B *400:A 1.81789e-05 -39 *372:SET_B *121:14 0.000246031 -40 *425:A *400:A 6.49742e-05 -41 *425:A *121:30 0.000197771 -42 *429:A *121:30 2.60153e-05 -43 *430:A *121:30 4.01117e-05 -44 *431:A *121:23 1.03403e-05 -45 *431:A *121:30 0.000667428 -46 *431:A *121:60 2.33978e-05 -47 *1:34 *121:14 1.95776e-05 -48 *2:36 *399:A 0.000222494 -49 *34:16 *399:A 0.000480001 -50 *39:15 *121:14 0.000107496 -51 *54:16 *121:30 1.07403e-05 -52 *54:16 *121:60 0.000284801 -53 *67:10 *121:30 0 -54 *67:10 *121:60 0.000284801 -55 *69:8 *400:A 7.14062e-05 -56 *100:22 *399:A 4.45999e-05 -57 *102:14 *121:30 2.0456e-06 -58 *117:17 *399:A 0.000177683 -59 *120:27 *121:23 8.81872e-06 +1 *330:A_N 0.000353905 +2 *336:A_N 0.00103471 +3 *340:A_N 0.000906354 +4 *335:A 0.000147192 +5 *379:RESET_B 0.000665956 +6 *381:RESET_B 0 +7 *329:A 9.07019e-05 +8 *403:X 0.00159095 +9 *116:80 0.00210696 +10 *116:54 0.0014533 +11 *116:31 0.000661671 +12 *116:28 0.00137905 +13 *116:14 0.00194688 +14 *330:A_N *366:CLK_N 9.9653e-05 +15 *335:A *366:CLK_N 1.70851e-05 +16 *335:A *146:10 0.000145174 +17 *336:A_N *375:D 6.08467e-05 +18 *336:A_N *413:A 2.57847e-05 +19 *340:A_N *366:D 1.41307e-05 +20 *340:A_N *142:8 6.08467e-05 +21 *379:RESET_B *379:D 9.97764e-05 +22 *379:RESET_B *122:54 0.000193478 +23 *116:14 *384:CLK 2.98609e-05 +24 *116:14 *403:A 5.04829e-06 +25 *116:14 *137:10 4.7457e-05 +26 *116:28 *384:CLK 3.587e-06 +27 *116:28 *384:D 5.05228e-05 +28 *116:31 *414:A 0.000525633 +29 *116:31 *122:54 0.000138256 +30 *116:54 *366:CLK_N 0.000264473 +31 *116:54 *366:D 9.94284e-06 +32 *116:54 *379:D 0.000333643 +33 *116:54 *380:D 3.96379e-05 +34 *116:54 *381:D 1.1718e-05 +35 *116:54 *391:CLK 0.000257682 +36 *116:54 *163:13 6.3657e-05 +37 *116:80 *375:D 0.000158892 +38 *116:80 *384:D 3.21238e-05 +39 *185:DIODE *336:A_N 1.00766e-05 +40 *211:DIODE *379:RESET_B 0.00017527 +41 *211:DIODE *116:54 4.78069e-06 +42 *326:A *116:14 1.66626e-05 +43 *336:B *336:A_N 0.000107496 +44 *340:B *340:A_N 0.00032691 +45 *355:A *116:14 8.36586e-06 +46 *355:A *116:28 0.000280818 +47 *355:A *116:31 3.21735e-05 +48 *366:RESET_B *340:A_N 0.000134167 +49 *366:SET_B *330:A_N 0.000107496 +50 *366:SET_B *335:A 3.78678e-05 +51 *366:SET_B *340:A_N 1.06708e-05 +52 *369:SET_B *336:A_N 0.000302705 +53 *370:SET_B *336:A_N 4.86175e-05 +54 *371:SET_B *340:A_N 0.000184083 +55 *384:RESET_B *116:80 7.84129e-05 +56 *394:A *330:A_N 5.04829e-06 +57 *396:A *330:A_N 2.57465e-06 +58 *399:A *116:14 0.000780255 +59 *438:A *330:A_N 1.65872e-05 +60 *440:A *335:A 0.00010515 +61 *3:17 *116:31 3.14126e-05 +62 *3:23 *116:31 0.000476933 +63 *5:26 *336:A_N 3.64636e-05 +64 *6:7 *116:31 0 +65 *6:12 *329:A 6.46815e-05 +66 *6:12 *116:28 0.000121172 +67 *6:21 *329:A 4.76794e-05 +68 *6:21 *116:14 3.66361e-05 +69 *8:29 *116:14 1.91625e-05 +70 *8:34 *116:14 1.19971e-05 +71 *9:24 *329:A 1.88563e-05 +72 *10:26 *330:A_N 0.000419057 +73 *10:26 *335:A 0 +74 *10:26 *336:A_N 7.09558e-05 +75 *59:19 *330:A_N 0.000145355 +76 *59:19 *336:A_N 7.40736e-05 +77 *69:15 *336:A_N 7.11426e-05 +78 *92:7 *330:A_N 1.58551e-05 +79 *103:8 *340:A_N 0.0002436 *RES -1 *403:X *121:14 30.05 -2 *121:14 *397:A 12.1036 -3 *121:14 *121:23 6.69643 -4 *121:23 *121:30 22.8929 -5 *121:30 *400:A 33.9071 -6 *121:30 *401:A 14.7464 -7 *121:23 *121:60 15.7857 -8 *121:60 *399:A 29.7464 -9 *121:60 *402:A 9.3 +1 *403:X *116:14 48.5321 +2 *116:14 *329:A 15.5857 +3 *116:14 *116:28 10.0357 +4 *116:28 *116:31 15.8571 +5 *116:31 *381:RESET_B 4.5 +6 *116:31 *379:RESET_B 11.6696 +7 *379:RESET_B *116:54 17.0625 +8 *116:54 *335:A 21.4607 +9 *116:54 *340:A_N 25.2107 +10 *116:28 *116:80 12.4286 +11 *116:80 *336:A_N 38.7107 +12 *116:80 *330:A_N 21.6571 *END -*D_NET *122 0.00446331 +*D_NET *117 0.0230848 *CONN -*I *360:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *312:Y O *D sky130_fd_sc_hd__inv_2 +*I *328:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *380:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *378:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *339:A I *D sky130_fd_sc_hd__or2_0 +*I *403:A I *D sky130_fd_sc_hd__buf_2 +*I *404:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *360:CLK_N 0.00143308 -2 *312:Y 0.00143308 -3 *360:CLK_N *360:D 4.23858e-05 -4 *360:CLK_N *412:A 8.4946e-05 -5 *329:A_N *360:CLK_N 0.000111487 -6 *360:RESET_B *360:CLK_N 7.61353e-05 -7 *398:A *360:CLK_N 0.000353661 -8 *399:A *360:CLK_N 0.000111802 -9 *33:18 *360:CLK_N 0.000123228 -10 *100:22 *360:CLK_N 0.000171651 -11 *109:11 *360:CLK_N 0.000414369 -12 *117:7 *360:CLK_N 0.000107496 +1 *328:A_N 0.000913882 +2 *380:RESET_B 0.0010736 +3 *378:RESET_B 4.20692e-05 +4 *339:A 0.000317332 +5 *403:A 0.000614517 +6 *404:X 0.00135313 +7 *117:52 0.0011937 +8 *117:51 0.00405038 +9 *117:26 0.00414467 +10 *117:11 0.00273652 +11 *328:A_N *391:D 0.000245172 +12 *378:RESET_B *164:15 2.22931e-05 +13 *380:RESET_B *391:D 0.00119017 +14 *403:A *137:10 0.000110297 +15 *117:11 *406:A 0 +16 *117:11 *444:A 6.47397e-05 +17 *117:11 *132:21 0.00016386 +18 *117:52 *391:D 2.84704e-05 +19 one *117:11 0 +20 pad_gpio_ana_pol *117:11 0.000155206 +21 pad_gpio_ana_sel *339:A 1.05084e-06 +22 pad_gpio_ana_sel *117:11 0 +23 pad_gpio_dm[0] *117:11 0.000161956 +24 serial_data_out *328:A_N 0.000284572 +25 serial_data_out *378:RESET_B 1.66771e-05 +26 serial_data_out *117:51 9.10173e-05 +27 serial_data_out *117:52 2.77394e-05 +28 *183:DIODE *380:RESET_B 0 +29 *183:DIODE *117:51 6.03237e-05 +30 *212:DIODE *403:A 3.98812e-06 +31 *328:B *328:A_N 0.0014907 +32 *371:SET_B *117:51 0.000120993 +33 *376:SET_B *339:A 0 +34 *426:A *403:A 4.94e-06 +35 *429:A *117:11 5.39635e-06 +36 *5:19 *380:RESET_B 3.58267e-05 +37 *5:26 *339:A 3.8713e-05 +38 *7:24 *117:51 0.000275791 +39 *8:29 *380:RESET_B 1.89382e-05 +40 *9:15 *380:RESET_B 5.60804e-05 +41 *13:11 *117:51 0 +42 *13:40 *117:51 0.000293893 +43 *36:41 *403:A 1.66771e-05 +44 *38:17 *328:A_N 0.0015956 +45 *61:11 *117:51 5.46759e-05 +46 *102:8 *328:A_N 4.20184e-06 +47 *116:14 *403:A 5.04829e-06 *RES -1 *312:Y *360:CLK_N 49.9929 +1 *404:X *117:11 22.0564 +2 *117:11 *403:A 19.309 +3 *117:11 *117:26 4.7354 +4 *117:26 *339:A 21.6437 +5 *117:26 *117:51 20.4281 +6 *117:51 *117:52 0.191133 +7 *117:52 *378:RESET_B 8.66 +8 *117:52 *380:RESET_B 10.7088 +9 *117:51 *328:A_N 20.7047 *END -*D_NET *123 0.00813848 +*D_NET *118 0.0151867 *CONN -*I *311:A I *D sky130_fd_sc_hd__inv_2 -*I *388:A I *D sky130_fd_sc_hd__ebufn_8 -*I *421:X O *D sky130_fd_sc_hd__buf_2 +*I *388:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *387:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *333:A I *D sky130_fd_sc_hd__or2_0 +*I *334:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *332:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *331:A I *D sky130_fd_sc_hd__or2_0 +*I *342:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *405:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *311:A 0.000894813 -2 *388:A 0.00132321 -3 *421:X 0 -4 *123:4 0.00221802 -5 *388:A *417:A 8.19374e-05 -6 *388:A *439:A 4.59816e-06 -7 pad_gpio_out *388:A 1.65872e-05 -8 *174:DIODE *311:A 0.000205336 -9 *195:DIODE *311:A 0.000150254 -10 *210:DIODE *311:A 0.000132748 -11 *212:DIODE *311:A 8.92564e-06 -12 *318:B *388:A 0.000154145 -13 *319:A_N *311:A 0.000793037 -14 *367:SET_B *388:A 5.37479e-05 -15 *369:SET_B *311:A 0.000883018 -16 *388:TE_B *388:A 0.000345401 -17 *400:A *311:A 4.73113e-06 -18 *425:A *388:A 0.000169164 -19 *13:65 *311:A 0.00013538 -20 *14:13 *388:A 7.13972e-05 -21 *15:8 *311:A 0.000100742 -22 *18:5 *311:A 0.000141078 -23 *39:15 *388:A 5.98037e-05 -24 *69:8 *311:A 2.47303e-05 -25 *121:14 *388:A 1.43312e-05 -26 *121:30 *388:A 0.000151349 +1 *388:RESET_B 4.03257e-05 +2 *387:RESET_B 4.21461e-05 +3 *333:A 0.000213087 +4 *334:A_N 0.000137193 +5 *332:A_N 2.12792e-05 +6 *331:A 0.000400168 +7 *342:A_N 0 +8 *405:X 0.00103232 +9 *118:62 0.00137526 +10 *118:55 0.00114906 +11 *118:35 0.000511281 +12 *118:32 0.000713715 +13 *118:26 0.00096659 +14 *118:13 0.00141663 +15 *331:A *367:D 2.23108e-05 +16 *331:A *368:CLK_N 0.000389322 +17 *331:A *387:D 2.61955e-05 +18 *118:13 *392:A 2.58616e-05 +19 *118:13 *428:A 6.27718e-05 +20 *118:13 *120:90 6.2191e-05 +21 *118:26 *388:D 2.86829e-05 +22 *118:26 *444:A 3.56119e-05 +23 *118:35 *367:D 2.47713e-05 +24 *118:35 *368:CLK_N 0.000249368 +25 *118:62 *389:D 9.43222e-06 +26 serial_clock_out *333:A 8.26574e-05 +27 serial_clock_out *334:A_N 2.012e-05 +28 *185:DIODE *388:RESET_B 4.59816e-06 +29 *185:DIODE *118:32 1.43136e-05 +30 *195:DIODE *118:13 0.000266366 +31 *321:A_N *118:13 9.72261e-06 +32 *321:A_N *118:26 3.13805e-06 +33 *323:A_N *118:13 0.000462844 +34 *323:B *118:13 0.000715623 +35 *325:A2 *333:A 5.80533e-06 +36 *334:B *334:A_N 3.82228e-05 +37 *354:A *118:26 2.86799e-05 +38 *354:A *118:32 0 +39 *367:RESET_B *331:A 1.37669e-05 +40 *367:SET_B *118:35 1.03403e-05 +41 *368:SET_B *334:A_N 7.53431e-05 +42 *372:SET_B *118:26 3.99086e-06 +43 *374:SET_B *118:26 0.000151097 +44 *389:CLK *118:62 0.000343703 +45 *398:A *118:62 1.64821e-05 +46 *433:A *331:A 1.21461e-06 +47 *435:A *118:13 2.41274e-06 +48 *435:A *118:26 0.000294093 +49 *437:A *331:A 0.000135866 +50 *4:23 *331:A 8.51781e-05 +51 *4:23 *118:32 0.00033329 +52 *4:23 *118:55 0.000104943 +53 *4:23 *118:62 0.000153119 +54 *4:42 *118:13 0.000229491 +55 *11:13 *331:A 0.000201933 +56 *12:28 *331:A 0.00025175 +57 *13:50 *118:13 5.04423e-06 +58 *28:19 *118:13 0 +59 *36:11 *118:26 0.000534606 +60 *95:5 *118:62 0.000379117 +61 *97:15 *118:26 0.00020561 +62 *99:10 *118:13 0.000213366 +63 *102:8 *333:A 0.000418389 +64 *102:8 *334:A_N 0.000128854 +65 *103:8 *331:A 0.000286066 *RES -1 *421:X *123:4 9.3 -2 *123:4 *388:A 36.8714 -3 *123:4 *311:A 42.2643 +1 *405:X *118:13 40.4964 +2 *118:13 *342:A_N 9.3 +3 *118:13 *118:26 19.3571 +4 *118:26 *118:32 12.7143 +5 *118:32 *118:35 6.67857 +6 *118:35 *331:A 28.2643 +7 *118:35 *332:A_N 9.72857 +8 *118:32 *118:55 1.64286 +9 *118:55 *118:62 21.6429 +10 *118:62 *334:A_N 16.6929 +11 *118:62 *333:A 19.3893 +12 *118:55 *387:RESET_B 5.03571 +13 *118:26 *388:RESET_B 0.901786 *END -*D_NET *124 0.00432385 +*D_NET *119 0.0223415 *CONN -*I *361:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *348:Y O *D sky130_fd_sc_hd__inv_2 +*I *345:A I *D sky130_fd_sc_hd__or2_0 +*I *344:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *327:A I *D sky130_fd_sc_hd__or2_0 +*I *390:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *389:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *343:A I *D sky130_fd_sc_hd__or2_0 +*I *346:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *406:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *361:CLK_N 0 -2 *348:Y 0.00133609 -3 *124:13 0.00133609 -4 *124:13 *351:A 1.9812e-05 -5 *124:13 *364:CLK_N 0.00052383 -6 *124:13 *386:D 3.24737e-06 -7 *124:13 *129:15 0.000556896 -8 *124:13 *137:9 1.83992e-05 -9 *124:13 *158:24 0.000141399 -10 *386:RESET_B *124:13 0.000307892 -11 *435:A *124:13 6.34773e-05 -12 *7:11 *124:13 0 -13 *116:50 *124:13 1.67286e-05 +1 *345:A 0.000129049 +2 *344:A_N 0.000851672 +3 *327:A 0.000357575 +4 *390:RESET_B 3.23688e-05 +5 *389:RESET_B 0 +6 *343:A 0.00165391 +7 *346:A_N 0 +8 *406:X 0.000109869 +9 *119:79 0.00172244 +10 *119:70 0.000710111 +11 *119:59 0.000310192 +12 *119:37 0.00281351 +13 *119:18 0.00219216 +14 *119:5 0.00141712 +15 *327:A *120:30 6.4986e-05 +16 *327:A *131:8 6.08467e-05 +17 *327:A *146:10 0.000457582 +18 *343:A *360:A 4.46199e-05 +19 *343:A *382:CLK 5.20546e-06 +20 *343:A *143:19 0.000112936 +21 *343:A *157:31 0.000109578 +22 *344:A_N *146:10 0.00080275 +23 *344:A_N *164:15 8.37281e-05 +24 *345:A *404:A 1.12613e-05 +25 *390:RESET_B *390:D 1.83409e-05 +26 *119:18 *404:A 4.79731e-05 +27 *119:18 *444:A 0 +28 *119:18 *132:21 7.72414e-06 +29 *119:37 *372:D 2.97532e-05 +30 *119:37 *122:22 0.000520459 +31 *119:70 *163:13 3.82228e-05 +32 *119:79 *390:D 5.7657e-05 +33 *119:79 *391:D 1.5714e-05 +34 *119:79 *131:8 0.000111523 +35 pad_gpio_ana_pol *119:18 0 +36 pad_gpio_dm[0] *345:A 0.000208587 +37 pad_gpio_dm[0] *119:18 0.000105464 +38 pad_gpio_vtrip_sel *343:A 0 +39 resetn_out *119:79 0 +40 user_gpio_in *344:A_N 0.000111884 +41 *181:DIODE *390:RESET_B 4.99006e-05 +42 *181:DIODE *119:59 1.83409e-05 +43 *181:DIODE *119:70 0.000103854 +44 *181:DIODE *119:79 0.000367085 +45 *195:DIODE *345:A 6.08467e-05 +46 *330:B *343:A 0.000237366 +47 *332:B *119:37 1.37385e-05 +48 *332:B *119:59 5.38612e-06 +49 *343:B *343:A 0.000304838 +50 *344:B *119:70 1.82679e-05 +51 *354:A *119:37 1.07717e-05 +52 *359:A *119:37 4.34146e-05 +53 *365:RESET_B *119:79 0.000123221 +54 *366:SET_B *343:A 1.09113e-05 +55 *373:SET_B *344:A_N 5.85486e-05 +56 *377:SET_B *119:37 0.000284295 +57 *398:A *343:A 4.65615e-06 +58 *429:A *119:18 4.47179e-05 +59 *434:A *119:18 7.28994e-06 +60 *434:A *119:37 0.000586258 +61 *439:A *327:A 2.1203e-06 +62 *3:17 *343:A 0.000119766 +63 *4:25 *119:18 8.36586e-06 +64 *4:29 *119:18 0.000464749 +65 *4:42 *345:A 6.08467e-05 +66 *5:26 *343:A 4.10791e-05 +67 *13:40 *119:70 3.88213e-05 +68 *34:7 *343:A 0.00165012 +69 *34:7 *119:59 0.000251769 +70 *38:17 *119:37 0.000427789 +71 *67:10 *345:A 0.000226424 +72 *67:10 *119:5 6.08467e-05 +73 *92:7 *343:A 0.000219318 +74 *94:60 *119:37 0.000138257 +75 *97:15 *119:70 0 +76 *105:13 *343:A 0.000799918 +77 *105:13 *119:59 5.20784e-05 +78 *113:25 *327:A 6.27782e-05 +79 *113:25 *119:37 3.59786e-05 *RES -1 *348:Y *124:13 40.9964 -2 *124:13 *361:CLK_N 9.3 +1 *406:X *119:5 10.6571 +2 *119:5 *119:18 22.1607 +3 *119:18 *346:A_N 13.8 +4 *119:18 *119:37 35.2939 +5 *119:37 *343:A 43.6964 +6 *119:37 *119:59 4.6292 +7 *119:59 *389:RESET_B 4.5 +8 *119:59 *119:70 12.1964 +9 *119:70 *390:RESET_B 5.23214 +10 *119:70 *119:79 14.9464 +11 *119:79 *327:A 23.6929 +12 *119:79 *344:A_N 20.7286 +13 *119:5 *345:A 13.8893 *END -*D_NET *125 0.00115729 +*D_NET *120 0.0297946 *CONN -*I *362:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *349:Y O *D sky130_fd_sc_hd__inv_2 +*I *393:A I *D sky130_fd_sc_hd__buf_2 +*I *210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *406:A I *D sky130_fd_sc_hd__buf_2 +*I *341:A I *D sky130_fd_sc_hd__or2_0 +*I *190:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *405:A I *D sky130_fd_sc_hd__buf_2 +*I *214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *209:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *391:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *407:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *362:CLK_N 0.000216702 -2 *349:Y 0.000216702 -3 *362:CLK_N *409:A 0.000109427 -4 *362:CLK_N *158:70 0.00028283 -5 *344:A *362:CLK_N 2.57847e-05 -6 *349:A *362:CLK_N 0.000285948 -7 *371:RESET_B *362:CLK_N 1.9898e-05 +1 *393:A 0 +2 *210:DIODE 0 +3 *406:A 0.000339606 +4 *341:A 0.000995057 +5 *190:DIODE 0 +6 *405:A 0 +7 *214:DIODE 0 +8 *213:DIODE 0.000137668 +9 *209:DIODE 0.00090567 +10 *391:RESET_B 0 +11 *407:X 0.00053446 +12 *120:96 0.00102153 +13 *120:90 0.000327712 +14 *120:79 0.000914265 +15 *120:70 0.00119616 +16 *120:52 0.0011721 +17 *120:48 0.00124048 +18 *120:46 0.00045862 +19 *120:41 0.0015861 +20 *120:30 0.00304187 +21 *120:12 0.00225986 +22 *209:DIODE *123:7 0.000453386 +23 *213:DIODE *448:A 7.58194e-05 +24 *213:DIODE *123:7 7.19281e-05 +25 *120:12 *122:54 0.000358403 +26 *120:30 *131:8 0.000244885 +27 *120:30 *142:8 1.77894e-05 +28 *120:30 *146:10 0.00143519 +29 *120:41 *387:D 0.000182181 +30 *120:41 *123:10 0.000422086 +31 *120:41 *142:8 7.44434e-06 +32 *120:48 *123:10 9.91419e-05 +33 *120:52 *123:10 2.98969e-05 +34 *120:90 *392:A 9.45446e-05 +35 *120:90 *428:A 5.50788e-05 +36 one *120:48 7.13655e-06 +37 one *120:70 0.000124349 +38 user_gpio_in *120:30 1.53815e-05 +39 zero *209:DIODE 0.000212426 +40 *175:DIODE *120:90 0 +41 *178:DIODE *209:DIODE 0.000171904 +42 *184:DIODE *120:46 0.00052167 +43 *184:DIODE *120:48 0.000259151 +44 *195:DIODE *120:90 2.2766e-06 +45 *215:DIODE *120:79 1.16032e-05 +46 *216:DIODE *120:90 0.000398946 +47 *327:A *120:30 6.4986e-05 +48 *377:RESET_B *120:41 0.000146652 +49 *390:CLK *120:41 0.000258253 +50 *439:A *120:30 2.77676e-05 +51 *439:A *120:41 0.000465739 +52 *440:A *120:12 1.86879e-05 +53 *1:40 *120:41 0.000425444 +54 *1:40 *120:46 0.00049606 +55 *1:40 *120:48 5.03545e-06 +56 *2:37 *341:A 3.82228e-05 +57 *2:51 *341:A 4.47179e-05 +58 *3:44 *120:70 0.0014559 +59 *3:44 *120:79 0.000171783 +60 *4:23 *120:30 6.33443e-05 +61 *5:26 *120:46 8.33721e-06 +62 *7:47 *120:41 1.66626e-05 +63 *8:29 *120:12 2.58497e-05 +64 *8:29 *120:30 3.73211e-05 +65 *13:50 *341:A 0.00136043 +66 *13:50 *120:90 0.000306769 +67 *13:50 *120:96 1.88422e-05 +68 *15:8 *120:79 2.11433e-05 +69 *23:9 *120:90 0.000403234 +70 *39:7 *120:41 6.08467e-05 +71 *39:7 *120:46 6.08467e-05 +72 *61:11 *120:46 1.03594e-05 +73 *94:60 *120:41 0.000346979 +74 *99:10 *406:A 3.03791e-05 +75 *103:8 *120:41 0.0017983 +76 *115:51 *120:41 0.000139684 +77 *117:11 *406:A 0 +78 *118:13 *120:90 6.2191e-05 *RES -1 *349:Y *362:CLK_N 33.4393 +1 *407:X *120:12 21.9786 +2 *120:12 *391:RESET_B 4.5 +3 *120:12 *120:30 32.2054 +4 *120:30 *120:41 49.3125 +5 *120:41 *120:46 13.0536 +6 *120:46 *120:48 4.98214 +7 *120:48 *120:52 6.75 +8 *120:52 *209:DIODE 22.7107 +9 *120:52 *213:DIODE 12.3 +10 *120:48 *120:70 16.5357 +11 *120:70 *214:DIODE 9.3 +12 *120:70 *120:79 8.71429 +13 *120:79 *120:90 22.1786 +14 *120:90 *405:A 9.3 +15 *120:90 *120:96 0.535714 +16 *120:96 *190:DIODE 9.3 +17 *120:96 *341:A 39.7821 +18 *120:79 *406:A 18.0321 +19 *120:46 *210:DIODE 13.8 +20 *120:41 *393:A 9.3 *END -*D_NET *126 0.00744926 +*D_NET *121 0.00301022 *CONN -*I *363:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *350:Y O *D sky130_fd_sc_hd__inv_2 +*I *326:B I *D sky130_fd_sc_hd__and2_2 +*I *392:A I *D sky130_fd_sc_hd__buf_2 +*I *425:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *363:CLK_N 0.000560029 -2 *350:Y 0.00133082 -3 *126:10 0.00189085 -4 *363:CLK_N *368:CLK_N 0.000204651 -5 *363:CLK_N *383:D 2.30636e-05 -6 *363:CLK_N *410:A 6.08467e-05 -7 *363:CLK_N *418:A 4.23858e-05 -8 *363:CLK_N *151:8 9.75148e-06 -9 *363:CLK_N *158:70 0.000539163 -10 *126:10 *373:CLK 6.08467e-05 -11 *126:10 *373:D 0.000101986 -12 *366:RESET_B *126:10 0.000177979 -13 *379:RESET_B *363:CLK_N 0.000451209 -14 *435:A *126:10 0.000803011 -15 *3:28 *363:CLK_N 5.481e-05 -16 *34:25 *126:10 0.000854206 -17 *53:16 *126:10 0.000283649 +1 *326:B 0.000345099 +2 *392:A 0.000327926 +3 *425:X 0.000114467 +4 *121:7 0.000787492 +5 *326:B *122:11 6.58523e-05 +6 pad_gpio_dm[0] *121:7 0.000154145 +7 *175:DIODE *326:B 0.000314471 +8 *175:DIODE *392:A 0.000382134 +9 *323:A_N *326:B 6.27718e-05 +10 *325:A1 *326:B 2.16355e-05 +11 *4:42 *326:B 0 +12 *24:9 *326:B 0.000271447 +13 *113:14 *326:B 4.2372e-05 +14 *118:13 *392:A 2.58616e-05 +15 *120:90 *392:A 9.45446e-05 *RES -1 *350:Y *126:10 46.4071 -2 *126:10 *363:CLK_N 21.3536 +1 *425:X *121:7 15.1571 +2 *121:7 *392:A 19.925 +3 *121:7 *326:B 21.9964 *END -*D_NET *127 0.00287322 +*D_NET *122 0.01723 *CONN -*I *364:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *351:Y O *D sky130_fd_sc_hd__inv_2 +*I *404:A I *D sky130_fd_sc_hd__buf_2 +*I *407:A I *D sky130_fd_sc_hd__buf_2 +*I *402:A I *D sky130_fd_sc_hd__buf_2 +*I *408:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *364:CLK_N 0.000675699 -2 *351:Y 0.000675699 -3 *364:CLK_N *351:A 0.000174537 -4 *364:CLK_N *374:D 4.82426e-05 -5 *364:CLK_N *414:A 0.000100956 -6 *364:CLK_N *158:24 0.000363262 -7 *183:DIODE *364:CLK_N 0.000115153 -8 *374:RESET_B *364:CLK_N 8.4771e-05 -9 *117:34 *364:CLK_N 0.000111067 -10 *124:13 *364:CLK_N 0.00052383 +1 *404:A 0.000268906 +2 *407:A 0 +3 *402:A 0.00012362 +4 *408:X 0.000588489 +5 *122:54 0.00125527 +6 *122:22 0.00317317 +7 *122:11 0.00265167 +8 *402:A *420:A 0.000154145 +9 *122:22 *372:CLK_N 0.000122992 +10 *122:22 *158:21 0.00015065 +11 *122:54 *360:A 0.000109569 +12 *122:54 *368:CLK_N 0.0008048 +13 *122:54 *370:CLK_N 0.000295445 +14 *122:54 *370:D 0.000158451 +15 *122:54 *383:D 5.51483e-06 +16 *122:54 *127:11 0.000110426 +17 *122:54 *148:9 6.03248e-05 +18 *122:54 *151:10 0.00025777 +19 *122:54 *158:21 6.00706e-05 +20 pad_gpio_ana_sel *122:11 0.000631375 +21 pad_gpio_ana_sel *122:22 0.000793973 +22 pad_gpio_dm[0] *404:A 9.15824e-05 +23 pad_gpio_dm[1] *122:11 6.60214e-05 +24 pad_gpio_dm[1] *122:22 0.000136949 +25 pad_gpio_slow_sel *122:22 6.44155e-05 +26 *174:DIODE *402:A 0.000127654 +27 *175:DIODE *122:11 3.27606e-06 +28 *323:B *122:11 1.41214e-05 +29 *326:B *122:11 6.58523e-05 +30 *345:A *404:A 1.12613e-05 +31 *370:SET_B *122:22 0 +32 *377:SET_B *122:22 6.56354e-05 +33 *379:RESET_B *122:54 0.000193478 +34 *383:RESET_B *402:A 7.92757e-06 +35 *429:A *404:A 0.000357307 +36 *430:A *404:A 5.05869e-05 +37 *434:A *122:22 0.00109693 +38 *440:A *122:54 7.07939e-05 +39 *3:17 *122:54 3.61045e-05 +40 *3:23 *122:54 0.000132349 +41 *5:26 *122:22 0.000112912 +42 *5:26 *122:54 0.00016837 +43 *6:7 *122:54 0 +44 *7:24 *122:22 0.000649975 +45 *7:47 *122:22 0.000564184 +46 *11:32 *122:22 2.02509e-05 +47 *24:9 *122:11 8.79845e-05 +48 *59:19 *402:A 4.97617e-05 +49 *67:10 *404:A 0 +50 *115:22 *122:22 7.6098e-05 +51 *115:36 *122:22 6.65414e-05 +52 *116:31 *122:54 0.000138256 +53 *119:18 *404:A 4.79731e-05 +54 *119:37 *122:22 0.000520459 +55 *120:12 *122:54 0.000358403 *RES -1 *351:Y *364:CLK_N 44.7964 +1 *408:X *122:11 21.4879 +2 *122:11 *122:22 30.3616 +3 *122:22 *402:A 16.8 +4 *122:22 *122:54 44.5 +5 *122:54 *407:A 9.3 +6 *122:11 *404:A 23.5582 *END -*D_NET *128 0.0034991 +*D_NET *123 0.0107346 *CONN *I *365:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *352:Y O *D sky130_fd_sc_hd__inv_2 +*I *317:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *365:CLK_N 0.0010605 -2 *352:Y 0.0010605 -3 *365:CLK_N *371:CLK_N 6.65668e-05 -4 *365:CLK_N *440:A 0.000227044 -5 *365:CLK_N *157:21 0.000224215 -6 *213:DIODE *365:CLK_N 0.000289338 -7 *391:A *365:CLK_N 0.000304859 -8 *5:17 *365:CLK_N 7.5848e-05 -9 *9:14 *365:CLK_N 0.000185193 -10 *32:15 *365:CLK_N 5.04829e-06 +1 *365:CLK_N 0 +2 *317:Y 0.000425877 +3 *123:10 0.00164715 +4 *123:7 0.00207303 +5 *123:7 *129:10 0.000708646 +6 *123:7 *158:63 0.000276531 +7 *123:7 *158:65 4.58907e-05 +8 *123:7 *158:67 6.88795e-05 +9 *123:7 *158:77 0.000464649 +10 *123:10 *412:A 0.000183794 +11 *123:10 *445:A 4.8121e-05 +12 *123:10 *153:10 6.37725e-05 +13 *177:DIODE *123:10 0.000135811 +14 *178:DIODE *123:7 1.88422e-05 +15 *202:DIODE *123:10 0.000183794 +16 *209:DIODE *123:7 0.000453386 +17 *213:DIODE *123:7 7.19281e-05 +18 *376:RESET_B *123:10 5.77383e-05 +19 *386:CLK *123:10 0.000346217 +20 *401:A *123:10 0.000731166 +21 *1:40 *123:10 0.000581273 +22 *2:19 *123:10 0.000320234 +23 *3:7 *123:10 0.0003728 +24 *11:13 *123:10 0.000236253 +25 *105:13 *123:10 7.69594e-06 +26 *111:13 *123:10 0.000209093 +27 *115:42 *123:10 0.000450854 +28 *120:41 *123:10 0.000422086 +29 *120:48 *123:10 9.91419e-05 +30 *120:52 *123:10 2.98969e-05 *RES -1 *352:Y *365:CLK_N 43.6714 +1 *317:Y *123:7 30.7643 +2 *123:7 *123:10 48.0357 +3 *123:10 *365:CLK_N 9.3 *END -*D_NET *129 0.0042247 +*D_NET *124 0.00855195 *CONN *I *366:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 *I *353:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *366:CLK_N 0 -2 *353:Y 0.00137195 -3 *129:15 0.00137195 -4 *129:15 *366:D 0.000157865 -5 *129:15 *375:D 9.46036e-05 -6 *129:15 *158:10 3.44412e-06 -7 *129:15 *158:17 0.000161124 -8 *129:15 *158:24 2.50929e-05 -9 *129:15 *164:16 3.55842e-05 -10 *173:DIODE *129:15 7.86825e-06 -11 *375:CLK *129:15 6.12919e-06 -12 *375:RESET_B *129:15 0.000102546 -13 *7:11 *129:15 0.000115134 -14 *7:19 *129:15 0.000214508 -15 *124:13 *129:15 0.000556896 +1 *366:CLK_N 0.00150572 +2 *353:Y 0.000661141 +3 *124:7 0.00216686 +4 *366:CLK_N *371:CLK_N 0.000959463 +5 *366:CLK_N *375:CLK_N 0.000135613 +6 *366:CLK_N *381:D 6.36477e-05 +7 *366:CLK_N *391:CLK 3.13142e-05 +8 *366:CLK_N *129:10 1.91821e-05 +9 *366:CLK_N *163:13 0.000128218 +10 *124:7 *158:8 0.000159016 +11 *124:7 *158:55 0.000207026 +12 *211:DIODE *366:CLK_N 7.82329e-05 +13 *212:DIODE *366:CLK_N 0.000978333 +14 *330:A_N *366:CLK_N 9.9653e-05 +15 *335:A *366:CLK_N 1.70851e-05 +16 *350:A_N *366:CLK_N 5.46103e-05 +17 *366:SET_B *366:CLK_N 0 +18 *394:A *366:CLK_N 6.94612e-05 +19 *10:41 *124:7 0.000118672 +20 *36:41 *366:CLK_N 0.000114976 +21 *59:19 *366:CLK_N 0.000650098 +22 *93:10 *366:CLK_N 2.71751e-05 +23 *114:48 *366:CLK_N 4.19783e-05 +24 *116:54 *366:CLK_N 0.000264473 *RES -1 *353:Y *129:15 44.5679 -2 *129:15 *366:CLK_N 9.3 +1 *353:Y *124:7 21.3179 +2 *124:7 *366:CLK_N 49.9786 *END -*D_NET *130 0.0015301 +*D_NET *125 0.00096351 *CONN *I *367:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 *I *354:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *367:CLK_N 0.000498731 -2 *354:Y 0.000498731 -3 *367:CLK_N *145:24 0 -4 pad_gpio_dm[1] *367:CLK_N 1.66771e-05 -5 *323:B *367:CLK_N 1.60111e-05 -6 *347:A_N *367:CLK_N 0.000162128 -7 *360:SET_B *367:CLK_N 2.81826e-05 -8 *370:RESET_B *367:CLK_N 2.16355e-05 -9 *372:SET_B *367:CLK_N 0.000141811 -10 *427:A *367:CLK_N 2.51711e-05 -11 *98:17 *367:CLK_N 0.000121018 +1 *367:CLK_N 0.000165336 +2 *354:Y 0.000165336 +3 *367:CLK_N *361:A 6.3657e-05 +4 *367:CLK_N *133:15 0.000244521 +5 *174:DIODE *367:CLK_N 1.17054e-05 +6 *374:SET_B *367:CLK_N 0.000297086 +7 *436:A *367:CLK_N 5.04829e-06 +8 *101:12 *367:CLK_N 1.08183e-05 *RES -1 *354:Y *367:CLK_N 37.3321 +1 *354:Y *367:CLK_N 32.2071 *END -*D_NET *131 0.00216355 +*D_NET *126 0.00684348 *CONN *I *368:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 *I *355:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *368:CLK_N 0.000489914 -2 *355:Y 0.000489914 -3 *368:CLK_N *385:D 0.000192847 -4 *368:CLK_N *145:24 0.000604888 -5 *360:SET_B *368:CLK_N 0.000174304 -6 *363:CLK_N *368:CLK_N 0.000204651 -7 *1:34 *368:CLK_N 7.03112e-06 +1 *368:CLK_N 0.00253601 +2 *355:Y 0.00253601 +3 *368:CLK_N *367:D 2.50773e-06 +4 *368:CLK_N *370:CLK_N 5.96856e-05 +5 *368:CLK_N *420:A 4.99782e-05 +6 *368:CLK_N *148:9 1.49931e-05 +7 *331:A *368:CLK_N 0.000389322 +8 *355:A *368:CLK_N 8.85947e-05 +9 *433:A *368:CLK_N 9.80242e-07 +10 *59:19 *368:CLK_N 0.000111222 +11 *118:35 *368:CLK_N 0.000249368 +12 *122:54 *368:CLK_N 0.0008048 *RES -1 *355:Y *368:CLK_N 38.2964 +1 *355:Y *368:CLK_N 47.3857 *END -*D_NET *132 0.00824892 +*D_NET *127 0.0071791 *CONN *I *369:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 *I *356:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *369:CLK_N 0.000203915 -2 *356:Y 0.00123201 -3 *132:6 0.00143593 -4 *369:CLK_N *386:D 5.86123e-05 -5 *369:CLK_N *140:8 0.000161243 -6 *132:6 *374:D 0.000397609 -7 *132:6 *381:D 0.000164842 -8 *132:6 *383:CLK 3.9269e-05 -9 *132:6 *417:A 7.28994e-06 -10 *132:6 *140:8 0.000165634 -11 *132:6 *146:11 0.00033222 -12 *132:6 *157:47 2.27118e-06 -13 *132:6 *158:51 0.000956895 -14 *322:A *369:CLK_N 0.000210531 -15 *326:A *132:6 2.29386e-05 -16 *344:B *132:6 0.000394371 -17 *364:RESET_B *132:6 0 -18 *370:RESET_B *132:6 0.000134976 -19 *396:A *132:6 0.000449942 -20 *428:A *132:6 6.2796e-05 -21 *2:36 *132:6 0.000382454 -22 *3:28 *132:6 7.69594e-06 -23 *3:31 *132:6 0.000125306 -24 *3:37 *132:6 5.69532e-05 -25 *10:42 *132:6 1.00004e-05 -26 *14:13 *132:6 0.000116652 -27 *34:16 *369:CLK_N 0.000391616 -28 *97:19 *132:6 3.01773e-05 -29 *104:7 *369:CLK_N 0.000106653 -30 *114:12 *132:6 5.40594e-05 -31 *114:17 *132:6 0.000137668 -32 *115:10 *132:6 0.000189678 -33 *115:22 *132:6 1.06049e-05 -34 *115:61 *132:6 0.000196101 +1 *369:CLK_N 0 +2 *356:Y 0.000200161 +3 *127:11 0.00196158 +4 *127:7 0.00216174 +5 *127:7 *129:10 0.000310588 +6 *127:11 *369:D 9.63363e-05 +7 *127:11 *381:CLK 3.82228e-05 +8 *127:11 *151:10 0.000667999 +9 pad_gpio_vtrip_sel *127:11 2.09072e-05 +10 *183:DIODE *127:11 5.15114e-05 +11 *193:DIODE *127:11 6.93171e-05 +12 *326:A *127:11 7.27245e-06 +13 *383:RESET_B *127:11 3.51893e-05 +14 *3:23 *127:11 0.00144786 +15 *8:29 *127:11 0 +16 *122:54 *127:11 0.000110426 *RES -1 *356:Y *132:6 49.7464 -2 *132:6 *369:CLK_N 21.3179 +1 *356:Y *127:7 16.3893 +2 *127:7 *127:11 48.3393 +3 *127:11 *369:CLK_N 9.3 *END -*D_NET *133 0.00253521 +*D_NET *128 0.0054659 *CONN *I *370:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 *I *357:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *370:CLK_N 0.000681863 -2 *357:Y 0.000681863 -3 *370:CLK_N *440:A 0.000113237 -4 *201:DIODE *370:CLK_N 0.00105824 +1 *370:CLK_N 0.000558091 +2 *357:Y 0.00153579 +3 *128:8 0.00209388 +4 *370:CLK_N *383:D 7.78924e-05 +5 *128:8 *357:A 1.83992e-05 +6 *198:DIODE *128:8 1.94224e-05 +7 *347:B *128:8 9.29316e-05 +8 *355:A *370:CLK_N 0.000208621 +9 *368:CLK_N *370:CLK_N 5.96856e-05 +10 *51:14 *128:8 0.000505747 +11 *122:54 *370:CLK_N 0.000295445 *RES -1 *357:Y *370:CLK_N 37.1714 +1 *357:Y *128:8 45.5321 +2 *128:8 *370:CLK_N 24.6036 *END -*D_NET *134 0.003406 -*CONN -*I *403:A I *D sky130_fd_sc_hd__buf_2 -*I *422:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *403:A 0.00121031 -2 *422:X 0.00121031 -3 *403:A *380:D 5.20546e-06 -4 *380:CLK *403:A 1.65872e-05 -5 *427:A *403:A 0.000143295 -6 *4:21 *403:A 0.000143295 -7 *11:29 *403:A 0.000437002 -8 *11:44 *403:A 0.000190903 -9 *32:15 *403:A 2.74462e-05 -10 *96:5 *403:A 2.16355e-05 -*RES -1 *422:X *403:A 41.9929 -*END - -*D_NET *135 0.00433394 +*D_NET *129 0.010018 *CONN *I *371:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 *I *358:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *371:CLK_N 0.00110299 -2 *358:Y 0.00110299 -3 *371:CLK_N *440:A 0.00038261 -4 *371:CLK_N *158:10 0.000210531 -5 *371:CLK_N *158:46 0.000267805 -6 *204:DIODE *371:CLK_N 0.000110297 -7 *206:DIODE *371:CLK_N 1.78704e-05 -8 *365:CLK_N *371:CLK_N 6.65668e-05 -9 *371:SET_B *371:CLK_N 7.13972e-05 -10 *394:A *371:CLK_N 6.27782e-05 -11 *5:17 *371:CLK_N 0.000466939 -12 *9:35 *371:CLK_N 0.000410322 -13 *10:18 *371:CLK_N 6.08467e-05 +1 *371:CLK_N 0.000563729 +2 *358:Y 0.00115707 +3 *129:10 0.0017208 +4 *371:CLK_N *391:CLK 0.000951139 +5 *371:CLK_N *137:10 1.54643e-05 +6 *129:10 *375:CLK_N 0.000144546 +7 *129:10 *137:10 0.00150353 +8 *129:10 *158:67 4.76794e-05 +9 *129:10 *158:69 9.91783e-05 +10 *129:10 *158:71 0.000109427 +11 *129:10 *158:77 2.30636e-05 +12 *129:10 *158:79 7.621e-05 +13 *129:10 *158:81 5.31465e-05 +14 *187:DIODE *129:10 8.10199e-05 +15 *212:DIODE *129:10 0.00139469 +16 *366:CLK_N *371:CLK_N 0.000959463 +17 *366:CLK_N *129:10 1.91821e-05 +18 *426:A *129:10 3.16904e-05 +19 *8:37 *129:10 4.77589e-05 +20 *123:7 *129:10 0.000708646 +21 *127:7 *129:10 0.000310588 *RES -1 *358:Y *371:CLK_N 46.2607 +1 *358:Y *129:10 49.3625 +2 *129:10 *371:CLK_N 28.0411 *END -*D_NET *136 0.000757537 +*D_NET *130 0.000706836 *CONN *I *372:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 *I *359:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *372:CLK_N 0.000153372 -2 *359:Y 0.000153372 -3 *372:CLK_N *418:A 0.000161243 -4 *372:CLK_N *158:46 9.5392e-05 -5 *97:22 *372:CLK_N 0.000194158 +1 *372:CLK_N 0.000206294 +2 *359:Y 0.000206294 +3 *372:CLK_N *446:A 3.79023e-05 +4 *352:B *372:CLK_N 7.01935e-06 +5 *377:SET_B *372:CLK_N 0.000126335 +6 *122:22 *372:CLK_N 0.000122992 *RES -1 *359:Y *372:CLK_N 22.8321 +1 *359:Y *372:CLK_N 31.0107 *END -*D_NET *137 0.00807451 +*D_NET *131 0.00621102 *CONN -*I *386:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *313:Y O *D sky130_fd_sc_hd__inv_2 +*I *373:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *360:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *386:CLK 0 -2 *313:Y 0 -3 *137:9 0.00152694 -4 *137:5 0.00152694 -5 *137:9 *361:D 7.52745e-05 -6 *137:9 *365:D 5.1584e-05 -7 *137:9 *370:D 0.000985958 -8 *137:9 *379:D 0.000543357 -9 *137:9 *386:D 0.000161186 -10 *137:9 *151:8 6.65668e-05 -11 *313:A *137:9 0.000338771 -12 *10:7 *137:9 0.000994472 -13 *10:18 *137:9 0.000828749 -14 *11:11 *137:9 0.000936014 -15 *111:8 *137:9 2.02993e-05 -16 *124:13 *137:9 1.83992e-05 +1 *373:CLK_N 0 +2 *360:Y 0.00111061 +3 *131:8 0.00111061 +4 *131:8 *360:A 0.000420683 +5 *131:8 *391:D 7.12632e-06 +6 *131:8 *146:10 0.00177646 +7 *131:8 *164:15 0.000341752 +8 *327:A *131:8 6.08467e-05 +9 *327:B *131:8 6.08467e-05 +10 *365:RESET_B *131:8 8.35465e-05 +11 *38:17 *131:8 0.000187728 +12 *57:17 *131:8 6.36477e-05 +13 *113:25 *131:8 0.000630764 +14 *119:79 *131:8 0.000111523 +15 *120:30 *131:8 0.000244885 *RES -1 *313:Y *137:5 13.8 -2 *137:5 *137:9 48.4107 -3 *137:9 *386:CLK 9.3 +1 *360:Y *131:8 46.8 +2 *131:8 *373:CLK_N 13.8 *END -*D_NET *138 0.00259178 +*D_NET *132 0.00741885 *CONN -*I *363:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *383:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *406:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *408:A I *D sky130_fd_sc_hd__buf_2 +*I *426:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *363:D 0 -2 *383:D 0.000315303 -3 *406:X 0.000295109 -4 *138:10 0.000610412 -5 *383:D *145:24 0.000154597 -6 *383:D *158:70 0.000252315 -7 *138:10 *312:A 0 -8 *138:10 *384:D 0.000137252 -9 *138:10 *406:A 5.20308e-05 -10 *360:SET_B *383:D 4.36541e-05 -11 *363:CLK_N *383:D 2.30636e-05 -12 *382:RESET_B *138:10 0.000107496 -13 *397:A *383:D 5.04829e-06 -14 *110:17 *138:10 0.000247443 -15 *117:17 *383:D 0.000113333 -16 *120:7 *138:10 1.34424e-05 -17 *121:14 *383:D 4.86266e-05 -18 *121:23 *383:D 1.65872e-05 -19 *121:60 *138:10 0.00015607 +1 *408:A 0.000148874 +2 *426:X 0.00235742 +3 *132:21 0.0025063 +4 *132:21 *203:DIODE 1.00204e-05 +5 *132:21 *318:A 0.000456434 +6 *132:21 *137:10 0.000114487 +7 *132:21 *158:122 6.19019e-06 +8 pad_gpio_dm[0] *132:21 0.000717845 +9 *175:DIODE *408:A 0.000387025 +10 *177:DIODE *132:21 4.45999e-05 +11 *188:DIODE *132:21 1.43698e-05 +12 *192:DIODE *132:21 6.08467e-05 +13 *431:A *408:A 0.000387025 +14 *1:40 *132:21 2.16355e-05 +15 *2:51 *132:21 1.41976e-05 +16 *117:11 *132:21 0.00016386 +17 *119:18 *132:21 7.72414e-06 *RES -1 *406:X *138:10 24.4786 -2 *138:10 *383:D 25.7464 -3 *138:10 *363:D 9.3 +1 *426:X *132:21 44.9607 +2 *132:21 *408:A 19.0857 *END -*D_NET *139 0.000991582 +*D_NET *133 0.0041115 *CONN -*I *368:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *385:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *411:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *374:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *361:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *368:D 0.000118566 -2 *385:D 0.000124148 -3 *411:X 0 -4 *139:4 0.000242714 -5 *368:D *373:D 3.82228e-05 -6 *385:D *384:CLK 6.31036e-06 -7 *360:SET_B *385:D 0.000215891 -8 *368:CLK_N *385:D 0.000192847 -9 *1:34 *385:D 0 -10 *99:14 *368:D 3.40176e-05 -11 *106:11 *385:D 1.88654e-05 +1 *374:CLK_N 0 +2 *361:Y 0.00109122 +3 *133:15 0.00109122 +4 *133:15 *361:A 7.15752e-05 +5 *328:B *133:15 1.91391e-05 +6 *344:B *133:15 6.30102e-05 +7 *367:CLK_N *133:15 0.000244521 +8 *373:RESET_B *133:15 0.000468708 +9 *373:SET_B *133:15 0.000284771 +10 *374:SET_B *133:15 9.14671e-05 +11 *436:A *133:15 3.99086e-06 +12 *2:19 *133:15 0.000360851 +13 *101:12 *133:15 0.000321032 *RES -1 *411:X *139:4 9.3 -2 *139:4 *385:D 21.617 -3 *139:4 *368:D 11.4786 +1 *361:Y *133:15 41.0679 +2 *133:15 *374:CLK_N 9.3 *END -*D_NET *140 0.00488805 +*D_NET *134 0.000609766 *CONN -*I *360:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *374:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *412:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *375:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *362:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *360:D 2.9191e-05 -2 *374:D 0.00148821 -3 *412:X 0.000123284 -4 *140:8 0.00164068 -5 *360:D *412:A 0.000110306 -6 *374:D *364:D 2.16355e-05 -7 *374:D *411:A 2.3584e-05 -8 *360:CLK_N *360:D 4.23858e-05 -9 *364:CLK_N *374:D 4.82426e-05 -10 *369:CLK_N *140:8 0.000161243 -11 *396:A *374:D 3.82228e-05 -12 *3:28 *374:D 0.000137999 -13 *3:28 *140:8 0.000165056 -14 *104:7 *140:8 0.000161243 -15 *114:12 *374:D 9.08756e-05 -16 *117:34 *374:D 4.26427e-05 -17 *132:6 *374:D 0.000397609 -18 *132:6 *140:8 0.000165634 +1 *375:CLK_N 0.000109655 +2 *362:Y 0.000109655 +3 *366:CLK_N *375:CLK_N 0.000135613 +4 *38:17 *375:CLK_N 0.000110297 +5 *129:10 *375:CLK_N 0.000144546 *RES -1 *412:X *140:8 17.7107 -2 *140:8 *374:D 32.2643 -3 *140:8 *360:D 14.7464 +1 *362:Y *375:CLK_N 30.4929 *END -*D_NET *141 0.0087202 +*D_NET *135 0.00239948 *CONN -*I *361:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *376:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *413:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *376:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *363:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *361:D 2.75317e-05 -2 *376:D 0.000629975 -3 *413:X 0.00164185 -4 *141:12 0.00229936 -5 *376:D *364:D 0.000173984 -6 *376:D *143:10 5.04829e-06 -7 *364:RESET_B *141:12 0.000601934 -8 *364:SET_B *376:D 7.60548e-05 -9 *366:RESET_B *141:12 0.0001738 -10 *376:CLK *376:D 5.04829e-06 -11 *389:A *141:12 1.58551e-05 -12 *5:11 *141:12 9.65752e-06 -13 *5:17 *376:D 0.000412587 -14 *5:17 *141:12 6.47803e-05 -15 *10:7 *376:D 0.00051234 -16 *10:7 *141:12 0.000181934 -17 *33:18 *141:12 0.0011019 -18 *96:66 *376:D 7.78924e-05 -19 *116:41 *141:12 0.000633387 -20 *137:9 *361:D 7.52745e-05 +1 *376:CLK_N 0.000333548 +2 *363:Y 0.000333548 +3 *376:CLK_N *377:CLK_N 0.000659564 +4 *376:CLK_N *446:A 1.03403e-05 +5 *376:CLK_N *137:10 0.00016386 +6 *197:DIODE *376:CLK_N 0 +7 *200:DIODE *376:CLK_N 0.000188239 +8 *364:A *376:CLK_N 0.000161956 +9 *370:SET_B *376:CLK_N 2.16355e-05 +10 *10:27 *376:CLK_N 0.000346477 +11 *10:29 *376:CLK_N 0.000180318 *RES -1 *413:X *141:12 39.4071 -2 *141:12 *376:D 28.0143 -3 *141:12 *361:D 14.4295 +1 *363:Y *376:CLK_N 39.0286 *END -*D_NET *142 0.00267038 +*D_NET *136 0.00413502 *CONN -*I *366:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *375:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *414:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *377:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *364:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *366:D 0.000312842 -2 *375:D 0.000119446 -3 *414:X 0.00029341 -4 *142:10 0.000725698 -5 *366:D *164:16 3.7516e-05 -6 *364:SET_B *142:10 0.00016553 -7 *375:CLK *366:D 0.000442556 -8 *375:CLK *375:D 0.000167915 -9 *375:RESET_B *366:D 1.99581e-05 -10 *94:8 *142:10 0.000133034 -11 *129:15 *366:D 0.000157865 -12 *129:15 *375:D 9.46036e-05 +1 *377:CLK_N 0.000705905 +2 *364:Y 0.000705905 +3 *377:CLK_N *158:8 0.000324796 +4 *377:CLK_N *158:21 0.000742283 +5 *197:DIODE *377:CLK_N 6.08467e-05 +6 *348:A_N *377:CLK_N 6.3657e-05 +7 *364:A *377:CLK_N 6.27782e-05 +8 *376:CLK_N *377:CLK_N 0.000659564 +9 *396:A *377:CLK_N 8.96342e-05 +10 *9:47 *377:CLK_N 0.000236696 +11 *10:27 *377:CLK_N 0.000185505 +12 *38:17 *377:CLK_N 0.000230231 +13 *94:11 *377:CLK_N 6.72204e-05 *RES -1 *414:X *142:10 24.0321 -2 *142:10 *375:D 12.7107 -3 *142:10 *366:D 17.1036 +1 *364:Y *377:CLK_N 49.2964 *END -*D_NET *143 0.00246718 +*D_NET *137 0.00907794 *CONN -*I *364:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *377:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *415:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *391:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *318:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *364:D 0.000138859 -2 *377:D 6.86697e-05 -3 *415:X 0.000511007 -4 *143:10 0.000718536 -5 *364:SET_B *143:10 6.83106e-06 -6 *374:D *364:D 2.16355e-05 -7 *376:D *364:D 0.000173984 -8 *376:D *143:10 5.04829e-06 -9 *376:RESET_B *143:10 1.61247e-05 -10 *5:17 *364:D 1.75024e-05 -11 *5:17 *377:D 0.000119716 -12 *5:17 *143:10 2.75989e-05 -13 *10:7 *364:D 0.000228184 -14 *10:7 *377:D 0.000147874 -15 *12:12 *143:10 0.00025527 -16 *117:34 *364:D 1.03403e-05 +1 *391:CLK 0.000702801 +2 *318:Y 0.000821573 +3 *137:10 0.00152437 +4 *391:CLK *391:D 5.64161e-05 +5 *391:CLK *157:20 2.55148e-05 +6 *391:CLK *157:31 9.66627e-05 +7 *137:10 *318:A 0.000404044 +8 *137:10 *157:20 0.000446443 +9 *363:A *137:10 2.30636e-05 +10 *364:A *137:10 1.88563e-05 +11 *366:CLK_N *391:CLK 3.13142e-05 +12 *371:CLK_N *391:CLK 0.000951139 +13 *371:CLK_N *137:10 1.54643e-05 +14 *376:CLK_N *137:10 0.00016386 +15 *403:A *137:10 0.000110297 +16 *438:A *391:CLK 0.000108053 +17 *6:21 *137:10 8.33161e-05 +18 *8:37 *137:10 0.000783041 +19 *10:26 *391:CLK 0.000788557 +20 *116:14 *137:10 4.7457e-05 +21 *116:54 *391:CLK 0.000257682 +22 *129:10 *137:10 0.00150353 +23 *132:21 *137:10 0.000114487 *RES -1 *415:X *143:10 19.6661 -2 *143:10 *377:D 15.8982 -3 *143:10 *364:D 17.6482 +1 *318:Y *137:10 41.2375 +2 *137:10 *391:CLK 32.2911 *END -*D_NET *144 0.0011152 +*D_NET *138 0.00106318 *CONN -*I *369:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *416:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *369:D 0.000281958 -2 *416:X 0.000281958 -3 *369:D *386:D 0.000150434 -4 *369:D *162:8 6.65668e-05 -5 *322:A *369:D 6.36477e-05 -6 *369:SET_B *369:D 1.13359e-05 -7 *34:16 *369:D 9.80426e-05 -8 *100:22 *369:D 0.000161252 -*RES -1 *416:X *369:D 33.6 -*END - -*D_NET *145 0.0116777 -*CONN -*I *373:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *423:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *373:D 0.00114569 -2 *423:X 0.000472012 -3 *145:24 0.00303572 -4 *145:17 0.00236204 -5 *373:D *412:A 2.41274e-06 -6 *373:D *164:16 5.68993e-05 -7 *145:17 *424:A 0.000227499 -8 *145:17 *153:10 3.18328e-05 -9 *145:24 *362:D 0.00031794 -10 *145:24 *382:D 0.000227346 -11 *145:24 *153:10 0 -12 pad_gpio_ana_pol *145:17 4.87003e-05 -13 pad_gpio_dm[1] *145:24 0 -14 *184:DIODE *145:17 0.000396051 -15 *205:DIODE *145:24 2.3301e-05 -16 *214:DIODE *145:17 0.000112597 -17 *360:SET_B *145:24 1.17346e-05 -18 *367:CLK_N *145:24 0 -19 *368:CLK_N *145:24 0.000604888 -20 *368:D *373:D 3.82228e-05 -21 *373:RESET_B *373:D 0.000267221 -22 *383:D *145:24 0.000154597 -23 *1:34 *145:24 0.000215489 -24 *2:36 *373:D 0.000153823 -25 *20:9 *145:17 0.000160047 -26 *99:14 *373:D 0.000579458 -27 *117:17 *145:24 0.000658954 -28 *117:34 *373:D 0.000148683 -29 *121:14 *145:24 0.000122502 -30 *126:10 *373:D 0.000101986 -*RES -1 *423:X *145:17 33.9607 -2 *145:17 *145:24 47.5536 -3 *145:24 *373:D 39.6929 -*END - -*D_NET *146 0.00261734 -*CONN -*I *372:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *381:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *417:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *372:D 0 -2 *381:D 0.000474931 -3 *417:X 0.000233119 -4 *146:11 0.000708049 -5 *146:11 *417:A 0.000128231 -6 *146:11 *158:46 2.41274e-06 -7 *146:11 *158:70 1.82679e-05 -8 *344:A *381:D 1.41976e-05 -9 *349:A *146:11 3.82228e-05 -10 *370:SET_B *381:D 9.44796e-05 -11 *381:RESET_B *381:D 1.91114e-05 -12 *428:A *146:11 0.000126673 -13 *14:13 *146:11 6.3657e-05 -14 *39:15 *146:11 6.08467e-05 -15 *97:19 *381:D 5.71849e-05 -16 *115:11 *381:D 3.46213e-05 -17 *115:22 *381:D 4.62703e-05 -18 *132:6 *381:D 0.000164842 -19 *132:6 *146:11 0.00033222 -*RES -1 *417:X *146:11 24.4607 -2 *146:11 *381:D 27.2464 -3 *146:11 *372:D 9.3 -*END - -*D_NET *147 0.00187767 -*CONN -*I *380:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *371:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *418:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *380:D 2.52522e-05 -2 *371:D 0.000192069 -3 *418:X 0.000159442 -4 *147:8 0.000376763 -5 *371:D *370:D 0.000102419 -6 *147:8 *158:46 0.000154145 -7 *206:DIODE *371:D 2.22974e-05 -8 *365:SET_B *371:D 0.000401769 -9 *365:SET_B *147:8 8.96342e-05 -10 *371:SET_B *371:D 3.82228e-05 -11 *403:A *380:D 5.20546e-06 -12 *32:15 *380:D 6.08467e-05 -13 *111:8 *371:D 0.000164976 -14 *111:8 *147:8 8.46261e-05 -*RES -1 *418:X *147:8 16.4964 -2 *147:8 *371:D 20.0321 -3 *147:8 *380:D 14.3357 -*END - -*D_NET *148 0.00408441 -*CONN -*I *384:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *367:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *407:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *384:D 0.000719016 -2 *367:D 0.000440535 -3 *407:X 0 -4 *148:4 0.00115955 -5 *367:D *153:10 2.60476e-05 -6 *384:D *312:A 1.25033e-05 -7 *384:D *384:CLK 0.000102698 -8 *323:B *367:D 3.27606e-06 -9 *372:RESET_B *367:D 0.000143309 -10 *383:RESET_B *384:D 0.000334437 -11 *384:RESET_B *384:D 2.77564e-05 -12 *429:A *384:D 0.000146827 -13 *1:34 *367:D 8.54289e-05 -14 *1:34 *384:D 0 -15 *11:44 *367:D 0.000141062 -16 *54:16 *384:D 3.88213e-05 -17 *117:17 *367:D 0.000424419 -18 *117:17 *384:D 0 -19 *120:8 *384:D 4.37926e-05 -20 *120:81 *384:D 9.76817e-05 -21 *138:10 *384:D 0.000137252 -*RES -1 *407:X *148:4 9.3 -2 *148:4 *367:D 26.8893 -3 *148:4 *384:D 32.983 -*END - -*D_NET *149 0.00502276 -*CONN -*I *379:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *370:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *408:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *379:D 0.000606277 -2 *370:D 0.00046982 -3 *408:X 4.51842e-05 -4 *149:7 0.00112128 -5 *379:D *151:8 3.24705e-06 -6 *365:SET_B *370:D 0.000101638 -7 *371:D *370:D 0.000102419 -8 *97:34 *149:7 6.08467e-05 -9 *111:8 *370:D 0.000366928 -10 *111:8 *379:D 0.000550373 -11 *119:18 *370:D 6.54273e-05 -12 *137:9 *370:D 0.000985958 -13 *137:9 *379:D 0.000543357 -*RES -1 *408:X *149:7 14.3357 -2 *149:7 *370:D 28.3357 -3 *149:7 *379:D 25.4607 -*END - -*D_NET *150 0.00209073 -*CONN -*I *382:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *362:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *409:X O *D sky130_fd_sc_hd__dlygate4sd3_1 -*CAP -1 *382:D 0.000246371 -2 *362:D 0.000136881 -3 *409:X 0 -4 *150:5 0.000383251 -5 *1:34 *362:D 0.000303557 -6 *1:34 *382:D 0.000237705 -7 *54:16 *382:D 0.00013188 -8 *110:17 *382:D 0.000105795 -9 *145:24 *362:D 0.00031794 -10 *145:24 *382:D 0.000227346 -*RES -1 *409:X *150:5 13.8 -2 *150:5 *362:D 18.4071 -3 *150:5 *382:D 20.2643 -*END - -*D_NET *151 0.00375128 -*CONN -*I *378:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *365:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *373:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *390:D I *D sky130_fd_sc_hd__dfrtp_4 *I *410:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *378:D 0.000965179 -2 *365:D 2.29769e-05 -3 *410:X 0.000573174 -4 *151:8 0.00156133 -5 *151:8 *418:A 4.23858e-05 -6 *363:CLK_N *151:8 9.75148e-06 -7 *378:CLK *378:D 0.00031896 -8 *379:D *151:8 3.24705e-06 -9 *379:RESET_B *151:8 1.86537e-05 -10 *10:18 *365:D 5.1584e-05 -11 *10:18 *151:8 6.1664e-05 -12 *95:14 *378:D 4.2273e-06 -13 *137:9 *365:D 5.1584e-05 -14 *137:9 *151:8 6.65668e-05 +1 *373:D 5.60325e-05 +2 *390:D 0.000191457 +3 *410:X 3.40961e-05 +4 *138:5 0.000281585 +5 *373:D *140:22 5.62568e-05 +6 *390:D *163:13 1.96819e-05 +7 *138:5 *140:22 4.47179e-05 +8 *344:B *390:D 0.000159016 +9 *390:RESET_B *390:D 1.83409e-05 +10 *38:17 *390:D 7.59979e-05 +11 *97:15 *373:D 3.23874e-05 +12 *97:15 *138:5 3.59523e-05 +13 *119:79 *390:D 5.7657e-05 *RES -1 *410:X *151:8 20.3 -2 *151:8 *365:D 14.5321 -3 *151:8 *378:D 24.2509 +1 *410:X *138:5 10.2464 +2 *138:5 *390:D 22.5143 +3 *138:5 *373:D 10.6571 *END -*D_NET *152 0.00245809 +*D_NET *139 0.00128249 *CONN -*I *436:A I *D sky130_fd_sc_hd__buf_16 -*I *370:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *374:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *415:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *436:A 0.000869641 -2 *370:Q 0.000869641 -3 *436:A *438:A 4.52626e-05 -4 pad_gpio_dm[1] *436:A 2.8322e-05 -5 *4:21 *436:A 0.000245836 -6 *119:18 *436:A 0.000399391 +1 *374:D 0.000393128 +2 *415:X 0.000393128 +3 *374:D *391:D 0.000208599 +4 *374:D *415:A 0.000254541 +5 resetn_out *374:D 3.30938e-05 *RES -1 *370:Q *436:A 42.6179 +1 *415:X *374:D 33.0643 *END -*D_NET *153 0.00310357 +*D_NET *140 0.00748055 *CONN -*I *437:A I *D sky130_fd_sc_hd__buf_16 -*I *372:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *371:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *380:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *416:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *437:A 0 -2 *372:Q 0.00123145 -3 *153:10 0.00123145 -4 *367:D *153:10 2.60476e-05 -5 *429:A *153:10 0.000349941 -6 *98:17 *153:10 0.000232849 -7 *145:17 *153:10 3.18328e-05 -8 *145:24 *153:10 0 +1 *371:D 0 +2 *380:D 0.000810801 +3 *416:X 0.00184157 +4 *140:24 0.000810801 +5 *140:22 0.00184157 +6 *380:D *379:D 2.16608e-05 +7 *380:D *143:19 0.000154979 +8 *380:D *157:62 0.000163991 +9 *380:D *163:13 9.40212e-05 +10 *140:22 *379:D 5.21124e-05 +11 *140:22 *162:10 0.000154145 +12 *140:22 *163:13 0.00046009 +13 *181:DIODE *140:22 0 +14 *211:DIODE *380:D 0.000373916 +15 *211:DIODE *140:22 8.03393e-06 +16 *366:SET_B *140:22 5.14448e-05 +17 *371:SET_B *140:22 6.27449e-05 +18 *373:D *140:22 5.62568e-05 +19 *8:29 *380:D 0.000137531 +20 *13:40 *140:22 0.000147093 +21 *61:11 *140:22 4.45999e-05 +22 *97:15 *140:22 0.000108842 +23 *116:54 *380:D 3.96379e-05 +24 *138:5 *140:22 4.47179e-05 *RES -1 *372:Q *153:10 40.8714 -2 *153:10 *437:A 9.3 +1 *416:X *140:22 47.9964 +2 *140:22 *140:24 4.5 +3 *140:24 *380:D 31.6393 +4 *140:24 *371:D 9.3 *END -*D_NET *154 0.00201026 +*D_NET *141 0.00244226 *CONN -*I *438:A I *D sky130_fd_sc_hd__buf_16 -*I *371:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *368:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *388:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *417:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *438:A 0.000595387 -2 *371:Q 0.000595387 -3 *198:DIODE *438:A 2.39545e-05 -4 *388:TE_B *438:A 4.44684e-05 -5 *428:A *438:A 3.73256e-05 -6 *436:A *438:A 4.52626e-05 -7 *3:37 *438:A 5.84765e-05 -8 *4:21 *438:A 0.000609997 +1 *368:D 9.38186e-05 +2 *388:D 0.000241689 +3 *417:X 0.000180186 +4 *141:8 0.000515693 +5 *368:D *367:D 5.481e-05 +6 *388:D *367:D 0.000113104 +7 *185:DIODE *388:D 2.31886e-05 +8 *374:SET_B *388:D 0.000315218 +9 *374:SET_B *141:8 0.000223703 +10 *65:21 *141:8 0.000200794 +11 *95:26 *141:8 0.000250254 +12 *97:15 *388:D 6.5389e-05 +13 *97:15 *141:8 9.54196e-05 +14 *109:17 *368:D 4.0315e-05 +15 *118:26 *388:D 2.86829e-05 *RES -1 *371:Q *438:A 40.2429 +1 *417:X *141:8 19.1393 +2 *141:8 *388:D 19.5321 +3 *141:8 *368:D 15.5679 *END -*D_NET *155 0.00349576 +*D_NET *142 0.00598074 *CONN -*I *439:A I *D sky130_fd_sc_hd__buf_16 -*I *367:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *365:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *379:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *418:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *439:A 0.000622907 -2 *367:Q 0.000622907 -3 pad_gpio_dm[0] *439:A 4.89251e-05 -4 *341:A_N *439:A 5.49915e-05 -5 *341:B *439:A 0.000114271 -6 *367:RESET_B *439:A 0.000104572 -7 *367:SET_B *439:A 3.05039e-05 -8 *388:A *439:A 4.59816e-06 -9 *400:A *439:A 0.00023747 -10 *429:A *439:A 0.00015104 -11 *431:A *439:A 0.00041312 -12 *39:15 *439:A 0.000534578 -13 *121:30 *439:A 0.000555879 +1 *365:D 0 +2 *379:D 0.0019321 +3 *418:X 0.000137226 +4 *142:8 0.00206933 +5 *379:D *163:13 3.53886e-05 +6 *211:DIODE *379:D 1.84566e-05 +7 *340:A_N *142:8 6.08467e-05 +8 *340:B *142:8 6.08467e-05 +9 *371:SET_B *379:D 0.00035296 +10 *379:RESET_B *379:D 9.97764e-05 +11 *380:D *379:D 2.16608e-05 +12 *4:23 *379:D 0.000106543 +13 *4:23 *142:8 0.000200228 +14 *97:15 *379:D 0.00019752 +15 *103:8 *379:D 0.000106543 +16 *103:8 *142:8 0.00017033 +17 *116:54 *379:D 0.000333643 +18 *120:30 *142:8 1.77894e-05 +19 *120:41 *142:8 7.44434e-06 +20 *140:22 *379:D 5.21124e-05 *RES -1 *367:Q *439:A 45.7964 +1 *418:X *142:8 17.4964 +2 *142:8 *379:D 36.5321 +3 *142:8 *365:D 13.8 *END -*D_NET *156 0.00213255 +*D_NET *143 0.00573351 *CONN -*I *424:A I *D sky130_fd_sc_hd__buf_16 -*I *395:HI O *D sky130_fd_sc_hd__conb_1 +*I *378:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *427:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *424:A 0.000546696 -2 *395:HI 0.000546696 -3 pad_gpio_ana_pol *424:A 0.000811659 -4 *145:17 *424:A 0.000227499 +1 *378:D 0 +2 *427:X 0.00129857 +3 *143:19 0.00129857 +4 *143:19 *369:D 0.000134442 +5 *143:19 *378:CLK 0.000211005 +6 *143:19 *381:D 0.000323164 +7 *143:19 *383:D 0.000121494 +8 *143:19 *157:17 1.43698e-05 +9 *143:19 *157:20 0.000208527 +10 *143:19 *157:31 0.000151058 +11 *143:19 *157:42 3.44431e-05 +12 *143:19 *157:62 2.8182e-06 +13 *143:19 *157:82 1.58551e-05 +14 *143:19 *164:15 5.8334e-05 +15 *180:DIODE *143:19 0.00021217 +16 *343:A *143:19 0.000112936 +17 *380:D *143:19 0.000154979 +18 *384:RESET_B *143:19 0.000139684 +19 *396:A *143:19 0.000154145 +20 *6:21 *143:19 0.000113295 +21 *8:29 *143:19 0.000973653 *RES -1 *395:HI *424:A 30.6536 +1 *427:X *143:19 47.2107 +2 *143:19 *378:D 9.3 *END -*D_NET *157 0.0146608 +*D_NET *144 0.00222615 *CONN -*I *373:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *382:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *384:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *385:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *383:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *440:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *393:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *382:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *369:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *419:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *373:CLK 0.000388801 -2 *382:CLK 0 -3 *384:CLK 0.000464573 -4 *385:CLK 0 -5 *383:CLK 0.000449394 -6 *440:A 0.000753503 -7 *393:X 4.51842e-05 -8 *157:47 0.0007977 -9 *157:29 0.000814284 -10 *157:27 0.00110985 -11 *157:21 0.00175925 -12 *157:7 0.00109105 -13 *384:CLK *312:A 9.40212e-05 -14 *440:A *408:A 9.29919e-05 -15 *157:21 *408:A 0.000201952 -16 *157:47 *411:A 6.52347e-05 -17 pad_gpio_vtrip_sel *157:27 1.02164e-05 -18 *186:DIODE *440:A 0.000163168 -19 *189:DIODE *157:27 2.38966e-05 -20 *201:DIODE *440:A 4.5408e-05 -21 *204:DIODE *440:A 0.000630547 -22 *326:A *383:CLK 7.86825e-06 -23 *326:A *157:27 0.000189821 -24 *340:B *384:CLK 0.00027595 -25 *340:B *157:47 5.04829e-06 -26 *344:B *383:CLK 8.6297e-06 -27 *349:A *383:CLK 0.000176108 -28 *365:CLK_N *440:A 0.000227044 -29 *365:CLK_N *157:21 0.000224215 -30 *370:CLK_N *440:A 0.000113237 -31 *370:SET_B *157:7 6.08467e-05 -32 *371:CLK_N *440:A 0.00038261 -33 *375:CLK *373:CLK 0.000136287 -34 *384:D *384:CLK 0.000102698 -35 *384:RESET_B *384:CLK 4.22518e-05 -36 *385:D *384:CLK 6.31036e-06 -37 *392:A *440:A 6.0518e-05 -38 *396:A *157:47 0.000202726 -39 *397:A *383:CLK 1.54322e-05 -40 *429:A *384:CLK 1.90075e-05 -41 *2:36 *157:47 7.43171e-05 -42 *3:28 *383:CLK 0.00021829 -43 *3:28 *157:27 2.02035e-05 -44 *3:28 *157:47 9.77689e-05 -45 *5:17 *440:A 1.75024e-05 -46 *8:11 *373:CLK 5.98422e-05 -47 *8:19 *373:CLK 1.93666e-05 -48 *8:19 *157:21 0.000115166 -49 *8:35 *157:27 0.000116375 -50 *9:14 *373:CLK 0.000643916 -51 *9:14 *157:21 0.000364709 -52 *9:37 *440:A 3.26102e-05 -53 *10:42 *383:CLK 7.12632e-06 -54 *10:42 *157:27 8.88096e-05 -55 *95:14 *440:A 2.3301e-05 -56 *96:66 *373:CLK 0.000223114 -57 *106:11 *384:CLK 0.00016547 -58 *106:11 *157:47 1.88422e-05 -59 *114:12 *157:47 0.00027632 -60 *114:17 *157:47 5.29468e-05 -61 *114:59 *440:A 5.3855e-05 -62 *114:66 *440:A 0.000225473 -63 *114:87 *440:A 0.000316939 -64 *115:7 *383:CLK 1.82679e-05 -65 *120:81 *384:CLK 5.02728e-05 -66 *126:10 *373:CLK 6.08467e-05 -67 *132:6 *383:CLK 3.9269e-05 -68 *132:6 *157:47 2.27118e-06 +1 *382:D 0 +2 *369:D 0.000458088 +3 *419:X 0.00032875 +4 *144:9 0.000786838 +5 *369:D *381:CLK 0.000141642 +6 *369:D *163:13 3.37637e-05 +7 *144:9 *382:CLK 7.58279e-05 +8 *144:9 *151:10 3.70269e-05 +9 *8:29 *369:D 0.000133439 +10 *127:11 *369:D 9.63363e-05 +11 *143:19 *369:D 0.000134442 *RES -1 *393:X *157:7 14.3357 -2 *157:7 *440:A 34.1571 -3 *157:7 *157:21 8.47321 -4 *157:21 *157:27 9.23372 -5 *157:27 *157:29 0.535714 -6 *157:29 *383:CLK 22.1214 -7 *157:29 *157:47 11.6607 -8 *157:47 *385:CLK 9.3 -9 *157:47 *384:CLK 28.925 -10 *157:27 *382:CLK 13.8 -11 *157:21 *373:CLK 23.7196 +1 *419:X *144:9 14.9071 +2 *144:9 *369:D 25.7464 +3 *144:9 *382:D 9.3 *END -*D_NET *158 0.0186847 +*D_NET *145 0.00239999 *CONN -*I *355:A I *D sky130_fd_sc_hd__inv_2 -*I *312:A I *D sky130_fd_sc_hd__inv_2 -*I *356:A I *D sky130_fd_sc_hd__inv_2 -*I *441:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *351:A I *D sky130_fd_sc_hd__inv_2 -*I *350:A I *D sky130_fd_sc_hd__inv_2 -*I *348:A I *D sky130_fd_sc_hd__inv_2 -*I *353:A I *D sky130_fd_sc_hd__inv_2 -*I *394:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *367:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *387:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *420:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *355:A 0 -2 *312:A 0.000792208 -3 *356:A 0 -4 *441:A 0.000665759 -5 *351:A 6.46018e-05 -6 *350:A 0 -7 *348:A 0 -8 *353:A 0 -9 *394:X 0 -10 *158:70 0.00154583 -11 *158:51 0.00109634 -12 *158:46 0.0021367 -13 *158:24 0.000332983 -14 *158:17 0.000458884 -15 *158:10 0.000439759 -16 *158:4 0.00120175 -17 *158:46 *408:A 4.42997e-05 -18 *158:46 *418:A 6.74812e-05 -19 *158:70 *409:A 0.000313166 -20 *173:DIODE *158:17 2.29386e-05 -21 *201:DIODE *441:A 0.00019346 -22 *209:DIODE *158:10 8.21761e-06 -23 *326:A *312:A 7.86825e-06 -24 *327:A_N *312:A 4.31603e-06 -25 *327:B *312:A 2.57986e-05 -26 *329:A_N *312:A 1.82679e-05 -27 *349:A *158:46 9.80242e-07 -28 *349:A *158:70 3.85599e-05 -29 *352:A *158:10 1.37566e-05 -30 *357:A *441:A 0.000161817 -31 *357:A *158:51 0.000387111 -32 *362:CLK_N *158:70 0.00028283 -33 *363:CLK_N *158:70 0.000539163 -34 *364:CLK_N *351:A 0.000174537 -35 *364:CLK_N *158:24 0.000363262 -36 *371:CLK_N *158:10 0.000210531 -37 *371:CLK_N *158:46 0.000267805 -38 *371:RESET_B *158:70 0.000186579 -39 *372:CLK_N *158:46 9.5392e-05 -40 *383:D *158:70 0.000252315 -41 *384:CLK *312:A 9.40212e-05 -42 *384:D *312:A 1.25033e-05 -43 *384:RESET_B *312:A 1.94912e-05 -44 *394:A *158:46 6.27782e-05 -45 *1:34 *312:A 0.000113785 -46 *2:36 *312:A 0.000260531 -47 *3:28 *158:70 2.91525e-05 -48 *3:37 *158:51 2.50929e-05 -49 *4:21 *158:70 0.000248457 -50 *9:35 *158:46 0.00040754 -51 *39:15 *441:A 6.88361e-05 -52 *97:19 *158:51 0.00065499 -53 *97:22 *158:46 9.94673e-06 -54 *97:34 *158:10 0.000104653 -55 *97:34 *158:46 0.000872637 -56 *116:50 *351:A 0.000210624 -57 *116:50 *158:24 0.000501053 -58 *116:52 *158:10 0.000252128 -59 *116:52 *158:17 0.000549196 -60 *116:52 *158:24 0.000263436 -61 *120:81 *312:A 2.60242e-05 -62 *124:13 *351:A 1.9812e-05 -63 *124:13 *158:24 0.000141399 -64 *129:15 *158:10 3.44412e-06 -65 *129:15 *158:17 0.000161124 -66 *129:15 *158:24 2.50929e-05 -67 *132:6 *158:51 0.000956895 -68 *138:10 *312:A 0 -69 *146:11 *158:46 2.41274e-06 -70 *146:11 *158:70 1.82679e-05 -71 *147:8 *158:46 0.000154145 +1 *367:D 0.000631262 +2 *387:D 0.000178283 +3 *420:X 0 +4 *145:4 0.000809545 +5 *331:A *367:D 2.23108e-05 +6 *331:A *387:D 2.61955e-05 +7 *367:SET_B *367:D 6.08467e-05 +8 *368:CLK_N *367:D 2.50773e-06 +9 *368:D *367:D 5.481e-05 +10 *388:D *367:D 0.000113104 +11 *439:A *387:D 0.000178654 +12 *97:15 *367:D 0.000113104 +13 *109:17 *367:D 2.41826e-06 +14 *118:35 *367:D 2.47713e-05 +15 *120:41 *387:D 0.000182181 *RES -1 *394:X *158:4 9.3 -2 *158:4 *158:10 11.0893 -3 *158:10 *353:A 13.8 -4 *158:10 *158:17 7.10714 -5 *158:17 *348:A 13.8 -6 *158:17 *158:24 9.83929 -7 *158:24 *350:A 13.8 -8 *158:24 *351:A 16.6571 -9 *158:4 *158:46 18.4821 -10 *158:46 *158:51 23.0893 -11 *158:51 *441:A 20.5321 -12 *158:51 *356:A 9.3 -13 *158:46 *158:70 24.9643 -14 *158:70 *312:A 30.7643 -15 *158:70 *355:A 9.3 +1 *420:X *145:4 9.3 +2 *145:4 *387:D 22.2107 +3 *145:4 *367:D 26.7821 *END -*D_NET *159 0.00118927 +*D_NET *146 0.00868018 *CONN -*I *412:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *373:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *366:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *381:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *421:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *412:A 0.000421821 -2 *373:Q 0.000421821 -3 *412:A *164:16 7.5909e-06 -4 *322:B *412:A 7.86825e-06 -5 *360:CLK_N *412:A 8.4946e-05 -6 *360:D *412:A 0.000110306 -7 *373:D *412:A 2.41274e-06 -8 *427:A *412:A 0.000132503 -9 *1:34 *412:A 0 +1 *366:D 3.95734e-05 +2 *381:D 0.000377806 +3 *421:X 0.000549736 +4 *146:10 0.000967116 +5 *381:D *391:D 8.4155e-06 +6 *381:D *157:42 9.12416e-06 +7 *381:D *157:55 0.000375082 +8 *146:10 *164:15 0.000422159 +9 *327:A *146:10 0.000457582 +10 *335:A *146:10 0.000145174 +11 *340:A_N *366:D 1.41307e-05 +12 *344:A_N *146:10 0.00080275 +13 *366:CLK_N *381:D 6.36477e-05 +14 *440:A *366:D 4.23858e-05 +15 *440:A *381:D 0.000223774 +16 *440:A *146:10 1.07992e-05 +17 *7:13 *146:10 5.20674e-05 +18 *7:24 *146:10 0.000136556 +19 *8:29 *381:D 7.32207e-06 +20 *9:15 *381:D 0.000244348 +21 *10:26 *381:D 0.00017416 +22 *116:54 *366:D 9.94284e-06 +23 *116:54 *381:D 1.1718e-05 +24 *120:30 *146:10 0.00143519 +25 *131:8 *146:10 0.00177646 +26 *143:19 *381:D 0.000323164 *RES -1 *373:Q *412:A 34.9036 +1 *421:X *146:10 43.8714 +2 *146:10 *381:D 29.7643 +3 *146:10 *366:D 10.2464 *END -*D_NET *160 0.000774627 +*D_NET *147 0.00444873 *CONN -*I *407:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *383:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *389:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *372:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *422:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *407:A 0.000126455 -2 *383:Q 0.000126455 -3 *54:16 *407:A 0.000140383 -4 *67:10 *407:A 0.000323445 -5 *121:30 *407:A 5.78902e-05 +1 *389:D 0.000423827 +2 *372:D 0.000512977 +3 *422:X 0.000181096 +4 *147:5 0.0011179 +5 *372:D *422:A 0.000151834 +6 *372:D *444:A 3.52746e-06 +7 *332:B *389:D 0 +8 *354:A *389:D 0.000648008 +9 *398:A *389:D 6.27718e-05 +10 *4:25 *372:D 0.000173147 +11 *94:60 *372:D 6.27718e-05 +12 *97:15 *389:D 0.000865113 +13 *97:15 *147:5 0.000206566 +14 *118:62 *389:D 9.43222e-06 +15 *119:37 *372:D 2.97532e-05 *RES -1 *383:Q *407:A 31.975 +1 *422:X *147:5 11.4786 +2 *147:5 *372:D 27.3179 +3 *147:5 *389:D 30.8 *END -*D_NET *161 0.00153719 +*D_NET *148 0.00291627 *CONN -*I *411:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *384:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *370:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *383:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *411:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *411:A 0.000401478 -2 *384:Q 0.000401478 -3 *374:D *411:A 2.3584e-05 -4 *376:RESET_B *411:A 0.000195049 -5 *3:28 *411:A 0.000201767 -6 *4:21 *411:A 8.61277e-05 -7 *106:11 *411:A 0.000154145 -8 *114:12 *411:A 8.32204e-06 -9 *157:47 *411:A 6.52347e-05 +1 *370:D 6.84504e-05 +2 *383:D 0.000244097 +3 *411:X 0.000742311 +4 *148:9 0.00105486 +5 *383:D *157:31 0.000118136 +6 *368:CLK_N *148:9 1.49931e-05 +7 *369:SET_B *148:9 1.63652e-05 +8 *370:CLK_N *383:D 7.78924e-05 +9 *370:SET_B *148:9 4.32942e-05 +10 *5:26 *148:9 0 +11 *59:19 *148:9 7.64461e-05 +12 *65:21 *148:9 0 +13 *114:8 *148:9 0.000113644 +14 *122:54 *370:D 0.000158451 +15 *122:54 *383:D 5.51483e-06 +16 *122:54 *148:9 6.03248e-05 +17 *143:19 *383:D 0.000121494 *RES -1 *384:Q *411:A 43.8143 +1 *411:X *148:9 28.7464 +2 *148:9 *383:D 22.1214 +3 *148:9 *370:D 11.3893 *END -*D_NET *162 0.0076929 +*D_NET *149 0.00197255 *CONN -*I *416:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *386:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *385:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *386:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *377:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *412:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *416:A 0.000399456 -2 *386:D 0.00218517 -3 *385:Q 0.000319985 -4 *162:8 0.00290461 -5 *322:A *386:D 0.000258461 -6 *369:CLK_N *386:D 5.86123e-05 -7 *369:D *386:D 0.000150434 -8 *369:D *162:8 6.65668e-05 -9 *369:RESET_B *416:A 2.41274e-06 -10 *369:SET_B *162:8 8.34482e-05 -11 *386:RESET_B *386:D 7.38313e-06 -12 *399:A *162:8 0.000114348 -13 *2:36 *162:8 1.91246e-05 -14 *33:18 *416:A 0.00010813 -15 *34:16 *386:D 1.56833e-05 -16 *34:16 *162:8 1.17722e-05 -17 *42:10 *416:A 0.00010813 -18 *52:15 *386:D 7.5172e-05 -19 *67:10 *162:8 0.000196709 -20 *104:7 *386:D 0.000442865 -21 *124:13 *386:D 3.24737e-06 -22 *137:9 *386:D 0.000161186 +1 *386:D 0.000171103 +2 *377:D 0 +3 *412:X 0.000143889 +4 *149:8 0.000314992 +5 *386:D *361:A 3.99086e-06 +6 *386:D *420:A 5.04829e-06 +7 *174:DIODE *386:D 6.08467e-05 +8 *369:SET_B *386:D 0.000149186 +9 *1:40 *386:D 0.000108915 +10 *1:40 *149:8 0.00033476 +11 *61:11 *386:D 0.000295048 +12 *61:11 *149:8 0.000338104 +13 *94:60 *149:8 2.57847e-05 +14 *115:42 *386:D 2.08804e-05 *RES -1 *385:Q *162:8 24.8 -2 *162:8 *386:D 35.0946 -3 *162:8 *416:A 23.3536 +1 *412:X *149:8 18.7107 +2 *149:8 *377:D 13.8 +3 *149:8 *386:D 18.7107 *END -*D_NET *163 0.00093896 +*D_NET *150 0.00173059 *CONN -*I *414:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *374:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *385:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *376:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *413:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *414:A 0.000183997 -2 *374:Q 0.000183997 -3 *183:DIODE *414:A 0.000253345 -4 *364:CLK_N *414:A 0.000100956 -5 *364:SET_B *414:A 4.89392e-05 -6 *6:13 *414:A 2.9273e-05 -7 *7:11 *414:A 0.000138453 +1 *385:D 5.03998e-05 +2 *376:D 0.00016518 +3 *413:X 4.24182e-05 +4 *150:6 0.000257998 +5 *376:D *446:A 2.16355e-05 +6 *370:SET_B *376:D 0.000406202 +7 *370:SET_B *150:6 9.29919e-05 +8 *427:A *385:D 0.000154145 +9 *69:15 *385:D 5.481e-05 +10 *94:11 *376:D 0.000190001 +11 *94:36 *376:D 0.000210184 +12 *94:36 *150:6 8.46261e-05 *RES -1 *374:Q *414:A 32.6714 +1 *413:X *150:6 15.1393 +2 *150:6 *376:D 19.6214 +3 *150:6 *385:D 15.1571 *END -*D_NET *164 0.00669041 +*D_NET *151 0.00366939 *CONN -*I *413:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *375:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *375:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *384:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *414:X O *D sky130_fd_sc_hd__dlygate4sd3_1 *CAP -1 *413:A 0.000261789 -2 *375:Q 0.00186905 -3 *164:16 0.00213084 -4 *366:D *164:16 3.7516e-05 -5 *366:RESET_B *164:16 0.000127809 -6 *373:D *164:16 5.68993e-05 -7 *412:A *164:16 7.5909e-06 -8 *427:A *164:16 0.000250919 -9 *4:9 *164:16 0.000303544 -10 *30:8 *413:A 0.000919373 -11 *33:18 *413:A 0.000473045 -12 *109:11 *413:A 0.000216458 -13 *129:15 *164:16 3.55842e-05 +1 *375:D 0.000452686 +2 *384:D 0.000161092 +3 *414:X 0.000423786 +4 *151:10 0.00103756 +5 *375:D *413:A 6.88011e-05 +6 *336:A_N *375:D 6.08467e-05 +7 *384:RESET_B *375:D 7.84129e-05 +8 *3:23 *151:10 0.000181868 +9 *116:28 *384:D 5.05228e-05 +10 *116:80 *375:D 0.000158892 +11 *116:80 *384:D 3.21238e-05 +12 *122:54 *151:10 0.00025777 +13 *127:11 *151:10 0.000667999 +14 *144:9 *151:10 3.70269e-05 *RES -1 *375:Q *164:16 41.5143 -2 *164:16 *413:A 20.1036 +1 *414:X *151:10 28.8893 +2 *151:10 *384:D 12.3 +3 *151:10 *375:D 17.8179 *END -*D_NET *165 0.000622307 -*CONN -*I *415:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *376:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *415:A 8.23833e-05 -2 *376:Q 8.23833e-05 -3 *376:RESET_B *415:A 0.000148641 -4 *12:12 *415:A 0.000308899 -*RES -1 *376:Q *415:A 21.6 -*END - -*D_NET *166 0.000512534 -*CONN -*I *410:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *377:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *410:A 9.09032e-05 -2 *377:Q 9.09032e-05 -3 *363:CLK_N *410:A 6.08467e-05 -4 *365:RESET_B *410:A 0.000136646 -5 *396:A *410:A 8.94675e-05 -6 *115:61 *410:A 4.37678e-05 -*RES -1 *377:Q *410:A 30.0821 -*END - -*D_NET *167 0.0011112 -*CONN -*I *408:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *378:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *408:A 0.000181334 -2 *378:Q 0.000181334 -3 *440:A *408:A 9.29919e-05 -4 *8:19 *408:A 1.07549e-05 -5 *95:14 *408:A 0.000269771 -6 *97:34 *408:A 0.000128765 -7 *157:21 *408:A 0.000201952 -8 *158:46 *408:A 4.42997e-05 -*RES -1 *378:Q *408:A 33.35 -*END - -*D_NET *168 0.00182779 -*CONN -*I *418:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *379:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *418:A 0.000288654 -2 *379:Q 0.000288654 -3 *363:CLK_N *418:A 4.23858e-05 -4 *372:CLK_N *418:A 0.000161243 -5 *34:25 *418:A 0.00054717 -6 *53:16 *418:A 0.00038982 -7 *151:8 *418:A 4.23858e-05 -8 *158:46 *418:A 6.74812e-05 -*RES -1 *379:Q *418:A 37.3143 -*END - -*D_NET *169 0.000761259 -*CONN -*I *417:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *380:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *417:A 0.000158055 -2 *380:Q 0.000158055 -3 *388:A *417:A 8.19374e-05 -4 *428:A *417:A 0.000152131 -5 *39:15 *417:A 7.55603e-05 -6 *132:6 *417:A 7.28994e-06 -7 *146:11 *417:A 0.000128231 -*RES -1 *380:Q *417:A 31.6179 -*END - -*D_NET *170 0.00136867 -*CONN -*I *409:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *381:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *409:A 0.000290842 -2 *381:Q 0.000290842 -3 *344:A *409:A 7.54269e-06 -4 *344:B *409:A 3.82228e-05 -5 *362:CLK_N *409:A 0.000109427 -6 *4:21 *409:A 0.000318625 -7 *158:70 *409:A 0.000313166 -*RES -1 *381:Q *409:A 34.1536 -*END - -*D_NET *171 0.000994929 -*CONN -*I *406:A I *D sky130_fd_sc_hd__dlygate4sd3_1 -*I *382:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *406:A 0.000286881 -2 *382:Q 0.000286881 -3 *181:DIODE *406:A 6.08467e-05 -4 *120:7 *406:A 0.000154145 -5 *121:60 *406:A 0.000154145 -6 *138:10 *406:A 5.20308e-05 -*RES -1 *382:Q *406:A 23.6536 -*END - -*D_NET *172 0.00244367 +*D_NET *152 0.00106183 *CONN *I *442:A I *D sky130_fd_sc_hd__buf_16 -*I *395:LO O *D sky130_fd_sc_hd__conb_1 +*I *392:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *442:A 0.000456067 -2 *395:LO 0.000456067 -3 *195:DIODE *442:A 0.000859558 -4 *198:DIODE *442:A 0.000518466 -5 *210:DIODE *442:A 0.000153512 +1 *442:A 0.000188311 +2 *392:X 0.000188311 +3 *442:A *428:A 0.000169625 +4 *442:A *448:A 0.000314632 +5 mgmt_gpio_in *442:A 3.54295e-05 +6 *215:DIODE *442:A 0.000165521 *RES -1 *395:LO *442:A 41.4929 +1 *392:X *442:A 32.9571 +*END + +*D_NET *153 0.00449437 +*CONN +*I *443:A I *D sky130_fd_sc_hd__buf_16 +*I *375:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *443:A 0 +2 *375:Q 0.0011405 +3 *153:10 0.0011405 +4 *153:10 *412:A 7.16362e-05 +5 *153:10 *445:A 0.000296157 +6 pad_gpio_ana_pol *153:10 0 +7 *177:DIODE *153:10 0.000282478 +8 *202:DIODE *153:10 7.6561e-05 +9 *349:B *153:10 2.95006e-05 +10 *369:SET_B *153:10 5.90236e-05 +11 *376:RESET_B *153:10 0.000133878 +12 *433:A *153:10 0.000810393 +13 *11:13 *153:10 0.000308033 +14 *103:8 *153:10 7.36117e-05 +15 *111:13 *153:10 8.32204e-06 +16 *123:10 *153:10 6.37725e-05 +*RES +1 *375:Q *153:10 43.1036 +2 *153:10 *443:A 9.3 +*END + +*D_NET *154 0.00331516 +*CONN +*I *444:A I *D sky130_fd_sc_hd__buf_16 +*I *377:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *444:A 0.00115593 +2 *377:Q 0.00115593 +3 *444:A *422:A 2.98237e-05 +4 *354:A *444:A 0.000301135 +5 *359:A *444:A 0.000169684 +6 *372:D *444:A 3.52746e-06 +7 *429:A *444:A 0.000220237 +8 *18:8 *444:A 5.57012e-05 +9 *28:19 *444:A 0.000122844 +10 *117:11 *444:A 6.47397e-05 +11 *118:26 *444:A 3.56119e-05 +12 *119:18 *444:A 0 +*RES +1 *377:Q *444:A 48.2607 +*END + +*D_NET *155 0.00128332 +*CONN +*I *445:A I *D sky130_fd_sc_hd__buf_16 +*I *376:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *445:A 0.000274562 +2 *376:Q 0.000274562 +3 *111:13 *445:A 0.000389915 +4 *123:10 *445:A 4.8121e-05 +5 *153:10 *445:A 0.000296157 +*RES +1 *376:Q *445:A 33.475 +*END + +*D_NET *156 0.00314498 +*CONN +*I *428:A I *D sky130_fd_sc_hd__buf_16 +*I *400:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *428:A 0.000940602 +2 *400:HI 0.000940602 +3 one *428:A 0.000163504 +4 *175:DIODE *428:A 0 +5 *177:DIODE *428:A 0.00060157 +6 *195:DIODE *428:A 6.50921e-05 +7 *442:A *428:A 0.000169625 +8 *3:44 *428:A 4.53226e-05 +9 *13:50 *428:A 3.71612e-05 +10 *99:10 *428:A 6.36477e-05 +11 *118:13 *428:A 6.27718e-05 +12 *120:90 *428:A 5.50788e-05 +*RES +1 *400:HI *428:A 46.8321 +*END + +*D_NET *157 0.0190856 +*CONN +*I *385:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *446:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *318:A I *D sky130_fd_sc_hd__inv_2 +*I *378:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *380:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *379:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *381:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *382:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *383:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *384:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *396:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *385:CLK 0 +2 *446:A 0.0014705 +3 *318:A 0.000453716 +4 *378:CLK 9.0597e-05 +5 *380:CLK 0 +6 *379:CLK 9.8898e-05 +7 *381:CLK 5.07412e-05 +8 *382:CLK 0.000189737 +9 *383:CLK 5.54318e-05 +10 *384:CLK 0.000234589 +11 *396:X 0 +12 *157:85 0.00205717 +13 *157:82 0.000253351 +14 *157:62 0.000714858 +15 *157:55 0.000865299 +16 *157:42 0.00028429 +17 *157:31 0.00057214 +18 *157:20 0.000596573 +19 *157:17 0.000648726 +20 *157:4 0.000529369 +21 *378:CLK *164:15 0.000207892 +22 *174:DIODE *383:CLK 0.000110458 +23 *198:DIODE *384:CLK 0.000122858 +24 *200:DIODE *318:A 0.000224624 +25 *343:A *382:CLK 5.20546e-06 +26 *343:A *157:31 0.000109578 +27 *346:B *446:A 0.000308138 +28 *347:A *384:CLK 3.73686e-05 +29 *347:A *157:17 3.13805e-06 +30 *347:B *384:CLK 0.000173161 +31 *350:A_N *318:A 0.00033831 +32 *352:A_N *446:A 0.000109421 +33 *352:B *446:A 0.000109427 +34 *359:A *446:A 0.000182216 +35 *363:A *318:A 2.53145e-06 +36 *369:D *381:CLK 0.000141642 +37 *370:SET_B *446:A 7.46933e-06 +38 *372:CLK_N *446:A 3.79023e-05 +39 *374:SET_B *446:A 0.000160047 +40 *376:CLK_N *446:A 1.03403e-05 +41 *376:D *446:A 2.16355e-05 +42 *376:RESET_B *446:A 4.45999e-05 +43 *380:D *157:62 0.000163991 +44 *381:D *157:42 9.12416e-06 +45 *381:D *157:55 0.000375082 +46 *383:D *157:31 0.000118136 +47 *383:RESET_B *383:CLK 1.56911e-05 +48 *384:RESET_B *157:20 0.000139684 +49 *391:CLK *157:20 2.55148e-05 +50 *391:CLK *157:31 9.66627e-05 +51 *396:A *157:82 0.000110949 +52 *438:A *157:31 0.000111479 +53 *6:7 *379:CLK 0.000198319 +54 *6:12 *384:CLK 0.000196836 +55 *6:21 *157:20 3.3179e-05 +56 *10:26 *157:31 0.000244565 +57 *10:26 *157:42 0.000106335 +58 *10:26 *157:55 0.000377189 +59 *10:27 *318:A 0.000395855 +60 *10:27 *157:85 0.000288651 +61 *10:29 *318:A 0.000166252 +62 *36:41 *318:A 0.000388324 +63 *51:14 *384:CLK 2.44579e-05 +64 *69:15 *384:CLK 2.20471e-05 +65 *69:15 *157:17 0.000799271 +66 *69:15 *157:82 0.00037853 +67 *93:10 *157:85 1.36815e-05 +68 *111:13 *446:A 0.000255186 +69 *114:46 *157:85 0.000114495 +70 *114:48 *318:A 5.79499e-05 +71 *114:48 *157:85 0.000131747 +72 *116:14 *384:CLK 2.98609e-05 +73 *116:28 *384:CLK 3.587e-06 +74 *127:11 *381:CLK 3.82228e-05 +75 *132:21 *318:A 0.000456434 +76 *137:10 *318:A 0.000404044 +77 *137:10 *157:20 0.000446443 +78 *143:19 *378:CLK 0.000211005 +79 *143:19 *157:17 1.43698e-05 +80 *143:19 *157:20 0.000208527 +81 *143:19 *157:31 0.000151058 +82 *143:19 *157:42 3.44431e-05 +83 *143:19 *157:62 2.8182e-06 +84 *143:19 *157:82 1.58551e-05 +85 *144:9 *382:CLK 7.58279e-05 +*RES +1 *396:X *157:4 9.3 +2 *157:4 *384:CLK 24.4429 +3 *157:4 *157:17 7.42857 +4 *157:17 *157:20 11.6071 +5 *157:20 *383:CLK 15.175 +6 *157:20 *157:31 8.32143 +7 *157:31 *382:CLK 16.8179 +8 *157:31 *157:42 1.64286 +9 *157:42 *381:CLK 15.175 +10 *157:42 *157:55 9.78571 +11 *157:55 *157:62 10.2321 +12 *157:62 *379:CLK 20.8536 +13 *157:62 *380:CLK 9.3 +14 *157:55 *378:CLK 11.8893 +15 *157:17 *157:82 3.41071 +16 *157:82 *157:85 8.57143 +17 *157:85 *318:A 29.4071 +18 *157:85 *446:A 34.9429 +19 *157:82 *385:CLK 9.3 +*END + +*D_NET *158 0.0259697 +*CONN +*I *208:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *353:A I *D sky130_fd_sc_hd__inv_2 +*I *203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *205:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *206:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *204:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *173:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *207:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *317:A I *D sky130_fd_sc_hd__inv_2 +*I *356:A I *D sky130_fd_sc_hd__inv_2 +*I *358:A I *D sky130_fd_sc_hd__inv_2 +*I *357:A I *D sky130_fd_sc_hd__inv_2 +*I *360:A I *D sky130_fd_sc_hd__inv_2 +*I *361:A I *D sky130_fd_sc_hd__inv_2 +*I *447:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *399:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *208:DIODE 0.00134071 +2 *353:A 8.37066e-05 +3 *203:DIODE 8.1959e-05 +4 *220:DIODE 0 +5 *205:DIODE 0 +6 *206:DIODE 0 +7 *204:DIODE 0 +8 *173:DIODE 0 +9 *207:DIODE 0 +10 *317:A 0 +11 *356:A 0 +12 *358:A 0 +13 *357:A 6.86025e-05 +14 *360:A 0.0016675 +15 *361:A 0.00193512 +16 *447:A 0.000289668 +17 *399:X 0 +18 *158:122 0.000170315 +19 *158:81 0.000123556 +20 *158:79 0.000102262 +21 *158:77 0.000195411 +22 *158:71 0.000225631 +23 *158:69 0.000148316 +24 *158:67 0.000207835 +25 *158:65 0.000208877 +26 *158:63 0.000929256 +27 *158:58 0.00101691 +28 *158:56 0.000192297 +29 *158:55 0.00141677 +30 *158:21 0.00417183 +31 *158:8 0.00100342 +32 *158:4 0.000183132 +33 *361:A *420:A 0.000212656 +34 *174:DIODE *361:A 6.57391e-05 +35 *178:DIODE *158:77 0.000389566 +36 *184:DIODE *158:63 0 +37 *188:DIODE *208:DIODE 8.46261e-05 +38 *188:DIODE *158:56 4.19841e-05 +39 *188:DIODE *158:58 0.000115976 +40 *188:DIODE *158:63 5.20441e-05 +41 *188:DIODE *158:122 5.04829e-06 +42 *193:DIODE *158:77 1.88152e-05 +43 *197:DIODE *158:63 0 +44 *326:A *208:DIODE 0.000761767 +45 *340:B *360:A 2.57746e-05 +46 *343:A *360:A 4.46199e-05 +47 *366:SET_B *360:A 0.000119218 +48 *367:CLK_N *361:A 6.3657e-05 +49 *370:SET_B *158:21 2.18741e-05 +50 *377:CLK_N *158:8 0.000324796 +51 *377:CLK_N *158:21 0.000742283 +52 *382:RESET_B *360:A 0.000462545 +53 *382:RESET_B *158:21 8.36586e-06 +54 *386:D *361:A 3.99086e-06 +55 *396:A *158:21 7.00967e-05 +56 *398:A *360:A 1.60086e-05 +57 *436:A *361:A 6.63616e-05 +58 *437:A *361:A 1.8254e-05 +59 *5:26 *360:A 0.000344279 +60 *9:47 *158:21 4.31485e-06 +61 *10:27 *158:21 0.000102788 +62 *10:41 *353:A 0.000216467 +63 *10:41 *158:8 0.000160942 +64 *10:41 *158:55 0.000191933 +65 *11:13 *360:A 1.16107e-05 +66 *24:9 *208:DIODE 0.00015901 +67 *36:41 *447:A 0.000294093 +68 *57:17 *360:A 0.000698429 +69 *59:19 *361:A 0.000302686 +70 *94:11 *158:8 0.000321452 +71 *94:11 *158:21 0.000400419 +72 *94:36 *158:21 0.000309595 +73 *113:25 *360:A 0.000397914 +74 *115:22 *158:21 7.67332e-05 +75 *122:22 *158:21 0.00015065 +76 *122:54 *360:A 0.000109569 +77 *122:54 *158:21 6.00706e-05 +78 *123:7 *158:63 0.000276531 +79 *123:7 *158:65 4.58907e-05 +80 *123:7 *158:67 6.88795e-05 +81 *123:7 *158:77 0.000464649 +82 *124:7 *158:8 0.000159016 +83 *124:7 *158:55 0.000207026 +84 *128:8 *357:A 1.83992e-05 +85 *129:10 *158:67 4.76794e-05 +86 *129:10 *158:69 9.91783e-05 +87 *129:10 *158:71 0.000109427 +88 *129:10 *158:77 2.30636e-05 +89 *129:10 *158:79 7.621e-05 +90 *129:10 *158:81 5.31465e-05 +91 *131:8 *360:A 0.000420683 +92 *132:21 *203:DIODE 1.00204e-05 +93 *132:21 *158:122 6.19019e-06 +94 *133:15 *361:A 7.15752e-05 +*RES +1 *399:X *158:4 9.3 +2 *158:4 *158:8 10.2321 +3 *158:8 *447:A 18.4429 +4 *158:8 *158:21 16.6786 +5 *158:21 *361:A 35.8714 +6 *158:21 *360:A 46.0857 +7 *158:4 *158:55 6.26786 +8 *158:55 *158:56 0.732143 +9 *158:56 *158:58 1.64286 +10 *158:58 *158:63 16.9643 +11 *158:63 *158:65 0.946429 +12 *158:65 *158:67 1.76786 +13 *158:67 *158:69 0.946429 +14 *158:69 *158:71 0.946429 +15 *158:71 *158:77 14.2679 +16 *158:77 *158:79 1.35714 +17 *158:79 *158:81 1.35714 +18 *158:81 *357:A 10.6571 +19 *158:81 *358:A 9.3 +20 *158:79 *356:A 9.3 +21 *158:77 *317:A 9.3 +22 *158:71 *207:DIODE 9.3 +23 *158:69 *173:DIODE 9.3 +24 *158:67 *204:DIODE 9.3 +25 *158:65 *206:DIODE 9.3 +26 *158:63 *205:DIODE 9.3 +27 *158:58 *158:122 5.44643 +28 *158:122 *220:DIODE 9.3 +29 *158:122 *203:DIODE 10.2464 +30 *158:56 *353:A 15.5679 +31 *158:55 *208:DIODE 30.4607 +*END + +*D_NET *159 0.0011284 +*CONN +*I *418:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *378:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *418:A 0.000315007 +2 *378:Q 0.000315007 +3 *418:A *164:15 0.000183793 +4 *439:A *418:A 0.000157296 +5 *113:25 *418:A 0.000157296 +*RES +1 *378:Q *418:A 34.0821 +*END + +*D_NET *160 0.00111736 +*CONN +*I *422:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *388:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *422:A 0.000331405 +2 *388:Q 0.000331405 +3 *185:DIODE *422:A 0.000104572 +4 *372:D *422:A 0.000151834 +5 *444:A *422:A 2.98237e-05 +6 *4:25 *422:A 0.000168319 +*RES +1 *388:Q *422:A 34.6179 +*END + +*D_NET *161 0.00106822 +*CONN +*I *410:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *389:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *410:A 0.000183994 +2 *389:Q 0.000183994 +3 *398:A *410:A 6.08467e-05 +4 *4:23 *410:A 0.000287862 +5 *12:28 *410:A 6.3657e-05 +6 *103:8 *410:A 0.000287862 +*RES +1 *389:Q *410:A 32.5107 +*END + +*D_NET *162 0.00856635 +*CONN +*I *415:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *391:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *390:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *415:A 0.000216128 +2 *391:D 0.00171752 +3 *390:Q 0.000217834 +4 *162:10 0.00215148 +5 resetn_out *162:10 0.000366807 +6 user_gpio_in *391:D 0 +7 *181:DIODE *391:D 0.000497173 +8 *181:DIODE *415:A 9.32983e-05 +9 *328:A_N *391:D 0.000245172 +10 *365:SET_B *415:A 2.60765e-05 +11 *374:D *391:D 0.000208599 +12 *374:D *415:A 0.000254541 +13 *374:SET_B *162:10 0.000363397 +14 *380:RESET_B *391:D 0.00119017 +15 *381:D *391:D 8.4155e-06 +16 *391:CLK *391:D 5.64161e-05 +17 *5:19 *391:D 0.000188996 +18 *7:24 *391:D 9.1102e-06 +19 *10:26 *391:D 5.91586e-05 +20 *13:40 *162:10 0.00015607 +21 *38:17 *391:D 0.000199186 +22 *98:8 *415:A 0.000135341 +23 *117:52 *391:D 2.84704e-05 +24 *119:79 *391:D 1.5714e-05 +25 *131:8 *391:D 7.12632e-06 +26 *140:22 *162:10 0.000154145 +*RES +1 *390:Q *162:10 24.9429 +2 *162:10 *391:D 35.8277 +3 *162:10 *415:A 14.3714 +*END + +*D_NET *163 0.00671358 +*CONN +*I *416:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *379:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *416:A 0 +2 *379:Q 0.00244265 +3 *163:13 0.00244265 +4 *211:DIODE *163:13 6.65668e-05 +5 *324:A2 *163:13 1.43832e-05 +6 *324:B1 *163:13 3.99086e-06 +7 *344:B *163:13 9.45664e-06 +8 *366:CLK_N *163:13 0.000128218 +9 *366:SET_B *163:13 3.39313e-06 +10 *369:D *163:13 3.37637e-05 +11 *379:D *163:13 3.53886e-05 +12 *380:D *163:13 9.40212e-05 +13 *390:CLK *163:13 1.34231e-05 +14 *390:D *163:13 1.96819e-05 +15 *401:A *163:13 1.5252e-05 +16 *13:40 *163:13 0.000117354 +17 *57:17 *163:13 2.10488e-05 +18 *114:8 *163:13 0.000690375 +19 *116:54 *163:13 6.3657e-05 +20 *119:70 *163:13 3.82228e-05 +21 *140:22 *163:13 0.00046009 +*RES +1 *379:Q *163:13 47.5143 +2 *163:13 *416:A 9.3 +*END + +*D_NET *164 0.00577863 +*CONN +*I *421:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *380:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *421:A 0 +2 *380:Q 0.00168384 +3 *164:15 0.00168384 +4 *180:DIODE *164:15 7.36692e-05 +5 *344:A_N *164:15 8.37281e-05 +6 *365:RESET_B *164:15 0.000302956 +7 *373:SET_B *164:15 0.000466234 +8 *378:CLK *164:15 0.000207892 +9 *378:RESET_B *164:15 2.22931e-05 +10 *418:A *164:15 0.000183793 +11 *439:A *164:15 7.38526e-06 +12 *113:25 *164:15 0.000240745 +13 *131:8 *164:15 0.000341752 +14 *143:19 *164:15 5.8334e-05 +15 *146:10 *164:15 0.000422159 +*RES +1 *380:Q *164:15 49.7643 +2 *164:15 *421:A 9.3 +*END + +*D_NET *165 0.000444878 +*CONN +*I *419:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *381:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *419:A 0.000108577 +2 *381:Q 0.000108577 +3 *183:DIODE *419:A 6.27782e-05 +4 *6:7 *419:A 5.58266e-05 +5 *51:14 *419:A 0.000109119 +*RES +1 *381:Q *419:A 30.0821 +*END + +*D_NET *166 0.000826161 +*CONN +*I *411:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *382:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *411:A 4.61609e-05 +2 *382:Q 4.61609e-05 +3 *11:13 *411:A 0.000366919 +4 *12:16 *411:A 0.000366919 +*RES +1 *382:Q *411:A 21.6 +*END + +*D_NET *167 0.0015318 +*CONN +*I *414:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *383:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *414:A 0.000424302 +2 *383:Q 0.000424302 +3 *174:DIODE *414:A 7.65994e-05 +4 *355:A *414:A 8.09625e-05 +5 *6:12 *414:A 0 +6 *116:31 *414:A 0.000525633 +*RES +1 *383:Q *414:A 37.2964 +*END + +*D_NET *168 0.000907022 +*CONN +*I *413:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *384:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *413:A 0.00033152 +2 *384:Q 0.00033152 +3 *336:A_N *413:A 2.57847e-05 +4 *375:D *413:A 6.88011e-05 +5 *396:A *413:A 0.000110117 +6 *59:19 *413:A 3.92784e-05 +*RES +1 *384:Q *413:A 32.1536 +*END + +*D_NET *169 0.000799229 +*CONN +*I *412:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *385:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *412:A 0.000133979 +2 *385:Q 0.000133979 +3 *376:RESET_B *412:A 0.000107496 +4 *11:13 *412:A 9.89388e-06 +5 *94:60 *412:A 0.000158451 +6 *123:10 *412:A 0.000183794 +7 *153:10 *412:A 7.16362e-05 +*RES +1 *385:Q *412:A 31.5107 +*END + +*D_NET *170 0.00229577 +*CONN +*I *420:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *386:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *420:A 0.00046278 +2 *386:Q 0.00046278 +3 *174:DIODE *420:A 0.000140228 +4 *361:A *420:A 0.000212656 +5 *368:CLK_N *420:A 4.99782e-05 +6 *369:SET_B *420:A 0.000154597 +7 *386:D *420:A 5.04829e-06 +8 *386:RESET_B *420:A 4.48613e-05 +9 *402:A *420:A 0.000154145 +10 *433:A *420:A 5.26464e-05 +11 *59:19 *420:A 0.000397916 +12 *61:11 *420:A 0.000158138 +*RES +1 *386:Q *420:A 39.9571 +*END + +*D_NET *171 0.000900423 +*CONN +*I *417:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *387:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *417:A 0.000326138 +2 *387:Q 0.000326138 +3 *354:A *417:A 6.08467e-05 +4 *2:19 *417:A 0.000156946 +5 *95:26 *417:A 3.03541e-05 +*RES +1 *387:Q *417:A 23.6714 +*END + +*D_NET *172 0.00256919 +*CONN +*I *448:A I *D sky130_fd_sc_hd__buf_16 +*I *400:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *448:A 0.00042802 +2 *400:LO 0.00042802 +3 mgmt_gpio_in *448:A 0.000815926 +4 *213:DIODE *448:A 7.58194e-05 +5 *215:DIODE *448:A 0.000506774 +6 *442:A *448:A 0.000314632 +*RES +1 *400:LO *448:A 33.1179 *END diff --git a/spi/lvs/gpio_control_block.spice b/spi/lvs/gpio_control_block.spice index fea0bde4..c01eb629 100644 --- a/spi/lvs/gpio_control_block.spice +++ b/spi/lvs/gpio_control_block.spice @@ -8,6 +8,10 @@ .subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR .ends +* Black-box entry subcircuit for sky130_fd_sc_hd__nand2b_2 abstract view +.subckt sky130_fd_sc_hd__nand2b_2 A_N B VGND VNB VPB VPWR Y +.ends + * Black-box entry subcircuit for sky130_fd_sc_hd__dfbbn_2 abstract view .subckt sky130_fd_sc_hd__dfbbn_2 CLK_N D RESET_B SET_B VGND VNB VPB VPWR Q Q_N .ends @@ -16,22 +20,30 @@ .subckt sky130_fd_sc_hd__buf_16 A VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_16 abstract view -.subckt sky130_fd_sc_hd__clkbuf_16 A VGND VNB VPB VPWR X -.ends - * Black-box entry subcircuit for sky130_fd_sc_hd__inv_2 abstract view .subckt sky130_fd_sc_hd__inv_2 A VGND VNB VPB VPWR Y .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view -.subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR +* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_4 abstract view +.subckt sky130_fd_sc_hd__mux2_4 A0 A1 S VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_16 abstract view +.subckt sky130_fd_sc_hd__clkbuf_16 A VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__and2_0 abstract view +.subckt sky130_fd_sc_hd__and2_0 A B VGND VNB VPB VPWR X .ends * Black-box entry subcircuit for sky130_fd_sc_hd__dlygate4sd3_1 abstract view .subckt sky130_fd_sc_hd__dlygate4sd3_1 A VGND VNB VPB VPWR X .ends +* Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view +.subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR +.ends + * Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view .subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR .ends @@ -40,40 +52,40 @@ .subckt sky130_fd_sc_hd__conb_1 VGND VNB VPB VPWR HI LO .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__nand2b_2 abstract view -.subckt sky130_fd_sc_hd__nand2b_2 A_N B VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__or2_0 abstract view -.subckt sky130_fd_sc_hd__or2_0 A B VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view +.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR .ends * Black-box entry subcircuit for sky130_fd_sc_hd__buf_2 abstract view .subckt sky130_fd_sc_hd__buf_2 A VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__einvp_8 abstract view -.subckt sky130_fd_sc_hd__einvp_8 A TE VGND VNB VPB VPWR Z +* Black-box entry subcircuit for sky130_fd_sc_hd__or2_0 abstract view +.subckt sky130_fd_sc_hd__or2_0 A B VGND VNB VPB VPWR X .ends * Black-box entry subcircuit for sky130_fd_sc_hd__tapvpwrvgnd_1 abstract view .subckt sky130_fd_sc_hd__tapvpwrvgnd_1 VGND VPWR .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_4 abstract view -.subckt sky130_fd_sc_hd__o21ai_4 A1 A2 B1 VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view -.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR +* Black-box entry subcircuit for sky130_fd_sc_hd__decap_8 abstract view +.subckt sky130_fd_sc_hd__decap_8 VGND VNB VPB VPWR .ends * Black-box entry subcircuit for gpio_logic_high abstract view .subckt gpio_logic_high gpio_logic1 vccd1 vssd1 .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__ebufn_8 abstract view -.subckt sky130_fd_sc_hd__ebufn_8 A TE_B VGND VNB VPB VPWR Z +* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view +.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__and2_2 abstract view +.subckt sky130_fd_sc_hd__and2_2 A B VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_4 abstract view +.subckt sky130_fd_sc_hd__o21ai_4 A1 A2 B1 VGND VNB VPB VPWR Y .ends * Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_2 abstract view @@ -84,20 +96,12 @@ .subckt sky130_fd_sc_hd__and2b_2 A_N B VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__and3b_2 abstract view -.subckt sky130_fd_sc_hd__and3b_2 A_N B C VGND VNB VPB VPWR X -.ends - * Black-box entry subcircuit for sky130_fd_sc_hd__dfrtp_2 abstract view .subckt sky130_fd_sc_hd__dfrtp_2 CLK D RESET_B VGND VNB VPB VPWR Q .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_4 abstract view -.subckt sky130_fd_sc_hd__mux2_4 A0 A1 S VGND VNB VPB VPWR X -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__and2_0 abstract view -.subckt sky130_fd_sc_hd__and2_0 A B VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__and3b_2 abstract view +.subckt sky130_fd_sc_hd__and3b_2 A_N B C VGND VNB VPB VPWR X .ends .subckt gpio_control_block gpio_defaults[0] gpio_defaults[10] gpio_defaults[11] gpio_defaults[12] @@ -108,277 +112,283 @@ + pad_gpio_in pad_gpio_inenb pad_gpio_out pad_gpio_outenb pad_gpio_slow_sel pad_gpio_vtrip_sel + resetn resetn_out serial_clock serial_clock_out serial_data_in serial_data_out serial_load + serial_load_out user_gpio_in user_gpio_oeb user_gpio_out vccd vccd1 vssd vssd1 zero -X_131_ _134_/CLK _131_/D _085_/A vssd vssd vccd vccd hold1/A sky130_fd_sc_hd__dfrtp_4 +X_131_ _131_/CLK hold1/X _086_/A vssd vssd vccd vccd hold2/A sky130_fd_sc_hd__dfrtp_4 +XFILLER_9_99 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_062_ _106_/Q user_gpio_out vssd vssd vccd vccd _062_/Y sky130_fd_sc_hd__nand2b_2 XFILLER_0_57 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -XFILLER_20_98 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -X_114_ _101__6/Y _127_/D _081_/X _082_/Y vssd vssd vccd vccd _114_/Q _114_/Q_N sky130_fd_sc_hd__dfbbn_2 -Xoutput20 _135_/Q vssd vssd vccd vccd serial_data_out sky130_fd_sc_hd__buf_16 -Xoutput7 _121_/Q vssd vssd vccd vccd pad_gpio_ana_pol sky130_fd_sc_hd__buf_16 -X_130_ _130_/CLK hold8/X _095_/A vssd vssd vccd vccd _130_/Q sky130_fd_sc_hd__dfrtp_4 -X_113_ _100__5/Y hold6/X _079_/X _080_/Y vssd vssd vccd vccd _113_/Q _113_/Q_N sky130_fd_sc_hd__dfbbn_2 -Xclkbuf_1_0__f_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _103__8/A sky130_fd_sc_hd__clkbuf_16 -X_097__2 _104__9/A vssd vssd vccd vccd _097__2/Y sky130_fd_sc_hd__inv_2 -Xoutput8 _120_/Q vssd vssd vccd vccd pad_gpio_ana_sel sky130_fd_sc_hd__buf_16 -Xoutput10 _117_/Q vssd vssd vccd vccd pad_gpio_dm[1] sky130_fd_sc_hd__buf_16 -X_060_ _139_/A vssd vssd vccd vccd _060_/Y sky130_fd_sc_hd__inv_2 -X_112_ _099__4/Y hold1/X _077_/X _078_/Y vssd vssd vccd vccd _112_/Q _112_/Q_N sky130_fd_sc_hd__dfbbn_2 -XANTENNA__065__A0 user_gpio_oeb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xhold10 _132_/Q vssd vssd vccd vccd _133_/D sky130_fd_sc_hd__dlygate4sd3_1 -Xoutput9 _116_/Q vssd vssd vccd vccd pad_gpio_dm[0] sky130_fd_sc_hd__buf_16 -Xoutput11 _118_/Q vssd vssd vccd vccd pad_gpio_dm[2] sky130_fd_sc_hd__buf_16 -XANTENNA__084__A_N _083_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XFILLER_3_48 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -X_111_ _098__3/Y _131_/D _075_/X _076_/Y vssd vssd vccd vccd _111_/Q _111_/Q_N sky130_fd_sc_hd__dfbbn_2 -Xhold11 _127_/Q vssd vssd vccd vccd _128_/D sky130_fd_sc_hd__dlygate4sd3_1 -X_107__12 _103__8/A vssd vssd vccd vccd _107__12/Y sky130_fd_sc_hd__inv_2 -Xoutput12 _110_/Q vssd vssd vccd vccd pad_gpio_holdover sky130_fd_sc_hd__buf_16 -X_110_ _097__2/Y hold4/X _073_/X _074_/Y vssd vssd vccd vccd _110_/Q _110_/Q_N sky130_fd_sc_hd__dfbbn_2 -Xhold12 _130_/Q vssd vssd vccd vccd _131_/D sky130_fd_sc_hd__dlygate4sd3_1 -XANTENNA__072__B gpio_defaults[0] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__074__A_N _083_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xoutput13 _114_/Q vssd vssd vccd vccd pad_gpio_ib_mode_sel sky130_fd_sc_hd__buf_16 -XANTENNA__083__A _083_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__080__B gpio_defaults[3] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__075__B gpio_defaults[8] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xhold13 _126_/Q vssd vssd vccd vccd _127_/D sky130_fd_sc_hd__dlygate4sd3_1 -XANTENNA__083__B gpio_defaults[1] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_114_ _101__9/Y hold1/X _084_/X _085_/Y vssd vssd vccd vccd _114_/Q _114_/Q_N sky130_fd_sc_hd__dfbbn_2 +Xoutput20 _134_/X vssd vssd vccd vccd resetn_out sky130_fd_sc_hd__buf_16 +Xoutput7 _116_/Q vssd vssd vccd vccd pad_gpio_ana_en sky130_fd_sc_hd__buf_16 +X_104__12 _100__8/A vssd vssd vccd vccd _104__12/Y sky130_fd_sc_hd__inv_2 +X_130_ _131_/CLK hold9/X _086_/A vssd vssd vccd vccd hold1/A sky130_fd_sc_hd__dfrtp_4 +X_094__2 _101__9/A vssd vssd vccd vccd _094__2/Y sky130_fd_sc_hd__inv_2 +X_061_ user_gpio_oeb _060_/X _106_/Q vssd vssd vccd vccd _061_/X sky130_fd_sc_hd__mux2_4 +X_113_ _100__8/Y hold9/X _082_/X _083_/Y vssd vssd vccd vccd _113_/Q _113_/Q_N sky130_fd_sc_hd__dfbbn_2 +Xclkbuf_1_0__f_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _100__8/A sky130_fd_sc_hd__clkbuf_16 +X_059__14 _126_/CLK vssd vssd vccd vccd _132_/CLK sky130_fd_sc_hd__inv_2 +Xoutput21 _132_/Q vssd vssd vccd vccd serial_data_out sky130_fd_sc_hd__buf_16 +Xoutput8 _118_/Q vssd vssd vccd vccd pad_gpio_ana_pol sky130_fd_sc_hd__buf_16 +Xoutput10 _113_/Q vssd vssd vccd vccd pad_gpio_dm[0] sky130_fd_sc_hd__buf_16 +X_060_ _112_/Q _063_/C vssd vssd vccd vccd _060_/X sky130_fd_sc_hd__and2_0 +X_112_ _099__7/Y hold3/X _080_/X _081_/Y vssd vssd vccd vccd _112_/Q _112_/Q_N sky130_fd_sc_hd__dfbbn_2 +Xhold10 _123_/Q vssd vssd vccd vccd _124_/D sky130_fd_sc_hd__dlygate4sd3_1 +Xoutput22 _067_/X vssd vssd vccd vccd user_gpio_in sky130_fd_sc_hd__buf_16 +Xoutput11 _114_/Q vssd vssd vccd vccd pad_gpio_dm[1] sky130_fd_sc_hd__buf_16 +Xoutput9 _117_/Q vssd vssd vccd vccd pad_gpio_ana_sel sky130_fd_sc_hd__buf_16 +X_111_ _098__6/Y _124_/D _078_/X _079_/Y vssd vssd vccd vccd _111_/Q _111_/Q_N sky130_fd_sc_hd__dfbbn_2 +XFILLER_0_27 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +Xhold11 _126_/Q vssd vssd vccd vccd _127_/D sky130_fd_sc_hd__dlygate4sd3_1 +Xoutput12 _115_/Q vssd vssd vccd vccd pad_gpio_dm[2] sky130_fd_sc_hd__buf_16 +XANTENNA__072__B gpio_defaults[8] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_110_ _097__5/Y hold6/X _076_/X _077_/Y vssd vssd vccd vccd _110_/Q _110_/Q_N sky130_fd_sc_hd__dfbbn_2 +Xhold12 _125_/Q vssd vssd vccd vccd _126_/D sky130_fd_sc_hd__dlygate4sd3_1 +X_097__5 _101__9/A vssd vssd vccd vccd _097__5/Y sky130_fd_sc_hd__inv_2 +Xoutput13 _107_/Q vssd vssd vccd vccd pad_gpio_holdover sky130_fd_sc_hd__buf_16 +XANTENNA__080__B gpio_defaults[1] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__075__B gpio_defaults[9] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +Xhold13 _124_/Q vssd vssd vccd vccd _125_/D sky130_fd_sc_hd__dlygate4sd3_1 +XANTENNA__083__B gpio_defaults[10] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +Xoutput14 _111_/Q vssd vssd vccd vccd pad_gpio_ib_mode_sel sky130_fd_sc_hd__buf_16 XPHY_0 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XANTENNA__078__B gpio_defaults[9] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xoutput14 _113_/Q vssd vssd vccd vccd pad_gpio_inenb sky130_fd_sc_hd__buf_16 -XANTENNA__125__RESET_B _083_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__091__B gpio_defaults[5] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__086__B gpio_defaults[10] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xoutput15 _070_/Y vssd vssd vccd vccd pad_gpio_out sky130_fd_sc_hd__buf_16 +XANTENNA__078__B gpio_defaults[4] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__091__B gpio_defaults[6] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__086__B gpio_defaults[12] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_1 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XANTENNA__094__B gpio_defaults[6] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__089__B gpio_defaults[12] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_100__5 _104__9/A vssd vssd vccd vccd _100__5/Y sky130_fd_sc_hd__inv_2 +Xoutput15 _110_/Q vssd vssd vccd vccd pad_gpio_inenb sky130_fd_sc_hd__buf_16 +XANTENNA__089__B gpio_defaults[5] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 Xconst_source vssd vssd vccd vccd one_buffer/A zero_buffer/A sky130_fd_sc_hd__conb_1 -XFILLER_19_70 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -Xoutput16 _065_/X vssd vssd vccd vccd pad_gpio_outenb sky130_fd_sc_hd__buf_16 +XFILLER_10_83 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +XFILLER_1_30 vssd vssd vccd vccd sky130_fd_sc_hd__decap_4 +XANTENNA_fanout27_A _134_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +Xoutput16 _066_/Y vssd vssd vccd vccd pad_gpio_out sky130_fd_sc_hd__buf_16 XPHY_2 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -Xoutput17 _111_/Q vssd vssd vccd vccd pad_gpio_slow_sel sky130_fd_sc_hd__buf_16 +Xoutput17 _061_/X vssd vssd vccd vccd pad_gpio_outenb sky130_fd_sc_hd__buf_16 XPHY_3 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XFILLER_10_85 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -X_096_ _095_/A gpio_defaults[7] vssd vssd vccd vccd _096_/Y sky130_fd_sc_hd__nand2b_2 -XFILLER_1_32 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XFILLER_1_98 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -X_079_ _083_/A gpio_defaults[3] vssd vssd vccd vccd _079_/X sky130_fd_sc_hd__or2_0 -Xoutput18 _112_/Q vssd vssd vccd vccd pad_gpio_vtrip_sel sky130_fd_sc_hd__buf_16 +Xfanout30 input4/X vssd vssd vccd vccd fanout30/X sky130_fd_sc_hd__buf_2 +Xoutput18 _108_/Q vssd vssd vccd vccd pad_gpio_slow_sel sky130_fd_sc_hd__buf_16 +X_079_ _088_/A gpio_defaults[4] vssd vssd vccd vccd _079_/Y sky130_fd_sc_hd__nand2b_2 XPHY_4 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_095_ _095_/A gpio_defaults[7] vssd vssd vccd vccd _095_/X sky130_fd_sc_hd__or2_0 +XANTENNA_serial_load_out_buffer_A _101__9/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_100__8 _100__8/A vssd vssd vccd vccd _100__8/Y sky130_fd_sc_hd__inv_2 XANTENNA_input4_A resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_078_ _085_/A gpio_defaults[9] vssd vssd vccd vccd _078_/Y sky130_fd_sc_hd__nand2b_2 -Xoutput19 _136_/X vssd vssd vccd vccd resetn_out sky130_fd_sc_hd__buf_16 -XFILLER_7_98 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -X_103__8 _103__8/A vssd vssd vccd vccd _103__8/Y sky130_fd_sc_hd__inv_2 +Xoutput19 _109_/Q vssd vssd vccd vccd pad_gpio_vtrip_sel sky130_fd_sc_hd__buf_16 +XANTENNA__061__A0 user_gpio_oeb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_103__11 _100__8/A vssd vssd vccd vccd _103__11/Y sky130_fd_sc_hd__inv_2 +X_078_ _088_/A gpio_defaults[4] vssd vssd vccd vccd _078_/X sky130_fd_sc_hd__or2_0 +XANTENNA__097__5_A _101__9/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_5 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -Xfanout21 _095_/A vssd vssd vccd vccd _091_/A sky130_fd_sc_hd__buf_2 -XANTENNA__080__A_N _083_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_094_ _091_/A gpio_defaults[6] vssd vssd vccd vccd _094_/Y sky130_fd_sc_hd__nand2b_2 -X_077_ _089_/A gpio_defaults[9] vssd vssd vccd vccd _077_/X sky130_fd_sc_hd__or2_0 -X_129_ _130_/CLK hold9/X _095_/A vssd vssd vccd vccd hold8/A sky130_fd_sc_hd__dfrtp_4 +XANTENNA__058__1_A _101__9/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XFILLER_1_34 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_129_ _131_/CLK hold4/X _074_/A vssd vssd vccd vccd hold9/A sky130_fd_sc_hd__dfrtp_4 +X_077_ _076_/A gpio_defaults[3] vssd vssd vccd vccd _077_/Y sky130_fd_sc_hd__nand2b_2 XPHY_6 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -Xfanout22 fanout28/X vssd vssd vccd vccd _095_/A sky130_fd_sc_hd__buf_2 -X_093_ _095_/A gpio_defaults[6] vssd vssd vccd vccd _093_/X sky130_fd_sc_hd__or2_0 -X_076_ _085_/A gpio_defaults[8] vssd vssd vccd vccd _076_/Y sky130_fd_sc_hd__nand2b_2 -Xinput1 mgmt_gpio_oeb vssd vssd vccd vccd _067_/C sky130_fd_sc_hd__buf_2 -X_128_ _130_/CLK _128_/D _095_/A vssd vssd vccd vccd hold9/A sky130_fd_sc_hd__dfrtp_4 +X_093_ _092_/A gpio_defaults[7] vssd vssd vccd vccd _093_/Y sky130_fd_sc_hd__nand2b_2 +X_076_ _076_/A gpio_defaults[3] vssd vssd vccd vccd _076_/X sky130_fd_sc_hd__or2_0 +Xinput1 mgmt_gpio_oeb vssd vssd vccd vccd _063_/C sky130_fd_sc_hd__buf_2 +X_128_ _131_/CLK hold7/X _074_/A vssd vssd vccd vccd hold4/A sky130_fd_sc_hd__dfrtp_4 XPHY_7 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -Xfanout23 _072_/A_N vssd vssd vccd vccd _083_/A sky130_fd_sc_hd__buf_2 -X_092_ _091_/A gpio_defaults[5] vssd vssd vccd vccd _092_/Y sky130_fd_sc_hd__nand2b_2 -Xgpio_in_buf _060_/Y gpio_in_buf/TE vssd vssd vccd vccd user_gpio_in sky130_fd_sc_hd__einvp_8 -XANTENNA_input2_A mgmt_gpio_out vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +Xfanout23 _092_/A vssd vssd vccd vccd _088_/A sky130_fd_sc_hd__buf_2 +X_092_ _092_/A gpio_defaults[7] vssd vssd vccd vccd _092_/X sky130_fd_sc_hd__or2_0 Xinput2 mgmt_gpio_out vssd vssd vccd vccd input2/X sky130_fd_sc_hd__buf_2 -X_075_ _085_/A gpio_defaults[8] vssd vssd vccd vccd _075_/X sky130_fd_sc_hd__or2_0 -X_127_ _130_/CLK _127_/D _091_/A vssd vssd vccd vccd _127_/Q sky130_fd_sc_hd__dfrtp_4 +XANTENNA_input2_A mgmt_gpio_out vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_075_ _074_/A gpio_defaults[9] vssd vssd vccd vccd _075_/Y sky130_fd_sc_hd__nand2b_2 +X_127_ _131_/CLK _127_/D _092_/A vssd vssd vccd vccd hold7/A sky130_fd_sc_hd__dfrtp_4 XANTENNA_clkbuf_0_serial_load_A serial_load vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_8 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_106__11 _103__8/A vssd vssd vccd vccd _106__11/Y sky130_fd_sc_hd__inv_2 -Xfanout24 fanout28/X vssd vssd vccd vccd _072_/A_N sky130_fd_sc_hd__buf_2 -XFILLER_19_55 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_095__3 _100__8/A vssd vssd vccd vccd _095__3/Y sky130_fd_sc_hd__inv_2 +Xfanout24 fanout30/X vssd vssd vccd vccd _092_/A sky130_fd_sc_hd__buf_2 +XANTENNA__102__10_A _101__9/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_074_ _074_/A gpio_defaults[9] vssd vssd vccd vccd _074_/X sky130_fd_sc_hd__or2_0 XTAP_70 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -Xinput3 pad_gpio_in vssd vssd vccd vccd _139_/A sky130_fd_sc_hd__buf_2 -X_091_ _091_/A gpio_defaults[5] vssd vssd vccd vccd _091_/X sky130_fd_sc_hd__or2_0 -X_074_ _083_/A gpio_defaults[2] vssd vssd vccd vccd _074_/Y sky130_fd_sc_hd__nand2b_2 -X_126_ _130_/CLK hold6/X _091_/A vssd vssd vccd vccd _126_/Q sky130_fd_sc_hd__dfrtp_4 -X_098__3 _103__8/A vssd vssd vccd vccd _098__3/Y sky130_fd_sc_hd__inv_2 +Xinput3 pad_gpio_in vssd vssd vccd vccd _133_/A sky130_fd_sc_hd__buf_2 +X_091_ _088_/A gpio_defaults[6] vssd vssd vccd vccd _091_/Y sky130_fd_sc_hd__nand2b_2 +X_126_ _126_/CLK _126_/D _088_/A vssd vssd vccd vccd _126_/Q sky130_fd_sc_hd__dfrtp_4 XPHY_9 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_109_ _061__1/Y hold3/X _071_/X _072_/Y vssd vssd vccd vccd _109_/Q _109_/Q_N sky130_fd_sc_hd__dfbbn_2 -Xfanout25 fanout28/X vssd vssd vccd vccd _089_/A sky130_fd_sc_hd__buf_2 +X_109_ _096__4/Y hold4/X _074_/X _075_/Y vssd vssd vccd vccd _109_/Q _109_/Q_N sky130_fd_sc_hd__dfbbn_2 +Xfanout25 _080_/A vssd vssd vccd vccd _076_/A sky130_fd_sc_hd__buf_2 XTAP_71 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_60 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 +X_090_ _092_/A gpio_defaults[6] vssd vssd vccd vccd _090_/X sky130_fd_sc_hd__or2_0 Xinput4 resetn vssd vssd vccd vccd input4/X sky130_fd_sc_hd__buf_2 -X_090_ _136_/A gpio_defaults[12] vssd vssd vccd vccd _090_/Y sky130_fd_sc_hd__nand2b_2 -X_073_ _083_/A gpio_defaults[2] vssd vssd vccd vccd _073_/X sky130_fd_sc_hd__or2_0 -X_125_ _130_/CLK hold4/X _083_/A vssd vssd vccd vccd hold6/A sky130_fd_sc_hd__dfrtp_4 -XANTENNA__073__A _083_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xfanout26 fanout28/X vssd vssd vccd vccd _136_/A sky130_fd_sc_hd__buf_2 +XFILLER_5_80 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_073_ _074_/A gpio_defaults[8] vssd vssd vccd vccd _073_/Y sky130_fd_sc_hd__nand2b_2 +X_125_ _126_/CLK _125_/D _088_/A vssd vssd vccd vccd _125_/Q sky130_fd_sc_hd__dfrtp_4 +XFILLER_7_26 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +XANTENNA__062__B user_gpio_out vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_108_ _095__3/Y hold7/X _072_/X _073_/Y vssd vssd vccd vccd _108_/Q _108_/Q_N sky130_fd_sc_hd__dfbbn_2 +XANTENNA__070__B gpio_defaults[2] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__098__6_A _101__9/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +Xfanout26 fanout30/X vssd vssd vccd vccd _080_/A sky130_fd_sc_hd__buf_2 XTAP_72 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 +X_072_ _074_/A gpio_defaults[8] vssd vssd vccd vccd _072_/X sky130_fd_sc_hd__or2_0 XTAP_61 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_072_ _072_/A_N gpio_defaults[0] vssd vssd vccd vccd _072_/Y sky130_fd_sc_hd__nand2b_2 +Xinput5 serial_data_in vssd vssd vccd vccd _119_/D sky130_fd_sc_hd__buf_2 XTAP_50 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -Xinput5 serial_data_in vssd vssd vccd vccd _122_/D sky130_fd_sc_hd__buf_2 -X_124_ _130_/CLK hold5/X _072_/A_N vssd vssd vccd vccd hold4/A sky130_fd_sc_hd__dfrtp_4 -XANTENNA__073__B gpio_defaults[2] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xfanout27 fanout28/X vssd vssd vccd vccd _085_/A sky130_fd_sc_hd__buf_2 -XANTENNA__079__A _083_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__076__B gpio_defaults[8] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__081__B gpio_defaults[4] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__073__B gpio_defaults[8] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_124_ _126_/CLK _124_/D _092_/A vssd vssd vccd vccd _124_/Q sky130_fd_sc_hd__dfrtp_4 +XANTENNA__101__9_A _101__9/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__068__B gpio_defaults[0] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_107_ _094__2/Y hold8/X _070_/X _071_/Y vssd vssd vccd vccd _107_/Q _107_/Q_N sky130_fd_sc_hd__dfbbn_2 +Xfanout27 _134_/A vssd vssd vccd vccd _074_/A sky130_fd_sc_hd__buf_2 +XANTENNA__081__B gpio_defaults[1] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__076__B gpio_defaults[3] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XTAP_73 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_071_ _089_/A gpio_defaults[0] vssd vssd vccd vccd _071_/X sky130_fd_sc_hd__or2_0 +X_071_ _076_/A gpio_defaults[2] vssd vssd vccd vccd _071_/Y sky130_fd_sc_hd__nand2b_2 XTAP_62 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_51 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_123_ _130_/CLK hold3/X _072_/A_N vssd vssd vccd vccd hold5/A sky130_fd_sc_hd__dfrtp_4 -XANTENNA__084__B gpio_defaults[1] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xfanout28 input4/X vssd vssd vccd vccd fanout28/X sky130_fd_sc_hd__buf_2 -XANTENNA__079__B gpio_defaults[3] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_106_ _058__1/Y hold5/X _068_/X _069_/Y vssd vssd vccd vccd _106_/Q _106_/Q_N sky130_fd_sc_hd__dfbbn_2 +X_123_ _126_/CLK hold6/X _092_/A vssd vssd vccd vccd _123_/Q sky130_fd_sc_hd__dfrtp_4 +X_098__6 _101__9/A vssd vssd vccd vccd _098__6/Y sky130_fd_sc_hd__inv_2 +XANTENNA__084__B gpio_defaults[11] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__079__B gpio_defaults[4] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +Xfanout28 _134_/A vssd vssd vccd vccd _086_/A sky130_fd_sc_hd__buf_2 XTAP_63 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_070_ _068_/X _069_/Y _066_/Y vssd vssd vccd vccd _070_/Y sky130_fd_sc_hd__o21ai_4 XTAP_52 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -XANTENNA__092__B gpio_defaults[5] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__087__B gpio_defaults[11] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_122_ _134_/CLK _122_/D _072_/A_N vssd vssd vccd vccd hold3/A sky130_fd_sc_hd__dfrtp_4 -XANTENNA__095__B gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xserial_clock_out_buffer _134_/CLK vssd vssd vccd vccd serial_clock_out sky130_fd_sc_hd__clkbuf_16 +X_070_ _076_/A gpio_defaults[2] vssd vssd vccd vccd _070_/X sky130_fd_sc_hd__or2_0 +XANTENNA__092__B gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__087__B gpio_defaults[12] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_122_ _126_/CLK hold8/X _076_/A vssd vssd vccd vccd hold6/A sky130_fd_sc_hd__dfrtp_4 +Xserial_clock_out_buffer _126_/CLK vssd vssd vccd vccd serial_clock_out sky130_fd_sc_hd__clkbuf_16 +Xfanout29 fanout30/X vssd vssd vccd vccd _134_/A sky130_fd_sc_hd__buf_2 XTAP_64 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_53 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_42 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_2_52 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -X_121_ _108__13/Y hold8/X _095_/X _096_/Y vssd vssd vccd vccd _121_/Q _121_/Q_N sky130_fd_sc_hd__dfbbn_2 +XFILLER_2_41 vssd vssd vccd vccd sky130_fd_sc_hd__decap_8 +X_121_ _126_/CLK hold3/X _080_/A vssd vssd vccd vccd hold8/A sky130_fd_sc_hd__dfrtp_4 XTAP_65 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_54 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_101__6 _103__8/A vssd vssd vccd vccd _101__6/Y sky130_fd_sc_hd__inv_2 XTAP_43 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_120_ _107__12/Y hold9/X _093_/X _094_/Y vssd vssd vccd vccd _120_/Q _120_/Q_N sky130_fd_sc_hd__dfbbn_2 -XFILLER_2_42 vssd vssd vccd vccd sky130_fd_sc_hd__decap_6 -XFILLER_8_52 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_120_ _126_/CLK hold5/X _076_/A vssd vssd vccd vccd hold3/A sky130_fd_sc_hd__dfrtp_4 +X_102__10 _101__9/A vssd vssd vccd vccd _102__10/Y sky130_fd_sc_hd__inv_2 +X_058__1 _101__9/A vssd vssd vccd vccd _058__1/Y sky130_fd_sc_hd__inv_2 Xhold1 hold1/A vssd vssd vccd vccd hold1/X sky130_fd_sc_hd__dlygate4sd3_1 +XANTENNA__099__7_A _101__9/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XTAP_66 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_55 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 +XFILLER_2_32 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XTAP_44 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 Xhold2 hold2/A vssd vssd vccd vccd hold2/X sky130_fd_sc_hd__dlygate4sd3_1 Xclkbuf_0_serial_load serial_load vssd vssd vccd vccd clkbuf_0_serial_load/X sky130_fd_sc_hd__clkbuf_16 -Xclkbuf_1_0__f_serial_clock clkbuf_0_serial_clock/X vssd vssd vccd vccd _130_/CLK +Xclkbuf_1_0__f_serial_clock clkbuf_0_serial_clock/X vssd vssd vccd vccd _126_/CLK + sky130_fd_sc_hd__clkbuf_16 XTAP_67 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_56 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -Xgpio_logic_high gpio_in_buf/TE vccd1 vssd1 gpio_logic_high +Xgpio_logic_high _067_/A vccd1 vssd1 gpio_logic_high XTAP_45 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_5_98 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_40 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 Xone_buffer one_buffer/A vssd vssd vccd vccd one sky130_fd_sc_hd__buf_16 -XFILLER_8_98 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +XFILLER_8_65 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 Xhold3 hold3/A vssd vssd vccd vccd hold3/X sky130_fd_sc_hd__dlygate4sd3_1 XPHY_41 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 +X_101__9 _101__9/A vssd vssd vccd vccd _101__9/Y sky130_fd_sc_hd__inv_2 XTAP_68 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_30 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XTAP_57 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_46 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_105__10 _104__9/A vssd vssd vccd vccd _105__10/Y sky130_fd_sc_hd__inv_2 +XANTENNA_fanout28_A _134_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 Xhold4 hold4/A vssd vssd vccd vccd hold4/X sky130_fd_sc_hd__dlygate4sd3_1 -X_104__9 _104__9/A vssd vssd vccd vccd _104__9/Y sky130_fd_sc_hd__inv_2 +XFILLER_8_99 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XTAP_69 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_14_98 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XTAP_58 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_5_56 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +XFILLER_5_34 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XTAP_47 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_31 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_20 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XFILLER_0_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -XFILLER_17_98 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +XANTENNA__134__A _134_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 Xhold5 hold5/A vssd vssd vccd vccd hold5/X sky130_fd_sc_hd__dlygate4sd3_1 XPHY_32 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XTAP_59 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_21 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_10 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XTAP_48 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_089_ _089_/A gpio_defaults[12] vssd vssd vccd vccd _089_/X sky130_fd_sc_hd__or2_0 +XFILLER_17_99 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 Xhold6 hold6/A vssd vssd vccd vccd hold6/X sky130_fd_sc_hd__dlygate4sd3_1 +X_089_ _088_/A gpio_defaults[5] vssd vssd vccd vccd _089_/Y sky130_fd_sc_hd__nand2b_2 Xzero_buffer zero_buffer/A vssd vssd vccd vccd zero sky130_fd_sc_hd__buf_16 XTAP_49 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_0_91 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_33 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 Xclkbuf_0_serial_clock serial_clock vssd vssd vccd vccd clkbuf_0_serial_clock/X sky130_fd_sc_hd__clkbuf_16 XPHY_22 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XANTENNA_input5_A serial_data_in vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XFILLER_2_48 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_11 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XFILLER_3_80 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -X_088_ _089_/A gpio_defaults[11] vssd vssd vccd vccd _088_/Y sky130_fd_sc_hd__nand2b_2 +XANTENNA_input5_A serial_data_in vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_105__13 _100__8/A vssd vssd vccd vccd _105__13/Y sky130_fd_sc_hd__inv_2 +X_088_ _088_/A gpio_defaults[5] vssd vssd vccd vccd _088_/X sky130_fd_sc_hd__or2_0 Xhold7 hold7/A vssd vssd vccd vccd hold7/X sky130_fd_sc_hd__dlygate4sd3_1 +XFILLER_5_26 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_096__4 _100__8/A vssd vssd vccd vccd _096__4/Y sky130_fd_sc_hd__inv_2 XPHY_12 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_34 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_23 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_087_ _089_/A gpio_defaults[11] vssd vssd vccd vccd _087_/X sky130_fd_sc_hd__or2_0 -X_099__4 _104__9/A vssd vssd vccd vccd _099__4/Y sky130_fd_sc_hd__inv_2 +XFILLER_2_49 vssd vssd vccd vccd sky130_fd_sc_hd__fill_2 Xhold8 hold8/A vssd vssd vccd vccd hold8/X sky130_fd_sc_hd__dlygate4sd3_1 -Xclkbuf_1_1__f_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _104__9/A sky130_fd_sc_hd__clkbuf_16 -XANTENNA__071__B gpio_defaults[0] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_139_ _139_/A _063_/Y vssd vssd vccd vccd mgmt_gpio_in sky130_fd_sc_hd__ebufn_8 -XANTENNA__066__B user_gpio_out vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XFILLER_17_57 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_087_ _086_/A gpio_defaults[12] vssd vssd vccd vccd _087_/Y sky130_fd_sc_hd__nand2b_2 +Xclkbuf_1_1__f_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _101__9/A sky130_fd_sc_hd__clkbuf_16 +XANTENNA__082__A _134_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__071__B gpio_defaults[2] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_35 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_24 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_13 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_086_ _085_/A gpio_defaults[10] vssd vssd vccd vccd _086_/Y sky130_fd_sc_hd__nand2b_2 -Xclkbuf_1_1__f_serial_clock clkbuf_0_serial_clock/X vssd vssd vccd vccd _134_/CLK +Xclkbuf_1_1__f_serial_clock clkbuf_0_serial_clock/X vssd vssd vccd vccd _131_/CLK + sky130_fd_sc_hd__clkbuf_16 -XANTENNA__074__B gpio_defaults[2] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_086_ _086_/A gpio_defaults[12] vssd vssd vccd vccd _086_/X sky130_fd_sc_hd__or2_0 +XANTENNA__074__B gpio_defaults[9] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_069_ _080_/A gpio_defaults[0] vssd vssd vccd vccd _069_/Y sky130_fd_sc_hd__nand2b_2 Xhold9 hold9/A vssd vssd vccd vccd hold9/X sky130_fd_sc_hd__dlygate4sd3_1 -X_069_ input2/X _068_/B _109_/Q vssd vssd vccd vccd _069_/Y sky130_fd_sc_hd__o21ai_2 -X_108__13 _103__8/A vssd vssd vccd vccd _108__13/Y sky130_fd_sc_hd__inv_2 -XANTENNA__082__B gpio_defaults[4] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__069__B gpio_defaults[0] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__082__B gpio_defaults[10] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_36 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XANTENNA__077__B gpio_defaults[9] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_25 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 +XANTENNA__077__B gpio_defaults[3] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_14 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 +XANTENNA__132__RESET_B _134_/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XANTENNA_input3_A pad_gpio_in vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__090__B gpio_defaults[12] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XANTENNA__085__B gpio_defaults[10] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_085_ _085_/A gpio_defaults[10] vssd vssd vccd vccd _085_/X sky130_fd_sc_hd__or2_0 -X_068_ _116_/Q_N _068_/B vssd vssd vccd vccd _068_/X sky130_fd_sc_hd__and2b_2 +X_085_ _086_/A gpio_defaults[11] vssd vssd vccd vccd _085_/Y sky130_fd_sc_hd__nand2b_2 +XANTENNA__090__B gpio_defaults[6] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__085__B gpio_defaults[11] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +X_068_ _086_/A gpio_defaults[0] vssd vssd vccd vccd _068_/X sky130_fd_sc_hd__or2_0 XPHY_37 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XANTENNA__093__B gpio_defaults[6] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_26 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_15 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XANTENNA__088__B gpio_defaults[11] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_067_ _118_/Q _117_/Q _067_/C vssd vssd vccd vccd _068_/B sky130_fd_sc_hd__and3b_2 -X_136_ _136_/A vssd vssd vccd vccd _136_/X sky130_fd_sc_hd__buf_2 -X_084_ _083_/A gpio_defaults[1] vssd vssd vccd vccd _084_/Y sky130_fd_sc_hd__nand2b_2 -XANTENNA__096__B gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_119_ _106__11/Y _128_/D _091_/X _092_/Y vssd vssd vccd vccd _119_/Q _119_/Q_N sky130_fd_sc_hd__dfbbn_2 -X_062__14 _130_/CLK vssd vssd vccd vccd _135_/CLK sky130_fd_sc_hd__inv_2 +XANTENNA__093__B gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__088__B gpio_defaults[5] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__094__2_A _101__9/A vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XFILLER_3_84 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_084_ _086_/A gpio_defaults[11] vssd vssd vccd vccd _084_/X sky130_fd_sc_hd__or2_0 +X_099__7 _101__9/A vssd vssd vccd vccd _099__7/Y sky130_fd_sc_hd__inv_2 +X_067_ _067_/A _133_/A vssd vssd vccd vccd _067_/X sky130_fd_sc_hd__and2_2 +X_119_ _126_/CLK _119_/D _080_/A vssd vssd vccd vccd hold5/A sky130_fd_sc_hd__dfrtp_4 XPHY_38 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_27 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_16 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_083_ _083_/A gpio_defaults[1] vssd vssd vccd vccd _083_/X sky130_fd_sc_hd__or2_0 -X_118_ _105__10/Y hold7/X _089_/X _090_/Y vssd vssd vccd vccd _118_/Q _118_/Q_N sky130_fd_sc_hd__dfbbn_2 -X_135_ _135_/CLK hold7/A _136_/A vssd vssd vccd vccd _135_/Q sky130_fd_sc_hd__dfrtp_2 -X_061__1 _104__9/A vssd vssd vccd vccd _061__1/Y sky130_fd_sc_hd__inv_2 -X_066_ _109_/Q user_gpio_out vssd vssd vccd vccd _066_/Y sky130_fd_sc_hd__nand2b_2 -XFILLER_0_31 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_083_ _074_/A gpio_defaults[10] vssd vssd vccd vccd _083_/Y sky130_fd_sc_hd__nand2b_2 +XFILLER_3_52 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_066_ _064_/X _065_/Y _062_/Y vssd vssd vccd vccd _066_/Y sky130_fd_sc_hd__o21ai_4 +X_118_ _105__13/Y _127_/D _092_/X _093_/Y vssd vssd vccd vccd _118_/Q _118_/Q_N sky130_fd_sc_hd__dfbbn_2 XPHY_39 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 +XFILLER_15_50 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_28 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_17 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_134_ _134_/CLK hold2/X _089_/A vssd vssd vccd vccd hold7/A sky130_fd_sc_hd__dfrtp_4 -X_065_ user_gpio_oeb _064_/X _109_/Q vssd vssd vccd vccd _065_/X sky130_fd_sc_hd__mux2_4 -X_082_ _091_/A gpio_defaults[4] vssd vssd vccd vccd _082_/Y sky130_fd_sc_hd__nand2b_2 -Xserial_load_out_buffer _104__9/A vssd vssd vccd vccd serial_load_out sky130_fd_sc_hd__clkbuf_16 +X_065_ input2/X _064_/B _106_/Q vssd vssd vccd vccd _065_/Y sky130_fd_sc_hd__o21ai_2 +X_082_ _134_/A gpio_defaults[10] vssd vssd vccd vccd _082_/X sky130_fd_sc_hd__or2_0 +X_134_ _134_/A vssd vssd vccd vccd _134_/X sky130_fd_sc_hd__buf_2 +Xserial_load_out_buffer _101__9/A vssd vssd vccd vccd serial_load_out sky130_fd_sc_hd__clkbuf_16 XANTENNA_input1_A mgmt_gpio_oeb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_117_ _104__9/Y hold2/X _087_/X _088_/Y vssd vssd vccd vccd _117_/Q _117_/Q_N sky130_fd_sc_hd__dfbbn_2 +X_117_ _104__12/Y _126_/D _090_/X _091_/Y vssd vssd vccd vccd _117_/Q _117_/Q_N sky130_fd_sc_hd__dfbbn_2 XPHY_29 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_102__7 _104__9/A vssd vssd vccd vccd _102__7/Y sky130_fd_sc_hd__inv_2 XPHY_18 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_081_ _091_/A gpio_defaults[4] vssd vssd vccd vccd _081_/X sky130_fd_sc_hd__or2_0 -X_133_ _134_/CLK _133_/D _089_/A vssd vssd vccd vccd hold2/A sky130_fd_sc_hd__dfrtp_4 -X_064_ _115_/Q _067_/C vssd vssd vccd vccd _064_/X sky130_fd_sc_hd__and2_0 +X_081_ _076_/A gpio_defaults[1] vssd vssd vccd vccd _081_/Y sky130_fd_sc_hd__nand2b_2 +XFILLER_3_43 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_064_ _113_/Q_N _064_/B vssd vssd vccd vccd _064_/X sky130_fd_sc_hd__and2b_2 +XFILLER_0_33 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_133_ _133_/A vssd vssd vccd vccd _133_/X sky130_fd_sc_hd__buf_2 +X_116_ _103__11/Y _125_/D _088_/X _089_/Y vssd vssd vccd vccd _116_/Q _116_/Q_N sky130_fd_sc_hd__dfbbn_2 XPHY_19 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_116_ _103__8/Y _133_/D _085_/X _086_/Y vssd vssd vccd vccd _116_/Q _116_/Q_N sky130_fd_sc_hd__dfbbn_2 XANTENNA_clkbuf_0_serial_clock_A serial_clock vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_063_ _113_/Q _115_/Q vssd vssd vccd vccd _063_/Y sky130_fd_sc_hd__nand2b_2 -X_132_ _134_/CLK hold1/X _085_/A vssd vssd vccd vccd _132_/Q sky130_fd_sc_hd__dfrtp_4 -X_080_ _083_/A gpio_defaults[3] vssd vssd vccd vccd _080_/Y sky130_fd_sc_hd__nand2b_2 -X_115_ _102__7/Y hold5/X _083_/X _084_/Y vssd vssd vccd vccd _115_/Q _115_/Q_N sky130_fd_sc_hd__dfbbn_2 -Xoutput6 _119_/Q vssd vssd vccd vccd pad_gpio_ana_en sky130_fd_sc_hd__buf_16 +X_132_ _132_/CLK hold2/A _134_/A vssd vssd vccd vccd _132_/Q sky130_fd_sc_hd__dfrtp_2 +X_063_ _115_/Q _114_/Q _063_/C vssd vssd vccd vccd _064_/B sky130_fd_sc_hd__and3b_2 +X_080_ _080_/A gpio_defaults[1] vssd vssd vccd vccd _080_/X sky130_fd_sc_hd__or2_0 +X_115_ _102__10/Y hold2/X _086_/X _087_/Y vssd vssd vccd vccd _115_/Q _115_/Q_N sky130_fd_sc_hd__dfbbn_2 +Xoutput6 _133_/X vssd vssd vccd vccd mgmt_gpio_in sky130_fd_sc_hd__buf_16 .ends diff --git a/verilog/gl/gpio_control_block.v b/verilog/gl/gpio_control_block.v index 4c2243b0..520805a2 100644 --- a/verilog/gl/gpio_control_block.v +++ b/verilog/gl/gpio_control_block.v @@ -92,7 +92,7 @@ module gpio_control_block (mgmt_gpio_in, wire _024_; wire _025_; wire _026_; - wire _027_; + wire _041_; wire _042_; wire _043_; wire _044_; @@ -109,12 +109,10 @@ module gpio_control_block (mgmt_gpio_in, wire _055_; wire _056_; wire _057_; - wire _058_; - wire _059_; wire clknet_0_serial_clock; wire clknet_0_serial_load; - wire clknet_1_0__leaf_serial_clock; wire clknet_1_0__leaf_serial_load; + wire clknet_1_1__leaf_serial_clock; wire gpio_logic1; wire gpio_outenb; wire mgmt_ena; @@ -169,6 +167,8 @@ module gpio_control_block (mgmt_gpio_in, wire net53; wire net54; wire net55; + wire net56; + wire net57; wire net6; wire net7; wire net8; @@ -191,177 +191,192 @@ module gpio_control_block (mgmt_gpio_in, wire \shift_register[9] ; wire zero_buffered; - sky130_fd_sc_hd__diode_2 ANTENNA__065__A0 (.DIODE(user_gpio_oeb), + sky130_fd_sc_hd__diode_2 ANTENNA__058__1_A (.DIODE(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__066__B (.DIODE(user_gpio_out), + sky130_fd_sc_hd__diode_2 ANTENNA__061__A0 (.DIODE(user_gpio_oeb), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__071__B (.DIODE(gpio_defaults[0]), + sky130_fd_sc_hd__diode_2 ANTENNA__062__B (.DIODE(user_gpio_out), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__072__B (.DIODE(gpio_defaults[0]), + sky130_fd_sc_hd__diode_2 ANTENNA__068__B (.DIODE(gpio_defaults[0]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__073__A (.DIODE(net23), + sky130_fd_sc_hd__diode_2 ANTENNA__069__B (.DIODE(gpio_defaults[0]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__073__B (.DIODE(gpio_defaults[2]), + sky130_fd_sc_hd__diode_2 ANTENNA__070__B (.DIODE(gpio_defaults[2]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__074__A_N (.DIODE(net23), + sky130_fd_sc_hd__diode_2 ANTENNA__071__B (.DIODE(gpio_defaults[2]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__074__B (.DIODE(gpio_defaults[2]), + sky130_fd_sc_hd__diode_2 ANTENNA__072__B (.DIODE(gpio_defaults[8]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__075__B (.DIODE(gpio_defaults[8]), + sky130_fd_sc_hd__diode_2 ANTENNA__073__B (.DIODE(gpio_defaults[8]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__076__B (.DIODE(gpio_defaults[8]), + sky130_fd_sc_hd__diode_2 ANTENNA__074__B (.DIODE(gpio_defaults[9]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__077__B (.DIODE(gpio_defaults[9]), + sky130_fd_sc_hd__diode_2 ANTENNA__075__B (.DIODE(gpio_defaults[9]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__078__B (.DIODE(gpio_defaults[9]), + sky130_fd_sc_hd__diode_2 ANTENNA__076__B (.DIODE(gpio_defaults[3]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__079__A (.DIODE(net23), + sky130_fd_sc_hd__diode_2 ANTENNA__077__B (.DIODE(gpio_defaults[3]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__079__B (.DIODE(gpio_defaults[3]), + sky130_fd_sc_hd__diode_2 ANTENNA__078__B (.DIODE(gpio_defaults[4]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__080__A_N (.DIODE(net23), + sky130_fd_sc_hd__diode_2 ANTENNA__079__B (.DIODE(gpio_defaults[4]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__080__B (.DIODE(gpio_defaults[3]), + sky130_fd_sc_hd__diode_2 ANTENNA__080__B (.DIODE(gpio_defaults[1]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__081__B (.DIODE(gpio_defaults[4]), + sky130_fd_sc_hd__diode_2 ANTENNA__081__B (.DIODE(gpio_defaults[1]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__082__B (.DIODE(gpio_defaults[4]), + sky130_fd_sc_hd__diode_2 ANTENNA__082__A (.DIODE(net29), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__083__A (.DIODE(net23), + sky130_fd_sc_hd__diode_2 ANTENNA__082__B (.DIODE(gpio_defaults[10]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__083__B (.DIODE(gpio_defaults[1]), + sky130_fd_sc_hd__diode_2 ANTENNA__083__B (.DIODE(gpio_defaults[10]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__084__A_N (.DIODE(net23), + sky130_fd_sc_hd__diode_2 ANTENNA__084__B (.DIODE(gpio_defaults[11]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__084__B (.DIODE(gpio_defaults[1]), + sky130_fd_sc_hd__diode_2 ANTENNA__085__B (.DIODE(gpio_defaults[11]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__085__B (.DIODE(gpio_defaults[10]), + sky130_fd_sc_hd__diode_2 ANTENNA__086__B (.DIODE(gpio_defaults[12]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__086__B (.DIODE(gpio_defaults[10]), + sky130_fd_sc_hd__diode_2 ANTENNA__087__B (.DIODE(gpio_defaults[12]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__087__B (.DIODE(gpio_defaults[11]), + sky130_fd_sc_hd__diode_2 ANTENNA__088__B (.DIODE(gpio_defaults[5]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__088__B (.DIODE(gpio_defaults[11]), + sky130_fd_sc_hd__diode_2 ANTENNA__089__B (.DIODE(gpio_defaults[5]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__089__B (.DIODE(gpio_defaults[12]), + sky130_fd_sc_hd__diode_2 ANTENNA__090__B (.DIODE(gpio_defaults[6]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__090__B (.DIODE(gpio_defaults[12]), + sky130_fd_sc_hd__diode_2 ANTENNA__091__B (.DIODE(gpio_defaults[6]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__091__B (.DIODE(gpio_defaults[5]), + sky130_fd_sc_hd__diode_2 ANTENNA__092__B (.DIODE(gpio_defaults[7]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__092__B (.DIODE(gpio_defaults[5]), + sky130_fd_sc_hd__diode_2 ANTENNA__093__B (.DIODE(gpio_defaults[7]), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__093__B (.DIODE(gpio_defaults[6]), + sky130_fd_sc_hd__diode_2 ANTENNA__094__2_A (.DIODE(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__094__B (.DIODE(gpio_defaults[6]), + sky130_fd_sc_hd__diode_2 ANTENNA__097__5_A (.DIODE(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__095__B (.DIODE(gpio_defaults[7]), + sky130_fd_sc_hd__diode_2 ANTENNA__098__6_A (.DIODE(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__096__B (.DIODE(gpio_defaults[7]), + sky130_fd_sc_hd__diode_2 ANTENNA__099__7_A (.DIODE(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__diode_2 ANTENNA__125__RESET_B (.DIODE(net23), + sky130_fd_sc_hd__diode_2 ANTENNA__101__9_A (.DIODE(serial_load_out_buffered), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); + sky130_fd_sc_hd__diode_2 ANTENNA__102__10_A (.DIODE(serial_load_out_buffered), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); + sky130_fd_sc_hd__diode_2 ANTENNA__132__RESET_B (.DIODE(net29), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); + sky130_fd_sc_hd__diode_2 ANTENNA__134__A (.DIODE(net29), .VGND(vssd), .VNB(vssd), .VPB(vccd), @@ -376,6 +391,16 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); + sky130_fd_sc_hd__diode_2 ANTENNA_fanout27_A (.DIODE(net29), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); + sky130_fd_sc_hd__diode_2 ANTENNA_fanout28_A (.DIODE(net29), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); sky130_fd_sc_hd__diode_2 ANTENNA_input1_A (.DIODE(mgmt_gpio_oeb), .VGND(vssd), .VNB(vssd), @@ -401,11 +426,16 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_0_3 (.VGND(vssd), + sky130_fd_sc_hd__diode_2 ANTENNA_serial_load_out_buffer_A (.DIODE(serial_load_out_buffered), + .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_0_31 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_0_27 (.VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); + sky130_fd_sc_hd__fill_1 FILLER_0_33 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); @@ -413,79 +443,79 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_0_91 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_10_83 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_10_85 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_15_50 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_14_98 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_17_57 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_17_98 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_17_99 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_19_55 (.VGND(vssd), + sky130_fd_sc_hd__decap_4 FILLER_1_30 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_19_70 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_1_34 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__decap_3 FILLER_1_32 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_2_32 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_1_98 (.VGND(vssd), + sky130_fd_sc_hd__decap_8 FILLER_2_41 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_20_98 (.VGND(vssd), + sky130_fd_sc_hd__fill_2 FILLER_2_49 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__decap_6 FILLER_2_42 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_3_43 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_2_48 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_3_52 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_2_52 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_3_84 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_3_48 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_5_26 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_3_80 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_5_34 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_5_56 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_5_80 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_5_98 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_7_26 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_7_98 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_8_65 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_8_52 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_8_99 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_8_98 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_9_99 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); @@ -721,593 +751,586 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd)); sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_73 (.VGND(vssd), .VPWR(vccd)); - sky130_fd_sc_hd__inv_2 _060_ (.A(net3), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_001_)); - sky130_fd_sc_hd__inv_2 _061__1 (.A(serial_load_out_buffered), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(net29)); - sky130_fd_sc_hd__inv_2 _062__14 (.A(clknet_1_0__leaf_serial_clock), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(net42)); - sky130_fd_sc_hd__nand2b_2 _063_ (.A_N(net14), - .B(gpio_outenb), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_047_)); - sky130_fd_sc_hd__and2_0 _064_ (.A(gpio_outenb), - .B(net1), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_042_)); - sky130_fd_sc_hd__mux2_4 _065_ (.A0(user_gpio_oeb), - .A1(_042_), - .S(mgmt_ena), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net16)); - sky130_fd_sc_hd__nand2b_2 _066_ (.A_N(mgmt_ena), - .B(user_gpio_out), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_043_)); - sky130_fd_sc_hd__and3b_2 _067_ (.A_N(net11), - .B(net10), - .C(net1), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_044_)); - sky130_fd_sc_hd__and2b_2 _068_ (.A_N(_000_), - .B(_044_), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_045_)); - sky130_fd_sc_hd__o21ai_2 _069_ (.A1(net2), - .A2(_044_), - .B1(mgmt_ena), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_046_)); - sky130_fd_sc_hd__o21ai_4 _070_ (.A1(_045_), - .A2(_046_), - .B1(_043_), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(net15)); - sky130_fd_sc_hd__or2_0 _071_ (.A(net25), - .B(gpio_defaults[0]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_002_)); - sky130_fd_sc_hd__nand2b_2 _072_ (.A_N(net24), - .B(gpio_defaults[0]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_003_)); - sky130_fd_sc_hd__or2_0 _073_ (.A(net23), - .B(gpio_defaults[2]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_004_)); - sky130_fd_sc_hd__nand2b_2 _074_ (.A_N(net23), - .B(gpio_defaults[2]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_005_)); - sky130_fd_sc_hd__or2_0 _075_ (.A(net27), - .B(gpio_defaults[8]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_006_)); - sky130_fd_sc_hd__nand2b_2 _076_ (.A_N(net27), - .B(gpio_defaults[8]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_007_)); - sky130_fd_sc_hd__or2_0 _077_ (.A(net25), - .B(gpio_defaults[9]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_008_)); - sky130_fd_sc_hd__nand2b_2 _078_ (.A_N(net27), - .B(gpio_defaults[9]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_009_)); - sky130_fd_sc_hd__or2_0 _079_ (.A(net23), - .B(gpio_defaults[3]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_010_)); - sky130_fd_sc_hd__nand2b_2 _080_ (.A_N(net23), - .B(gpio_defaults[3]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_011_)); - sky130_fd_sc_hd__or2_0 _081_ (.A(net21), - .B(gpio_defaults[4]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_012_)); - sky130_fd_sc_hd__nand2b_2 _082_ (.A_N(net21), - .B(gpio_defaults[4]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_013_)); - sky130_fd_sc_hd__or2_0 _083_ (.A(net23), - .B(gpio_defaults[1]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_014_)); - sky130_fd_sc_hd__nand2b_2 _084_ (.A_N(net23), - .B(gpio_defaults[1]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_015_)); - sky130_fd_sc_hd__or2_0 _085_ (.A(net27), - .B(gpio_defaults[10]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_016_)); - sky130_fd_sc_hd__nand2b_2 _086_ (.A_N(net27), - .B(gpio_defaults[10]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_017_)); - sky130_fd_sc_hd__or2_0 _087_ (.A(net25), - .B(gpio_defaults[11]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_018_)); - sky130_fd_sc_hd__nand2b_2 _088_ (.A_N(net25), - .B(gpio_defaults[11]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_019_)); - sky130_fd_sc_hd__or2_0 _089_ (.A(net25), - .B(gpio_defaults[12]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_020_)); - sky130_fd_sc_hd__nand2b_2 _090_ (.A_N(net26), - .B(gpio_defaults[12]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_021_)); - sky130_fd_sc_hd__or2_0 _091_ (.A(net21), - .B(gpio_defaults[5]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_022_)); - sky130_fd_sc_hd__nand2b_2 _092_ (.A_N(net21), - .B(gpio_defaults[5]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_023_)); - sky130_fd_sc_hd__or2_0 _093_ (.A(net22), - .B(gpio_defaults[6]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_024_)); - sky130_fd_sc_hd__nand2b_2 _094_ (.A_N(net21), - .B(gpio_defaults[6]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_025_)); - sky130_fd_sc_hd__or2_0 _095_ (.A(net22), - .B(gpio_defaults[7]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(_026_)); - sky130_fd_sc_hd__nand2b_2 _096_ (.A_N(net22), - .B(gpio_defaults[7]), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(_027_)); - sky130_fd_sc_hd__inv_2 _097__2 (.A(serial_load_out_buffered), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Y(net30)); - sky130_fd_sc_hd__inv_2 _098__3 (.A(clknet_1_0__leaf_serial_load), + sky130_fd_sc_hd__inv_2 _058__1 (.A(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net31)); - sky130_fd_sc_hd__inv_2 _099__4 (.A(serial_load_out_buffered), + sky130_fd_sc_hd__inv_2 _059__14 (.A(serial_clock_out_buffered), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(net44)); + sky130_fd_sc_hd__and2_0 _060_ (.A(gpio_outenb), + .B(net1), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_041_)); + sky130_fd_sc_hd__mux2_4 _061_ (.A0(user_gpio_oeb), + .A1(_041_), + .S(mgmt_ena), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net17)); + sky130_fd_sc_hd__nand2b_2 _062_ (.A_N(mgmt_ena), + .B(user_gpio_out), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_042_)); + sky130_fd_sc_hd__and3b_2 _063_ (.A_N(net12), + .B(net11), + .C(net1), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_043_)); + sky130_fd_sc_hd__and2b_2 _064_ (.A_N(_000_), + .B(_043_), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_044_)); + sky130_fd_sc_hd__o21ai_2 _065_ (.A1(net2), + .A2(_043_), + .B1(mgmt_ena), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_045_)); + sky130_fd_sc_hd__o21ai_4 _066_ (.A1(_044_), + .A2(_045_), + .B1(_042_), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(net16)); + sky130_fd_sc_hd__and2_2 _067_ (.A(gpio_logic1), + .B(net3), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net22)); + sky130_fd_sc_hd__or2_0 _068_ (.A(net28), + .B(gpio_defaults[0]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_001_)); + sky130_fd_sc_hd__nand2b_2 _069_ (.A_N(net26), + .B(gpio_defaults[0]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_002_)); + sky130_fd_sc_hd__or2_0 _070_ (.A(net25), + .B(gpio_defaults[2]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_003_)); + sky130_fd_sc_hd__nand2b_2 _071_ (.A_N(net25), + .B(gpio_defaults[2]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_004_)); + sky130_fd_sc_hd__or2_0 _072_ (.A(net27), + .B(gpio_defaults[8]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_005_)); + sky130_fd_sc_hd__nand2b_2 _073_ (.A_N(net27), + .B(gpio_defaults[8]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_006_)); + sky130_fd_sc_hd__or2_0 _074_ (.A(net27), + .B(gpio_defaults[9]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_007_)); + sky130_fd_sc_hd__nand2b_2 _075_ (.A_N(net27), + .B(gpio_defaults[9]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_008_)); + sky130_fd_sc_hd__or2_0 _076_ (.A(net25), + .B(gpio_defaults[3]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_009_)); + sky130_fd_sc_hd__nand2b_2 _077_ (.A_N(net25), + .B(gpio_defaults[3]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_010_)); + sky130_fd_sc_hd__or2_0 _078_ (.A(net23), + .B(gpio_defaults[4]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_011_)); + sky130_fd_sc_hd__nand2b_2 _079_ (.A_N(net23), + .B(gpio_defaults[4]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_012_)); + sky130_fd_sc_hd__or2_0 _080_ (.A(net26), + .B(gpio_defaults[1]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_013_)); + sky130_fd_sc_hd__nand2b_2 _081_ (.A_N(net25), + .B(gpio_defaults[1]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_014_)); + sky130_fd_sc_hd__or2_0 _082_ (.A(net29), + .B(gpio_defaults[10]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_015_)); + sky130_fd_sc_hd__nand2b_2 _083_ (.A_N(net27), + .B(gpio_defaults[10]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_016_)); + sky130_fd_sc_hd__or2_0 _084_ (.A(net28), + .B(gpio_defaults[11]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_017_)); + sky130_fd_sc_hd__nand2b_2 _085_ (.A_N(net28), + .B(gpio_defaults[11]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_018_)); + sky130_fd_sc_hd__or2_0 _086_ (.A(net28), + .B(gpio_defaults[12]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_019_)); + sky130_fd_sc_hd__nand2b_2 _087_ (.A_N(net28), + .B(gpio_defaults[12]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_020_)); + sky130_fd_sc_hd__or2_0 _088_ (.A(net23), + .B(gpio_defaults[5]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_021_)); + sky130_fd_sc_hd__nand2b_2 _089_ (.A_N(net23), + .B(gpio_defaults[5]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_022_)); + sky130_fd_sc_hd__or2_0 _090_ (.A(net24), + .B(gpio_defaults[6]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_023_)); + sky130_fd_sc_hd__nand2b_2 _091_ (.A_N(net23), + .B(gpio_defaults[6]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_024_)); + sky130_fd_sc_hd__or2_0 _092_ (.A(net24), + .B(gpio_defaults[7]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(_025_)); + sky130_fd_sc_hd__nand2b_2 _093_ (.A_N(net24), + .B(gpio_defaults[7]), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(_026_)); + sky130_fd_sc_hd__inv_2 _094__2 (.A(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net32)); - sky130_fd_sc_hd__inv_2 _100__5 (.A(serial_load_out_buffered), + sky130_fd_sc_hd__inv_2 _095__3 (.A(clknet_1_0__leaf_serial_load), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net33)); - sky130_fd_sc_hd__inv_2 _101__6 (.A(clknet_1_0__leaf_serial_load), + sky130_fd_sc_hd__inv_2 _096__4 (.A(clknet_1_0__leaf_serial_load), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net34)); - sky130_fd_sc_hd__inv_2 _102__7 (.A(serial_load_out_buffered), + sky130_fd_sc_hd__inv_2 _097__5 (.A(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net35)); - sky130_fd_sc_hd__inv_2 _103__8 (.A(clknet_1_0__leaf_serial_load), + sky130_fd_sc_hd__inv_2 _098__6 (.A(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net36)); - sky130_fd_sc_hd__inv_2 _104__9 (.A(serial_load_out_buffered), + sky130_fd_sc_hd__inv_2 _099__7 (.A(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net37)); - sky130_fd_sc_hd__inv_2 _105__10 (.A(serial_load_out_buffered), + sky130_fd_sc_hd__inv_2 _100__8 (.A(clknet_1_0__leaf_serial_load), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net38)); - sky130_fd_sc_hd__inv_2 _106__11 (.A(clknet_1_0__leaf_serial_load), + sky130_fd_sc_hd__inv_2 _101__9 (.A(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net39)); - sky130_fd_sc_hd__inv_2 _107__12 (.A(clknet_1_0__leaf_serial_load), + sky130_fd_sc_hd__inv_2 _102__10 (.A(serial_load_out_buffered), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net40)); - sky130_fd_sc_hd__inv_2 _108__13 (.A(clknet_1_0__leaf_serial_load), + sky130_fd_sc_hd__inv_2 _103__11 (.A(clknet_1_0__leaf_serial_load), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Y(net41)); - sky130_fd_sc_hd__dfbbn_2 _109_ (.CLK_N(net29), - .D(net45), - .RESET_B(_002_), - .SET_B(_003_), + sky130_fd_sc_hd__inv_2 _104__12 (.A(clknet_1_0__leaf_serial_load), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(net42)); + sky130_fd_sc_hd__inv_2 _105__13 (.A(clknet_1_0__leaf_serial_load), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Y(net43)); + sky130_fd_sc_hd__dfbbn_2 _106_ (.CLK_N(net31), + .D(net49), + .RESET_B(_001_), + .SET_B(_002_), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(mgmt_ena), - .Q_N(_058_)); - sky130_fd_sc_hd__dfbbn_2 _110_ (.CLK_N(net30), - .D(net46), - .RESET_B(_004_), - .SET_B(_005_), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Q(net12), - .Q_N(_057_)); - sky130_fd_sc_hd__dfbbn_2 _111_ (.CLK_N(net31), - .D(net54), - .RESET_B(_006_), - .SET_B(_007_), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Q(net17), .Q_N(_056_)); - sky130_fd_sc_hd__dfbbn_2 _112_ (.CLK_N(net32), - .D(net43), - .RESET_B(_008_), - .SET_B(_009_), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Q(net18), - .Q_N(_055_)); - sky130_fd_sc_hd__dfbbn_2 _113_ (.CLK_N(net33), - .D(net48), - .RESET_B(_010_), - .SET_B(_011_), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Q(net14), - .Q_N(_054_)); - sky130_fd_sc_hd__dfbbn_2 _114_ (.CLK_N(net34), - .D(net55), - .RESET_B(_012_), - .SET_B(_013_), + sky130_fd_sc_hd__dfbbn_2 _107_ (.CLK_N(net32), + .D(net52), + .RESET_B(_003_), + .SET_B(_004_), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(net13), + .Q_N(_055_)); + sky130_fd_sc_hd__dfbbn_2 _108_ (.CLK_N(net33), + .D(net51), + .RESET_B(_005_), + .SET_B(_006_), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Q(net18), + .Q_N(_054_)); + sky130_fd_sc_hd__dfbbn_2 _109_ (.CLK_N(net34), + .D(net48), + .RESET_B(_007_), + .SET_B(_008_), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Q(net19), .Q_N(_053_)); - sky130_fd_sc_hd__dfbbn_2 _115_ (.CLK_N(net35), + sky130_fd_sc_hd__dfbbn_2 _110_ (.CLK_N(net35), + .D(net50), + .RESET_B(_009_), + .SET_B(_010_), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Q(net15), + .Q_N(_052_)); + sky130_fd_sc_hd__dfbbn_2 _111_ (.CLK_N(net36), + .D(net54), + .RESET_B(_011_), + .SET_B(_012_), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Q(net14), + .Q_N(_051_)); + sky130_fd_sc_hd__dfbbn_2 _112_ (.CLK_N(net37), .D(net47), - .RESET_B(_014_), - .SET_B(_015_), + .RESET_B(_013_), + .SET_B(_014_), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(gpio_outenb), - .Q_N(_052_)); - sky130_fd_sc_hd__dfbbn_2 _116_ (.CLK_N(net36), - .D(net52), - .RESET_B(_016_), - .SET_B(_017_), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Q(net9), - .Q_N(_000_)); - sky130_fd_sc_hd__dfbbn_2 _117_ (.CLK_N(net37), - .D(net44), - .RESET_B(_018_), - .SET_B(_019_), + .Q_N(_050_)); + sky130_fd_sc_hd__dfbbn_2 _113_ (.CLK_N(net38), + .D(net53), + .RESET_B(_015_), + .SET_B(_016_), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(net10), - .Q_N(_051_)); - sky130_fd_sc_hd__dfbbn_2 _118_ (.CLK_N(net38), - .D(net49), - .RESET_B(_020_), - .SET_B(_021_), + .Q_N(_000_)); + sky130_fd_sc_hd__dfbbn_2 _114_ (.CLK_N(net39), + .D(net45), + .RESET_B(_017_), + .SET_B(_018_), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(net11), - .Q_N(_050_)); - sky130_fd_sc_hd__dfbbn_2 _119_ (.CLK_N(net39), - .D(net53), - .RESET_B(_022_), - .SET_B(_023_), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .Q(net6), .Q_N(_049_)); - sky130_fd_sc_hd__dfbbn_2 _120_ (.CLK_N(net40), - .D(net51), - .RESET_B(_024_), - .SET_B(_025_), + sky130_fd_sc_hd__dfbbn_2 _115_ (.CLK_N(net40), + .D(net46), + .RESET_B(_019_), + .SET_B(_020_), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .Q(net8), + .Q(net12), .Q_N(_048_)); - sky130_fd_sc_hd__dfbbn_2 _121_ (.CLK_N(net41), - .D(net50), - .RESET_B(_026_), - .SET_B(_027_), + sky130_fd_sc_hd__dfbbn_2 _116_ (.CLK_N(net41), + .D(net57), + .RESET_B(_021_), + .SET_B(_022_), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(net7), - .Q_N(_059_)); - sky130_fd_sc_hd__dfrtp_4 _122_ (.CLK(serial_clock_out_buffered), + .Q_N(_047_)); + sky130_fd_sc_hd__dfbbn_2 _117_ (.CLK_N(net42), + .D(net56), + .RESET_B(_023_), + .SET_B(_024_), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Q(net9), + .Q_N(_046_)); + sky130_fd_sc_hd__dfbbn_2 _118_ (.CLK_N(net43), + .D(net55), + .RESET_B(_025_), + .SET_B(_026_), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .Q(net8), + .Q_N(_057_)); + sky130_fd_sc_hd__dfrtp_4 _119_ (.CLK(serial_clock_out_buffered), .D(net5), - .RESET_B(net24), + .RESET_B(net26), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[0] )); - sky130_fd_sc_hd__dfrtp_4 _123_ (.CLK(clknet_1_0__leaf_serial_clock), - .D(net45), - .RESET_B(net24), + sky130_fd_sc_hd__dfrtp_4 _120_ (.CLK(serial_clock_out_buffered), + .D(net49), + .RESET_B(net25), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[1] )); - sky130_fd_sc_hd__dfrtp_4 _124_ (.CLK(clknet_1_0__leaf_serial_clock), + sky130_fd_sc_hd__dfrtp_4 _121_ (.CLK(serial_clock_out_buffered), .D(net47), - .RESET_B(net24), + .RESET_B(net26), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[2] )); - sky130_fd_sc_hd__dfrtp_4 _125_ (.CLK(clknet_1_0__leaf_serial_clock), - .D(net46), - .RESET_B(net23), + sky130_fd_sc_hd__dfrtp_4 _122_ (.CLK(serial_clock_out_buffered), + .D(net52), + .RESET_B(net25), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[3] )); - sky130_fd_sc_hd__dfrtp_4 _126_ (.CLK(clknet_1_0__leaf_serial_clock), - .D(net48), - .RESET_B(net21), + sky130_fd_sc_hd__dfrtp_4 _123_ (.CLK(serial_clock_out_buffered), + .D(net50), + .RESET_B(net24), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[4] )); - sky130_fd_sc_hd__dfrtp_4 _127_ (.CLK(clknet_1_0__leaf_serial_clock), - .D(net55), - .RESET_B(net21), + sky130_fd_sc_hd__dfrtp_4 _124_ (.CLK(serial_clock_out_buffered), + .D(net54), + .RESET_B(net24), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[5] )); - sky130_fd_sc_hd__dfrtp_4 _128_ (.CLK(clknet_1_0__leaf_serial_clock), - .D(net53), - .RESET_B(net22), + sky130_fd_sc_hd__dfrtp_4 _125_ (.CLK(serial_clock_out_buffered), + .D(net57), + .RESET_B(net23), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[6] )); - sky130_fd_sc_hd__dfrtp_4 _129_ (.CLK(clknet_1_0__leaf_serial_clock), - .D(net51), - .RESET_B(net22), + sky130_fd_sc_hd__dfrtp_4 _126_ (.CLK(serial_clock_out_buffered), + .D(net56), + .RESET_B(net23), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[7] )); - sky130_fd_sc_hd__dfrtp_4 _130_ (.CLK(clknet_1_0__leaf_serial_clock), - .D(net50), - .RESET_B(net22), + sky130_fd_sc_hd__dfrtp_4 _127_ (.CLK(clknet_1_1__leaf_serial_clock), + .D(net55), + .RESET_B(net24), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[8] )); - sky130_fd_sc_hd__dfrtp_4 _131_ (.CLK(serial_clock_out_buffered), - .D(net54), + sky130_fd_sc_hd__dfrtp_4 _128_ (.CLK(clknet_1_1__leaf_serial_clock), + .D(net51), .RESET_B(net27), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[9] )); - sky130_fd_sc_hd__dfrtp_4 _132_ (.CLK(serial_clock_out_buffered), - .D(net43), + sky130_fd_sc_hd__dfrtp_4 _129_ (.CLK(clknet_1_1__leaf_serial_clock), + .D(net48), .RESET_B(net27), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[10] )); - sky130_fd_sc_hd__dfrtp_4 _133_ (.CLK(serial_clock_out_buffered), - .D(net52), - .RESET_B(net25), + sky130_fd_sc_hd__dfrtp_4 _130_ (.CLK(clknet_1_1__leaf_serial_clock), + .D(net53), + .RESET_B(net28), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[11] )); - sky130_fd_sc_hd__dfrtp_4 _134_ (.CLK(serial_clock_out_buffered), - .D(net44), - .RESET_B(net25), + sky130_fd_sc_hd__dfrtp_4 _131_ (.CLK(clknet_1_1__leaf_serial_clock), + .D(net45), + .RESET_B(net28), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .Q(\shift_register[12] )); - sky130_fd_sc_hd__dfrtp_2 _135_ (.CLK(net42), + sky130_fd_sc_hd__dfrtp_2 _132_ (.CLK(net44), .D(\shift_register[12] ), - .RESET_B(net26), + .RESET_B(net29), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .Q(net20)); - sky130_fd_sc_hd__buf_2 _136_ (.A(net26), + .Q(net21)); + sky130_fd_sc_hd__buf_2 _133_ (.A(net3), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(net19)); - sky130_fd_sc_hd__ebufn_8 _139_ (.A(net3), - .TE_B(_047_), + .X(net6)); + sky130_fd_sc_hd__buf_2 _134_ (.A(net29), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .Z(mgmt_gpio_in)); + .X(net20)); sky130_fd_sc_hd__clkbuf_16 clkbuf_0_serial_clock (.A(serial_clock), .VGND(vssd), .VNB(vssd), @@ -1325,7 +1348,7 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(clknet_1_0__leaf_serial_clock)); + .X(serial_clock_out_buffered)); sky130_fd_sc_hd__clkbuf_16 clkbuf_1_0__f_serial_load (.A(clknet_0_serial_load), .VGND(vssd), .VNB(vssd), @@ -1337,7 +1360,7 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(serial_clock_out_buffered)); + .X(clknet_1_1__leaf_serial_clock)); sky130_fd_sc_hd__clkbuf_16 clkbuf_1_1__f_serial_load (.A(clknet_0_serial_load), .VGND(vssd), .VNB(vssd), @@ -1350,142 +1373,135 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .HI(one_buffered), .LO(zero_buffered)); - sky130_fd_sc_hd__buf_2 fanout21 (.A(net22), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net21)); - sky130_fd_sc_hd__buf_2 fanout22 (.A(net28), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net22)); sky130_fd_sc_hd__buf_2 fanout23 (.A(net24), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net23)); - sky130_fd_sc_hd__buf_2 fanout24 (.A(net28), + sky130_fd_sc_hd__buf_2 fanout24 (.A(net30), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net24)); - sky130_fd_sc_hd__buf_2 fanout25 (.A(net28), + sky130_fd_sc_hd__buf_2 fanout25 (.A(net26), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net25)); - sky130_fd_sc_hd__buf_2 fanout26 (.A(net28), + sky130_fd_sc_hd__buf_2 fanout26 (.A(net30), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net26)); - sky130_fd_sc_hd__buf_2 fanout27 (.A(net28), + sky130_fd_sc_hd__buf_2 fanout27 (.A(net29), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net27)); - sky130_fd_sc_hd__buf_2 fanout28 (.A(net4), + sky130_fd_sc_hd__buf_2 fanout28 (.A(net29), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net28)); - sky130_fd_sc_hd__einvp_8 gpio_in_buf (.A(_001_), - .TE(gpio_logic1), + sky130_fd_sc_hd__buf_2 fanout29 (.A(net30), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .Z(user_gpio_in)); + .X(net29)); + sky130_fd_sc_hd__buf_2 fanout30 (.A(net4), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net30)); gpio_logic_high gpio_logic_high (.gpio_logic1(gpio_logic1), .vccd1(vccd1), .vssd1(vssd1)); - sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\shift_register[9] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net43)); - sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(\shift_register[10] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net52)); - sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(\shift_register[5] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net53)); - sky130_fd_sc_hd__dlygate4sd3_1 hold12 (.A(\shift_register[8] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net54)); - sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\shift_register[4] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net55)); - sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(\shift_register[11] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net44)); - sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(\shift_register[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\shift_register[11] ), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net45)); - sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(\shift_register[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(\shift_register[4] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net54)); + sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(\shift_register[7] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net55)); + sky130_fd_sc_hd__dlygate4sd3_1 hold12 (.A(\shift_register[6] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net56)); + sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\shift_register[5] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net57)); + sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(\shift_register[12] ), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net46)); - sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\shift_register[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(\shift_register[1] ), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net47)); - sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(\shift_register[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(\shift_register[9] ), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net48)); - sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\shift_register[12] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\shift_register[0] ), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net49)); - sky130_fd_sc_hd__dlygate4sd3_1 hold8 (.A(\shift_register[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(\shift_register[3] ), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net50)); - sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(\shift_register[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\shift_register[8] ), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net51)); + sky130_fd_sc_hd__dlygate4sd3_1 hold8 (.A(\shift_register[2] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net52)); + sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(\shift_register[10] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net53)); sky130_fd_sc_hd__buf_2 input1 (.A(mgmt_gpio_oeb), .VGND(vssd), .VNB(vssd), @@ -1527,91 +1543,103 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_dm[1])); + .X(pad_gpio_dm[0])); sky130_fd_sc_hd__buf_16 output11 (.A(net11), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_dm[2])); + .X(pad_gpio_dm[1])); sky130_fd_sc_hd__buf_16 output12 (.A(net12), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_holdover)); + .X(pad_gpio_dm[2])); sky130_fd_sc_hd__buf_16 output13 (.A(net13), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_ib_mode_sel)); + .X(pad_gpio_holdover)); sky130_fd_sc_hd__buf_16 output14 (.A(net14), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_inenb)); + .X(pad_gpio_ib_mode_sel)); sky130_fd_sc_hd__buf_16 output15 (.A(net15), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_out)); + .X(pad_gpio_inenb)); sky130_fd_sc_hd__buf_16 output16 (.A(net16), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_outenb)); + .X(pad_gpio_out)); sky130_fd_sc_hd__buf_16 output17 (.A(net17), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_slow_sel)); + .X(pad_gpio_outenb)); sky130_fd_sc_hd__buf_16 output18 (.A(net18), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_vtrip_sel)); + .X(pad_gpio_slow_sel)); sky130_fd_sc_hd__buf_16 output19 (.A(net19), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(resetn_out)); + .X(pad_gpio_vtrip_sel)); sky130_fd_sc_hd__buf_16 output20 (.A(net20), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(resetn_out)); + sky130_fd_sc_hd__buf_16 output21 (.A(net21), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(serial_data_out)); + sky130_fd_sc_hd__buf_16 output22 (.A(net22), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(user_gpio_in)); sky130_fd_sc_hd__buf_16 output6 (.A(net6), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_ana_en)); + .X(mgmt_gpio_in)); sky130_fd_sc_hd__buf_16 output7 (.A(net7), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_ana_pol)); + .X(pad_gpio_ana_en)); sky130_fd_sc_hd__buf_16 output8 (.A(net8), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_ana_sel)); + .X(pad_gpio_ana_pol)); sky130_fd_sc_hd__buf_16 output9 (.A(net9), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), - .X(pad_gpio_dm[0])); + .X(pad_gpio_ana_sel)); sky130_fd_sc_hd__clkbuf_16 serial_clock_out_buffer (.A(serial_clock_out_buffered), .VGND(vssd), .VNB(vssd), From 7b271a7808a81f51a21e1be78fff54a4596c1964 Mon Sep 17 00:00:00 2001 From: "R. Timothy Edwards" Date: Fri, 7 Oct 2022 12:28:13 -0400 Subject: [PATCH 44/44] Effectively reverted the change to add spare logic blocks near each (#157) * Effectively reverted the change to add spare logic blocks near each of the GPIO control blocks by changing the definition of NUM_SPARE_BLOCKS to 4 (the original number of spare logic blocks) for both caravel and caravan top level RTL verilog modules. * Apply automatic changes to Manifest and README.rst Co-authored-by: RTimothyEdwards --- manifest | 4 ++-- verilog/rtl/caravan.v | 3 ++- verilog/rtl/caravel.v | 3 ++- 3 files changed, 6 insertions(+), 4 deletions(-) diff --git a/manifest b/manifest index 6aa88057..0943d8f4 100644 --- a/manifest +++ b/manifest @@ -2,10 +2,10 @@ 87735eb5981740ca4d4b48e6b0321c8bb0023800 verilog/rtl/__uprj_netlists.v 684085713662e37a26f9f981d35be7c6c7ff6e9a verilog/rtl/__user_analog_project_wrapper.v b5ad3558a91e508fad154b91565c7d664b247020 verilog/rtl/__user_project_wrapper.v -220d7b7f62f07b3fbe88ea87699bf7cb24336ce5 verilog/rtl/caravan.v +f93c57988b0044d2bff4470a84b5eddc158f2094 verilog/rtl/caravan.v 1b8dc7f0a4f2196b7c2de926af9c648ebf315f3d verilog/rtl/caravan_netlists.v a3d12a2d2d3596800bec47d1266dce2399a2fcc6 verilog/rtl/caravan_openframe.v -2f166c83511062c0366af3f30870aab2ccfe1b25 verilog/rtl/caravel.v +b4b8fecbdc56c5d8acca9b904415f30e3159d1d5 verilog/rtl/caravel.v 2fe34f043edbe87c626e5616ad54f82c9ba067c2 verilog/rtl/caravel_clocking.v 3b9185fd0dc2d0e8c49f1af3d14724e0948fe650 verilog/rtl/caravel_openframe.v fdddad12354f0aaf93b9df98980e8a28fb59df65 verilog/rtl/chip_io.v diff --git a/verilog/rtl/caravan.v b/verilog/rtl/caravan.v index 99dc0946..58f353fe 100644 --- a/verilog/rtl/caravan.v +++ b/verilog/rtl/caravan.v @@ -1422,7 +1422,8 @@ module caravan ( ); /* Spare logic for metal mask fixes */ - `define NUM_SPARE_BLOCKS (`MPRJ_DIG_PADS+4) + // `define NUM_SPARE_BLOCKS (`MPRJ_DIG_PADS+4) + `define NUM_SPARE_BLOCKS 4 wire [(27*`NUM_SPARE_BLOCKS)-1:0] spare_xz_nc; wire [(4*`NUM_SPARE_BLOCKS)-1:0] spare_xi_nc; diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v index 9a00a234..a6477271 100644 --- a/verilog/rtl/caravel.v +++ b/verilog/rtl/caravel.v @@ -1474,7 +1474,8 @@ module caravel ( ); /* Spare logic for metal mask fixes */ - `define NUM_SPARE_BLOCKS (`MPRJ_IO_PADS+4) + // `define NUM_SPARE_BLOCKS (`MPRJ_IO_PADS+4) + `define NUM_SPARE_BLOCKS 4 wire [(27*`NUM_SPARE_BLOCKS)-1:0] spare_xz_nc; wire [(4*`NUM_SPARE_BLOCKS)-1:0] spare_xi_nc;