diff --git a/Makefile b/Makefile index 03522a46..9bfcd4de 100644 --- a/Makefile +++ b/Makefile @@ -720,6 +720,7 @@ caravel_timing: ./def/caravel.def ./sdc/caravel.sdc ./verilog/gl/caravel.v check report_checks -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -group_count 50;\ report_worst_slack -max ;\ report_worst_slack -min ;\ + report_checks -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -slack_max 0.18 -group_count 10;\ " > ./def/tmp/caravel_timing.tcl sta -exit ./def/tmp/caravel_timing.tcl | tee ./signoff/caravel/caravel_timing.log diff --git a/def/gpio_control_block.def b/def/gpio_control_block.def index 5f4c26dc..6b96417a 100644 --- a/def/gpio_control_block.def +++ b/def/gpio_control_block.def @@ -3,38 +3,40 @@ DIVIDERCHAR "/" ; BUSBITCHARS "[]" ; DESIGN gpio_control_block ; UNITS DISTANCE MICRONS 1000 ; -DIEAREA ( 0 0 ) ( 170000 70000 ) ; -ROW ROW_1_2 unithd 15180 13600 FS DO 74 BY 1 STEP 460 0 ; -ROW ROW_2_2 unithd 15180 16320 N DO 74 BY 1 STEP 460 0 ; -ROW ROW_3_2 unithd 15180 19040 FS DO 74 BY 1 STEP 460 0 ; -ROW ROW_4_2 unithd 15180 21760 N DO 74 BY 1 STEP 460 0 ; -ROW ROW_5_2 unithd 15180 24480 FS DO 74 BY 1 STEP 460 0 ; -ROW ROW_6_2 unithd 15180 27200 N DO 74 BY 1 STEP 460 0 ; -ROW ROW_7_2 unithd 15180 29920 FS DO 74 BY 1 STEP 460 0 ; -ROW ROW_8 unithd 4600 32640 N DO 97 BY 1 STEP 460 0 ; -ROW ROW_9 unithd 4600 35360 FS DO 97 BY 1 STEP 460 0 ; -ROW ROW_10 unithd 4600 38080 N DO 97 BY 1 STEP 460 0 ; -ROW ROW_11 unithd 4600 40800 FS DO 97 BY 1 STEP 460 0 ; -ROW ROW_12 unithd 4600 43520 N DO 97 BY 1 STEP 460 0 ; -ROW ROW_13 unithd 4600 46240 FS DO 97 BY 1 STEP 460 0 ; -ROW ROW_14 unithd 4600 48960 N DO 97 BY 1 STEP 460 0 ; -ROW ROW_15 unithd 4600 51680 FS DO 97 BY 1 STEP 460 0 ; -ROW ROW_16 unithd 4600 54400 N DO 97 BY 1 STEP 460 0 ; -ROW ROW_0_2 unithd 15180 10880 N DO 74 BY 1 STEP 460 0 ; +DIEAREA ( 0 0 ) ( 170000 65000 ) ; +ROW ROW_1_2 unithd 15180 8160 FS DO 74 BY 1 STEP 460 0 ; +ROW ROW_2_2 unithd 15180 10880 N DO 74 BY 1 STEP 460 0 ; +ROW ROW_3_2 unithd 15180 13600 FS DO 74 BY 1 STEP 460 0 ; +ROW ROW_4_2 unithd 15180 16320 N DO 74 BY 1 STEP 460 0 ; +ROW ROW_5_2 unithd 15180 19040 FS DO 74 BY 1 STEP 460 0 ; +ROW ROW_6_2 unithd 15180 21760 N DO 74 BY 1 STEP 460 0 ; +ROW ROW_7_2 unithd 15180 24480 FS DO 74 BY 1 STEP 460 0 ; +ROW ROW_8 unithd 4600 27200 N DO 97 BY 1 STEP 460 0 ; +ROW ROW_9 unithd 4600 29920 FS DO 97 BY 1 STEP 460 0 ; +ROW ROW_10 unithd 4600 32640 N DO 97 BY 1 STEP 460 0 ; +ROW ROW_11 unithd 4600 35360 FS DO 97 BY 1 STEP 460 0 ; +ROW ROW_12 unithd 4600 38080 N DO 97 BY 1 STEP 460 0 ; +ROW ROW_13 unithd 4600 40800 FS DO 97 BY 1 STEP 460 0 ; +ROW ROW_14 unithd 4600 43520 N DO 97 BY 1 STEP 460 0 ; +ROW ROW_15 unithd 4600 46240 FS DO 97 BY 1 STEP 460 0 ; +ROW ROW_16 unithd 4600 48960 N DO 97 BY 1 STEP 460 0 ; +ROW ROW_17 unithd 4600 51680 FS DO 97 BY 1 STEP 460 0 ; +ROW ROW_18 unithd 4600 54400 N DO 97 BY 1 STEP 460 0 ; +ROW ROW_0_2 unithd 15180 5440 N DO 74 BY 1 STEP 460 0 ; TRACKS X 230 DO 370 STEP 460 LAYER li1 ; -TRACKS Y 170 DO 206 STEP 340 LAYER li1 ; +TRACKS Y 170 DO 191 STEP 340 LAYER li1 ; TRACKS X 170 DO 500 STEP 340 LAYER met1 ; -TRACKS Y 170 DO 206 STEP 340 LAYER met1 ; +TRACKS Y 170 DO 191 STEP 340 LAYER met1 ; TRACKS X 230 DO 370 STEP 460 LAYER met2 ; -TRACKS Y 230 DO 152 STEP 460 LAYER met2 ; +TRACKS Y 230 DO 141 STEP 460 LAYER met2 ; TRACKS X 340 DO 250 STEP 680 LAYER met3 ; -TRACKS Y 340 DO 103 STEP 680 LAYER met3 ; +TRACKS Y 340 DO 96 STEP 680 LAYER met3 ; TRACKS X 460 DO 185 STEP 920 LAYER met4 ; -TRACKS Y 460 DO 76 STEP 920 LAYER met4 ; +TRACKS Y 460 DO 71 STEP 920 LAYER met4 ; TRACKS X 1700 DO 50 STEP 3400 LAYER met5 ; -TRACKS Y 1700 DO 21 STEP 3400 LAYER met5 ; +TRACKS Y 1700 DO 19 STEP 3400 LAYER met5 ; GCELLGRID X 0 DO 24 STEP 6900 ; -GCELLGRID Y 0 DO 11 STEP 6900 ; +GCELLGRID Y 0 DO 10 STEP 6900 ; VIAS 5 ; - via4_1600x1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 400 400 400 ; - via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ; @@ -42,1835 +44,1627 @@ VIAS 5 ; - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ; - via4_1400x1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 300 400 310 400 ; END VIAS -COMPONENTS 309 ; - - ANTENNA_0 sky130_fd_sc_hd__diode_2 + PLACED ( 14720 32640 ) FN ; - - ANTENNA_1 sky130_fd_sc_hd__diode_2 + PLACED ( 15640 32640 ) FN ; - - ANTENNA_10 sky130_fd_sc_hd__diode_2 + PLACED ( 15640 38080 ) FN ; - - ANTENNA_11 sky130_fd_sc_hd__diode_2 + PLACED ( 16560 38080 ) FN ; - - ANTENNA_12 sky130_fd_sc_hd__diode_2 + PLACED ( 9200 35360 ) S ; - - ANTENNA_13 sky130_fd_sc_hd__diode_2 + PLACED ( 10120 35360 ) S ; - - ANTENNA_14 sky130_fd_sc_hd__diode_2 + PLACED ( 41400 27200 ) FN ; - - ANTENNA_15 sky130_fd_sc_hd__diode_2 + PLACED ( 45080 13600 ) FS ; - - ANTENNA_16 sky130_fd_sc_hd__diode_2 + PLACED ( 12420 43520 ) N ; - - ANTENNA_17 sky130_fd_sc_hd__diode_2 + PLACED ( 13800 54400 ) FN ; - - ANTENNA_18 sky130_fd_sc_hd__diode_2 + PLACED ( 9660 54400 ) FN ; - - ANTENNA_19 sky130_fd_sc_hd__diode_2 + PLACED ( 8740 54400 ) FN ; - - ANTENNA_2 sky130_fd_sc_hd__diode_2 + PLACED ( 16560 32640 ) FN ; - - ANTENNA_20 sky130_fd_sc_hd__diode_2 + PLACED ( 6440 43520 ) N ; - - ANTENNA_21 sky130_fd_sc_hd__diode_2 + PLACED ( 9660 51680 ) FS ; - - ANTENNA_3 sky130_fd_sc_hd__diode_2 + PLACED ( 17940 32640 ) FN ; - - ANTENNA_4 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 38080 ) FN ; - - ANTENNA_5 sky130_fd_sc_hd__diode_2 + PLACED ( 11040 40800 ) S ; - - ANTENNA_6 sky130_fd_sc_hd__diode_2 + PLACED ( 5980 38080 ) FN ; - - ANTENNA_7 sky130_fd_sc_hd__diode_2 + PLACED ( 14720 38080 ) FN ; - - ANTENNA_8 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 32640 ) FN ; - - ANTENNA_9 sky130_fd_sc_hd__diode_2 + PLACED ( 10120 46240 ) FS ; - - FILLER_0_26 sky130_fd_sc_hd__fill_2 + PLACED ( 16560 10880 ) N ; - - FILLER_0_75 sky130_fd_sc_hd__fill_1 + PLACED ( 39100 10880 ) N ; - - FILLER_0_85 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 10880 ) N ; - - FILLER_10_13 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 38080 ) N ; - - FILLER_10_20 sky130_fd_sc_hd__fill_2 + PLACED ( 13800 38080 ) N ; - - FILLER_10_29 sky130_fd_sc_hd__fill_2 + PLACED ( 17940 38080 ) N ; - - FILLER_10_37 sky130_fd_sc_hd__fill_2 + PLACED ( 21620 38080 ) N ; - - FILLER_10_68 sky130_fd_sc_hd__fill_2 + PLACED ( 35880 38080 ) N ; - - FILLER_11_12 sky130_fd_sc_hd__fill_2 + PLACED ( 10120 40800 ) FS ; - - FILLER_11_19 sky130_fd_sc_hd__fill_1 + PLACED ( 13340 40800 ) FS ; - - FILLER_11_46 sky130_fd_sc_hd__fill_1 + PLACED ( 25760 40800 ) FS ; - - FILLER_11_57 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 40800 ) FS ; - - FILLER_11_78 sky130_fd_sc_hd__fill_1 + PLACED ( 40480 40800 ) FS ; - - FILLER_11_92 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 40800 ) FS ; - - FILLER_12_15 sky130_fd_sc_hd__fill_2 + PLACED ( 11500 43520 ) N ; - - FILLER_12_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 43520 ) N ; - - FILLER_12_41 sky130_fd_sc_hd__fill_2 + PLACED ( 23460 43520 ) N ; - - FILLER_12_72 sky130_fd_sc_hd__fill_2 + PLACED ( 37720 43520 ) N ; - - FILLER_13_10 sky130_fd_sc_hd__fill_2 + PLACED ( 9200 46240 ) FS ; - - FILLER_13_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 46240 ) FS ; - - FILLER_13_49 sky130_fd_sc_hd__fill_2 + PLACED ( 27140 46240 ) FS ; - - FILLER_13_57 sky130_fd_sc_hd__fill_2 + PLACED ( 30820 46240 ) FS ; - - FILLER_13_65 sky130_fd_sc_hd__fill_1 + PLACED ( 34500 46240 ) FS ; - - FILLER_13_92 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 46240 ) FS ; - - FILLER_14_15 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 48960 ) N ; - - FILLER_14_29 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 48960 ) N ; - - FILLER_14_3 sky130_fd_sc_hd__decap_12 + PLACED ( 5980 48960 ) N ; - - FILLER_15_3 sky130_fd_sc_hd__decap_3 + PLACED ( 5980 51680 ) FS ; - - FILLER_15_39 sky130_fd_sc_hd__fill_1 + PLACED ( 22540 51680 ) FS ; - - FILLER_15_81 sky130_fd_sc_hd__fill_1 + PLACED ( 41860 51680 ) FS ; - - FILLER_15_9 sky130_fd_sc_hd__fill_2 + PLACED ( 8740 51680 ) FS ; - - FILLER_16_13 sky130_fd_sc_hd__fill_2 + PLACED ( 10580 54400 ) N ; - - FILLER_16_18 sky130_fd_sc_hd__fill_2 + PLACED ( 12880 54400 ) N ; - - FILLER_16_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 54400 ) N ; - - FILLER_16_7 sky130_fd_sc_hd__fill_2 + PLACED ( 7820 54400 ) N ; - - FILLER_16_85 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 54400 ) N ; - - FILLER_1_26 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 13600 ) FS ; - - FILLER_1_67 sky130_fd_sc_hd__fill_1 + PLACED ( 35420 13600 ) FS ; - - FILLER_1_77 sky130_fd_sc_hd__fill_2 + PLACED ( 40020 13600 ) FS ; - - FILLER_1_86 sky130_fd_sc_hd__fill_2 + PLACED ( 44160 13600 ) FS ; - - FILLER_1_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 13600 ) FS ; - - FILLER_2_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 16320 ) N ; - - FILLER_3_26 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 19040 ) FS ; - - FILLER_3_92 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 19040 ) FS ; - - FILLER_4_61 sky130_fd_sc_hd__fill_1 + PLACED ( 32660 21760 ) N ; - - FILLER_5_26 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 24480 ) FS ; - - FILLER_5_53 sky130_fd_sc_hd__fill_1 + PLACED ( 28980 24480 ) FS ; - - FILLER_5_80 sky130_fd_sc_hd__fill_2 + PLACED ( 41400 24480 ) FS ; - - FILLER_5_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 24480 ) FS ; - - FILLER_6_46 sky130_fd_sc_hd__fill_2 + PLACED ( 25760 27200 ) N ; - - FILLER_6_78 sky130_fd_sc_hd__fill_2 + PLACED ( 40480 27200 ) N ; - - FILLER_7_92 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 29920 ) FS ; - - FILLER_8_10 sky130_fd_sc_hd__fill_1 + PLACED ( 9200 32640 ) N ; - - FILLER_8_20 sky130_fd_sc_hd__fill_2 + PLACED ( 13800 32640 ) N ; - - FILLER_8_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 32640 ) N ; - - FILLER_8_33 sky130_fd_sc_hd__fill_2 + PLACED ( 19780 32640 ) N ; - - FILLER_8_45 sky130_fd_sc_hd__fill_2 + PLACED ( 25300 32640 ) N ; - - FILLER_9_14 sky130_fd_sc_hd__fill_1 + PLACED ( 11040 35360 ) FS ; - - FILLER_9_3 sky130_fd_sc_hd__fill_2 + PLACED ( 5980 35360 ) FS ; - - FILLER_9_63 sky130_fd_sc_hd__fill_1 + PLACED ( 33580 35360 ) FS ; - - FILLER_9_8 sky130_fd_sc_hd__fill_2 + PLACED ( 8280 35360 ) FS ; - - PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 10880 ) N ; - - PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 10880 ) FN ; - - PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 24480 ) FS ; - - PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 24480 ) S ; - - PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 27200 ) N ; - - PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 27200 ) FN ; - - PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 29920 ) FS ; - - PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 29920 ) S ; - - PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 32640 ) N ; - - PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 32640 ) FN ; - - PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 35360 ) FS ; - - PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 35360 ) S ; - - PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 13600 ) FS ; - - PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 38080 ) N ; - - PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 38080 ) FN ; - - PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 40800 ) FS ; - - PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 40800 ) S ; - - PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 43520 ) N ; - - PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 43520 ) FN ; - - PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 46240 ) FS ; - - PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 46240 ) S ; - - PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 48960 ) N ; - - PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 48960 ) FN ; - - PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 13600 ) S ; - - PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 51680 ) FS ; - - PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 51680 ) S ; - - PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 54400 ) N ; - - PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 54400 ) FN ; - - PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 16320 ) N ; - - PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 16320 ) FN ; - - PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 19040 ) FS ; - - PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 19040 ) S ; - - PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 21760 ) N ; - - PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 21760 ) FN ; - - TAP_34 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 28060 10880 ) N ; - - TAP_35 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 10880 ) N ; - - TAP_36 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 13600 ) FS ; - - TAP_37 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 28060 16320 ) N ; - - TAP_38 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 19040 ) FS ; - - TAP_39 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 28060 21760 ) N ; - - TAP_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 24480 ) FS ; - - TAP_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 28060 27200 ) N ; - - TAP_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 29920 ) FS ; - - TAP_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 32640 ) N ; - - TAP_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 32640 ) N ; - - TAP_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 32640 ) N ; - - TAP_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 35360 ) FS ; - - TAP_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 38080 ) N ; - - TAP_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 38080 ) N ; - - TAP_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 40800 ) FS ; - - TAP_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 43520 ) N ; - - TAP_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 43520 ) N ; - - TAP_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 46240 ) FS ; - - TAP_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 48960 ) N ; - - TAP_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 48960 ) N ; - - TAP_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 51680 ) FS ; - - TAP_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 54400 ) N ; - - TAP_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 54400 ) N ; - - TAP_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 54400 ) N ; - - _102_ sky130_fd_sc_hd__or2b_1 + PLACED ( 41400 13600 ) FS ; - - _103_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 43240 16320 ) N ; - - _104_ sky130_fd_sc_hd__or2_1 + PLACED ( 44160 10880 ) N ; - - _105_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 16320 ) N ; - - _106_ sky130_fd_sc_hd__inv_2 + PLACED ( 30820 54400 ) N ; - - _107_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 23460 21760 ) N ; - - _108_ sky130_fd_sc_hd__or2b_1 + PLACED ( 37260 13600 ) FS ; - - _109_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 39560 10880 ) N ; - - _110_ sky130_fd_sc_hd__or2_1 + PLACED ( 41400 10880 ) N ; - - _111_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 35880 13600 ) FS ; - - _112_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 26680 27200 ) N ; - - _113_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 19320 21760 ) N ; - - _114_ sky130_fd_sc_hd__or2b_1 + PLACED ( 28520 16320 ) N ; - - _115_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 37720 10880 ) N ; - - _116_ sky130_fd_sc_hd__or2_1 + PLACED ( 25760 16320 ) N ; - - _117_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 46460 10880 ) N ; - - _118_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 41400 19040 ) FS ; - - _119_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 37260 29920 ) FS ; - - _120_ sky130_fd_sc_hd__or2b_1 + PLACED ( 42780 19040 ) FS ; - - _121_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 42320 24480 ) FS ; - - _122_ sky130_fd_sc_hd__or2_1 + PLACED ( 43700 24480 ) FS ; - - _123_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 27200 ) N ; - - _124_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 28980 32640 ) N ; - - _125_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 21760 ) N ; - - _126_ sky130_fd_sc_hd__or2b_1 + PLACED ( 33120 21760 ) N ; - - _127_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40020 32640 ) N ; - - _128_ sky130_fd_sc_hd__or2_1 + PLACED ( 38640 24480 ) FS ; - - _129_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 45540 19040 ) FS ; - - _130_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22540 38080 ) N ; - - _131_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17020 35360 ) FS ; - - _132_ sky130_fd_sc_hd__or2b_1 + PLACED ( 41400 29920 ) FS ; - - _133_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 46000 24480 ) FS ; - - _134_ sky130_fd_sc_hd__or2_1 + PLACED ( 38640 29920 ) FS ; - - _135_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15640 35360 ) FS ; - - _136_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 20700 32640 ) N ; - - _137_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 38080 ) N ; - - _138_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 20240 38080 ) N ; - - _139_ sky130_fd_sc_hd__or2b_1 + PLACED ( 26220 32640 ) N ; - - _140_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24380 40800 ) FS ; - - _141_ sky130_fd_sc_hd__or2_1 + PLACED ( 34960 29920 ) FS ; - - _142_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24380 43520 ) N ; - - _143_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16560 21760 ) N ; - - _144_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14260 35360 ) FS ; - - _145_ sky130_fd_sc_hd__or2b_1 + PLACED ( 20700 13600 ) FS ; - - _146_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17020 13600 ) FS ; - - _147_ sky130_fd_sc_hd__or2_1 + PLACED ( 18400 13600 ) FS ; - - _148_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17480 10880 ) N ; - - _149_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12880 35360 ) FS ; - - _150_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11500 35360 ) FS ; - - _151_ sky130_fd_sc_hd__or2b_1 + PLACED ( 20700 21760 ) N ; - - _152_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 43520 ) N ; - - _153_ sky130_fd_sc_hd__or2_1 + PLACED ( 28520 21760 ) N ; - - _154_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 20700 43520 ) N ; - - _155_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23000 46240 ) FS ; - - _156_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23000 51680 ) FS ; - - _157_ sky130_fd_sc_hd__or2b_1 + PLACED ( 31740 46240 ) FS ; - - _158_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 19320 43520 ) N ; - - _159_ sky130_fd_sc_hd__or2_1 + PLACED ( 28060 46240 ) FS ; - - _160_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 27140 51680 ) FS ; - - _161_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 43520 ) N ; - - _162_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18400 48960 ) N ; - - _163_ sky130_fd_sc_hd__or2b_1 + PLACED ( 24380 46240 ) FS ; - - _164_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16100 43520 ) N ; - - _165_ sky130_fd_sc_hd__or2_1 + PLACED ( 26220 40800 ) FS ; - - _166_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 25760 51680 ) FS ; - - _167_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14720 43520 ) N ; - - _168_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13800 40800 ) FS ; - - _169_ sky130_fd_sc_hd__or2b_1 + PLACED ( 30820 35360 ) FS ; - - _170_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 8740 40800 ) FS ; - - _171_ sky130_fd_sc_hd__or2_1 + PLACED ( 31280 40800 ) FS ; - - _172_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 7360 40800 ) FS ; - - _173_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24380 51680 ) FS ; - - _174_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 27140 54400 ) N ; - - _175_ sky130_fd_sc_hd__or2b_1 + PLACED ( 40480 43520 ) N ; - - _176_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16100 48960 ) N ; - - _177_ sky130_fd_sc_hd__or2_1 + PLACED ( 40940 48960 ) N ; - - _178_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10120 43520 ) N ; - - _179_ sky130_fd_sc_hd__or2b_1 + PLACED ( 38640 38080 ) N ; - - _180_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 5980 40800 ) FS ; - - _181_ sky130_fd_sc_hd__and2_1 + PLACED ( 40940 40800 ) FS ; - - _182_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 46240 ) FS ; - - _183_ sky130_fd_sc_hd__or2b_1 + PLACED ( 44160 29920 ) FS ; - - _184_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 46000 16320 ) N ; - - _185_ sky130_fd_sc_hd__inv_2 + PLACED ( 8740 43520 ) N ; - - _186_ sky130_fd_sc_hd__and2_1 + PLACED ( 42320 27200 ) N ; - - _187_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16100 54400 ) N ; - - _188_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14720 48960 ) N ; - - _189_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 46240 ) FS ; - - _190_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11960 51680 ) FS ; - - _191_ sky130_fd_sc_hd__buf_2 + PLACED ( 44160 51680 ) FS ; - - _192_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13340 48960 ) N ; - - _193_ sky130_fd_sc_hd__mux2_1 + PLACED ( 43700 43520 ) N ; - - _194_ sky130_fd_sc_hd__mux2_1 + PLACED ( 43700 32640 ) N ; - - _195_ sky130_fd_sc_hd__mux2_1 + PLACED ( 43700 38080 ) N ; - - _196_ sky130_fd_sc_hd__mux2_1 + PLACED ( 43700 48960 ) N ; - - _197_ sky130_fd_sc_hd__ebufn_1 + PLACED ( 43240 40800 ) FS ; - - _198_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 34960 46240 ) FS ; - - _199_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 23920 38080 ) N ; - - _200_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 19780 48960 ) N ; - - _201_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 25760 43520 ) N ; - - _202_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 17020 24480 ) FS ; - - _203_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 17020 19040 ) FS ; - - _204_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 18400 35360 ) FS ; - - _205_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 34040 35360 ) FS ; - - _206_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 28520 27200 ) N ; - - _207_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 35880 21760 ) N ; - - _208_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 23460 13600 ) FS ; - - _209_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 31280 16320 ) N ; - - _210_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 28980 19040 ) FS ; - - _211_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 32660 51680 ) FS ; - - _212_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 13800 46240 ) FS ; - - _213_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 15180 40800 ) FS ; - - _214_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 16560 29920 ) FS ; - - _215_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 16560 27200 ) N ; - - _216_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 16560 16320 ) N ; - - _217_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 18860 10880 ) N ; - - _218_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 28520 10880 ) N ; - - _219_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 13340 51680 ) FS ; - - _220_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 17940 54400 ) N ; - - _221_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 31740 48960 ) N ; - - _222_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 25760 29920 ) FS ; - - _223_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 29440 24480 ) FS ; - - clkbuf_0_serial_clock sky130_fd_sc_hd__clkbuf_16 + PLACED ( 30820 32640 ) N ; - - clkbuf_1_0_0_serial_clock sky130_fd_sc_hd__clkbuf_2 + PLACED ( 30820 21760 ) N ; - - clkbuf_1_1_0_serial_clock sky130_fd_sc_hd__clkbuf_2 + PLACED ( 28520 40800 ) FS ; - - const_source sky130_fd_sc_hd__conb_1 + PLACED ( 46000 13600 ) FS ; - - gpio_in_buf sky130_fd_sc_hd__einvp_2 + PLACED ( 35420 40800 ) FS ; - - gpio_logic_high gpio_logic_high + FIXED ( 5980 13400 ) N ; - - input1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 7360 43520 ) N ; - - input10 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 7820 32640 ) N ; - - input11 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6440 32640 ) N ; - - input12 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 38080 ) N ; - - input13 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 38080 ) N ; - - input14 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 35360 ) FS ; - - input15 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 7820 38080 ) N ; - - input16 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13340 43520 ) N ; - - input17 sky130_fd_sc_hd__buf_12 + PLACED ( 35880 54400 ) N ; - - input18 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14720 54400 ) N ; - - input19 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 51680 ) FS ; - - input2 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 32640 ) N ; - - input20 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11500 54400 ) N ; - - input21 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6440 54400 ) N ; - - input3 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 32640 ) N ; - - input4 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 9660 32640 ) N ; - - input5 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 9200 38080 ) N ; - - input6 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11960 40800 ) FS ; - - input7 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11960 48960 ) N ; - - input8 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 7820 46240 ) FS ; - - input9 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6440 46240 ) FS ; - - output23 sky130_fd_sc_hd__buf_2 + PLACED ( 46000 27200 ) N ; - - output24 sky130_fd_sc_hd__buf_2 + PLACED ( 46000 35360 ) FS ; - - output25 sky130_fd_sc_hd__buf_2 + PLACED ( 41400 32640 ) N ; - - output26 sky130_fd_sc_hd__buf_2 + PLACED ( 41400 38080 ) N ; - - output27 sky130_fd_sc_hd__buf_2 + PLACED ( 36800 38080 ) N ; - - output28 sky130_fd_sc_hd__buf_2 + PLACED ( 38640 40800 ) FS ; - - output29 sky130_fd_sc_hd__buf_2 + PLACED ( 38640 43520 ) N ; - - output30 sky130_fd_sc_hd__buf_2 + PLACED ( 33580 40800 ) FS ; - - output31 sky130_fd_sc_hd__buf_2 + PLACED ( 46000 51680 ) FS ; - - output32 sky130_fd_sc_hd__buf_2 + PLACED ( 46000 54400 ) N ; - - output33 sky130_fd_sc_hd__buf_2 + PLACED ( 42320 51680 ) FS ; - - output34 sky130_fd_sc_hd__buf_2 + PLACED ( 44160 54400 ) N ; - - output35 sky130_fd_sc_hd__buf_2 + PLACED ( 34040 54400 ) N ; - - output36 sky130_fd_sc_hd__buf_2 + PLACED ( 32200 54400 ) N ; - - output37 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 7360 51680 ) FS ; - - output38 sky130_fd_sc_hd__buf_2 + PLACED ( 28520 54400 ) N ; - - output39 sky130_fd_sc_hd__buf_2 + PLACED ( 30820 51680 ) FS ; - - output40 sky130_fd_sc_hd__buf_2 + PLACED ( 28520 51680 ) FS ; +COMPONENTS 333 ; + - ANTENNA_0 sky130_fd_sc_hd__diode_2 + PLACED ( 36800 10880 ) FN ; + - ANTENNA_1 sky130_fd_sc_hd__diode_2 + PLACED ( 43700 5440 ) N ; + - ANTENNA_2 sky130_fd_sc_hd__diode_2 + PLACED ( 37260 8160 ) FS ; + - ANTENNA_3 sky130_fd_sc_hd__diode_2 + PLACED ( 17020 13600 ) S ; + - ANTENNA_4 sky130_fd_sc_hd__diode_2 + PLACED ( 17940 10880 ) FN ; + - ANTENNA_5 sky130_fd_sc_hd__diode_2 + PLACED ( 38640 8160 ) FS ; + - ANTENNA_6 sky130_fd_sc_hd__diode_2 + PLACED ( 20700 10880 ) N ; + - FILLER_0_29 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 5440 ) N ; + - FILLER_0_41 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 5440 ) N ; + - FILLER_0_49 sky130_fd_sc_hd__fill_2 + PLACED ( 27140 5440 ) N ; + - FILLER_0_52 sky130_fd_sc_hd__decap_12 + PLACED ( 28520 5440 ) N ; + - FILLER_0_64 sky130_fd_sc_hd__decap_8 + PLACED ( 34040 5440 ) N ; + - FILLER_0_72 sky130_fd_sc_hd__fill_1 + PLACED ( 37720 5440 ) N ; + - FILLER_0_76 sky130_fd_sc_hd__decap_3 + PLACED ( 39560 5440 ) N ; + - FILLER_0_83 sky130_fd_sc_hd__fill_2 + PLACED ( 42780 5440 ) N ; + - FILLER_0_90 sky130_fd_sc_hd__fill_1 + PLACED ( 46000 5440 ) N ; + - FILLER_10_3 sky130_fd_sc_hd__fill_2 + PLACED ( 5980 32640 ) N ; + - FILLER_11_16 sky130_fd_sc_hd__fill_2 + PLACED ( 11960 35360 ) FS ; + - FILLER_11_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 35360 ) FS ; + - FILLER_11_83 sky130_fd_sc_hd__fill_1 + PLACED ( 42780 35360 ) FS ; + - FILLER_11_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 35360 ) FS ; + - FILLER_12_3 sky130_fd_sc_hd__fill_2 + PLACED ( 5980 38080 ) N ; + - FILLER_12_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 38080 ) N ; + - FILLER_13_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 40800 ) FS ; + - FILLER_13_55 sky130_fd_sc_hd__fill_1 + PLACED ( 29900 40800 ) FS ; + - FILLER_14_29 sky130_fd_sc_hd__fill_2 + PLACED ( 17940 43520 ) N ; + - FILLER_14_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 43520 ) N ; + - FILLER_14_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 43520 ) N ; + - FILLER_15_3 sky130_fd_sc_hd__fill_2 + PLACED ( 5980 46240 ) FS ; + - FILLER_15_45 sky130_fd_sc_hd__fill_1 + PLACED ( 25300 46240 ) FS ; + - FILLER_16_29 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 48960 ) N ; + - FILLER_16_3 sky130_fd_sc_hd__fill_2 + PLACED ( 5980 48960 ) N ; + - FILLER_16_82 sky130_fd_sc_hd__fill_2 + PLACED ( 42320 48960 ) N ; + - FILLER_17_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 51680 ) FS ; + - FILLER_17_30 sky130_fd_sc_hd__fill_1 + PLACED ( 18400 51680 ) FS ; + - FILLER_17_45 sky130_fd_sc_hd__fill_2 + PLACED ( 25300 51680 ) FS ; + - FILLER_17_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 51680 ) FS ; + - FILLER_18_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 54400 ) N ; + - FILLER_18_34 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 54400 ) N ; + - FILLER_18_41 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 54400 ) N ; + - FILLER_18_63 sky130_fd_sc_hd__fill_1 + PLACED ( 33580 54400 ) N ; + - FILLER_18_68 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 54400 ) N ; + - FILLER_18_79 sky130_fd_sc_hd__fill_1 + PLACED ( 40940 54400 ) N ; + - FILLER_18_92 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 54400 ) N ; + - FILLER_1_47 sky130_fd_sc_hd__decap_12 + PLACED ( 26220 8160 ) FS ; + - FILLER_1_59 sky130_fd_sc_hd__decap_6 + PLACED ( 31740 8160 ) FS ; + - FILLER_1_65 sky130_fd_sc_hd__fill_1 + PLACED ( 34500 8160 ) FS ; + - FILLER_1_69 sky130_fd_sc_hd__fill_2 + PLACED ( 36340 8160 ) FS ; + - FILLER_1_73 sky130_fd_sc_hd__fill_1 + PLACED ( 38180 8160 ) FS ; + - FILLER_1_80 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 8160 ) FS ; + - FILLER_2_26 sky130_fd_sc_hd__decap_3 + PLACED ( 16560 10880 ) N ; + - FILLER_2_31 sky130_fd_sc_hd__fill_1 + PLACED ( 18860 10880 ) N ; + - FILLER_2_46 sky130_fd_sc_hd__fill_1 + PLACED ( 25760 10880 ) N ; + - FILLER_2_50 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 10880 ) N ; + - FILLER_2_61 sky130_fd_sc_hd__fill_1 + PLACED ( 32660 10880 ) N ; + - FILLER_2_68 sky130_fd_sc_hd__fill_2 + PLACED ( 35880 10880 ) N ; + - FILLER_3_26 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 13600 ) FS ; + - FILLER_3_35 sky130_fd_sc_hd__fill_2 + PLACED ( 20700 13600 ) FS ; + - FILLER_3_63 sky130_fd_sc_hd__fill_1 + PLACED ( 33580 13600 ) FS ; + - FILLER_3_77 sky130_fd_sc_hd__fill_2 + PLACED ( 40020 13600 ) FS ; + - FILLER_3_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 13600 ) FS ; + - FILLER_4_32 sky130_fd_sc_hd__fill_2 + PLACED ( 19320 16320 ) N ; + - FILLER_4_40 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 16320 ) N ; + - FILLER_4_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 16320 ) N ; + - FILLER_5_55 sky130_fd_sc_hd__fill_1 + PLACED ( 29900 19040 ) FS ; + - FILLER_5_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 19040 ) FS ; + - FILLER_6_26 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 21760 ) N ; + - FILLER_6_36 sky130_fd_sc_hd__fill_1 + PLACED ( 21160 21760 ) N ; + - FILLER_6_57 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 21760 ) N ; + - FILLER_7_46 sky130_fd_sc_hd__fill_2 + PLACED ( 25760 24480 ) FS ; + - FILLER_7_92 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 24480 ) FS ; + - FILLER_8_35 sky130_fd_sc_hd__fill_1 + PLACED ( 20700 27200 ) N ; + - FILLER_8_63 sky130_fd_sc_hd__fill_1 + PLACED ( 33580 27200 ) N ; + - FILLER_8_93 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 27200 ) N ; + - FILLER_9_3 sky130_fd_sc_hd__fill_1 + PLACED ( 5980 29920 ) FS ; + - PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 5440 ) N ; + - PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 5440 ) FN ; + - PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 19040 ) FS ; + - PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 19040 ) S ; + - PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 21760 ) N ; + - PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 21760 ) FN ; + - PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 24480 ) FS ; + - PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 24480 ) S ; + - PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 27200 ) N ; + - PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 27200 ) FN ; + - PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 29920 ) FS ; + - PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 29920 ) S ; + - PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 8160 ) FS ; + - PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 32640 ) N ; + - PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 32640 ) FN ; + - PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 35360 ) FS ; + - PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 35360 ) S ; + - PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 38080 ) N ; + - PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 38080 ) FN ; + - PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 40800 ) FS ; + - PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 40800 ) S ; + - PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 43520 ) N ; + - PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 43520 ) FN ; + - PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 8160 ) S ; + - PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 46240 ) FS ; + - PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 46240 ) S ; + - PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 48960 ) N ; + - PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 48960 ) FN ; + - PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 51680 ) FS ; + - PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 51680 ) S ; + - PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 4600 54400 ) N ; + - PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 54400 ) FN ; + - PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 10880 ) N ; + - PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 10880 ) FN ; + - PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 13600 ) FS ; + - PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 13600 ) S ; + - PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 16320 ) N ; + - PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 16320 ) FN ; + - TAP_38 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 28060 5440 ) N ; + - TAP_39 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 5440 ) N ; + - TAP_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 8160 ) FS ; + - TAP_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 28060 10880 ) N ; + - TAP_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 13600 ) FS ; + - TAP_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 28060 16320 ) N ; + - TAP_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 19040 ) FS ; + - TAP_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 28060 21760 ) N ; + - TAP_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 40940 24480 ) FS ; + - TAP_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 27200 ) N ; + - TAP_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 27200 ) N ; + - TAP_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 27200 ) N ; + - TAP_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 29920 ) FS ; + - TAP_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 32640 ) N ; + - TAP_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 32640 ) N ; + - TAP_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 35360 ) FS ; + - TAP_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 38080 ) N ; + - TAP_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 38080 ) N ; + - TAP_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 40800 ) FS ; + - TAP_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 43520 ) N ; + - TAP_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 43520 ) N ; + - TAP_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 46240 ) FS ; + - TAP_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 48960 ) N ; + - TAP_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 48960 ) N ; + - TAP_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 51680 ) FS ; + - TAP_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 17480 54400 ) N ; + - TAP_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 30360 54400 ) N ; + - TAP_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 54400 ) N ; + - _102_ sky130_fd_sc_hd__or2b_1 + PLACED ( 29900 32640 ) N ; + - _103_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 41860 32640 ) N ; + - _104_ sky130_fd_sc_hd__or2_1 + PLACED ( 28060 46240 ) FS ; + - _105_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34500 40800 ) FS ; + - _106__1 sky130_fd_sc_hd__inv_2 + PLACED ( 34500 46240 ) FS ; + - _106__2 sky130_fd_sc_hd__inv_2 + PLACED ( 11960 46240 ) FS ; + - _106__3 sky130_fd_sc_hd__inv_2 + PLACED ( 6900 46240 ) FS ; + - _106__4 sky130_fd_sc_hd__inv_2 + PLACED ( 6900 38080 ) N ; + - _106__5 sky130_fd_sc_hd__inv_2 + PLACED ( 10580 29920 ) FS ; + - _107_ sky130_fd_sc_hd__buf_1 + PLACED ( 24380 38080 ) N ; + - _108_ sky130_fd_sc_hd__or2b_1 + PLACED ( 21620 21760 ) N ; + - _109_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24840 16320 ) N ; + - _110_ sky130_fd_sc_hd__or2_1 + PLACED ( 28520 21760 ) N ; + - _111_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 28520 16320 ) N ; + - _112_ sky130_fd_sc_hd__buf_1 + PLACED ( 20240 16320 ) N ; + - _113_ sky130_fd_sc_hd__buf_1 + PLACED ( 17020 21760 ) N ; + - _114_ sky130_fd_sc_hd__or2b_1 + PLACED ( 18400 21760 ) N ; + - _115_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 21620 16320 ) N ; + - _116_ sky130_fd_sc_hd__or2_1 + PLACED ( 26680 24480 ) FS ; + - _117_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23460 16320 ) N ; + - _118_ sky130_fd_sc_hd__buf_1 + PLACED ( 9200 29920 ) FS ; + - _119_ sky130_fd_sc_hd__buf_1 + PLACED ( 11500 27200 ) N ; + - _120_ sky130_fd_sc_hd__or2b_1 + PLACED ( 30820 27200 ) N ; + - _121_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 46460 29920 ) FS ; + - _122_ sky130_fd_sc_hd__or2_1 + PLACED ( 43700 48960 ) N ; + - _123_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 43700 54400 ) N ; + - _124_ sky130_fd_sc_hd__buf_1 + PLACED ( 28520 10880 ) N ; + - _125_ sky130_fd_sc_hd__buf_1 + PLACED ( 26220 10880 ) N ; + - _126_ sky130_fd_sc_hd__or2b_1 + PLACED ( 40020 35360 ) FS ; + - _127_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 46460 10880 ) N ; + - _128_ sky130_fd_sc_hd__or2_1 + PLACED ( 15180 27200 ) N ; + - _129_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34500 10880 ) N ; + - _130_ sky130_fd_sc_hd__buf_1 + PLACED ( 29900 10880 ) N ; + - _131_ sky130_fd_sc_hd__buf_1 + PLACED ( 31280 10880 ) N ; + - _132_ sky130_fd_sc_hd__or2b_1 + PLACED ( 29900 16320 ) N ; + - _133_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 33120 10880 ) N ; + - _134_ sky130_fd_sc_hd__or2_1 + PLACED ( 37720 13600 ) FS ; + - _135_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 8160 ) FS ; + - _136_ sky130_fd_sc_hd__buf_1 + PLACED ( 16100 43520 ) N ; + - _137_ sky130_fd_sc_hd__buf_1 + PLACED ( 11960 43520 ) N ; + - _138_ sky130_fd_sc_hd__buf_1 + PLACED ( 10580 43520 ) N ; + - _139_ sky130_fd_sc_hd__or2b_1 + PLACED ( 15640 51680 ) FS ; + - _140_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14720 43520 ) N ; + - _141_ sky130_fd_sc_hd__or2_1 + PLACED ( 17940 54400 ) N ; + - _142_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13340 43520 ) N ; + - _143_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 32640 ) N ; + - _144_ sky130_fd_sc_hd__buf_1 + PLACED ( 10120 27200 ) N ; + - _145_ sky130_fd_sc_hd__or2b_1 + PLACED ( 17940 27200 ) N ; + - _146_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 8740 27200 ) N ; + - _147_ sky130_fd_sc_hd__or2_1 + PLACED ( 12880 27200 ) N ; + - _148_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23920 51680 ) FS ; + - _149_ sky130_fd_sc_hd__buf_1 + PLACED ( 7820 29920 ) FS ; + - _150_ sky130_fd_sc_hd__buf_1 + PLACED ( 6440 40800 ) FS ; + - _151_ sky130_fd_sc_hd__or2b_1 + PLACED ( 21620 38080 ) N ; + - _152_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 9200 43520 ) N ; + - _153_ sky130_fd_sc_hd__or2_1 + PLACED ( 24380 43520 ) N ; + - _154_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 54400 ) N ; + - _155_ sky130_fd_sc_hd__buf_1 + PLACED ( 25300 54400 ) N ; + - _156_ sky130_fd_sc_hd__buf_1 + PLACED ( 7820 43520 ) N ; + - _157_ sky130_fd_sc_hd__or2b_1 + PLACED ( 39560 48960 ) N ; + - _158_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23920 54400 ) N ; + - _159_ sky130_fd_sc_hd__or2_1 + PLACED ( 25760 46240 ) FS ; + - _160_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 20700 54400 ) N ; + - _161_ sky130_fd_sc_hd__buf_1 + PLACED ( 16100 54400 ) N ; + - _162_ sky130_fd_sc_hd__buf_1 + PLACED ( 14720 54400 ) N ; + - _163_ sky130_fd_sc_hd__or2b_1 + PLACED ( 38180 54400 ) N ; + - _164_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13340 54400 ) N ; + - _165_ sky130_fd_sc_hd__or2_1 + PLACED ( 42780 51680 ) FS ; + - _166_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11960 54400 ) N ; + - _167_ sky130_fd_sc_hd__buf_1 + PLACED ( 10580 51680 ) FS ; + - _168_ sky130_fd_sc_hd__buf_1 + PLACED ( 10580 54400 ) N ; + - _169_ sky130_fd_sc_hd__or2b_1 + PLACED ( 18860 51680 ) FS ; + - _170_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 9200 51680 ) FS ; + - _171_ sky130_fd_sc_hd__or2_1 + PLACED ( 21620 51680 ) FS ; + - _172_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 7820 51680 ) FS ; + - _173_ sky130_fd_sc_hd__buf_1 + PLACED ( 9200 54400 ) N ; + - _174_ sky130_fd_sc_hd__buf_1 + PLACED ( 7820 54400 ) N ; + - _175_ sky130_fd_sc_hd__or2b_1 + PLACED ( 30820 54400 ) N ; + - _176_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6440 54400 ) N ; + - _177_ sky130_fd_sc_hd__or2_1 + PLACED ( 28060 51680 ) FS ; + - _178_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6440 51680 ) FS ; + - _179_ sky130_fd_sc_hd__or2b_1 + PLACED ( 44620 16320 ) N ; + - _180_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 46460 5440 ) N ; + - _181_ sky130_fd_sc_hd__and2_1 + PLACED ( 45080 51680 ) FS ; + - _182_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 41860 8160 ) FS ; + - _183_ sky130_fd_sc_hd__or2b_1 + PLACED ( 43700 10880 ) N ; + - _184_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 43240 8160 ) FS ; + - _185_ sky130_fd_sc_hd__inv_2 + PLACED ( 34960 8160 ) FS ; + - _186_ sky130_fd_sc_hd__and2_1 + PLACED ( 37720 10880 ) N ; + - _187_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6440 43520 ) N ; + - _188_ sky130_fd_sc_hd__buf_1 + PLACED ( 6440 29920 ) FS ; + - _189_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 48960 ) N ; + - _190_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 7360 27200 ) N ; + - _191_ sky130_fd_sc_hd__buf_2 + PLACED ( 46000 48960 ) N ; + - _192_ sky130_fd_sc_hd__buf_2 + PLACED ( 45080 54400 ) N ; + - _193_ sky130_fd_sc_hd__mux2_1 + PLACED ( 43240 35360 ) FS ; + - _194_ sky130_fd_sc_hd__mux2_1 + PLACED ( 43240 13600 ) FS ; + - _195_ sky130_fd_sc_hd__mux2_1 + PLACED ( 43240 19040 ) FS ; + - _196_ sky130_fd_sc_hd__mux2_1 + PLACED ( 43700 32640 ) N ; + - _197_ sky130_fd_sc_hd__ebufn_1 + PLACED ( 43240 24480 ) FS ; + - _198_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 30820 51680 ) FS ; + - _199_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 18400 48960 ) N ; + - _200_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 35880 46240 ) FS ; + - _201_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 35880 40800 ) FS ; + - _202_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 12880 35360 ) FS ; + - _203_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 17940 32640 ) N ; + - _204_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 13340 46240 ) FS ; + - _205_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 32660 16320 ) N ; + - _206_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 28980 24480 ) FS ; + - _207_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 34500 29920 ) FS ; + - _208_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 17940 19040 ) FS ; + - _209_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 21620 13600 ) FS ; + - _210_ sky130_fd_sc_hd__dfbbn_1 + PLACED ( 25760 38080 ) N ; + - _211_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 30360 48960 ) N ; + - _212_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 8280 48960 ) N ; + - _213_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 11500 40800 ) FS ; + - _214_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 8280 38080 ) N ; + - _215_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 8280 32640 ) N ; + - _216_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 11960 29920 ) FS ; + - _217_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 16560 24480 ) FS ; + - _218_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 20700 40800 ) FS ; + - _219_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 26680 43520 ) N ; + - _220_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 32660 32640 ) N ; + - _221_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 34040 27200 ) N ; + - _222_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 21160 27200 ) N ; + - _223_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 31280 21760 ) N ; + - clkbuf_0_serial_clock sky130_fd_sc_hd__clkbuf_16 + PLACED ( 30820 35360 ) FS ; + - clkbuf_1_0_0_serial_clock sky130_fd_sc_hd__clkbuf_2 + PLACED ( 6440 35360 ) FS ; + - clkbuf_1_1_0_serial_clock sky130_fd_sc_hd__clkbuf_2 + PLACED ( 41400 38080 ) N ; + - const_source sky130_fd_sc_hd__conb_1 + PLACED ( 44620 5440 ) N ; + - gpio_in_buf sky130_fd_sc_hd__einvp_2 + PLACED ( 37720 19040 ) FS ; + - gpio_logic_high gpio_logic_high + FIXED ( 5980 8400 ) N ; + - hold1 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 43700 27200 ) N ; + - hold10 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 30820 40800 ) FS ; + - hold11 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 30820 46240 ) FS ; + - hold12 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 20700 43520 ) N ; + - hold13 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 17940 38080 ) N ; + - hold14 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 43700 38080 ) N ; + - hold15 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 24380 21760 ) N ; + - hold16 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 37720 38080 ) N ; + - hold17 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 7820 40800 ) FS ; + - hold18 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 11960 51680 ) FS ; + - hold19 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 44160 21760 ) N ; + - hold2 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 21160 29920 ) FS ; + - hold20 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 30360 19040 ) FS ; + - hold21 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 24840 35360 ) FS ; + - hold22 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 34040 13600 ) FS ; + - hold3 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 43700 43520 ) N ; + - hold4 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 8280 35360 ) FS ; + - hold5 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 8280 46240 ) FS ; + - hold6 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 40480 21760 ) N ; + - hold7 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 30820 29920 ) FS ; + - hold8 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 24840 29920 ) FS ; + - hold9 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 34040 19040 ) FS ; + - input1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 5980 27200 ) N ; + - input10 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16560 19040 ) FS ; + - input11 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16560 16320 ) N ; + - input12 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 16320 ) N ; + - input13 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 19320 13600 ) FS ; + - input14 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 41400 5440 ) N ; + - input15 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 39560 8160 ) FS ; + - input16 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 38180 5440 ) N ; + - input17 sky130_fd_sc_hd__buf_12 + PLACED ( 35880 43520 ) N ; + - input18 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 13600 ) FS ; + - input19 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16560 8160 ) FS ; + - input2 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24380 10880 ) N ; + - input20 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 19320 10880 ) N ; + - input3 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23000 10880 ) N ; + - input4 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 21620 10880 ) N ; + - input5 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 8160 ) FS ; + - input6 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 19320 8160 ) FS ; + - input7 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 20700 8160 ) FS ; + - input8 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 8160 ) FS ; + - input9 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23460 8160 ) FS ; + - output22 sky130_fd_sc_hd__buf_2 + PLACED ( 46000 8160 ) FS ; + - output23 sky130_fd_sc_hd__buf_2 + PLACED ( 41400 13600 ) FS ; + - output24 sky130_fd_sc_hd__buf_2 + PLACED ( 41860 10880 ) N ; + - output25 sky130_fd_sc_hd__buf_2 + PLACED ( 41400 19040 ) FS ; + - output26 sky130_fd_sc_hd__buf_2 + PLACED ( 41400 24480 ) FS ; + - output27 sky130_fd_sc_hd__buf_2 + PLACED ( 40020 10880 ) N ; + - output28 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 16320 ) N ; + - output29 sky130_fd_sc_hd__buf_2 + PLACED ( 28520 35360 ) FS ; + - output30 sky130_fd_sc_hd__buf_2 + PLACED ( 41400 54400 ) N ; + - output31 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 43520 ) N ; + - output32 sky130_fd_sc_hd__buf_2 + PLACED ( 28520 29920 ) FS ; + - output33 sky130_fd_sc_hd__buf_2 + PLACED ( 34040 54400 ) N ; + - output34 sky130_fd_sc_hd__buf_2 + PLACED ( 36340 54400 ) N ; + - output35 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 51680 ) FS ; + - output36 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16560 5440 ) N ; + - output37 sky130_fd_sc_hd__buf_2 + PLACED ( 28520 54400 ) N ; + - output38 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24840 8160 ) FS ; + - output39 sky130_fd_sc_hd__buf_2 + PLACED ( 26680 54400 ) N ; END COMPONENTS PINS 47 ; - gpio_defaults[0] + NET gpio_defaults[0] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 1020 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 4830 63000 ) N ; - gpio_defaults[10] + NET gpio_defaults[10] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 16660 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 27830 63000 ) N ; - gpio_defaults[11] + NET gpio_defaults[11] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 18700 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 30130 63000 ) N ; - gpio_defaults[12] + NET gpio_defaults[12] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 20060 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 32430 63000 ) N ; - gpio_defaults[1] + NET gpio_defaults[1] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 2380 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 7130 63000 ) N ; - gpio_defaults[2] + NET gpio_defaults[2] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 3740 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 9430 63000 ) N ; - gpio_defaults[3] + NET gpio_defaults[3] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 5780 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 11730 63000 ) N ; - gpio_defaults[4] + NET gpio_defaults[4] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 7140 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 14030 63000 ) N ; - gpio_defaults[5] + NET gpio_defaults[5] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 8500 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 16330 63000 ) N ; - gpio_defaults[6] + NET gpio_defaults[6] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 10540 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 18630 63000 ) N ; - gpio_defaults[7] + NET gpio_defaults[7] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 11900 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 20930 63000 ) N ; - gpio_defaults[8] + NET gpio_defaults[8] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 13940 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 23230 63000 ) N ; - gpio_defaults[9] + NET gpio_defaults[9] + DIRECTION INPUT + USE SIGNAL + PORT - + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 15300 ) N ; + + LAYER met2 ( -140 -2000 ) ( 140 2000 ) + + PLACED ( 25530 63000 ) N ; - mgmt_gpio_in + NET mgmt_gpio_in + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 24820 ) N ; + + PLACED ( 120000 4420 ) N ; - mgmt_gpio_oeb + NET mgmt_gpio_oeb + DIRECTION INPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 26860 ) N ; + + PLACED ( 120000 8500 ) N ; - mgmt_gpio_out + NET mgmt_gpio_out + DIRECTION INPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 28220 ) N ; + + PLACED ( 120000 10540 ) N ; - one + NET one + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 23460 ) N ; + + PLACED ( 120000 6460 ) N ; - pad_gpio_ana_en + NET pad_gpio_ana_en + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 30260 ) N ; + + PLACED ( 120000 12580 ) N ; - pad_gpio_ana_pol + NET pad_gpio_ana_pol + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 31620 ) N ; + + PLACED ( 120000 14620 ) N ; - pad_gpio_ana_sel + NET pad_gpio_ana_sel + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 32980 ) N ; + + PLACED ( 120000 16660 ) N ; - pad_gpio_dm[0] + NET pad_gpio_dm[0] + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 35020 ) N ; + + PLACED ( 120000 18700 ) N ; - pad_gpio_dm[1] + NET pad_gpio_dm[1] + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 36380 ) N ; + + PLACED ( 120000 20740 ) N ; - pad_gpio_dm[2] + NET pad_gpio_dm[2] + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 38420 ) N ; + + PLACED ( 120000 22780 ) N ; - pad_gpio_holdover + NET pad_gpio_holdover + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 39780 ) N ; + + PLACED ( 120000 24820 ) N ; - pad_gpio_ib_mode_sel + NET pad_gpio_ib_mode_sel + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 41140 ) N ; + + PLACED ( 120000 26860 ) N ; - pad_gpio_in + NET pad_gpio_in + DIRECTION INPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 43180 ) N ; + + PLACED ( 120000 28900 ) N ; - pad_gpio_inenb + NET pad_gpio_inenb + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 44540 ) N ; + + PLACED ( 120000 30940 ) N ; - pad_gpio_out + NET pad_gpio_out + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 46580 ) N ; + + PLACED ( 120000 32980 ) N ; - pad_gpio_outenb + NET pad_gpio_outenb + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 47940 ) N ; + + PLACED ( 120000 35020 ) N ; - pad_gpio_slow_sel + NET pad_gpio_slow_sel + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 49300 ) N ; + + PLACED ( 120000 37060 ) N ; - pad_gpio_vtrip_sel + NET pad_gpio_vtrip_sel + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 51340 ) N ; + + PLACED ( 120000 39100 ) N ; - resetn + NET resetn + DIRECTION INPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 52700 ) N ; + + PLACED ( 120000 41140 ) N ; - resetn_out + NET resetn_out + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 54740 ) N ; + + PLACED ( 120000 43180 ) N ; - serial_clock + NET serial_clock + DIRECTION INPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 56100 ) N ; + + PLACED ( 120000 45220 ) N ; - serial_clock_out + NET serial_clock_out + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 57460 ) N ; + + PLACED ( 120000 47260 ) N ; - serial_data_in + NET serial_data_in + DIRECTION INPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 59500 ) N ; + + PLACED ( 120000 49300 ) N ; - serial_data_out + NET serial_data_out + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 60860 ) N ; + + PLACED ( 120000 51340 ) N ; - serial_load + NET serial_load + DIRECTION INPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 62900 ) N ; + + PLACED ( 120000 53380 ) N ; - serial_load_out + NET serial_load_out + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 64260 ) N ; + + PLACED ( 120000 55420 ) N ; - user_gpio_in + NET user_gpio_in + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 65620 ) N ; + + PLACED ( 120000 57460 ) N ; - user_gpio_oeb + NET user_gpio_oeb + DIRECTION INPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 67660 ) N ; + + PLACED ( 120000 59500 ) N ; - user_gpio_out + NET user_gpio_out + DIRECTION INPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 69020 ) N ; + + PLACED ( 120000 61540 ) N ; - vccd + NET vccd + SPECIAL + DIRECTION INPUT + USE POWER + PORT - + LAYER met4 ( -800 -29220 ) ( 800 29220 ) - + LAYER met4 ( -16300 -29220 ) ( -14700 29220 ) - + LAYER met4 ( -31800 -29220 ) ( -30200 29220 ) - + LAYER met4 ( 5820 -25920 ) ( 7420 25920 ) - + LAYER met4 ( -42800 -25920 ) ( -41200 25920 ) - + LAYER met5 ( -42800 24320 ) ( 7420 25920 ) - + LAYER met5 ( -46100 9080 ) ( 10720 10680 ) - + LAYER met5 ( -46100 -6420 ) ( 10720 -4820 ) - + LAYER met5 ( -46100 -21920 ) ( 10720 -20320 ) - + LAYER met5 ( -42800 -25920 ) ( 7420 -24320 ) - + FIXED ( 44600 34000 ) N ; + + LAYER met4 ( -800 -26080 ) ( 800 26080 ) + + LAYER met4 ( -25800 -25840 ) ( -24200 26080 ) + + LAYER met5 ( -34000 8420 ) ( 10620 10020 ) + + LAYER met5 ( -34000 -8480 ) ( 10620 -6880 ) + + LAYER met5 ( -34000 -25380 ) ( 10620 -23780 ) + + FIXED ( 38600 31280 ) N ; - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER + PORT - + LAYER met4 ( -800 -32520 ) ( 800 32520 ) - + LAYER met4 ( -62620 -32520 ) ( -61020 32520 ) - + LAYER met5 ( -62620 30920 ) ( 800 32520 ) - + LAYER met5 ( -65920 13580 ) ( 4100 15180 ) - + LAYER met5 ( -65920 -1920 ) ( 4100 -320 ) - + LAYER met5 ( -65920 -17420 ) ( 4100 -15820 ) - + LAYER met5 ( -62620 -32520 ) ( 800 -30920 ) - + FIXED ( 57820 34000 ) N ; + + LAYER met4 ( -800 -25840 ) ( 800 25840 ) + + LAYER met4 ( -25800 -25840 ) ( -24200 25840 ) + + LAYER met5 ( -39000 13660 ) ( 5620 15260 ) + + LAYER met5 ( -39000 -3240 ) ( 5620 -1640 ) + + LAYER met5 ( -39000 -20140 ) ( 5620 -18540 ) + + FIXED ( 43600 31280 ) N ; - vssd + NET vssd + SPECIAL + DIRECTION INPUT + USE GROUND + PORT - + LAYER met4 ( -800 -29220 ) ( 800 29220 ) - + LAYER met4 ( -18470 -29220 ) ( -16870 29220 ) - + LAYER met4 ( -33970 -29220 ) ( -32370 29220 ) - + LAYER met4 ( -56020 -29220 ) ( -54420 29220 ) - + LAYER met5 ( -56020 27620 ) ( 800 29220 ) - + LAYER met5 ( -56020 16830 ) ( 800 18430 ) - + LAYER met5 ( -56020 1330 ) ( 800 2930 ) - + LAYER met5 ( -56020 -14170 ) ( 800 -12570 ) - + LAYER met5 ( -56020 -29220 ) ( 800 -27620 ) - + FIXED ( 54520 34000 ) N ; + + LAYER met4 ( -800 -26080 ) ( 800 26080 ) + + LAYER met5 ( -21500 16870 ) ( 23120 18470 ) + + LAYER met5 ( -21500 -30 ) ( 23120 1570 ) + + LAYER met5 ( -21500 -16930 ) ( 23120 -15330 ) + + FIXED ( 26100 31280 ) N ; - vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND + PORT - + LAYER met4 ( -800 -35820 ) ( 800 35820 ) - + LAYER met4 ( -69220 -35820 ) ( -67620 35820 ) - + LAYER met5 ( -69220 34220 ) ( 800 35820 ) - + LAYER met5 ( -69220 5830 ) ( 800 7430 ) - + LAYER met5 ( -69220 -9670 ) ( 800 -8070 ) - + LAYER met5 ( -69220 -35820 ) ( 800 -34220 ) - + FIXED ( 61120 34000 ) N ; + + LAYER met4 ( -800 -25840 ) ( 800 25840 ) + + LAYER met5 ( -26500 22110 ) ( 18120 23710 ) + + LAYER met5 ( -26500 5210 ) ( 18120 6810 ) + + LAYER met5 ( -26500 -11690 ) ( 18120 -10090 ) + + FIXED ( 31100 31280 ) N ; - zero + NET zero + DIRECTION OUTPUT + USE SIGNAL + PORT + LAYER met3 ( -50000 -300 ) ( 50000 300 ) - + PLACED ( 120000 22100 ) N ; + + PLACED ( 120000 2380 ) N ; END PINS -BLOCKAGES 6 ; - - LAYER met5 RECT ( 67000 0 ) ( 170000 70000 ) ; - - LAYER met4 RECT ( 67000 0 ) ( 170000 70000 ) ; - - LAYER met2 RECT ( 120000 0 ) ( 170000 70000 ) ; - - LAYER met1 RECT ( 120000 0 ) ( 170000 70000 ) ; - - LAYER met4 RECT ( 0 0 ) ( 170000 70000 ) ; - - LAYER met5 RECT ( 0 0 ) ( 170000 70000 ) ; +BLOCKAGES 11 ; + - LAYER li1 RECT ( 0 0 ) ( 16795 30025 ) ; + - LAYER li1 RECT ( 0 29965 ) ( 4265 65069 ) ; + - LAYER li1 RECT ( 4215 57405 ) ( 49815 64930 ) ; + - LAYER li1 RECT ( 16830 0 ) ( 49410 5240 ) ; + - LAYER li1 RECT ( 49000 0 ) ( 169810 64845 ) ; + - LAYER met5 RECT ( 67000 0 ) ( 170000 65000 ) ; + - LAYER met4 RECT ( 67000 0 ) ( 170000 65000 ) ; + - LAYER met2 RECT ( 120000 0 ) ( 170000 65000 ) ; + - LAYER met1 RECT ( 120000 0 ) ( 170000 65000 ) ; + - LAYER met4 RECT ( 0 0 ) ( 170000 65000 ) ; + - LAYER met5 RECT ( 0 0 ) ( 170000 65000 ) ; END BLOCKAGES SPECIALNETS 4 ; - vccd ( PIN vccd ) + USE POWER - + ROUTED met3 0 + SHAPE STRIPE ( 44600 57120 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 44600 57120 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 44600 57120 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 29100 57120 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 29100 57120 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 29100 57120 ) via_1600x480 + + ROUTED met3 0 + SHAPE STRIPE ( 38600 57120 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 57120 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 57120 ) via_1600x480 NEW met3 0 + SHAPE STRIPE ( 13600 57120 ) via3_1600x480 NEW met2 0 + SHAPE STRIPE ( 13600 57120 ) via2_1600x480 NEW met1 0 + SHAPE STRIPE ( 13600 57120 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 44600 51680 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 44600 51680 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 44600 51680 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 29100 51680 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 29100 51680 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 29100 51680 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 38600 51680 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 51680 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 51680 ) via_1600x480 NEW met3 0 + SHAPE STRIPE ( 13600 51680 ) via3_1600x480 NEW met2 0 + SHAPE STRIPE ( 13600 51680 ) via2_1600x480 NEW met1 0 + SHAPE STRIPE ( 13600 51680 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 44600 46240 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 44600 46240 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 44600 46240 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 29100 46240 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 29100 46240 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 29100 46240 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 38600 46240 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 46240 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 46240 ) via_1600x480 NEW met3 0 + SHAPE STRIPE ( 13600 46240 ) via3_1600x480 NEW met2 0 + SHAPE STRIPE ( 13600 46240 ) via2_1600x480 NEW met1 0 + SHAPE STRIPE ( 13600 46240 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 44600 40800 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 44600 40800 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 44600 40800 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 29100 40800 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 29100 40800 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 29100 40800 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 38600 40800 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 40800 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 40800 ) via_1600x480 NEW met3 0 + SHAPE STRIPE ( 13600 40800 ) via3_1600x480 NEW met2 0 + SHAPE STRIPE ( 13600 40800 ) via2_1600x480 NEW met1 0 + SHAPE STRIPE ( 13600 40800 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 44600 35360 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 44600 35360 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 44600 35360 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 29100 35360 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 29100 35360 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 29100 35360 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 38600 35360 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 35360 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 35360 ) via_1600x480 NEW met3 0 + SHAPE STRIPE ( 13600 35360 ) via3_1600x480 NEW met2 0 + SHAPE STRIPE ( 13600 35360 ) via2_1600x480 NEW met1 0 + SHAPE STRIPE ( 13600 35360 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 44600 29920 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 44600 29920 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 44600 29920 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 29100 29920 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 29100 29920 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 29100 29920 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 44600 24480 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 44600 24480 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 44600 24480 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 29100 24480 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 29100 24480 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 29100 24480 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 44600 19040 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 44600 19040 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 44600 19040 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 29100 19040 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 29100 19040 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 29100 19040 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 44600 13600 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 44600 13600 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 44600 13600 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 29100 13600 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 29100 13600 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 29100 13600 ) via_1600x480 - NEW met4 0 + SHAPE STRIPE ( 51220 59120 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 44600 59120 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 29100 59120 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 13600 59120 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 2600 59120 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 51220 43880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 44600 43880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 29100 43880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 13600 43880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 2600 43880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 51220 28380 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 44600 28380 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 29100 28380 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 13600 28380 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 2600 28380 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 51220 12880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 44600 12880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 29100 12880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 13600 12880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 2600 12880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 51220 8880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 44600 8880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 29100 8880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 13600 8880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 2600 8880 ) via4_1600x1600 - NEW met5 1600 + SHAPE STRIPE ( 1800 59120 ) ( 52020 59120 ) - NEW met5 1600 + SHAPE STRIPE ( -1500 43880 ) ( 55320 43880 ) - NEW met5 1600 + SHAPE STRIPE ( -1500 28380 ) ( 55320 28380 ) - NEW met5 1600 + SHAPE STRIPE ( -1500 12880 ) ( 55320 12880 ) - NEW met5 1600 + SHAPE STRIPE ( 1800 8880 ) ( 52020 8880 ) - NEW met4 1600 + SHAPE STRIPE ( 44600 4780 ) ( 44600 63220 ) - NEW met4 1600 + SHAPE STRIPE ( 29100 4780 ) ( 29100 63220 ) - NEW met4 1600 + SHAPE STRIPE ( 13600 4780 ) ( 13600 63220 ) - NEW met4 1600 + SHAPE STRIPE ( 51220 8080 ) ( 51220 59920 ) - NEW met4 1600 + SHAPE STRIPE ( 2600 8080 ) ( 2600 59920 ) + NEW met3 0 + SHAPE STRIPE ( 38600 29920 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 29920 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 29920 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 13600 29920 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 13600 29920 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 13600 29920 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 38600 24480 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 24480 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 24480 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 38600 19040 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 19040 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 19040 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 38600 13600 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 13600 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 13600 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 38600 8160 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 38600 8160 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 38600 8160 ) via_1600x480 + NEW met4 0 + SHAPE STRIPE ( 38600 40500 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 13600 40500 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 38600 23600 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 13600 23600 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 38600 6700 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 13600 6700 ) via4_1600x1600 + NEW met5 1600 + SHAPE STRIPE ( 4600 40500 ) ( 49220 40500 ) + NEW met5 1600 + SHAPE STRIPE ( 4600 23600 ) ( 49220 23600 ) + NEW met5 1600 + SHAPE STRIPE ( 4600 6700 ) ( 49220 6700 ) + NEW met4 1600 + SHAPE STRIPE ( 38600 5200 ) ( 38600 57360 ) + NEW met4 1600 + SHAPE STRIPE ( 13600 5440 ) ( 13600 57360 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 57120 ) ( 49220 57120 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 51680 ) ( 49220 51680 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 46240 ) ( 49220 46240 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 40800 ) ( 49220 40800 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 35360 ) ( 49220 35360 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 29920 ) ( 49220 29920 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 29920 ) ( 49220 29920 ) NEW met1 480 + SHAPE FOLLOWPIN ( 15180 24480 ) ( 49220 24480 ) NEW met1 480 + SHAPE FOLLOWPIN ( 15180 19040 ) ( 49220 19040 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 13600 ) ( 49220 13600 ) ; + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 13600 ) ( 49220 13600 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 8160 ) ( 49220 8160 ) ; - vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER - + ROUTED met4 0 + SHAPE STRIPE ( 6980 17380 ) via4_1400x1600 - NEW met4 0 + SHAPE STRIPE ( 57820 65720 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -4000 65720 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 57820 48380 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -4000 48380 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 57820 32880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -4000 32880 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 57820 17380 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -4000 17380 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 57820 2280 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -4000 2280 ) via4_1600x1600 - NEW met5 1600 + SHAPE STRIPE ( -4800 65720 ) ( 58620 65720 ) - NEW met5 1600 + SHAPE STRIPE ( -8100 48380 ) ( 61920 48380 ) - NEW met5 1600 + SHAPE STRIPE ( -8100 32880 ) ( 61920 32880 ) - NEW met5 1600 + SHAPE STRIPE ( -8100 17380 ) ( 61920 17380 ) - NEW met5 1600 + SHAPE STRIPE ( -4800 2280 ) ( 58620 2280 ) - NEW met4 1600 + SHAPE STRIPE ( 57820 1480 ) ( 57820 66520 ) - NEW met4 1600 + SHAPE STRIPE ( -4000 1480 ) ( -4000 66520 ) ; + + ROUTED met4 0 + SHAPE STRIPE ( 6980 11940 ) via4_1400x1600 + NEW met4 0 + SHAPE STRIPE ( 43600 45740 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 18600 45740 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 43600 28840 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 18600 28840 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 43600 11940 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 18600 11940 ) via4_1600x1600 + NEW met5 1600 + SHAPE STRIPE ( 4600 45740 ) ( 49220 45740 ) + NEW met5 1600 + SHAPE STRIPE ( 4600 28840 ) ( 49220 28840 ) + NEW met5 1600 + SHAPE STRIPE ( 4600 11940 ) ( 49220 11940 ) + NEW met4 1600 + SHAPE STRIPE ( 43600 5440 ) ( 43600 57120 ) + NEW met4 1600 + SHAPE STRIPE ( 18600 5440 ) ( 18600 57120 ) ; - vssd ( PIN vssd ) + USE GROUND - + ROUTED met3 0 + SHAPE STRIPE ( 36850 54400 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 36850 54400 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 36850 54400 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21350 54400 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21350 54400 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21350 54400 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 36850 48960 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 36850 48960 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 36850 48960 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21350 48960 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21350 48960 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21350 48960 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 36850 43520 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 36850 43520 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 36850 43520 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21350 43520 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21350 43520 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21350 43520 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 36850 38080 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 36850 38080 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 36850 38080 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21350 38080 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21350 38080 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21350 38080 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 36850 32640 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 36850 32640 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 36850 32640 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21350 32640 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21350 32640 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21350 32640 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 36850 27200 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 36850 27200 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 36850 27200 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21350 27200 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21350 27200 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21350 27200 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 36850 21760 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 36850 21760 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 36850 21760 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21350 21760 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21350 21760 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21350 21760 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 36850 16320 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 36850 16320 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 36850 16320 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21350 16320 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21350 16320 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21350 16320 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 36850 10880 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 36850 10880 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 36850 10880 ) via_1600x480 - NEW met3 0 + SHAPE STRIPE ( 21350 10880 ) via3_1600x480 - NEW met2 0 + SHAPE STRIPE ( 21350 10880 ) via2_1600x480 - NEW met1 0 + SHAPE STRIPE ( 21350 10880 ) via_1600x480 - NEW met4 0 + SHAPE STRIPE ( 54520 62420 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 36850 62420 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 21350 62420 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -700 62420 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 54520 51630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 36850 51630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 21350 51630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -700 51630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 54520 36130 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 36850 36130 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 21350 36130 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -700 36130 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 54520 20630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 36850 20630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 21350 20630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -700 20630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 54520 5580 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 36850 5580 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 21350 5580 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -700 5580 ) via4_1600x1600 - NEW met5 1600 + SHAPE STRIPE ( -1500 62420 ) ( 55320 62420 ) - NEW met5 1600 + SHAPE STRIPE ( -1500 51630 ) ( 55320 51630 ) - NEW met5 1600 + SHAPE STRIPE ( -1500 36130 ) ( 55320 36130 ) - NEW met5 1600 + SHAPE STRIPE ( -1500 20630 ) ( 55320 20630 ) - NEW met5 1600 + SHAPE STRIPE ( -1500 5580 ) ( 55320 5580 ) - NEW met4 1600 + SHAPE STRIPE ( 54520 4780 ) ( 54520 63220 ) - NEW met4 1600 + SHAPE STRIPE ( 36850 4780 ) ( 36850 63220 ) - NEW met4 1600 + SHAPE STRIPE ( 21350 4780 ) ( 21350 63220 ) - NEW met4 1600 + SHAPE STRIPE ( -700 4780 ) ( -700 63220 ) + + ROUTED met3 0 + SHAPE STRIPE ( 26100 54400 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 54400 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 54400 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 26100 48960 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 48960 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 48960 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 26100 43520 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 43520 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 43520 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 26100 38080 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 38080 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 38080 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 26100 32640 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 32640 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 32640 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 26100 27200 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 27200 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 27200 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 26100 21760 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 21760 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 21760 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 26100 16320 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 16320 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 16320 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 26100 10880 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 10880 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 10880 ) via_1600x480 + NEW met3 0 + SHAPE STRIPE ( 26100 5440 ) via3_1600x480 + NEW met2 0 + SHAPE STRIPE ( 26100 5440 ) via2_1600x480 + NEW met1 0 + SHAPE STRIPE ( 26100 5440 ) via_1600x480 + NEW met4 0 + SHAPE STRIPE ( 26100 48950 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 26100 32050 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 26100 15150 ) via4_1600x1600 + NEW met5 1600 + SHAPE STRIPE ( 4600 48950 ) ( 49220 48950 ) + NEW met5 1600 + SHAPE STRIPE ( 4600 32050 ) ( 49220 32050 ) + NEW met5 1600 + SHAPE STRIPE ( 4600 15150 ) ( 49220 15150 ) + NEW met4 1600 + SHAPE STRIPE ( 26100 5200 ) ( 26100 57360 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 54400 ) ( 49220 54400 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 48960 ) ( 49220 48960 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 43520 ) ( 49220 43520 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 38080 ) ( 49220 38080 ) NEW met1 480 + SHAPE FOLLOWPIN ( 4600 32640 ) ( 49220 32640 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 27200 ) ( 49220 27200 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 4600 27200 ) ( 49220 27200 ) NEW met1 480 + SHAPE FOLLOWPIN ( 15180 21760 ) ( 49220 21760 ) NEW met1 480 + SHAPE FOLLOWPIN ( 15180 16320 ) ( 49220 16320 ) - NEW met1 480 + SHAPE FOLLOWPIN ( 15180 10880 ) ( 49220 10880 ) ; + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 10880 ) ( 49220 10880 ) + NEW met1 480 + SHAPE FOLLOWPIN ( 15180 5440 ) ( 49220 5440 ) ; - vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND - + ROUTED met4 0 + SHAPE STRIPE ( 10680 25130 ) via4_1400x1600 - NEW met4 0 + SHAPE STRIPE ( 61120 69020 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -7300 69020 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 61120 40630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -7300 40630 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 61120 25130 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -7300 25130 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( 61120 -1020 ) via4_1600x1600 - NEW met4 0 + SHAPE STRIPE ( -7300 -1020 ) via4_1600x1600 - NEW met5 1600 + SHAPE STRIPE ( -8100 69020 ) ( 61920 69020 ) - NEW met5 1600 + SHAPE STRIPE ( -8100 40630 ) ( 61920 40630 ) - NEW met5 1600 + SHAPE STRIPE ( -8100 25130 ) ( 61920 25130 ) - NEW met5 1600 + SHAPE STRIPE ( -8100 -1020 ) ( 61920 -1020 ) - NEW met4 1600 + SHAPE STRIPE ( 61120 -1820 ) ( 61120 69820 ) - NEW met4 1600 + SHAPE STRIPE ( -7300 -1820 ) ( -7300 69820 ) ; + + ROUTED met4 0 + SHAPE STRIPE ( 10680 20390 ) via4_1400x1600 + NEW met4 0 + SHAPE STRIPE ( 31100 54190 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 31100 37290 ) via4_1600x1600 + NEW met4 0 + SHAPE STRIPE ( 31100 20390 ) via4_1600x1600 + NEW met5 1600 + SHAPE STRIPE ( 4600 54190 ) ( 49220 54190 ) + NEW met5 1600 + SHAPE STRIPE ( 4600 37290 ) ( 49220 37290 ) + NEW met5 1600 + SHAPE STRIPE ( 4600 20390 ) ( 49220 20390 ) + NEW met4 1600 + SHAPE STRIPE ( 31100 5440 ) ( 31100 57120 ) ; END SPECIALNETS -NETS 204 ; +NETS 229 ; - _000_ ( _193_ A1 ) ( _182_ X ) + USE SIGNAL - + ROUTED met2 ( 34730 43180 ) ( * 44370 ) - NEW met3 ( 26450 43180 ) ( 34730 * ) - NEW met2 ( 26450 43180 ) ( * 45900 ) - NEW met3 ( 14950 45900 ) ( 26450 * ) - NEW met2 ( 14950 45900 ) ( * 47090 ) - NEW met2 ( 13570 47090 ) ( 14950 * ) - NEW met1 ( 12650 47090 ) ( 13570 * ) - NEW met1 ( 34730 44370 ) ( 46230 * ) - NEW li1 ( 46230 44370 ) L1M1_PR_MR - NEW met1 ( 34730 44370 ) M1M2_PR - NEW met2 ( 34730 43180 ) M2M3_PR_M - NEW met2 ( 26450 43180 ) M2M3_PR_M - NEW met2 ( 26450 45900 ) M2M3_PR_M - NEW met2 ( 14950 45900 ) M2M3_PR_M - NEW met1 ( 13570 47090 ) M1M2_PR - NEW li1 ( 12650 47090 ) L1M1_PR_MR ; + + ROUTED met1 ( 41630 9010 ) ( 42090 * ) + NEW met2 ( 41630 26860 ) ( 42090 * ) + NEW met2 ( 42090 26860 ) ( * 36550 ) + NEW met1 ( 42090 36550 ) ( 45770 * ) + NEW met2 ( 41630 9010 ) ( * 26860 ) + NEW li1 ( 42090 9010 ) L1M1_PR_MR + NEW met1 ( 41630 9010 ) M1M2_PR + NEW met1 ( 42090 36550 ) M1M2_PR + NEW li1 ( 45770 36550 ) L1M1_PR_MR ; - _001_ ( _205_ Q_N ) ( _194_ A0 ) + USE SIGNAL - + ROUTED met2 ( 45770 33830 ) ( * 36210 ) - NEW met2 ( 45310 36210 ) ( 45770 * ) - NEW met1 ( 44390 36210 ) ( 45310 * ) - NEW li1 ( 45770 33830 ) L1M1_PR_MR - NEW met1 ( 45770 33830 ) M1M2_PR - NEW met1 ( 45310 36210 ) M1M2_PR - NEW li1 ( 44390 36210 ) L1M1_PR_MR - NEW met1 ( 45770 33830 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 43010 15810 ) ( 45310 * ) + NEW met2 ( 43010 15810 ) ( * 16830 ) + NEW li1 ( 45310 15810 ) L1M1_PR_MR + NEW met1 ( 43010 15810 ) M1M2_PR + NEW li1 ( 43010 16830 ) L1M1_PR_MR + NEW met1 ( 43010 16830 ) M1M2_PR + NEW met1 ( 43010 16830 ) RECT ( -355 -70 0 70 ) ; - _002_ ( _194_ S ) ( _184_ X ) + USE SIGNAL - + ROUTED met1 ( 46230 18530 ) ( 46690 * ) - NEW met2 ( 46690 18530 ) ( * 34170 ) - NEW li1 ( 46230 18530 ) L1M1_PR_MR - NEW met1 ( 46690 18530 ) M1M2_PR - NEW li1 ( 46690 34170 ) L1M1_PR_MR - NEW met1 ( 46690 34170 ) M1M2_PR - NEW met1 ( 46690 34170 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 43470 8670 ) ( 44390 * ) + NEW met2 ( 44390 8670 ) ( * 9180 ) + NEW met2 ( 44390 9180 ) ( 44850 * ) + NEW met2 ( 44850 9180 ) ( * 9350 ) + NEW met2 ( 44850 9350 ) ( 45310 * ) + NEW met2 ( 45310 9350 ) ( * 12410 ) + NEW met1 ( 45310 12410 ) ( 48990 * ) + NEW met1 ( 46690 14790 ) ( 48990 * ) + NEW met2 ( 48990 12410 ) ( * 14790 ) + NEW li1 ( 43470 8670 ) L1M1_PR_MR + NEW met1 ( 44390 8670 ) M1M2_PR + NEW met1 ( 45310 12410 ) M1M2_PR + NEW met1 ( 48990 12410 ) M1M2_PR + NEW li1 ( 46690 14790 ) L1M1_PR_MR + NEW met1 ( 48990 14790 ) M1M2_PR ; - _003_ ( _195_ A1 ) ( _194_ X ) + USE SIGNAL - + ROUTED met1 ( 43930 34850 ) ( 46230 * ) - NEW met2 ( 46230 34850 ) ( * 38590 ) - NEW li1 ( 43930 34850 ) L1M1_PR_MR - NEW met1 ( 46230 34850 ) M1M2_PR - NEW li1 ( 46230 38590 ) L1M1_PR_MR - NEW met1 ( 46230 38590 ) M1M2_PR - NEW met1 ( 46230 38590 ) RECT ( 0 -70 355 70 ) ; + + ROUTED met2 ( 43470 14110 ) ( * 20230 ) + NEW met1 ( 43470 20230 ) ( 45770 * ) + NEW li1 ( 43470 14110 ) L1M1_PR_MR + NEW met1 ( 43470 14110 ) M1M2_PR + NEW met1 ( 43470 20230 ) M1M2_PR + NEW li1 ( 45770 20230 ) L1M1_PR_MR + NEW met1 ( 43470 14110 ) RECT ( -355 -70 0 70 ) ; - _004_ ( _196_ A1 ) ( _195_ X ) + USE SIGNAL - + ROUTED met1 ( 43930 40290 ) ( 46230 * ) - NEW met2 ( 46230 40290 ) ( * 49470 ) - NEW li1 ( 43930 40290 ) L1M1_PR_MR - NEW met1 ( 46230 40290 ) M1M2_PR - NEW li1 ( 46230 49470 ) L1M1_PR_MR - NEW met1 ( 46230 49470 ) M1M2_PR - NEW met1 ( 46230 49470 ) RECT ( 0 -70 355 70 ) ; + + ROUTED met1 ( 43470 19550 ) ( 46230 * ) + NEW met2 ( 46230 19550 ) ( * 33150 ) + NEW li1 ( 43470 19550 ) L1M1_PR_MR + NEW met1 ( 46230 19550 ) M1M2_PR + NEW li1 ( 46230 33150 ) L1M1_PR_MR + NEW met1 ( 46230 33150 ) M1M2_PR + NEW met1 ( 46230 33150 ) RECT ( 0 -70 355 70 ) ; - _005_ ( gpio_in_buf A ) ( _185_ Y ) + USE SIGNAL - + ROUTED met1 ( 34270 42330 ) ( 38410 * ) - NEW met2 ( 34270 42330 ) ( * 43860 ) - NEW met3 ( 28290 43860 ) ( 34270 * ) - NEW met2 ( 28290 43860 ) ( * 44340 ) - NEW met2 ( 27370 44340 ) ( 28290 * ) - NEW met2 ( 27370 44340 ) ( * 46580 ) - NEW met3 ( 17940 46580 ) ( 27370 * ) - NEW met3 ( 17940 46580 ) ( * 47260 ) - NEW met3 ( 9430 47260 ) ( 17940 * ) - NEW met2 ( 9430 45390 ) ( * 47260 ) - NEW li1 ( 38410 42330 ) L1M1_PR_MR - NEW met1 ( 34270 42330 ) M1M2_PR - NEW met2 ( 34270 43860 ) M2M3_PR_M - NEW met2 ( 28290 43860 ) M2M3_PR_M - NEW met2 ( 27370 46580 ) M2M3_PR_M - NEW met2 ( 9430 47260 ) M2M3_PR_M - NEW li1 ( 9430 45390 ) L1M1_PR_MR - NEW met1 ( 9430 45390 ) M1M2_PR - NEW met1 ( 9430 45390 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 35650 10030 ) ( 37490 * ) + NEW met2 ( 37490 10030 ) ( * 14110 ) + NEW met2 ( 37490 14110 ) ( 38410 * ) + NEW met2 ( 38410 14110 ) ( * 18530 ) + NEW met2 ( 37490 18530 ) ( 38410 * ) + NEW met2 ( 37490 18530 ) ( * 20570 ) + NEW met1 ( 37490 20570 ) ( 40710 * ) + NEW li1 ( 35650 10030 ) L1M1_PR_MR + NEW met1 ( 37490 10030 ) M1M2_PR + NEW met1 ( 37490 20570 ) M1M2_PR + NEW li1 ( 40710 20570 ) L1M1_PR_MR ; - _006_ ( _198_ RESET_B ) ( _178_ X ) + USE SIGNAL - + ROUTED met2 ( 10350 45220 ) ( * 45390 ) - NEW met2 ( 33810 45220 ) ( * 46750 ) - NEW met1 ( 33810 46750 ) ( 44390 * ) - NEW met1 ( 44390 46750 ) ( * 47770 ) - NEW met3 ( 10350 45220 ) ( 33810 * ) - NEW met2 ( 10350 45220 ) M2M3_PR_M - NEW li1 ( 10350 45390 ) L1M1_PR_MR - NEW met1 ( 10350 45390 ) M1M2_PR - NEW met2 ( 33810 45220 ) M2M3_PR_M - NEW met1 ( 33810 46750 ) M1M2_PR - NEW li1 ( 44390 47770 ) L1M1_PR_MR - NEW met1 ( 10350 45390 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 6670 53890 ) ( 7130 * ) + NEW met2 ( 7130 53890 ) ( * 54060 ) + NEW met2 ( 14490 53890 ) ( * 54060 ) + NEW met1 ( 14490 53890 ) ( 40250 * ) + NEW met1 ( 40250 53210 ) ( * 53890 ) + NEW met3 ( 7130 54060 ) ( 14490 * ) + NEW li1 ( 6670 53890 ) L1M1_PR_MR + NEW met1 ( 7130 53890 ) M1M2_PR + NEW met2 ( 7130 54060 ) M2M3_PR_M + NEW met2 ( 14490 54060 ) M2M3_PR_M + NEW met1 ( 14490 53890 ) M1M2_PR + NEW li1 ( 40250 53210 ) L1M1_PR_MR ; - _007_ ( _198_ SET_B ) ( _176_ X ) + USE SIGNAL - + ROUTED met1 ( 38870 48110 0 ) ( * 48450 ) - NEW met2 ( 28290 48450 ) ( * 49470 ) - NEW met1 ( 20010 49470 ) ( 28290 * ) - NEW met1 ( 20010 49470 ) ( * 49810 ) - NEW met1 ( 16330 49810 ) ( 20010 * ) - NEW met1 ( 16330 49470 ) ( * 49810 ) - NEW met1 ( 28290 48450 ) ( 38870 * ) - NEW met1 ( 28290 48450 ) M1M2_PR - NEW met1 ( 28290 49470 ) M1M2_PR - NEW li1 ( 16330 49470 ) L1M1_PR_MR ; - - _008_ ( _198_ CLK_N ) ( _174_ X ) + USE SIGNAL - + ROUTED met1 ( 35190 47430 ) ( 35650 * ) - NEW met2 ( 35650 47430 ) ( * 55930 ) - NEW met1 ( 29210 55930 ) ( 35650 * ) - NEW met1 ( 29210 55930 ) ( * 56270 ) - NEW met1 ( 27370 56270 ) ( 29210 * ) - NEW li1 ( 35190 47430 ) L1M1_PR_MR - NEW met1 ( 35650 47430 ) M1M2_PR - NEW met1 ( 35650 55930 ) M1M2_PR - NEW li1 ( 27370 56270 ) L1M1_PR_MR ; + + ROUTED met2 ( 6670 56610 ) ( * 57630 ) + NEW met1 ( 6670 57630 ) ( 13800 * ) + NEW met1 ( 13800 57630 ) ( * 58310 ) + NEW met1 ( 13800 58310 ) ( 34270 * ) + NEW met2 ( 34270 53550 ) ( * 58310 ) + NEW met1 ( 34270 53550 ) ( 34730 * 0 ) + NEW li1 ( 6670 56610 ) L1M1_PR_MR + NEW met1 ( 6670 56610 ) M1M2_PR + NEW met1 ( 6670 57630 ) M1M2_PR + NEW met1 ( 34270 58310 ) M1M2_PR + NEW met1 ( 34270 53550 ) M1M2_PR + NEW met1 ( 6670 56610 ) RECT ( -355 -70 0 70 ) ; + - _008_ ( _198_ CLK_N ) ( _174_ X ) + USE CLOCK + + ROUTED met2 ( 16330 56610 ) ( * 57630 ) + NEW met1 ( 16330 57630 ) ( 31050 * ) + NEW met2 ( 31050 53210 ) ( * 57630 ) + NEW met1 ( 8970 56610 ) ( 16330 * ) + NEW li1 ( 8970 56610 ) L1M1_PR_MR + NEW met1 ( 16330 56610 ) M1M2_PR + NEW met1 ( 16330 57630 ) M1M2_PR + NEW met1 ( 31050 57630 ) M1M2_PR + NEW li1 ( 31050 53210 ) L1M1_PR_MR + NEW met1 ( 31050 53210 ) M1M2_PR + NEW met1 ( 31050 53210 ) RECT ( -355 -70 0 70 ) ; - _009_ ( _199_ RESET_B ) ( _172_ X ) + USE SIGNAL - + ROUTED met2 ( 7590 42500 ) ( * 43010 ) - NEW met2 ( 33350 39270 ) ( * 42500 ) - NEW met3 ( 7590 42500 ) ( 33350 * ) - NEW met2 ( 7590 42500 ) M2M3_PR_M - NEW li1 ( 7590 43010 ) L1M1_PR_MR - NEW met1 ( 7590 43010 ) M1M2_PR - NEW met2 ( 33350 42500 ) M2M3_PR_M - NEW li1 ( 33350 39270 ) L1M1_PR_MR - NEW met1 ( 33350 39270 ) M1M2_PR - NEW met1 ( 7590 43010 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 33350 39270 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met2 ( 8050 51170 ) ( * 52190 ) + NEW met1 ( 27830 50150 ) ( * 51170 ) + NEW met1 ( 8050 51170 ) ( 27830 * ) + NEW met1 ( 8050 51170 ) M1M2_PR + NEW li1 ( 8050 52190 ) L1M1_PR_MR + NEW met1 ( 8050 52190 ) M1M2_PR + NEW li1 ( 27830 50150 ) L1M1_PR_MR + NEW met1 ( 8050 52190 ) RECT ( -355 -70 0 70 ) ; - _010_ ( _199_ SET_B ) ( _170_ X ) + USE SIGNAL - + ROUTED met2 ( 8970 41650 ) ( * 41820 ) - NEW met2 ( 27830 38930 ) ( * 41820 ) - NEW met3 ( 8970 41820 ) ( 27830 * ) - NEW met2 ( 8970 41820 ) M2M3_PR_M - NEW li1 ( 8970 41650 ) L1M1_PR_MR - NEW met1 ( 8970 41650 ) M1M2_PR - NEW met2 ( 27830 41820 ) M2M3_PR_M - NEW met1 ( 27830 38930 ) M1M2_PR - NEW met1 ( 8970 41650 ) RECT ( -355 -70 0 70 ) ; - - _011_ ( _199_ CLK_N ) ( _168_ X ) + USE SIGNAL - + ROUTED met1 ( 22770 39610 ) ( 24150 * ) - NEW met2 ( 22770 39610 ) ( * 41310 ) - NEW met1 ( 14030 41310 ) ( 22770 * ) - NEW li1 ( 24150 39610 ) L1M1_PR_MR - NEW met1 ( 22770 39610 ) M1M2_PR - NEW met1 ( 22770 41310 ) M1M2_PR - NEW li1 ( 14030 41310 ) L1M1_PR_MR ; + + ROUTED met2 ( 13110 49470 ) ( * 50660 ) + NEW met3 ( 8510 50660 ) ( 13110 * ) + NEW met2 ( 8510 50660 ) ( * 52190 ) + NEW met1 ( 8510 52190 ) ( 9430 * ) + NEW met1 ( 22310 49470 ) ( * 49810 0 ) + NEW met1 ( 13110 49470 ) ( 22310 * ) + NEW met1 ( 13110 49470 ) M1M2_PR + NEW met2 ( 13110 50660 ) M2M3_PR_M + NEW met2 ( 8510 50660 ) M2M3_PR_M + NEW met1 ( 8510 52190 ) M1M2_PR + NEW li1 ( 9430 52190 ) L1M1_PR_MR ; + - _011_ ( _199_ CLK_N ) ( _168_ X ) + USE CLOCK + + ROUTED met2 ( 11730 50490 ) ( * 54910 ) + NEW met1 ( 11730 50490 ) ( 18630 * ) + NEW met1 ( 11730 50490 ) M1M2_PR + NEW li1 ( 11730 54910 ) L1M1_PR_MR + NEW met1 ( 11730 54910 ) M1M2_PR + NEW li1 ( 18630 50490 ) L1M1_PR_MR + NEW met1 ( 11730 54910 ) RECT ( -355 -70 0 70 ) ; - _012_ ( _200_ RESET_B ) ( _166_ X ) + USE SIGNAL - + ROUTED met1 ( 26910 50150 ) ( 29210 * ) - NEW met2 ( 26910 50150 ) ( * 52190 ) - NEW met1 ( 25990 52190 ) ( 26910 * ) - NEW li1 ( 29210 50150 ) L1M1_PR_MR - NEW met1 ( 26910 50150 ) M1M2_PR - NEW met1 ( 26910 52190 ) M1M2_PR - NEW li1 ( 25990 52190 ) L1M1_PR_MR ; + + ROUTED met1 ( 12190 54910 ) ( * 55250 ) + NEW met1 ( 11730 55250 ) ( 12190 * ) + NEW met1 ( 11730 55250 ) ( * 55590 ) + NEW met2 ( 11730 55590 ) ( * 60010 ) + NEW met2 ( 11730 60010 ) ( 12190 * ) + NEW met2 ( 12190 60010 ) ( * 61030 ) + NEW met2 ( 47610 47770 ) ( * 61030 ) + NEW met1 ( 45310 47770 ) ( 47610 * ) + NEW met1 ( 12190 61030 ) ( 47610 * ) + NEW li1 ( 12190 54910 ) L1M1_PR_MR + NEW met1 ( 11730 55590 ) M1M2_PR + NEW met1 ( 12190 61030 ) M1M2_PR + NEW met1 ( 47610 61030 ) M1M2_PR + NEW met1 ( 47610 47770 ) M1M2_PR + NEW li1 ( 45310 47770 ) L1M1_PR_MR ; - _013_ ( _200_ SET_B ) ( _164_ X ) + USE SIGNAL - + ROUTED met1 ( 16330 45390 ) ( 19550 * ) - NEW met1 ( 19550 45390 ) ( * 45730 ) - NEW met1 ( 19550 45730 ) ( 23230 * ) - NEW met2 ( 23230 45730 ) ( * 49810 ) - NEW met1 ( 23230 49810 ) ( 23690 * 0 ) - NEW li1 ( 16330 45390 ) L1M1_PR_MR - NEW met1 ( 23230 45730 ) M1M2_PR - NEW met1 ( 23230 49810 ) M1M2_PR ; - - _014_ ( _200_ CLK_N ) ( _162_ X ) + USE SIGNAL - + ROUTED met1 ( 18630 50490 ) ( 20010 * ) - NEW met1 ( 18630 50490 ) ( * 50830 ) - NEW li1 ( 20010 50490 ) L1M1_PR_MR - NEW li1 ( 18630 50830 ) L1M1_PR_MR ; + + ROUTED met1 ( 13110 54910 ) ( 13570 * ) + NEW met1 ( 13110 54910 ) ( * 55250 ) + NEW met1 ( 12650 55250 ) ( 13110 * ) + NEW met1 ( 12650 55250 ) ( * 55590 ) + NEW met1 ( 12190 55590 ) ( 12650 * ) + NEW met2 ( 12190 55590 ) ( * 58650 ) + NEW met2 ( 29210 58650 ) ( * 60350 ) + NEW met1 ( 29210 60350 ) ( 46690 * ) + NEW met2 ( 46690 48110 ) ( * 60350 ) + NEW met1 ( 43470 48110 0 ) ( 46690 * ) + NEW met1 ( 12190 58650 ) ( 29210 * ) + NEW li1 ( 13570 54910 ) L1M1_PR_MR + NEW met1 ( 12190 55590 ) M1M2_PR + NEW met1 ( 12190 58650 ) M1M2_PR + NEW met1 ( 29210 58650 ) M1M2_PR + NEW met1 ( 29210 60350 ) M1M2_PR + NEW met1 ( 46690 60350 ) M1M2_PR + NEW met1 ( 46690 48110 ) M1M2_PR ; + - _014_ ( _200_ CLK_N ) ( _162_ X ) + USE CLOCK + + ROUTED met1 ( 33810 47430 ) ( 36110 * ) + NEW met2 ( 33810 47430 ) ( * 55250 ) + NEW met1 ( 21390 55250 ) ( 33810 * ) + NEW met1 ( 21390 55250 ) ( * 55590 ) + NEW met1 ( 18630 55590 ) ( 21390 * ) + NEW met1 ( 18630 54910 ) ( * 55590 ) + NEW met1 ( 15870 54910 ) ( 18630 * ) + NEW li1 ( 36110 47430 ) L1M1_PR_MR + NEW met1 ( 33810 47430 ) M1M2_PR + NEW met1 ( 33810 55250 ) M1M2_PR + NEW li1 ( 15870 54910 ) L1M1_PR_MR ; - _015_ ( _201_ RESET_B ) ( _160_ X ) + USE SIGNAL - + ROUTED met2 ( 35190 44710 ) ( * 49470 ) - NEW met1 ( 32430 49470 ) ( 35190 * ) - NEW met2 ( 32430 49470 ) ( * 52190 ) - NEW met1 ( 27370 52190 ) ( 32430 * ) - NEW li1 ( 35190 44710 ) L1M1_PR_MR - NEW met1 ( 35190 44710 ) M1M2_PR - NEW met1 ( 35190 49470 ) M1M2_PR - NEW met1 ( 32430 49470 ) M1M2_PR - NEW met1 ( 32430 52190 ) M1M2_PR - NEW li1 ( 27370 52190 ) L1M1_PR_MR - NEW met1 ( 35190 44710 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 45310 42330 ) ( 47150 * ) + NEW met2 ( 47150 42330 ) ( * 60690 ) + NEW met1 ( 21850 60690 ) ( 47150 * ) + NEW met2 ( 21850 56270 ) ( * 60690 ) + NEW met1 ( 20930 56270 ) ( 21850 * ) + NEW li1 ( 45310 42330 ) L1M1_PR_MR + NEW met1 ( 47150 42330 ) M1M2_PR + NEW met1 ( 47150 60690 ) M1M2_PR + NEW met1 ( 21850 60690 ) M1M2_PR + NEW met1 ( 21850 56270 ) M1M2_PR + NEW li1 ( 20930 56270 ) L1M1_PR_MR ; - _016_ ( _201_ SET_B ) ( _158_ X ) + USE SIGNAL - + ROUTED met2 ( 19550 43860 ) ( * 44030 ) - NEW met3 ( 19550 43860 ) ( * 44540 ) - NEW met3 ( 19550 44540 ) ( 29670 * ) - NEW met2 ( 29670 44370 ) ( * 44540 ) - NEW li1 ( 19550 44030 ) L1M1_PR_MR - NEW met1 ( 19550 44030 ) M1M2_PR - NEW met2 ( 19550 43860 ) M2M3_PR_M - NEW met2 ( 29670 44540 ) M2M3_PR_M - NEW met1 ( 29670 44370 ) M1M2_PR - NEW met1 ( 19550 44030 ) RECT ( -355 -70 0 70 ) ; - - _017_ ( _201_ CLK_N ) ( _156_ X ) + USE SIGNAL - + ROUTED met2 ( 25530 48620 ) ( 25990 * ) - NEW met2 ( 25530 48620 ) ( * 52190 ) - NEW met1 ( 23230 52190 ) ( 25530 * ) - NEW met1 ( 25990 45050 ) ( 26020 * ) - NEW met2 ( 25990 45050 ) ( * 48620 ) - NEW met1 ( 25530 52190 ) M1M2_PR - NEW li1 ( 23230 52190 ) L1M1_PR_MR - NEW met1 ( 25990 45050 ) M1M2_PR - NEW li1 ( 26020 45050 ) L1M1_PR_MR - NEW met1 ( 25990 45050 ) RECT ( -325 -70 0 70 ) ; + + ROUTED met2 ( 24150 54910 ) ( * 59670 ) + NEW met1 ( 24150 59670 ) ( 43470 * ) + NEW met2 ( 43470 42670 ) ( * 59670 ) + NEW li1 ( 24150 54910 ) L1M1_PR_MR + NEW met1 ( 24150 54910 ) M1M2_PR + NEW met1 ( 24150 59670 ) M1M2_PR + NEW met1 ( 43470 59670 ) M1M2_PR + NEW met1 ( 43470 42670 ) M1M2_PR + NEW met1 ( 24150 54910 ) RECT ( -355 -70 0 70 ) ; + - _017_ ( _201_ CLK_N ) ( _156_ X ) + USE CLOCK + + ROUTED met2 ( 8970 43010 ) ( * 44030 ) + NEW met1 ( 36110 42330 ) ( * 43010 ) + NEW met1 ( 8970 43010 ) ( 36110 * ) + NEW met1 ( 8970 43010 ) M1M2_PR + NEW li1 ( 8970 44030 ) L1M1_PR_MR + NEW met1 ( 8970 44030 ) M1M2_PR + NEW li1 ( 36110 42330 ) L1M1_PR_MR + NEW met1 ( 8970 44030 ) RECT ( -355 -70 0 70 ) ; - _018_ ( _202_ RESET_B ) ( _154_ X ) + USE SIGNAL - + ROUTED met1 ( 24150 26010 ) ( 26450 * ) - NEW met3 ( 20010 39780 ) ( 24150 * ) - NEW met2 ( 20010 39780 ) ( * 44030 ) - NEW met1 ( 20010 44030 ) ( 20930 * ) - NEW met2 ( 24150 26010 ) ( * 39780 ) - NEW li1 ( 26450 26010 ) L1M1_PR_MR - NEW met1 ( 24150 26010 ) M1M2_PR - NEW met2 ( 24150 39780 ) M2M3_PR_M - NEW met2 ( 20010 39780 ) M2M3_PR_M - NEW met1 ( 20010 44030 ) M1M2_PR - NEW li1 ( 20930 44030 ) L1M1_PR_MR ; + + ROUTED met1 ( 22310 36890 ) ( 22770 * ) + NEW met1 ( 22310 54910 ) ( 22770 * ) + NEW met2 ( 22770 36890 ) ( * 54910 ) + NEW met1 ( 22770 36890 ) M1M2_PR + NEW li1 ( 22310 36890 ) L1M1_PR_MR + NEW met1 ( 22770 54910 ) M1M2_PR + NEW li1 ( 22310 54910 ) L1M1_PR_MR ; - _019_ ( _202_ SET_B ) ( _152_ X ) + USE SIGNAL - + ROUTED met1 ( 22310 44030 ) ( 23690 * ) - NEW met2 ( 23690 26350 ) ( * 44030 ) - NEW met1 ( 23690 26350 ) M1M2_PR - NEW met1 ( 23690 44030 ) M1M2_PR - NEW li1 ( 22310 44030 ) L1M1_PR_MR ; - - _020_ ( _202_ CLK_N ) ( _150_ X ) + USE SIGNAL - + ROUTED met1 ( 11730 35870 ) ( 13800 * ) - NEW met1 ( 13800 35870 ) ( * 36210 ) - NEW met1 ( 13800 36210 ) ( 14950 * ) - NEW met1 ( 14950 35870 ) ( * 36210 ) - NEW met1 ( 14950 35870 ) ( 16330 * ) - NEW met2 ( 16330 26010 ) ( * 35870 ) - NEW met1 ( 16330 26010 ) ( 17250 * ) - NEW li1 ( 11730 35870 ) L1M1_PR_MR - NEW met1 ( 16330 35870 ) M1M2_PR - NEW met1 ( 16330 26010 ) M1M2_PR - NEW li1 ( 17250 26010 ) L1M1_PR_MR ; + + ROUTED met1 ( 11730 44030 ) ( * 44370 ) + NEW met1 ( 9430 44030 ) ( 11730 * ) + NEW met2 ( 16790 37230 ) ( * 44370 ) + NEW met1 ( 11730 44370 ) ( 16790 * ) + NEW li1 ( 9430 44030 ) L1M1_PR_MR + NEW met1 ( 16790 44370 ) M1M2_PR + NEW met1 ( 16790 37230 ) M1M2_PR ; + - _020_ ( _202_ CLK_N ) ( _150_ X ) + USE CLOCK + + ROUTED met1 ( 10350 36890 ) ( 13110 * ) + NEW met1 ( 10350 36550 ) ( * 36890 ) + NEW met1 ( 6670 36550 ) ( 10350 * ) + NEW met2 ( 6670 36550 ) ( * 41310 ) + NEW met1 ( 6670 41310 ) ( 7590 * ) + NEW li1 ( 13110 36890 ) L1M1_PR_MR + NEW met1 ( 6670 36550 ) M1M2_PR + NEW met1 ( 6670 41310 ) M1M2_PR + NEW li1 ( 7590 41310 ) L1M1_PR_MR ; - _021_ ( _203_ RESET_B ) ( _148_ X ) + USE SIGNAL - + ROUTED met1 ( 17710 13090 ) ( 26450 * ) - NEW met2 ( 26450 13090 ) ( * 20570 ) - NEW li1 ( 17710 13090 ) L1M1_PR_MR - NEW met1 ( 26450 13090 ) M1M2_PR - NEW li1 ( 26450 20570 ) L1M1_PR_MR - NEW met1 ( 26450 20570 ) M1M2_PR - NEW met1 ( 26450 20570 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met2 ( 27370 33830 ) ( * 49470 ) + NEW met2 ( 26910 49470 ) ( 27370 * ) + NEW met2 ( 26910 49470 ) ( * 52190 ) + NEW met1 ( 24150 52190 ) ( 26910 * ) + NEW li1 ( 27370 33830 ) L1M1_PR_MR + NEW met1 ( 27370 33830 ) M1M2_PR + NEW met1 ( 26910 52190 ) M1M2_PR + NEW li1 ( 24150 52190 ) L1M1_PR_MR + NEW met1 ( 27370 33830 ) RECT ( -355 -70 0 70 ) ; - _022_ ( _203_ SET_B ) ( _146_ X ) + USE SIGNAL - + ROUTED met1 ( 17250 14450 ) ( 20010 * ) - NEW met2 ( 20010 14450 ) ( * 17340 ) - NEW met2 ( 20010 17340 ) ( 20930 * ) - NEW met2 ( 20930 17340 ) ( * 20910 ) - NEW li1 ( 17250 14450 ) L1M1_PR_MR - NEW met1 ( 20010 14450 ) M1M2_PR - NEW met1 ( 20930 20910 ) M1M2_PR ; - - _023_ ( _203_ CLK_N ) ( _144_ X ) + USE SIGNAL - + ROUTED met1 ( 14950 20570 ) ( 17250 * ) - NEW met2 ( 14950 20570 ) ( * 33660 ) - NEW met3 ( 10350 33660 ) ( 14950 * ) - NEW met2 ( 10350 33660 ) ( * 34340 ) - NEW met2 ( 10350 34340 ) ( 10810 * ) - NEW met2 ( 10810 34340 ) ( * 37570 ) - NEW met1 ( 10810 37570 ) ( 14490 * ) - NEW li1 ( 17250 20570 ) L1M1_PR_MR - NEW met1 ( 14950 20570 ) M1M2_PR - NEW met2 ( 14950 33660 ) M2M3_PR_M - NEW met2 ( 10350 33660 ) M2M3_PR_M - NEW met1 ( 10810 37570 ) M1M2_PR - NEW li1 ( 14490 37570 ) L1M1_PR_MR ; + + ROUTED met1 ( 8970 27710 ) ( 11270 * ) + NEW met2 ( 11270 27710 ) ( * 33150 ) + NEW met1 ( 11270 33150 ) ( 21850 * ) + NEW met1 ( 21850 33150 ) ( * 33490 0 ) + NEW li1 ( 8970 27710 ) L1M1_PR_MR + NEW met1 ( 11270 27710 ) M1M2_PR + NEW met1 ( 11270 33150 ) M1M2_PR ; + - _023_ ( _203_ CLK_N ) ( _144_ X ) + USE CLOCK + + ROUTED met2 ( 18170 29410 ) ( * 33830 ) + NEW met1 ( 11270 29410 ) ( 18170 * ) + NEW li1 ( 11270 29410 ) L1M1_PR_MR + NEW met1 ( 18170 29410 ) M1M2_PR + NEW li1 ( 18170 33830 ) L1M1_PR_MR + NEW met1 ( 18170 33830 ) M1M2_PR + NEW met1 ( 18170 33830 ) RECT ( -355 -70 0 70 ) ; - _024_ ( _204_ RESET_B ) ( _142_ X ) + USE SIGNAL - + ROUTED met1 ( 27830 36890 ) ( 30130 * ) - NEW met2 ( 30130 36890 ) ( * 41310 ) - NEW met2 ( 29210 41310 ) ( 30130 * ) - NEW met1 ( 24610 44030 ) ( 29210 * ) - NEW met2 ( 29210 41310 ) ( * 44030 ) - NEW li1 ( 27830 36890 ) L1M1_PR_MR - NEW met1 ( 30130 36890 ) M1M2_PR - NEW met1 ( 29210 44030 ) M1M2_PR - NEW li1 ( 24610 44030 ) L1M1_PR_MR ; - - _025_ ( _204_ SET_B ) ( _140_ X ) + USE SIGNAL - + ROUTED met1 ( 22310 41650 ) ( 24610 * ) - NEW met1 ( 22310 39950 ) ( 24610 * ) - NEW met2 ( 24610 37570 ) ( * 39950 ) - NEW met1 ( 24610 37230 0 ) ( * 37570 ) - NEW met2 ( 22310 39950 ) ( * 41650 ) - NEW li1 ( 24610 41650 ) L1M1_PR_MR - NEW met1 ( 22310 41650 ) M1M2_PR - NEW met1 ( 22310 39950 ) M1M2_PR - NEW met1 ( 24610 39950 ) M1M2_PR - NEW met1 ( 24610 37570 ) M1M2_PR ; - - _026_ ( _204_ CLK_N ) ( _138_ X ) + USE SIGNAL - + ROUTED met1 ( 17710 36550 ) ( 18630 * ) - NEW met2 ( 17710 36550 ) ( * 38590 ) - NEW met1 ( 17710 38590 ) ( 20470 * ) - NEW li1 ( 18630 36550 ) L1M1_PR_MR - NEW met1 ( 17710 36550 ) M1M2_PR - NEW met1 ( 17710 38590 ) M1M2_PR - NEW li1 ( 20470 38590 ) L1M1_PR_MR ; - - _027_ ( _205_ RESET_B ) ( _135_ X ) + USE SIGNAL - + ROUTED met2 ( 43470 36380 ) ( * 36890 ) - NEW met3 ( 14490 36380 ) ( 43470 * ) - NEW met2 ( 14490 36380 ) ( * 37740 ) - NEW met3 ( 14490 37740 ) ( 15410 * ) - NEW met2 ( 15410 37570 ) ( * 37740 ) - NEW met1 ( 15410 37570 ) ( 15870 * ) - NEW li1 ( 43470 36890 ) L1M1_PR_MR - NEW met1 ( 43470 36890 ) M1M2_PR - NEW met2 ( 43470 36380 ) M2M3_PR_M - NEW met2 ( 14490 36380 ) M2M3_PR_M - NEW met2 ( 14490 37740 ) M2M3_PR_M - NEW met2 ( 15410 37740 ) M2M3_PR_M - NEW met1 ( 15410 37570 ) M1M2_PR - NEW li1 ( 15870 37570 ) L1M1_PR_MR - NEW met1 ( 43470 36890 ) RECT ( -355 -70 0 70 ) ; - - _028_ ( _205_ SET_B ) ( _133_ X ) + USE SIGNAL - + ROUTED met1 ( 46230 26690 ) ( 48070 * ) - NEW met2 ( 48070 26690 ) ( * 33490 ) - NEW met1 ( 40710 33490 ) ( 48070 * ) - NEW met2 ( 40710 33490 ) ( * 37230 ) - NEW li1 ( 46230 26690 ) L1M1_PR_MR - NEW met1 ( 48070 26690 ) M1M2_PR - NEW met1 ( 48070 33490 ) M1M2_PR - NEW met1 ( 40710 33490 ) M1M2_PR - NEW met1 ( 40710 37230 ) M1M2_PR ; - - _029_ ( _205_ CLK_N ) ( _131_ X ) + USE SIGNAL - + ROUTED met1 ( 27830 36550 ) ( 34270 * ) - NEW met1 ( 27830 35870 ) ( * 36550 ) - NEW met1 ( 17250 35870 ) ( 27830 * ) - NEW li1 ( 34270 36550 ) L1M1_PR_MR - NEW li1 ( 17250 35870 ) L1M1_PR_MR ; - - _030_ ( _206_ RESET_B ) ( _129_ X ) + USE SIGNAL - + ROUTED met1 ( 45770 19890 ) ( * 20230 ) - NEW met1 ( 39790 20230 ) ( 45770 * ) - NEW met2 ( 39790 20230 ) ( * 28390 ) - NEW met1 ( 37950 28390 ) ( 39790 * ) - NEW li1 ( 45770 19890 ) L1M1_PR_MR - NEW met1 ( 39790 20230 ) M1M2_PR - NEW met1 ( 39790 28390 ) M1M2_PR - NEW li1 ( 37950 28390 ) L1M1_PR_MR ; - - _031_ ( _206_ SET_B ) ( _127_ X ) + USE SIGNAL - + ROUTED met1 ( 36110 28050 0 ) ( 38410 * ) - NEW met2 ( 38410 28050 ) ( * 33150 ) - NEW met1 ( 38410 33150 ) ( 40250 * ) - NEW met1 ( 38410 28050 ) M1M2_PR - NEW met1 ( 38410 33150 ) M1M2_PR - NEW li1 ( 40250 33150 ) L1M1_PR_MR ; - - _032_ ( _206_ CLK_N ) ( _125_ X ) + USE SIGNAL - + ROUTED met1 ( 18170 23630 ) ( 27830 * ) - NEW met2 ( 27830 23630 ) ( * 25500 ) - NEW met2 ( 27830 25500 ) ( 28290 * ) - NEW met2 ( 28290 25500 ) ( * 28390 ) - NEW met1 ( 28290 28390 ) ( 28750 * ) - NEW li1 ( 18170 23630 ) L1M1_PR_MR - NEW met1 ( 27830 23630 ) M1M2_PR - NEW met1 ( 28290 28390 ) M1M2_PR - NEW li1 ( 28750 28390 ) L1M1_PR_MR ; - - _033_ ( _207_ RESET_B ) ( _123_ X ) + USE SIGNAL - + ROUTED met1 ( 45310 22950 ) ( 46230 * ) - NEW met2 ( 46230 22950 ) ( * 27710 ) - NEW met1 ( 44850 27710 ) ( 46230 * ) - NEW li1 ( 45310 22950 ) L1M1_PR_MR - NEW met1 ( 46230 22950 ) M1M2_PR - NEW met1 ( 46230 27710 ) M1M2_PR - NEW li1 ( 44850 27710 ) L1M1_PR_MR ; - - _034_ ( _207_ SET_B ) ( _121_ X ) + USE SIGNAL - + ROUTED met2 ( 42550 22610 ) ( * 24990 ) - NEW li1 ( 42550 24990 ) L1M1_PR_MR - NEW met1 ( 42550 24990 ) M1M2_PR - NEW met1 ( 42550 22610 ) M1M2_PR - NEW met1 ( 42550 24990 ) RECT ( -355 -70 0 70 ) ; - - _035_ ( _207_ CLK_N ) ( _119_ X ) + USE SIGNAL - + ROUTED met1 ( 36110 23290 ) ( 37490 * ) - NEW met2 ( 37490 23290 ) ( * 23460 ) - NEW met2 ( 37490 23460 ) ( 37950 * ) - NEW met2 ( 37950 23460 ) ( * 30430 ) - NEW met1 ( 37490 30430 ) ( 37950 * ) - NEW li1 ( 36110 23290 ) L1M1_PR_MR - NEW met1 ( 37490 23290 ) M1M2_PR - NEW met1 ( 37950 30430 ) M1M2_PR - NEW li1 ( 37490 30430 ) L1M1_PR_MR ; - - _036_ ( _208_ RESET_B ) ( _117_ X ) + USE SIGNAL - + ROUTED met1 ( 32890 14450 ) ( 46690 * ) - NEW met1 ( 32890 14450 ) ( * 15130 ) - NEW met2 ( 46690 13090 ) ( * 14450 ) - NEW li1 ( 46690 13090 ) L1M1_PR_MR - NEW met1 ( 46690 13090 ) M1M2_PR - NEW met1 ( 46690 14450 ) M1M2_PR - NEW li1 ( 32890 15130 ) L1M1_PR_MR - NEW met1 ( 46690 13090 ) RECT ( 0 -70 355 70 ) ; - - _037_ ( _208_ SET_B ) ( _115_ X ) + USE SIGNAL - + ROUTED met1 ( 31050 13090 ) ( 37950 * ) - NEW met2 ( 31050 13090 ) ( * 15470 ) - NEW met1 ( 31050 13090 ) M1M2_PR - NEW li1 ( 37950 13090 ) L1M1_PR_MR - NEW met1 ( 31050 15470 ) M1M2_PR ; - - _038_ ( _208_ CLK_N ) ( _113_ X ) + USE SIGNAL - + ROUTED met2 ( 23690 14790 ) ( * 22270 ) - NEW met1 ( 19550 22270 ) ( 23690 * ) - NEW li1 ( 23690 14790 ) L1M1_PR_MR - NEW met1 ( 23690 14790 ) M1M2_PR - NEW met1 ( 23690 22270 ) M1M2_PR - NEW li1 ( 19550 22270 ) L1M1_PR_MR - NEW met1 ( 23690 14790 ) RECT ( -355 -70 0 70 ) ; - - _039_ ( _209_ RESET_B ) ( _111_ X ) + USE SIGNAL - + ROUTED met1 ( 36110 15810 ) ( 40710 * ) - NEW met2 ( 40710 15810 ) ( * 17510 ) - NEW li1 ( 36110 15810 ) L1M1_PR_MR - NEW met1 ( 40710 15810 ) M1M2_PR - NEW li1 ( 40710 17510 ) L1M1_PR_MR - NEW met1 ( 40710 17510 ) M1M2_PR - NEW met1 ( 40710 17510 ) RECT ( -355 -70 0 70 ) ; - - _040_ ( _209_ SET_B ) ( _109_ X ) + USE SIGNAL - + ROUTED met1 ( 38870 17170 0 ) ( 39790 * ) - NEW met2 ( 39790 13090 ) ( * 17170 ) - NEW li1 ( 39790 13090 ) L1M1_PR_MR - NEW met1 ( 39790 13090 ) M1M2_PR - NEW met1 ( 39790 17170 ) M1M2_PR - NEW met1 ( 39790 13090 ) RECT ( -355 -70 0 70 ) ; - - _041_ ( _209_ CLK_N ) ( _130_ A ) ( _124_ A ) ( _118_ A ) ( _112_ A ) ( _107_ X ) + USE SIGNAL - + ROUTED met1 ( 31510 17850 ) ( 35650 * ) - NEW met2 ( 35650 17850 ) ( * 19890 ) - NEW met1 ( 35650 19890 ) ( 38870 * ) - NEW met1 ( 38870 19890 ) ( * 20570 ) - NEW met1 ( 38870 20570 ) ( 42550 * ) - NEW met2 ( 25070 17850 ) ( * 22950 ) - NEW met1 ( 25070 17850 ) ( 31510 * ) - NEW met1 ( 25530 28390 ) ( 27830 * ) - NEW met2 ( 25530 27540 ) ( * 28390 ) - NEW met2 ( 25070 27540 ) ( 25530 * ) - NEW met2 ( 25070 22950 ) ( * 27540 ) - NEW met1 ( 30130 33490 ) ( * 33830 ) - NEW met1 ( 28750 33490 ) ( 30130 * ) - NEW met1 ( 28750 33150 ) ( * 33490 ) - NEW met1 ( 27370 33150 ) ( 28750 * ) - NEW met2 ( 27370 28390 ) ( * 33150 ) - NEW met1 ( 23690 39270 ) ( 25070 * ) - NEW met1 ( 25070 39270 ) ( * 39610 ) - NEW met1 ( 25070 39610 ) ( 27370 * ) - NEW met2 ( 27370 33150 ) ( * 39610 ) - NEW li1 ( 31510 17850 ) L1M1_PR_MR - NEW met1 ( 35650 17850 ) M1M2_PR - NEW met1 ( 35650 19890 ) M1M2_PR - NEW li1 ( 42550 20570 ) L1M1_PR_MR - NEW li1 ( 25070 22950 ) L1M1_PR_MR - NEW met1 ( 25070 22950 ) M1M2_PR - NEW met1 ( 25070 17850 ) M1M2_PR - NEW li1 ( 27830 28390 ) L1M1_PR_MR - NEW met1 ( 25530 28390 ) M1M2_PR - NEW li1 ( 30130 33830 ) L1M1_PR_MR - NEW met1 ( 27370 33150 ) M1M2_PR - NEW met1 ( 27370 28390 ) M1M2_PR - NEW li1 ( 23690 39270 ) L1M1_PR_MR - NEW met1 ( 27370 39610 ) M1M2_PR - NEW met1 ( 25070 22950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 27370 28390 ) RECT ( -595 -70 0 70 ) ; - - _042_ ( _210_ RESET_B ) ( _105_ X ) + USE SIGNAL - + ROUTED met1 ( 38410 18190 ) ( 44850 * ) - NEW met2 ( 38410 18190 ) ( * 20570 ) - NEW li1 ( 44850 18190 ) L1M1_PR_MR - NEW met1 ( 38410 18190 ) M1M2_PR - NEW li1 ( 38410 20570 ) L1M1_PR_MR - NEW met1 ( 38410 20570 ) M1M2_PR - NEW met1 ( 38410 20570 ) RECT ( -355 -70 0 70 ) ; - - _043_ ( _210_ SET_B ) ( _103_ X ) + USE SIGNAL - + ROUTED met1 ( 41170 16830 ) ( 43470 * ) - NEW met2 ( 41170 16830 ) ( * 20910 ) - NEW met1 ( 36570 20910 0 ) ( 41170 * ) - NEW li1 ( 43470 16830 ) L1M1_PR_MR - NEW met1 ( 41170 16830 ) M1M2_PR - NEW met1 ( 41170 20910 ) M1M2_PR ; - - _044_ ( _210_ CLK_N ) ( _189_ X ) + USE SIGNAL - + ROUTED met1 ( 13110 18190 ) ( * 19550 ) - NEW met1 ( 13110 18190 ) ( 13570 * ) - NEW met1 ( 13570 17850 ) ( * 18190 ) - NEW met2 ( 13570 17850 ) ( * 18020 ) - NEW met3 ( 12190 18020 ) ( 13570 * ) - NEW met2 ( 11730 18020 ) ( 12190 * ) - NEW met2 ( 11730 18020 ) ( * 27540 ) - NEW met2 ( 9890 27540 ) ( 11730 * ) - NEW met2 ( 9890 27540 ) ( * 47090 ) - NEW met1 ( 9890 47090 ) ( 11270 * ) - NEW met1 ( 23690 19550 ) ( * 19890 ) - NEW met1 ( 23690 19890 ) ( 29210 * ) - NEW met1 ( 29210 19890 ) ( * 20230 ) - NEW met1 ( 13110 19550 ) ( 23690 * ) - NEW met1 ( 13570 17850 ) M1M2_PR - NEW met2 ( 13570 18020 ) M2M3_PR_M - NEW met2 ( 12190 18020 ) M2M3_PR_M - NEW met1 ( 9890 47090 ) M1M2_PR - NEW li1 ( 11270 47090 ) L1M1_PR_MR - NEW li1 ( 29210 20230 ) L1M1_PR_MR ; - - _045_ ( _135_ A ) ( _134_ X ) + USE SIGNAL - + ROUTED met1 ( 39330 30430 ) ( 40710 * ) - NEW met1 ( 39330 30430 ) ( * 30770 ) - NEW met1 ( 36570 30770 ) ( 39330 * ) - NEW met2 ( 36570 30770 ) ( * 31620 ) - NEW met3 ( 18170 31620 ) ( 36570 * ) - NEW met1 ( 16790 36210 ) ( 18170 * ) - NEW met1 ( 16790 36210 ) ( * 36890 ) - NEW met2 ( 18170 31620 ) ( * 36210 ) - NEW li1 ( 40710 30430 ) L1M1_PR_MR - NEW met1 ( 36570 30770 ) M1M2_PR - NEW met2 ( 36570 31620 ) M2M3_PR_M - NEW met2 ( 18170 31620 ) M2M3_PR_M - NEW met1 ( 18170 36210 ) M1M2_PR - NEW li1 ( 16790 36890 ) L1M1_PR_MR ; - - _046_ ( _161_ A ) ( _155_ A ) ( _149_ A ) ( _143_ A ) ( _137_ A ) ( _136_ X ) + USE SIGNAL - + ROUTED met1 ( 22310 33830 ) ( 22770 * ) - NEW met2 ( 22770 26690 ) ( * 33830 ) - NEW met2 ( 21850 26690 ) ( 22770 * ) - NEW met2 ( 21850 23970 ) ( * 26690 ) - NEW met1 ( 17710 23970 ) ( 21850 * ) - NEW met1 ( 17710 22950 ) ( * 23970 ) - NEW met1 ( 19090 44370 ) ( * 44710 ) - NEW met1 ( 19090 44370 ) ( 21390 * ) - NEW met2 ( 21390 44370 ) ( * 47770 ) - NEW met2 ( 21390 47770 ) ( 21850 * ) - NEW met1 ( 21850 47770 ) ( 24150 * ) - NEW met1 ( 19090 39270 ) ( 20010 * ) - NEW met2 ( 19090 39270 ) ( * 41140 ) - NEW met2 ( 18630 41140 ) ( 19090 * ) - NEW met2 ( 18630 41140 ) ( * 44030 ) - NEW met1 ( 18630 44030 ) ( * 44370 ) - NEW met1 ( 18630 44370 ) ( 19090 * ) - NEW met3 ( 19550 35020 ) ( 22770 * ) - NEW met2 ( 19550 35020 ) ( * 36890 ) - NEW met2 ( 19090 36890 ) ( 19550 * ) - NEW met2 ( 19090 36890 ) ( * 39270 ) - NEW met2 ( 14030 36890 ) ( * 38420 ) - NEW met3 ( 14030 38420 ) ( 19090 * ) - NEW met2 ( 22770 33830 ) ( * 35020 ) - NEW li1 ( 22310 33830 ) L1M1_PR_MR - NEW met1 ( 22770 33830 ) M1M2_PR - NEW met1 ( 21850 23970 ) M1M2_PR - NEW li1 ( 17710 22950 ) L1M1_PR_MR - NEW li1 ( 19090 44710 ) L1M1_PR_MR - NEW met1 ( 21390 44370 ) M1M2_PR + + ROUTED met1 ( 18170 44030 ) ( * 44370 ) + NEW met1 ( 18170 44370 ) ( 21850 * ) + NEW met2 ( 21850 44370 ) ( * 47770 ) + NEW met1 ( 21850 47770 ) ( 22770 * ) + NEW met1 ( 13570 44030 ) ( 18170 * ) + NEW li1 ( 13570 44030 ) L1M1_PR_MR + NEW met1 ( 21850 44370 ) M1M2_PR NEW met1 ( 21850 47770 ) M1M2_PR - NEW li1 ( 24150 47770 ) L1M1_PR_MR - NEW li1 ( 20010 39270 ) L1M1_PR_MR - NEW met1 ( 19090 39270 ) M1M2_PR - NEW met1 ( 18630 44030 ) M1M2_PR - NEW met2 ( 22770 35020 ) M2M3_PR_M - NEW met2 ( 19550 35020 ) M2M3_PR_M - NEW li1 ( 14030 36890 ) L1M1_PR_MR - NEW met1 ( 14030 36890 ) M1M2_PR - NEW met2 ( 14030 38420 ) M2M3_PR_M - NEW met2 ( 19090 38420 ) M2M3_PR_M - NEW met1 ( 14030 36890 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 19090 38420 ) RECT ( -70 -485 70 0 ) ; - - _047_ ( _138_ A ) ( _137_ X ) + USE SIGNAL - + ROUTED met1 ( 21390 39270 ) ( * 39610 ) - NEW met1 ( 19090 39610 ) ( 21390 * ) - NEW met1 ( 19090 39610 ) ( * 39950 ) - NEW li1 ( 21390 39270 ) L1M1_PR_MR - NEW li1 ( 19090 39950 ) L1M1_PR_MR ; - - _048_ ( _140_ A ) ( _139_ X ) + USE SIGNAL - + ROUTED met1 ( 26910 34170 ) ( 28750 * ) - NEW met2 ( 26910 34170 ) ( * 36210 ) - NEW met1 ( 25530 36210 ) ( 26910 * ) - NEW met2 ( 25530 36210 ) ( * 42250 ) - NEW li1 ( 28750 34170 ) L1M1_PR_MR - NEW met1 ( 26910 34170 ) M1M2_PR - NEW met1 ( 26910 36210 ) M1M2_PR - NEW met1 ( 25530 36210 ) M1M2_PR - NEW li1 ( 25530 42250 ) L1M1_PR_MR - NEW met1 ( 25530 42250 ) M1M2_PR - NEW met1 ( 25530 42250 ) RECT ( 0 -70 355 70 ) ; - - _049_ ( _142_ A ) ( _141_ X ) + USE SIGNAL - + ROUTED met1 ( 34730 31790 ) ( 37030 * ) - NEW met2 ( 34730 31790 ) ( * 37740 ) - NEW met3 ( 26450 37740 ) ( 34730 * ) - NEW met2 ( 25990 42670 ) ( 26450 * ) - NEW met2 ( 25990 42670 ) ( * 44370 ) - NEW met1 ( 25990 44370 ) ( * 44710 ) - NEW met1 ( 25530 44710 ) ( 25990 * ) - NEW met2 ( 26450 37740 ) ( * 42670 ) - NEW li1 ( 37030 31790 ) L1M1_PR_MR - NEW met1 ( 34730 31790 ) M1M2_PR - NEW met2 ( 34730 37740 ) M2M3_PR_M - NEW met2 ( 26450 37740 ) M2M3_PR_M - NEW met1 ( 25990 44370 ) M1M2_PR - NEW li1 ( 25530 44710 ) L1M1_PR_MR ; - - _050_ ( _144_ A ) ( _143_ X ) + USE SIGNAL - + ROUTED met1 ( 15410 23970 ) ( 16790 * ) - NEW met2 ( 15410 23970 ) ( * 36890 ) - NEW li1 ( 16790 23970 ) L1M1_PR_MR - NEW met1 ( 15410 23970 ) M1M2_PR - NEW li1 ( 15410 36890 ) L1M1_PR_MR - NEW met1 ( 15410 36890 ) M1M2_PR - NEW met1 ( 15410 36890 ) RECT ( -355 -70 0 70 ) ; - - _051_ ( _146_ A ) ( _145_ X ) + USE SIGNAL - + ROUTED met1 ( 16790 15130 ) ( 18170 * ) - NEW li1 ( 16790 15130 ) ( * 15810 ) - NEW met1 ( 16790 15810 ) ( 23230 * ) - NEW li1 ( 18170 15130 ) L1M1_PR_MR - NEW li1 ( 16790 15130 ) L1M1_PR_MR - NEW li1 ( 16790 15810 ) L1M1_PR_MR - NEW li1 ( 23230 15810 ) L1M1_PR_MR ; - - _052_ ( _148_ A ) ( _147_ X ) + USE SIGNAL - + ROUTED met1 ( 18630 14110 ) ( 20470 * ) - NEW met2 ( 18630 12070 ) ( * 14110 ) - NEW li1 ( 18630 12070 ) L1M1_PR_MR - NEW met1 ( 18630 12070 ) M1M2_PR - NEW met1 ( 18630 14110 ) M1M2_PR - NEW li1 ( 20470 14110 ) L1M1_PR_MR - NEW met1 ( 18630 12070 ) RECT ( -355 -70 0 70 ) ; - - _053_ ( _150_ A ) ( _149_ X ) + USE SIGNAL - + ROUTED met1 ( 12650 36890 ) ( 13110 * ) - NEW met1 ( 13110 36210 ) ( * 36890 ) - NEW li1 ( 12650 36890 ) L1M1_PR_MR - NEW li1 ( 13110 36210 ) L1M1_PR_MR ; - - _054_ ( _152_ A ) ( _151_ X ) + USE SIGNAL - + ROUTED met3 ( 23230 43860 ) ( 24610 * ) - NEW met2 ( 24610 43860 ) ( * 44710 ) - NEW met1 ( 23230 44710 ) ( 24610 * ) - NEW met2 ( 23230 23970 ) ( * 43860 ) - NEW li1 ( 23230 23970 ) L1M1_PR_MR - NEW met1 ( 23230 23970 ) M1M2_PR - NEW met2 ( 23230 43860 ) M2M3_PR_M - NEW met2 ( 24610 43860 ) M2M3_PR_M - NEW met1 ( 24610 44710 ) M1M2_PR - NEW li1 ( 23230 44710 ) L1M1_PR_MR - NEW met1 ( 23230 23970 ) RECT ( 0 -70 355 70 ) ; - - _055_ ( _154_ A ) ( _153_ X ) + USE SIGNAL - + ROUTED met1 ( 30590 23970 ) ( 31970 * ) - NEW met1 ( 28750 41650 ) ( 31970 * ) - NEW met2 ( 28750 41650 ) ( * 44710 ) - NEW met2 ( 28290 44710 ) ( 28750 * ) - NEW met1 ( 26450 44710 ) ( 28290 * ) - NEW met1 ( 26450 44710 ) ( * 45390 ) - NEW met1 ( 25070 45390 ) ( 26450 * ) - NEW met1 ( 25070 44370 ) ( * 45390 ) - NEW met1 ( 21850 44370 ) ( 25070 * ) - NEW met1 ( 21850 44370 ) ( * 44710 ) - NEW met2 ( 31970 23970 ) ( * 41650 ) - NEW li1 ( 30590 23970 ) L1M1_PR_MR - NEW met1 ( 31970 23970 ) M1M2_PR - NEW met1 ( 31970 41650 ) M1M2_PR - NEW met1 ( 28750 41650 ) M1M2_PR - NEW met1 ( 28290 44710 ) M1M2_PR - NEW li1 ( 21850 44710 ) L1M1_PR_MR ; - - _056_ ( _156_ A ) ( _155_ X ) + USE SIGNAL - + ROUTED met1 ( 23230 48450 ) ( 24150 * ) - NEW met2 ( 24150 48450 ) ( * 53210 ) - NEW li1 ( 23230 48450 ) L1M1_PR_MR - NEW met1 ( 24150 48450 ) M1M2_PR - NEW li1 ( 24150 53210 ) L1M1_PR_MR - NEW met1 ( 24150 53210 ) M1M2_PR - NEW met1 ( 24150 53210 ) RECT ( -355 -70 0 70 ) ; - - _057_ ( _158_ A ) ( _157_ X ) + USE SIGNAL - + ROUTED met2 ( 20470 44710 ) ( 20930 * ) - NEW met2 ( 20930 44710 ) ( * 47090 ) - NEW met1 ( 20930 47090 ) ( 26450 * ) - NEW met1 ( 26450 47090 ) ( * 47430 ) - NEW met1 ( 26450 47430 ) ( 34270 * ) - NEW li1 ( 20470 44710 ) L1M1_PR_MR - NEW met1 ( 20470 44710 ) M1M2_PR - NEW met1 ( 20930 47090 ) M1M2_PR - NEW li1 ( 34270 47430 ) L1M1_PR_MR - NEW met1 ( 20470 44710 ) RECT ( 0 -70 355 70 ) ; - - _058_ ( _160_ A ) ( _159_ X ) + USE SIGNAL - + ROUTED met1 ( 28290 52870 ) ( 30130 * ) - NEW met1 ( 28290 52870 ) ( * 53210 ) - NEW met2 ( 30130 47770 ) ( * 52870 ) - NEW met1 ( 30130 52870 ) M1M2_PR - NEW li1 ( 28290 53210 ) L1M1_PR_MR - NEW li1 ( 30130 47770 ) L1M1_PR_MR - NEW met1 ( 30130 47770 ) M1M2_PR - NEW met1 ( 30130 47770 ) RECT ( -355 -70 0 70 ) ; - - _059_ ( _162_ A ) ( _161_ X ) + USE SIGNAL - + ROUTED met1 ( 18170 45730 ) ( 19090 * ) - NEW met2 ( 19090 45730 ) ( 19550 * ) - NEW met2 ( 19550 45730 ) ( * 50150 ) - NEW li1 ( 18170 45730 ) L1M1_PR_MR - NEW met1 ( 19090 45730 ) M1M2_PR - NEW li1 ( 19550 50150 ) L1M1_PR_MR - NEW met1 ( 19550 50150 ) M1M2_PR - NEW met1 ( 19550 50150 ) RECT ( -355 -70 0 70 ) ; - - _060_ ( _164_ A ) ( _163_ X ) + USE SIGNAL - + ROUTED met1 ( 17250 44710 ) ( 18170 * ) - NEW met2 ( 18170 44710 ) ( * 46750 ) - NEW met1 ( 18170 46750 ) ( 21850 * ) - NEW met2 ( 21850 46750 ) ( 22310 * ) - NEW met2 ( 22310 46750 ) ( * 48110 ) - NEW met1 ( 22310 48110 ) ( 26910 * ) - NEW met1 ( 26910 48110 ) ( * 48450 ) - NEW li1 ( 17250 44710 ) L1M1_PR_MR - NEW met1 ( 18170 44710 ) M1M2_PR - NEW met1 ( 18170 46750 ) M1M2_PR - NEW met1 ( 21850 46750 ) M1M2_PR - NEW met1 ( 22310 48110 ) M1M2_PR - NEW li1 ( 26910 48450 ) L1M1_PR_MR ; - - _061_ ( _166_ A ) ( _165_ X ) + USE SIGNAL - + ROUTED met1 ( 28290 42670 ) ( 30130 * ) - NEW met2 ( 30130 42670 ) ( * 46750 ) - NEW met2 ( 29670 46750 ) ( 30130 * ) - NEW met2 ( 29670 46750 ) ( * 49980 ) - NEW met2 ( 27830 49980 ) ( 29670 * ) - NEW met2 ( 27830 49980 ) ( * 53210 ) - NEW met1 ( 26910 53210 ) ( 27830 * ) - NEW li1 ( 28290 42670 ) L1M1_PR_MR - NEW met1 ( 30130 42670 ) M1M2_PR - NEW met1 ( 27830 53210 ) M1M2_PR - NEW li1 ( 26910 53210 ) L1M1_PR_MR ; - - _062_ ( _168_ A ) ( _167_ X ) + USE SIGNAL - + ROUTED met2 ( 14950 42330 ) ( * 44030 ) - NEW li1 ( 14950 42330 ) L1M1_PR_MR - NEW met1 ( 14950 42330 ) M1M2_PR - NEW li1 ( 14950 44030 ) L1M1_PR_MR - NEW met1 ( 14950 44030 ) M1M2_PR - NEW met1 ( 14950 42330 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 14950 44030 ) RECT ( 0 -70 355 70 ) ; - - _063_ ( _170_ A ) ( _169_ X ) + USE SIGNAL - + ROUTED met2 ( 33350 34510 ) ( * 35870 ) - NEW met1 ( 18630 34510 ) ( 33350 * ) - NEW met2 ( 18630 34510 ) ( * 37740 ) - NEW met2 ( 18170 37740 ) ( 18630 * ) - NEW met2 ( 18170 37740 ) ( * 39780 ) - NEW met3 ( 11730 39780 ) ( 18170 * ) - NEW met2 ( 11730 39780 ) ( * 42330 ) - NEW met1 ( 9890 42330 ) ( 11730 * ) - NEW li1 ( 33350 35870 ) L1M1_PR_MR - NEW met1 ( 33350 35870 ) M1M2_PR - NEW met1 ( 33350 34510 ) M1M2_PR - NEW met1 ( 18630 34510 ) M1M2_PR - NEW met2 ( 18170 39780 ) M2M3_PR_M - NEW met2 ( 11730 39780 ) M2M3_PR_M - NEW met1 ( 11730 42330 ) M1M2_PR - NEW li1 ( 9890 42330 ) L1M1_PR_MR - NEW met1 ( 33350 35870 ) RECT ( -355 -70 0 70 ) ; - - _064_ ( _172_ A ) ( _171_ X ) + USE SIGNAL - + ROUTED met3 ( 25070 38420 ) ( 31510 * ) - NEW met1 ( 6210 58990 ) ( 25070 * ) - NEW met2 ( 6210 42670 ) ( * 58990 ) - NEW met1 ( 6210 42670 ) ( 8510 * ) - NEW met1 ( 8510 42330 ) ( * 42670 ) - NEW met1 ( 31510 41990 ) ( 33350 * ) - NEW met2 ( 25070 38420 ) ( * 58990 ) - NEW met2 ( 31510 38420 ) ( * 41990 ) - NEW met2 ( 25070 38420 ) M2M3_PR_M - NEW met2 ( 31510 38420 ) M2M3_PR_M - NEW met1 ( 25070 58990 ) M1M2_PR - NEW met1 ( 6210 58990 ) M1M2_PR - NEW met1 ( 6210 42670 ) M1M2_PR - NEW li1 ( 8510 42330 ) L1M1_PR_MR - NEW met1 ( 31510 41990 ) M1M2_PR - NEW li1 ( 33350 41990 ) L1M1_PR_MR ; - - _065_ ( _174_ A ) ( _173_ X ) + USE SIGNAL - + ROUTED met1 ( 24610 52530 ) ( 26450 * ) - NEW met2 ( 26450 52530 ) ( * 55590 ) - NEW met1 ( 26450 55590 ) ( 28290 * ) - NEW li1 ( 24610 52530 ) L1M1_PR_MR - NEW met1 ( 26450 52530 ) M1M2_PR - NEW met1 ( 26450 55590 ) M1M2_PR - NEW li1 ( 28290 55590 ) L1M1_PR_MR ; - - _066_ ( _176_ A ) ( _175_ X ) + USE SIGNAL - + ROUTED met1 ( 42090 44030 ) ( 43010 * ) - NEW met2 ( 42090 44030 ) ( * 50830 ) - NEW met1 ( 42090 50490 ) ( * 50830 ) - NEW met2 ( 33810 50490 ) ( * 50660 ) - NEW met3 ( 18170 50660 ) ( 33810 * ) - NEW met2 ( 18170 50660 ) ( * 50830 ) - NEW li1 ( 18170 50150 ) ( * 50830 ) - NEW met1 ( 17250 50150 ) ( 18170 * ) - NEW met1 ( 33810 50490 ) ( 42090 * ) - NEW li1 ( 43010 44030 ) L1M1_PR_MR - NEW met1 ( 42090 44030 ) M1M2_PR - NEW met1 ( 42090 50830 ) M1M2_PR - NEW met1 ( 33810 50490 ) M1M2_PR - NEW met2 ( 33810 50660 ) M2M3_PR_M - NEW met2 ( 18170 50660 ) M2M3_PR_M - NEW li1 ( 18170 50830 ) L1M1_PR_MR - NEW met1 ( 18170 50830 ) M1M2_PR - NEW li1 ( 18170 50150 ) L1M1_PR_MR - NEW li1 ( 17250 50150 ) L1M1_PR_MR - NEW met1 ( 18170 50830 ) RECT ( -355 -70 0 70 ) ; - - _067_ ( _178_ A ) ( _177_ X ) + USE SIGNAL - + ROUTED met2 ( 19550 51170 ) ( 20470 * ) - NEW met2 ( 19550 51170 ) ( * 54060 ) - NEW met3 ( 11270 54060 ) ( 19550 * ) - NEW met2 ( 11270 44710 ) ( * 54060 ) - NEW met1 ( 20470 51170 ) ( 43010 * ) - NEW li1 ( 43010 51170 ) L1M1_PR_MR - NEW met1 ( 20470 51170 ) M1M2_PR - NEW met2 ( 19550 54060 ) M2M3_PR_M - NEW met2 ( 11270 54060 ) M2M3_PR_M - NEW li1 ( 11270 44710 ) L1M1_PR_MR - NEW met1 ( 11270 44710 ) M1M2_PR - NEW met1 ( 11270 44710 ) RECT ( -355 -70 0 70 ) ; - - _068_ ( _180_ A ) ( _179_ X ) + USE SIGNAL - + ROUTED met1 ( 24150 38590 ) ( 41170 * ) - NEW met1 ( 24150 38590 ) ( * 38930 ) - NEW met1 ( 18170 38930 ) ( 24150 * ) - NEW met1 ( 18170 38930 ) ( * 39610 ) - NEW met1 ( 14950 39610 ) ( 18170 * ) - NEW met2 ( 14950 39610 ) ( * 41310 ) - NEW met2 ( 13570 41310 ) ( 14950 * ) - NEW met1 ( 7130 41990 ) ( 13570 * ) - NEW met1 ( 7130 41990 ) ( * 42330 ) - NEW met2 ( 13570 41310 ) ( * 41990 ) - NEW li1 ( 41170 38590 ) L1M1_PR_MR - NEW met1 ( 14950 39610 ) M1M2_PR - NEW met1 ( 13570 41990 ) M1M2_PR - NEW li1 ( 7130 42330 ) L1M1_PR_MR ; - - _069_ ( _182_ A ) ( _181_ X ) + USE SIGNAL - + ROUTED met1 ( 13570 47770 ) ( * 48110 ) - NEW met2 ( 43010 42330 ) ( * 47260 ) - NEW met3 ( 19090 47260 ) ( 43010 * ) - NEW met2 ( 19090 47260 ) ( * 49300 ) - NEW met3 ( 14030 49300 ) ( 19090 * ) - NEW met2 ( 14030 48110 ) ( * 49300 ) - NEW met1 ( 13570 48110 ) ( 14030 * ) - NEW li1 ( 13570 47770 ) L1M1_PR_MR - NEW li1 ( 43010 42330 ) L1M1_PR_MR - NEW met1 ( 43010 42330 ) M1M2_PR - NEW met2 ( 43010 47260 ) M2M3_PR_M - NEW met2 ( 19090 47260 ) M2M3_PR_M - NEW met2 ( 19090 49300 ) M2M3_PR_M - NEW met2 ( 14030 49300 ) M2M3_PR_M - NEW met1 ( 14030 48110 ) M1M2_PR - NEW met1 ( 43010 42330 ) RECT ( -355 -70 0 70 ) ; - - _070_ ( _184_ A ) ( _183_ X ) + USE SIGNAL - + ROUTED met2 ( 47150 17510 ) ( * 30430 ) - NEW met1 ( 46690 30430 ) ( 47150 * ) - NEW li1 ( 47150 17510 ) L1M1_PR_MR - NEW met1 ( 47150 17510 ) M1M2_PR - NEW met1 ( 47150 30430 ) M1M2_PR - NEW li1 ( 46690 30430 ) L1M1_PR_MR - NEW met1 ( 47150 17510 ) RECT ( -355 -70 0 70 ) ; - - _071_ ( _187_ A ) ( _186_ X ) + USE SIGNAL - + ROUTED met1 ( 37490 29070 ) ( 44390 * ) - NEW met1 ( 37490 29070 ) ( * 29410 ) - NEW met2 ( 37490 29410 ) ( * 30940 ) - NEW met2 ( 37490 30940 ) ( 37950 * ) - NEW met2 ( 37950 30940 ) ( * 33660 ) - NEW met2 ( 37950 33660 ) ( 38410 * ) - NEW met2 ( 38410 33660 ) ( * 58650 ) - NEW met1 ( 17250 58650 ) ( 38410 * ) - NEW met2 ( 17250 55590 ) ( * 58650 ) - NEW li1 ( 44390 29070 ) L1M1_PR_MR - NEW met1 ( 37490 29410 ) M1M2_PR - NEW met1 ( 38410 58650 ) M1M2_PR - NEW met1 ( 17250 58650 ) M1M2_PR - NEW li1 ( 17250 55590 ) L1M1_PR_MR + NEW li1 ( 22770 47770 ) L1M1_PR_MR ; + - _025_ ( _204_ SET_B ) ( _140_ X ) + USE SIGNAL + + ROUTED met1 ( 14950 45390 ) ( 16790 * ) + NEW met2 ( 16790 45390 ) ( * 48110 ) + NEW met1 ( 16790 48110 ) ( 17250 * 0 ) + NEW li1 ( 14950 45390 ) L1M1_PR_MR + NEW met1 ( 16790 45390 ) M1M2_PR + NEW met1 ( 16790 48110 ) M1M2_PR ; + - _026_ ( _204_ CLK_N ) ( _138_ X ) + USE CLOCK + + ROUTED met2 ( 11730 45730 ) ( * 47430 ) + NEW met1 ( 11730 47430 ) ( 13570 * ) + NEW li1 ( 11730 45730 ) L1M1_PR_MR + NEW met1 ( 11730 45730 ) M1M2_PR + NEW met1 ( 11730 47430 ) M1M2_PR + NEW li1 ( 13570 47430 ) L1M1_PR_MR + NEW met1 ( 11730 45730 ) RECT ( -355 -70 0 70 ) ; + - _027_ ( _205_ RESET_B ) ( _135_ X ) + USE SIGNAL + + ROUTED met1 ( 43930 9010 ) ( 44850 * ) + NEW met2 ( 43930 9010 ) ( * 17170 ) + NEW met1 ( 42090 17170 ) ( 43930 * ) + NEW met1 ( 42090 17170 ) ( * 17510 ) + NEW li1 ( 44850 9010 ) L1M1_PR_MR + NEW met1 ( 43930 9010 ) M1M2_PR + NEW met1 ( 43930 17170 ) M1M2_PR + NEW li1 ( 42090 17510 ) L1M1_PR_MR ; + - _028_ ( _205_ SET_B ) ( _133_ X ) + USE SIGNAL + + ROUTED met1 ( 33350 12750 ) ( * 13090 ) + NEW met1 ( 33350 12750 ) ( 37030 * ) + NEW met2 ( 37030 12750 ) ( * 16830 ) + NEW met1 ( 37030 16830 ) ( * 17170 0 ) + NEW li1 ( 33350 13090 ) L1M1_PR_MR + NEW met1 ( 37030 12750 ) M1M2_PR + NEW met1 ( 37030 16830 ) M1M2_PR ; + - _029_ ( _205_ CLK_N ) ( _131_ X ) + USE CLOCK + + ROUTED met1 ( 32430 13090 ) ( 32890 * ) + NEW met2 ( 32890 13090 ) ( * 17510 ) + NEW li1 ( 32430 13090 ) L1M1_PR_MR + NEW met1 ( 32890 13090 ) M1M2_PR + NEW li1 ( 32890 17510 ) L1M1_PR_MR + NEW met1 ( 32890 17510 ) M1M2_PR + NEW met1 ( 32890 17510 ) RECT ( -355 -70 0 70 ) ; + - _030_ ( _206_ RESET_B ) ( _129_ X ) + USE SIGNAL + + ROUTED met1 ( 34730 13090 ) ( 35650 * ) + NEW met1 ( 35650 26010 ) ( 38410 * ) + NEW met2 ( 35650 13090 ) ( * 26010 ) + NEW li1 ( 34730 13090 ) L1M1_PR_MR + NEW met1 ( 35650 13090 ) M1M2_PR + NEW met1 ( 35650 26010 ) M1M2_PR + NEW li1 ( 38410 26010 ) L1M1_PR_MR ; + - _031_ ( _206_ SET_B ) ( _127_ X ) + USE SIGNAL + + ROUTED met1 ( 46690 13090 ) ( 48530 * ) + NEW met2 ( 48530 17340 ) ( 48990 * ) + NEW met2 ( 48990 17340 ) ( * 21250 ) + NEW met1 ( 37490 21250 ) ( 48990 * ) + NEW met2 ( 37490 21250 ) ( * 26350 ) + NEW met1 ( 36570 26350 0 ) ( 37490 * ) + NEW met2 ( 48530 13090 ) ( * 17340 ) + NEW li1 ( 46690 13090 ) L1M1_PR_MR + NEW met1 ( 48530 13090 ) M1M2_PR + NEW met1 ( 48990 21250 ) M1M2_PR + NEW met1 ( 37490 21250 ) M1M2_PR + NEW met1 ( 37490 26350 ) M1M2_PR ; + - _032_ ( _206_ CLK_N ) ( _125_ X ) + USE CLOCK + + ROUTED met1 ( 27370 13090 ) ( 29210 * ) + NEW met2 ( 29210 13090 ) ( * 25670 ) + NEW li1 ( 27370 13090 ) L1M1_PR_MR + NEW met1 ( 29210 13090 ) M1M2_PR + NEW li1 ( 29210 25670 ) L1M1_PR_MR + NEW met1 ( 29210 25670 ) M1M2_PR + NEW met1 ( 29210 25670 ) RECT ( -355 -70 0 70 ) ; + - _033_ ( _207_ RESET_B ) ( _123_ X ) + USE SIGNAL + + ROUTED met1 ( 43930 31450 ) ( 44390 * ) + NEW met2 ( 44390 31450 ) ( * 56270 ) + NEW met1 ( 43930 56270 ) ( 44390 * ) + NEW li1 ( 43930 31450 ) L1M1_PR_MR + NEW met1 ( 44390 31450 ) M1M2_PR + NEW met1 ( 44390 56270 ) M1M2_PR + NEW li1 ( 43930 56270 ) L1M1_PR_MR ; + - _034_ ( _207_ SET_B ) ( _121_ X ) + USE SIGNAL + + ROUTED met1 ( 46690 31790 ) ( * 32130 ) + NEW met1 ( 42090 31790 0 ) ( 46690 * ) + NEW li1 ( 46690 32130 ) L1M1_PR_MR ; + - _035_ ( _207_ CLK_N ) ( _119_ X ) + USE CLOCK + + ROUTED met1 ( 12650 27710 ) ( 16790 * ) + NEW met1 ( 16790 27710 ) ( * 28730 ) + NEW met1 ( 16790 28730 ) ( 17250 * ) + NEW met2 ( 17250 28730 ) ( * 31110 ) + NEW met1 ( 17250 31110 ) ( 34730 * ) + NEW li1 ( 12650 27710 ) L1M1_PR_MR + NEW met1 ( 17250 28730 ) M1M2_PR + NEW met1 ( 17250 31110 ) M1M2_PR + NEW li1 ( 34730 31110 ) L1M1_PR_MR ; + - _036_ ( _208_ RESET_B ) ( _117_ X ) + USE SIGNAL + + ROUTED met2 ( 23690 18530 ) ( * 18700 ) + NEW met3 ( 23690 18700 ) ( 27370 * ) + NEW met2 ( 27370 18700 ) ( * 20570 ) + NEW li1 ( 23690 18530 ) L1M1_PR_MR + NEW met1 ( 23690 18530 ) M1M2_PR + NEW met2 ( 23690 18700 ) M2M3_PR_M + NEW met2 ( 27370 18700 ) M2M3_PR_M + NEW li1 ( 27370 20570 ) L1M1_PR_MR + NEW met1 ( 27370 20570 ) M1M2_PR + NEW met1 ( 23690 18530 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 27370 20570 ) RECT ( -355 -70 0 70 ) ; + - _037_ ( _208_ SET_B ) ( _115_ X ) + USE SIGNAL + + ROUTED met1 ( 21850 18530 ) ( 22310 * ) + NEW met2 ( 22310 18530 ) ( * 20910 ) + NEW li1 ( 21850 18530 ) L1M1_PR_MR + NEW met1 ( 22310 18530 ) M1M2_PR + NEW met1 ( 22310 20910 ) M1M2_PR ; + - _038_ ( _208_ CLK_N ) ( _113_ X ) + USE CLOCK + + ROUTED met2 ( 18170 20570 ) ( * 22270 ) + NEW li1 ( 18170 20570 ) L1M1_PR_MR + NEW met1 ( 18170 20570 ) M1M2_PR + NEW li1 ( 18170 22270 ) L1M1_PR_MR + NEW met1 ( 18170 22270 ) M1M2_PR + NEW met1 ( 18170 20570 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 18170 22270 ) RECT ( -355 -70 0 70 ) ; + - _039_ ( _209_ RESET_B ) ( _111_ X ) + USE SIGNAL + + ROUTED met2 ( 31050 15130 ) ( * 16830 ) + NEW met1 ( 28750 16830 ) ( 31050 * ) + NEW li1 ( 31050 15130 ) L1M1_PR_MR + NEW met1 ( 31050 15130 ) M1M2_PR + NEW met1 ( 31050 16830 ) M1M2_PR + NEW li1 ( 28750 16830 ) L1M1_PR_MR + NEW met1 ( 31050 15130 ) RECT ( -355 -70 0 70 ) ; + - _040_ ( _209_ SET_B ) ( _109_ X ) + USE SIGNAL + + ROUTED met1 ( 23230 18190 ) ( 25070 * ) + NEW met2 ( 23230 15980 ) ( * 18190 ) + NEW met3 ( 23230 15980 ) ( 24610 * ) + NEW met2 ( 24610 15470 ) ( * 15980 ) + NEW met2 ( 24610 15470 ) ( 25070 * ) + NEW met1 ( 25070 15470 ) ( 25530 * 0 ) + NEW li1 ( 25070 18190 ) L1M1_PR_MR + NEW met1 ( 23230 18190 ) M1M2_PR + NEW met2 ( 23230 15980 ) M2M3_PR_M + NEW met2 ( 24610 15980 ) M2M3_PR_M + NEW met1 ( 25070 15470 ) M1M2_PR ; + - _041_ ( _209_ CLK_N ) ( _130_ A ) ( _124_ A ) ( _118_ A ) ( _112_ A ) ( _107_ X ) + USE CLOCK + + ROUTED met1 ( 23230 38590 ) ( 25530 * ) + NEW met2 ( 23230 36380 ) ( * 38590 ) + NEW met2 ( 22770 36380 ) ( 23230 * ) + NEW met2 ( 22770 34170 ) ( * 36380 ) + NEW met1 ( 9890 34170 ) ( 22770 * ) + NEW met2 ( 9890 31450 ) ( * 34170 ) + NEW met1 ( 9430 31450 ) ( 9890 * ) + NEW met1 ( 20470 17510 ) ( 21850 * ) + NEW met2 ( 21850 17510 ) ( * 34170 ) + NEW met2 ( 21850 15130 ) ( * 17510 ) + NEW met1 ( 28750 12070 ) ( * 12410 ) + NEW met1 ( 21850 12410 ) ( 28750 * ) + NEW met2 ( 21850 12410 ) ( * 15130 ) + NEW met1 ( 28750 12070 ) ( 30130 * ) + NEW li1 ( 25530 38590 ) L1M1_PR_MR + NEW met1 ( 23230 38590 ) M1M2_PR + NEW met1 ( 22770 34170 ) M1M2_PR + NEW met1 ( 9890 34170 ) M1M2_PR + NEW met1 ( 9890 31450 ) M1M2_PR + NEW li1 ( 9430 31450 ) L1M1_PR_MR + NEW li1 ( 20470 17510 ) L1M1_PR_MR + NEW met1 ( 21850 17510 ) M1M2_PR + NEW met1 ( 21850 34170 ) M1M2_PR + NEW li1 ( 21850 15130 ) L1M1_PR_MR + NEW met1 ( 21850 15130 ) M1M2_PR + NEW li1 ( 28750 12070 ) L1M1_PR_MR + NEW met1 ( 21850 12410 ) M1M2_PR + NEW li1 ( 30130 12070 ) L1M1_PR_MR + NEW met1 ( 21850 34170 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 21850 15130 ) RECT ( -355 -70 0 70 ) ; + - _042_ ( _210_ RESET_B ) ( _105_ X ) + USE SIGNAL + + ROUTED met2 ( 35190 39270 ) ( * 41310 ) + NEW met1 ( 34730 41310 ) ( 35190 * ) + NEW li1 ( 35190 39270 ) L1M1_PR_MR + NEW met1 ( 35190 39270 ) M1M2_PR + NEW met1 ( 35190 41310 ) M1M2_PR + NEW li1 ( 34730 41310 ) L1M1_PR_MR + NEW met1 ( 35190 39270 ) RECT ( -355 -70 0 70 ) ; + - _043_ ( _210_ SET_B ) ( _103_ X ) + USE SIGNAL + + ROUTED met1 ( 41630 34850 ) ( 42090 * ) + NEW met2 ( 41630 34850 ) ( * 38590 ) + NEW met1 ( 41170 38590 ) ( 41630 * ) + NEW met1 ( 41170 38590 ) ( * 38930 ) + NEW met1 ( 33350 38930 0 ) ( 41170 * ) + NEW li1 ( 42090 34850 ) L1M1_PR_MR + NEW met1 ( 41630 34850 ) M1M2_PR + NEW met1 ( 41630 38590 ) M1M2_PR ; + - _044_ ( _210_ CLK_N ) ( _189_ X ) + USE CLOCK + + ROUTED met2 ( 8050 39610 ) ( * 49470 ) + NEW met1 ( 8050 39610 ) ( 25990 * ) + NEW met1 ( 8050 39610 ) M1M2_PR + NEW li1 ( 8050 49470 ) L1M1_PR_MR + NEW met1 ( 8050 49470 ) M1M2_PR + NEW li1 ( 25990 39610 ) L1M1_PR_MR + NEW met1 ( 8050 49470 ) RECT ( -355 -70 0 70 ) ; + - _045_ ( _135_ A ) ( _134_ X ) + USE SIGNAL + + ROUTED met1 ( 41170 10030 ) ( 44850 * ) + NEW met1 ( 44850 9690 ) ( * 10030 ) + NEW met1 ( 44850 9690 ) ( 45770 * ) + NEW met1 ( 39790 14110 ) ( 41170 * ) + NEW met2 ( 41170 10030 ) ( * 14110 ) + NEW met1 ( 41170 10030 ) M1M2_PR + NEW li1 ( 45770 9690 ) L1M1_PR_MR + NEW met1 ( 41170 14110 ) M1M2_PR + NEW li1 ( 39790 14110 ) L1M1_PR_MR ; + - _046_ ( _161_ A ) ( _155_ A ) ( _149_ A ) ( _143_ A ) ( _137_ A ) ( _136_ X ) + USE CLOCK + + ROUTED met1 ( 16330 55590 ) ( 17250 * ) + NEW met1 ( 17250 55590 ) ( * 55640 ) + NEW met1 ( 17250 55640 ) ( 17710 * ) + NEW met1 ( 17710 55640 ) ( * 55930 ) + NEW met1 ( 17710 55930 ) ( 25530 * ) + NEW met1 ( 25530 55590 ) ( * 55930 ) + NEW met1 ( 12190 44710 ) ( 13570 * ) + NEW met1 ( 13570 44710 ) ( * 45730 ) + NEW met1 ( 13570 45730 ) ( 17250 * ) + NEW met1 ( 7130 33830 ) ( 7590 * ) + NEW met2 ( 7590 33830 ) ( * 42670 ) + NEW met1 ( 7590 42670 ) ( 12650 * ) + NEW met2 ( 12650 42670 ) ( * 44710 ) + NEW met1 ( 7590 31450 ) ( 8050 * ) + NEW met2 ( 7590 31450 ) ( * 33830 ) + NEW met2 ( 17250 45730 ) ( * 55590 ) + NEW li1 ( 16330 55590 ) L1M1_PR_MR + NEW li1 ( 25530 55590 ) L1M1_PR_MR NEW met1 ( 17250 55590 ) M1M2_PR - NEW met1 ( 17250 55590 ) RECT ( -355 -70 0 70 ) ; - - _072_ ( _189_ A ) ( _188_ X ) + USE SIGNAL - + ROUTED met2 ( 12190 47770 ) ( * 50830 ) - NEW met1 ( 12190 50830 ) ( 14950 * ) - NEW met1 ( 12190 50830 ) M1M2_PR - NEW li1 ( 12190 47770 ) L1M1_PR_MR - NEW met1 ( 12190 47770 ) M1M2_PR - NEW li1 ( 14950 50830 ) L1M1_PR_MR - NEW met1 ( 12190 47770 ) RECT ( -355 -70 0 70 ) ; - - _073_ ( _103_ A ) ( _102_ X ) + USE SIGNAL - + ROUTED met2 ( 43930 15810 ) ( * 17510 ) - NEW met1 ( 43930 17510 ) ( 44390 * ) - NEW li1 ( 43930 15810 ) L1M1_PR_MR - NEW met1 ( 43930 15810 ) M1M2_PR - NEW met1 ( 43930 17510 ) M1M2_PR - NEW li1 ( 44390 17510 ) L1M1_PR_MR - NEW met1 ( 43930 15810 ) RECT ( -355 -70 0 70 ) ; - - _074_ ( _105_ A ) ( _104_ X ) + USE SIGNAL - + ROUTED met1 ( 45770 13090 ) ( 46230 * ) - NEW met2 ( 45770 13090 ) ( * 17510 ) - NEW li1 ( 46230 13090 ) L1M1_PR_MR - NEW met1 ( 45770 13090 ) M1M2_PR - NEW li1 ( 45770 17510 ) L1M1_PR_MR - NEW met1 ( 45770 17510 ) M1M2_PR - NEW met1 ( 45770 17510 ) RECT ( -355 -70 0 70 ) ; - - _075_ ( _188_ A ) ( _173_ A ) ( _167_ A ) ( _136_ A ) ( _107_ A ) ( _106_ Y ) + USE SIGNAL - + ROUTED met2 ( 25530 53210 ) ( * 58310 ) - NEW met1 ( 25530 58310 ) ( 31510 * ) - NEW met2 ( 31510 56270 ) ( * 58310 ) - NEW met1 ( 15870 50150 ) ( 16330 * ) - NEW met2 ( 16330 50150 ) ( * 58310 ) - NEW met1 ( 16330 58310 ) ( 25530 * ) - NEW met2 ( 15870 49300 ) ( 16330 * ) - NEW met2 ( 16330 49300 ) ( * 50150 ) - NEW met1 ( 19550 33830 ) ( 20930 * ) - NEW met2 ( 19550 30430 ) ( * 33830 ) - NEW met2 ( 19550 30430 ) ( 20010 * ) - NEW met2 ( 20010 26690 ) ( * 30430 ) - NEW met2 ( 20010 26690 ) ( 21390 * ) - NEW met2 ( 21390 22950 ) ( * 26690 ) - NEW met2 ( 21390 22950 ) ( 21850 * ) - NEW met1 ( 21850 22950 ) ( 23690 * ) - NEW met2 ( 15870 43860 ) ( * 44710 ) - NEW met3 ( 6670 43860 ) ( 15870 * ) - NEW met2 ( 6670 32980 ) ( * 43860 ) - NEW met3 ( 6670 32980 ) ( 19550 * ) - NEW met2 ( 15870 44710 ) ( * 49300 ) - NEW li1 ( 25530 53210 ) L1M1_PR_MR - NEW met1 ( 25530 53210 ) M1M2_PR - NEW met1 ( 25530 58310 ) M1M2_PR - NEW met1 ( 31510 58310 ) M1M2_PR - NEW li1 ( 31510 56270 ) L1M1_PR_MR - NEW met1 ( 31510 56270 ) M1M2_PR - NEW li1 ( 15870 50150 ) L1M1_PR_MR - NEW met1 ( 16330 50150 ) M1M2_PR - NEW met1 ( 16330 58310 ) M1M2_PR - NEW li1 ( 20930 33830 ) L1M1_PR_MR - NEW met1 ( 19550 33830 ) M1M2_PR - NEW met1 ( 21850 22950 ) M1M2_PR - NEW li1 ( 23690 22950 ) L1M1_PR_MR + NEW li1 ( 17250 45730 ) L1M1_PR_MR + NEW met1 ( 17250 45730 ) M1M2_PR + NEW li1 ( 12190 44710 ) L1M1_PR_MR + NEW li1 ( 7130 33830 ) L1M1_PR_MR + NEW met1 ( 7590 33830 ) M1M2_PR + NEW met1 ( 7590 42670 ) M1M2_PR + NEW met1 ( 12650 42670 ) M1M2_PR + NEW met1 ( 12650 44710 ) M1M2_PR + NEW li1 ( 8050 31450 ) L1M1_PR_MR + NEW met1 ( 7590 31450 ) M1M2_PR + NEW met1 ( 17250 55590 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 17250 45730 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 12650 44710 ) RECT ( 0 -70 595 70 ) ; + - _047_ ( _138_ A ) ( _137_ X ) + USE CLOCK + + ROUTED met1 ( 10855 44710 ) ( 11730 * ) + NEW met1 ( 11730 44710 ) ( * 45050 ) + NEW met1 ( 11730 45050 ) ( 12190 * ) + NEW met1 ( 12190 45050 ) ( * 45730 ) + NEW met1 ( 12190 45730 ) ( 13110 * ) + NEW li1 ( 10855 44710 ) L1M1_PR_MR + NEW li1 ( 13110 45730 ) L1M1_PR_MR ; + - _048_ ( _140_ A ) ( _139_ X ) + USE SIGNAL + + ROUTED met1 ( 15870 52530 ) ( 18170 * ) + NEW met2 ( 15870 44710 ) ( * 52530 ) + NEW met1 ( 15870 52530 ) M1M2_PR + NEW li1 ( 18170 52530 ) L1M1_PR_MR NEW li1 ( 15870 44710 ) L1M1_PR_MR NEW met1 ( 15870 44710 ) M1M2_PR - NEW met2 ( 15870 43860 ) M2M3_PR_M - NEW met2 ( 6670 43860 ) M2M3_PR_M - NEW met2 ( 6670 32980 ) M2M3_PR_M - NEW met2 ( 19550 32980 ) M2M3_PR_M - NEW met1 ( 25530 53210 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 31510 56270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 15870 44710 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 19550 32980 ) RECT ( -70 -485 70 0 ) ; + NEW met1 ( 15870 44710 ) RECT ( -355 -70 0 70 ) ; + - _049_ ( _142_ A ) ( _141_ X ) + USE SIGNAL + + ROUTED met1 ( 14490 44710 ) ( * 45050 ) + NEW met1 ( 14490 45050 ) ( 20010 * ) + NEW met2 ( 20010 45050 ) ( * 55250 ) + NEW li1 ( 14490 44710 ) L1M1_PR_MR + NEW met1 ( 20010 45050 ) M1M2_PR + NEW li1 ( 20010 55250 ) L1M1_PR_MR + NEW met1 ( 20010 55250 ) M1M2_PR + NEW met1 ( 20010 55250 ) RECT ( -355 -70 0 70 ) ; + - _050_ ( _144_ A ) ( _143_ X ) + USE CLOCK + + ROUTED met1 ( 10350 28050 ) ( * 28390 ) + NEW met1 ( 6210 28050 ) ( 10350 * ) + NEW met2 ( 6210 28050 ) ( * 33150 ) + NEW met1 ( 6210 33150 ) ( 8050 * ) + NEW li1 ( 10350 28390 ) L1M1_PR_MR + NEW met1 ( 6210 28050 ) M1M2_PR + NEW met1 ( 6210 33150 ) M1M2_PR + NEW li1 ( 8050 33150 ) L1M1_PR_MR ; + - _051_ ( _146_ A ) ( _145_ X ) + USE SIGNAL + + ROUTED met2 ( 9890 28390 ) ( * 28900 ) + NEW met3 ( 9890 28900 ) ( 20930 * ) + NEW met2 ( 20930 28730 ) ( * 28900 ) + NEW met1 ( 20470 28730 ) ( 20930 * ) + NEW li1 ( 9890 28390 ) L1M1_PR_MR + NEW met1 ( 9890 28390 ) M1M2_PR + NEW met2 ( 9890 28900 ) M2M3_PR_M + NEW met2 ( 20930 28900 ) M2M3_PR_M + NEW met1 ( 20930 28730 ) M1M2_PR + NEW li1 ( 20470 28730 ) L1M1_PR_MR + NEW met1 ( 9890 28390 ) RECT ( -355 -70 0 70 ) ; + - _052_ ( _148_ A ) ( _147_ X ) + USE SIGNAL + + ROUTED met2 ( 14950 29070 ) ( * 31110 ) + NEW met2 ( 14950 31110 ) ( 15410 * ) + NEW met2 ( 15410 31110 ) ( * 45220 ) + NEW met3 ( 15410 45220 ) ( 16330 * ) + NEW met1 ( 16330 49810 ) ( * 50150 ) + NEW met1 ( 16330 50150 ) ( 19090 * ) + NEW met1 ( 19090 50150 ) ( * 50490 ) + NEW met1 ( 19090 50490 ) ( 23690 * ) + NEW met2 ( 23690 50490 ) ( * 52870 ) + NEW met1 ( 23690 52870 ) ( * 53210 ) + NEW met1 ( 23690 53210 ) ( 24950 * ) + NEW met2 ( 16330 45220 ) ( * 49810 ) + NEW li1 ( 14950 29070 ) L1M1_PR_MR + NEW met1 ( 14950 29070 ) M1M2_PR + NEW met2 ( 15410 45220 ) M2M3_PR_M + NEW met2 ( 16330 45220 ) M2M3_PR_M + NEW met1 ( 16330 49810 ) M1M2_PR + NEW met1 ( 23690 50490 ) M1M2_PR + NEW met1 ( 23690 52870 ) M1M2_PR + NEW li1 ( 24950 53210 ) L1M1_PR_MR + NEW met1 ( 14950 29070 ) RECT ( -355 -70 0 70 ) ; + - _053_ ( _150_ A ) ( _149_ X ) + USE CLOCK + + ROUTED met2 ( 8970 32130 ) ( * 41990 ) + NEW met1 ( 6670 41990 ) ( 8970 * ) + NEW met1 ( 6670 41990 ) ( * 42330 ) + NEW li1 ( 8970 32130 ) L1M1_PR_MR + NEW met1 ( 8970 32130 ) M1M2_PR + NEW met1 ( 8970 41990 ) M1M2_PR + NEW li1 ( 6670 42330 ) L1M1_PR_MR + NEW met1 ( 8970 32130 ) RECT ( -355 -70 0 70 ) ; + - _054_ ( _152_ A ) ( _151_ X ) + USE SIGNAL + + ROUTED met1 ( 23230 40290 ) ( 24150 * ) + NEW met2 ( 23230 40290 ) ( * 41140 ) + NEW met3 ( 15180 41140 ) ( 23230 * ) + NEW met3 ( 15180 41140 ) ( * 41820 ) + NEW met3 ( 13110 41820 ) ( 15180 * ) + NEW met3 ( 13110 41820 ) ( * 42500 ) + NEW met3 ( 10350 42500 ) ( 13110 * ) + NEW met2 ( 10350 42500 ) ( * 43010 ) + NEW met2 ( 9890 43010 ) ( 10350 * ) + NEW met2 ( 9890 43010 ) ( * 45390 ) + NEW met1 ( 9890 45390 ) ( 9925 * ) + NEW met1 ( 9925 44710 ) ( * 45390 ) + NEW met1 ( 9925 44710 ) ( 10310 * ) + NEW li1 ( 24150 40290 ) L1M1_PR_MR + NEW met1 ( 23230 40290 ) M1M2_PR + NEW met2 ( 23230 41140 ) M2M3_PR_M + NEW met2 ( 10350 42500 ) M2M3_PR_M + NEW met1 ( 9890 45390 ) M1M2_PR + NEW li1 ( 10310 44710 ) L1M1_PR_MR ; + - _055_ ( _154_ A ) ( _153_ X ) + USE SIGNAL + + ROUTED met1 ( 24610 45730 ) ( 26450 * ) + NEW met2 ( 24610 45730 ) ( * 54060 ) + NEW met2 ( 23690 54060 ) ( 24610 * ) + NEW met2 ( 23690 54060 ) ( * 55590 ) + NEW met1 ( 23230 55590 ) ( 23690 * ) + NEW li1 ( 26450 45730 ) L1M1_PR_MR + NEW met1 ( 24610 45730 ) M1M2_PR + NEW met1 ( 23690 55590 ) M1M2_PR + NEW li1 ( 23230 55590 ) L1M1_PR_MR ; + - _056_ ( _156_ A ) ( _155_ X ) + USE CLOCK + + ROUTED met1 ( 16790 56270 ) ( * 56610 ) + NEW met1 ( 16790 56610 ) ( 26450 * ) + NEW met1 ( 11270 56270 ) ( 16790 * ) + NEW met2 ( 10810 45730 ) ( 11270 * ) + NEW met1 ( 8050 45730 ) ( 10810 * ) + NEW met1 ( 8050 44710 ) ( * 45730 ) + NEW met2 ( 11270 45730 ) ( * 56270 ) + NEW met1 ( 11270 56270 ) M1M2_PR + NEW li1 ( 26450 56610 ) L1M1_PR_MR + NEW met1 ( 10810 45730 ) M1M2_PR + NEW li1 ( 8050 44710 ) L1M1_PR_MR ; + - _057_ ( _158_ A ) ( _157_ X ) + USE SIGNAL + + ROUTED met2 ( 42090 51170 ) ( * 59330 ) + NEW met1 ( 25070 59330 ) ( 42090 * ) + NEW met2 ( 25070 55590 ) ( * 59330 ) + NEW li1 ( 42090 51170 ) L1M1_PR_MR + NEW met1 ( 42090 51170 ) M1M2_PR + NEW met1 ( 42090 59330 ) M1M2_PR + NEW met1 ( 25070 59330 ) M1M2_PR + NEW li1 ( 25070 55590 ) L1M1_PR_MR + NEW met1 ( 25070 55590 ) M1M2_PR + NEW met1 ( 42090 51170 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 25070 55590 ) RECT ( -355 -70 0 70 ) ; + - _058_ ( _160_ A ) ( _159_ X ) + USE SIGNAL + + ROUTED met3 ( 21850 52020 ) ( 27830 * ) + NEW met2 ( 21850 52020 ) ( * 55590 ) + NEW met2 ( 27830 48110 ) ( * 52020 ) + NEW li1 ( 27830 48110 ) L1M1_PR_MR + NEW met1 ( 27830 48110 ) M1M2_PR + NEW met2 ( 27830 52020 ) M2M3_PR_M + NEW met2 ( 21850 52020 ) M2M3_PR_M + NEW li1 ( 21850 55590 ) L1M1_PR_MR + NEW met1 ( 21850 55590 ) M1M2_PR + NEW met1 ( 27830 48110 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 21850 55590 ) RECT ( 0 -70 355 70 ) ; + - _059_ ( _162_ A ) ( _161_ X ) + USE CLOCK + + ROUTED met1 ( 14950 55590 ) ( * 55930 ) + NEW met1 ( 14950 55930 ) ( 17250 * ) + NEW met1 ( 17250 55930 ) ( * 56270 ) + NEW li1 ( 14950 55590 ) L1M1_PR_MR + NEW li1 ( 17250 56270 ) L1M1_PR_MR ; + - _060_ ( _164_ A ) ( _163_ X ) + USE SIGNAL + + ROUTED met2 ( 14490 55590 ) ( 14950 * ) + NEW met2 ( 14950 55590 ) ( * 57970 ) + NEW met1 ( 14950 57970 ) ( 40710 * ) + NEW met2 ( 40710 56610 ) ( * 57970 ) + NEW li1 ( 14490 55590 ) L1M1_PR_MR + NEW met1 ( 14490 55590 ) M1M2_PR + NEW met1 ( 14950 57970 ) M1M2_PR + NEW met1 ( 40710 57970 ) M1M2_PR + NEW li1 ( 40710 56610 ) L1M1_PR_MR + NEW met1 ( 40710 56610 ) M1M2_PR + NEW met1 ( 14490 55590 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 40710 56610 ) RECT ( -355 -70 0 70 ) ; + - _061_ ( _166_ A ) ( _165_ X ) + USE SIGNAL + + ROUTED met1 ( 13110 55590 ) ( 13800 * ) + NEW met1 ( 43930 53890 ) ( 44850 * ) + NEW met2 ( 43930 53890 ) ( * 60010 ) + NEW met1 ( 15410 60010 ) ( 43930 * ) + NEW met2 ( 15410 55250 ) ( * 60010 ) + NEW met1 ( 13800 55250 ) ( 15410 * ) + NEW met1 ( 13800 55250 ) ( * 55590 ) + NEW li1 ( 13110 55590 ) L1M1_PR_MR + NEW li1 ( 44850 53890 ) L1M1_PR_MR + NEW met1 ( 43930 53890 ) M1M2_PR + NEW met1 ( 43930 60010 ) M1M2_PR + NEW met1 ( 15410 60010 ) M1M2_PR + NEW met1 ( 15410 55250 ) M1M2_PR ; + - _062_ ( _168_ A ) ( _167_ X ) + USE CLOCK + + ROUTED met1 ( 11730 52190 ) ( 13570 * ) + NEW met1 ( 13570 52190 ) ( * 52530 ) + NEW met2 ( 13570 52530 ) ( * 55930 ) + NEW met1 ( 10810 55930 ) ( 13570 * ) + NEW met1 ( 10810 55590 ) ( * 55930 ) + NEW li1 ( 11730 52190 ) L1M1_PR_MR + NEW met1 ( 13570 52530 ) M1M2_PR + NEW met1 ( 13570 55930 ) M1M2_PR + NEW li1 ( 10810 55590 ) L1M1_PR_MR ; + - _063_ ( _170_ A ) ( _169_ X ) + USE SIGNAL + + ROUTED met1 ( 10350 52870 ) ( * 53210 ) + NEW met1 ( 20470 52530 ) ( * 52870 ) + NEW met1 ( 20470 52530 ) ( 21390 * ) + NEW met1 ( 10350 52870 ) ( 20470 * ) + NEW li1 ( 10350 53210 ) L1M1_PR_MR + NEW li1 ( 21390 52530 ) L1M1_PR_MR ; + - _064_ ( _172_ A ) ( _171_ X ) + USE SIGNAL + + ROUTED met2 ( 12650 52530 ) ( * 53550 ) + NEW met1 ( 8970 52530 ) ( 12650 * ) + NEW met1 ( 8970 52530 ) ( * 53210 ) + NEW met1 ( 12650 53550 ) ( 23690 * ) + NEW met1 ( 12650 53550 ) M1M2_PR + NEW met1 ( 12650 52530 ) M1M2_PR + NEW li1 ( 8970 53210 ) L1M1_PR_MR + NEW li1 ( 23690 53550 ) L1M1_PR_MR ; + - _065_ ( _174_ A ) ( _173_ X ) + USE CLOCK + + ROUTED met1 ( 8050 55590 ) ( * 55930 ) + NEW met1 ( 8050 55930 ) ( 10350 * ) + NEW met1 ( 10350 55930 ) ( * 56270 ) + NEW li1 ( 8050 55590 ) L1M1_PR_MR + NEW li1 ( 10350 56270 ) L1M1_PR_MR ; + - _066_ ( _176_ A ) ( _175_ X ) + USE SIGNAL + + ROUTED met2 ( 7590 55590 ) ( * 58990 ) + NEW met2 ( 33350 56610 ) ( * 58990 ) + NEW met1 ( 7590 58990 ) ( 33350 * ) + NEW met1 ( 7590 58990 ) M1M2_PR + NEW li1 ( 7590 55590 ) L1M1_PR_MR + NEW met1 ( 7590 55590 ) M1M2_PR + NEW li1 ( 33350 56610 ) L1M1_PR_MR + NEW met1 ( 33350 56610 ) M1M2_PR + NEW met1 ( 33350 58990 ) M1M2_PR + NEW met1 ( 7590 55590 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 33350 56610 ) RECT ( -355 -70 0 70 ) ; + - _067_ ( _178_ A ) ( _177_ X ) + USE SIGNAL + + ROUTED met1 ( 7590 53210 ) ( * 53890 ) + NEW met1 ( 25530 52530 ) ( 30130 * ) + NEW met2 ( 25530 52530 ) ( * 52700 ) + NEW met3 ( 14030 52700 ) ( 25530 * ) + NEW met2 ( 14030 52700 ) ( * 53890 ) + NEW met1 ( 7590 53890 ) ( 14030 * ) + NEW li1 ( 7590 53210 ) L1M1_PR_MR + NEW li1 ( 30130 52530 ) L1M1_PR_MR + NEW met1 ( 25530 52530 ) M1M2_PR + NEW met2 ( 25530 52700 ) M2M3_PR_M + NEW met2 ( 14030 52700 ) M2M3_PR_M + NEW met1 ( 14030 53890 ) M1M2_PR ; + - _068_ ( _180_ A ) ( _179_ X ) + USE SIGNAL + + ROUTED met1 ( 47150 16830 ) ( 47610 * ) + NEW met2 ( 47610 6630 ) ( * 16830 ) + NEW li1 ( 47610 6630 ) L1M1_PR_MR + NEW met1 ( 47610 6630 ) M1M2_PR + NEW met1 ( 47610 16830 ) M1M2_PR + NEW li1 ( 47150 16830 ) L1M1_PR_MR + NEW met1 ( 47610 6630 ) RECT ( -355 -70 0 70 ) ; + - _069_ ( _182_ A ) ( _181_ X ) + USE SIGNAL + + ROUTED met1 ( 42550 9690 ) ( 43010 * ) + NEW met2 ( 42550 27540 ) ( 44850 * ) + NEW met2 ( 44850 27540 ) ( * 31110 ) + NEW met2 ( 44850 31110 ) ( 45310 * ) + NEW met2 ( 45310 31110 ) ( * 52190 ) + NEW met1 ( 45310 52190 ) ( 47150 * ) + NEW met2 ( 42550 9690 ) ( * 27540 ) + NEW met1 ( 42550 9690 ) M1M2_PR + NEW li1 ( 43010 9690 ) L1M1_PR_MR + NEW met1 ( 45310 52190 ) M1M2_PR + NEW li1 ( 47150 52190 ) L1M1_PR_MR ; + - _070_ ( _184_ A ) ( _183_ X ) + USE SIGNAL + + ROUTED met2 ( 44390 9690 ) ( * 11390 ) + NEW met1 ( 44390 11390 ) ( 46230 * ) + NEW li1 ( 44390 9690 ) L1M1_PR_MR + NEW met1 ( 44390 9690 ) M1M2_PR + NEW met1 ( 44390 11390 ) M1M2_PR + NEW li1 ( 46230 11390 ) L1M1_PR_MR + NEW met1 ( 44390 9690 ) RECT ( -355 -70 0 70 ) ; + - _071_ ( _187_ A ) ( _186_ X ) + USE SIGNAL + + ROUTED met1 ( 39330 12070 ) ( 39790 * ) + NEW met2 ( 39330 11900 ) ( * 12070 ) + NEW met3 ( 15870 11900 ) ( 39330 * ) + NEW met2 ( 15870 11900 ) ( * 22950 ) + NEW met1 ( 5290 22950 ) ( 15870 * ) + NEW met2 ( 5290 22950 ) ( * 44710 ) + NEW met1 ( 5290 44710 ) ( 7590 * ) + NEW li1 ( 39790 12070 ) L1M1_PR_MR + NEW met1 ( 39330 12070 ) M1M2_PR + NEW met2 ( 39330 11900 ) M2M3_PR_M + NEW met2 ( 15870 11900 ) M2M3_PR_M + NEW met1 ( 15870 22950 ) M1M2_PR + NEW met1 ( 5290 22950 ) M1M2_PR + NEW met1 ( 5290 44710 ) M1M2_PR + NEW li1 ( 7590 44710 ) L1M1_PR_MR ; + - _072_ ( _189_ A ) ( _188_ X ) + USE CLOCK + + ROUTED met1 ( 7130 32130 ) ( 7590 * ) + NEW met2 ( 7130 32130 ) ( * 50150 ) + NEW li1 ( 7590 32130 ) L1M1_PR_MR + NEW met1 ( 7130 32130 ) M1M2_PR + NEW li1 ( 7130 50150 ) L1M1_PR_MR + NEW met1 ( 7130 50150 ) M1M2_PR + NEW met1 ( 7130 50150 ) RECT ( -355 -70 0 70 ) ; + - _073_ ( _103_ A ) ( _102_ X ) + USE SIGNAL + + ROUTED met1 ( 40710 33830 ) ( 43010 * ) + NEW met1 ( 40710 33150 ) ( * 33830 ) + NEW met1 ( 32430 33150 ) ( 40710 * ) + NEW li1 ( 43010 33830 ) L1M1_PR_MR + NEW li1 ( 32430 33150 ) L1M1_PR_MR ; + - _074_ ( _105_ A ) ( _104_ X ) + USE SIGNAL + + ROUTED met2 ( 35650 42330 ) ( * 46750 ) + NEW met1 ( 30130 46750 ) ( 35650 * ) + NEW li1 ( 35650 42330 ) L1M1_PR_MR + NEW met1 ( 35650 42330 ) M1M2_PR + NEW met1 ( 35650 46750 ) M1M2_PR + NEW li1 ( 30130 46750 ) L1M1_PR_MR + NEW met1 ( 35650 42330 ) RECT ( -355 -70 0 70 ) ; - _076_ ( _109_ A ) ( _108_ X ) + USE SIGNAL - + ROUTED met1 ( 39790 14110 ) ( 40710 * ) - NEW met2 ( 40710 12070 ) ( * 14110 ) - NEW li1 ( 40710 12070 ) L1M1_PR_MR - NEW met1 ( 40710 12070 ) M1M2_PR - NEW met1 ( 40710 14110 ) M1M2_PR - NEW li1 ( 39790 14110 ) L1M1_PR_MR - NEW met1 ( 40710 12070 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 25990 17510 ) ( * 17850 ) + NEW met1 ( 24150 17850 ) ( 25990 * ) + NEW met2 ( 24150 17850 ) ( * 22270 ) + NEW li1 ( 25990 17510 ) L1M1_PR_MR + NEW met1 ( 24150 17850 ) M1M2_PR + NEW li1 ( 24150 22270 ) L1M1_PR_MR + NEW met1 ( 24150 22270 ) M1M2_PR + NEW met1 ( 24150 22270 ) RECT ( -355 -70 0 70 ) ; - _077_ ( _111_ A ) ( _110_ X ) + USE SIGNAL - + ROUTED met1 ( 37030 12750 ) ( 43470 * ) - NEW met2 ( 37030 12750 ) ( * 15130 ) - NEW li1 ( 43470 12750 ) L1M1_PR_MR - NEW met1 ( 37030 12750 ) M1M2_PR - NEW li1 ( 37030 15130 ) L1M1_PR_MR - NEW met1 ( 37030 15130 ) M1M2_PR - NEW met1 ( 37030 15130 ) RECT ( -355 -70 0 70 ) ; - - _078_ ( _113_ A ) ( _112_ X ) + USE SIGNAL - + ROUTED met1 ( 20470 22950 ) ( * 23290 ) - NEW met1 ( 20470 23290 ) ( 26910 * ) - NEW met2 ( 26910 23290 ) ( * 27710 ) - NEW li1 ( 20470 22950 ) L1M1_PR_MR - NEW met1 ( 26910 23290 ) M1M2_PR - NEW li1 ( 26910 27710 ) L1M1_PR_MR - NEW met1 ( 26910 27710 ) M1M2_PR - NEW met1 ( 26910 27710 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 29670 17510 ) ( * 17850 ) + NEW met1 ( 29670 17850 ) ( 30590 * ) + NEW met2 ( 30590 17850 ) ( * 22270 ) + NEW li1 ( 29670 17510 ) L1M1_PR_MR + NEW met1 ( 30590 17850 ) M1M2_PR + NEW li1 ( 30590 22270 ) L1M1_PR_MR + NEW met1 ( 30590 22270 ) M1M2_PR + NEW met1 ( 30590 22270 ) RECT ( -355 -70 0 70 ) ; + - _078_ ( _113_ A ) ( _112_ X ) + USE CLOCK + + ROUTED met1 ( 17250 18530 ) ( 21390 * ) + NEW met2 ( 17250 18530 ) ( * 22950 ) + NEW li1 ( 21390 18530 ) L1M1_PR_MR + NEW met1 ( 17250 18530 ) M1M2_PR + NEW li1 ( 17250 22950 ) L1M1_PR_MR + NEW met1 ( 17250 22950 ) M1M2_PR + NEW met1 ( 17250 22950 ) RECT ( -355 -70 0 70 ) ; - _079_ ( _115_ A ) ( _114_ X ) + USE SIGNAL - + ROUTED met1 ( 38870 12070 ) ( * 12410 ) - NEW met1 ( 32890 12410 ) ( 38870 * ) - NEW met1 ( 31050 16830 ) ( 32890 * ) - NEW met2 ( 32890 12410 ) ( * 16830 ) - NEW met1 ( 32890 12410 ) M1M2_PR - NEW li1 ( 38870 12070 ) L1M1_PR_MR - NEW met1 ( 32890 16830 ) M1M2_PR - NEW li1 ( 31050 16830 ) L1M1_PR_MR ; + + ROUTED met2 ( 22770 17510 ) ( * 22270 ) + NEW met1 ( 20930 22270 ) ( 22770 * ) + NEW li1 ( 22770 17510 ) L1M1_PR_MR + NEW met1 ( 22770 17510 ) M1M2_PR + NEW met1 ( 22770 22270 ) M1M2_PR + NEW li1 ( 20930 22270 ) L1M1_PR_MR + NEW met1 ( 22770 17510 ) RECT ( -355 -70 0 70 ) ; - _080_ ( _117_ A ) ( _116_ X ) + USE SIGNAL - + ROUTED met1 ( 35650 15470 ) ( 47610 * ) - NEW met1 ( 35650 15470 ) ( * 15810 ) - NEW met1 ( 27830 15810 ) ( 35650 * ) - NEW met2 ( 27830 15810 ) ( * 16830 ) - NEW met2 ( 47610 12070 ) ( * 15470 ) - NEW li1 ( 47610 12070 ) L1M1_PR_MR - NEW met1 ( 47610 12070 ) M1M2_PR - NEW met1 ( 47610 15470 ) M1M2_PR - NEW met1 ( 27830 15810 ) M1M2_PR - NEW li1 ( 27830 16830 ) L1M1_PR_MR - NEW met1 ( 27830 16830 ) M1M2_PR - NEW met1 ( 47610 12070 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 27830 16830 ) RECT ( -355 -70 0 70 ) ; - - _081_ ( _119_ A ) ( _118_ X ) + USE SIGNAL - + ROUTED met1 ( 40250 21250 ) ( 41630 * ) - NEW met2 ( 40250 21250 ) ( * 31620 ) - NEW met3 ( 37490 31620 ) ( 40250 * ) - NEW met2 ( 37490 31450 ) ( * 31620 ) - NEW met1 ( 37490 31450 ) ( 37950 * ) - NEW met1 ( 37950 31440 ) ( * 31450 ) - NEW met1 ( 37950 31440 ) ( 38410 * ) - NEW met1 ( 38410 31440 ) ( * 31450 ) - NEW li1 ( 41630 21250 ) L1M1_PR_MR - NEW met1 ( 40250 21250 ) M1M2_PR - NEW met2 ( 40250 31620 ) M2M3_PR_M - NEW met2 ( 37490 31620 ) M2M3_PR_M - NEW met1 ( 37490 31450 ) M1M2_PR - NEW li1 ( 38410 31450 ) L1M1_PR_MR ; + + ROUTED met1 ( 24610 17170 ) ( * 17510 ) + NEW met1 ( 24610 17170 ) ( 27830 * ) + NEW met2 ( 27830 17170 ) ( * 24990 ) + NEW met1 ( 27830 24990 ) ( 28750 * ) + NEW li1 ( 24610 17510 ) L1M1_PR_MR + NEW met1 ( 27830 17170 ) M1M2_PR + NEW met1 ( 27830 24990 ) M1M2_PR + NEW li1 ( 28750 24990 ) L1M1_PR_MR ; + - _081_ ( _119_ A ) ( _118_ X ) + USE CLOCK + + ROUTED met1 ( 10810 28390 ) ( 11730 * ) + NEW met2 ( 10810 28390 ) ( * 30430 ) + NEW met1 ( 10350 30430 ) ( 10810 * ) + NEW li1 ( 11730 28390 ) L1M1_PR_MR + NEW met1 ( 10810 28390 ) M1M2_PR + NEW met1 ( 10810 30430 ) M1M2_PR + NEW li1 ( 10350 30430 ) L1M1_PR_MR ; - _082_ ( _121_ A ) ( _120_ X ) + USE SIGNAL - + ROUTED met1 ( 43470 21250 ) ( 45310 * ) - NEW met2 ( 43470 21250 ) ( * 26010 ) - NEW li1 ( 45310 21250 ) L1M1_PR_MR - NEW met1 ( 43470 21250 ) M1M2_PR - NEW li1 ( 43470 26010 ) L1M1_PR_MR - NEW met1 ( 43470 26010 ) M1M2_PR - NEW met1 ( 43470 26010 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 33350 29410 ) ( 47610 * ) + NEW met2 ( 47610 29410 ) ( * 31450 ) + NEW li1 ( 33350 29410 ) L1M1_PR_MR + NEW met1 ( 47610 29410 ) M1M2_PR + NEW li1 ( 47610 31450 ) L1M1_PR_MR + NEW met1 ( 47610 31450 ) M1M2_PR + NEW met1 ( 47610 31450 ) RECT ( -355 -70 0 70 ) ; - _083_ ( _123_ A ) ( _122_ X ) + USE SIGNAL - + ROUTED met2 ( 45770 26690 ) ( * 28390 ) - NEW li1 ( 45770 26690 ) L1M1_PR_MR - NEW met1 ( 45770 26690 ) M1M2_PR - NEW li1 ( 45770 28390 ) L1M1_PR_MR - NEW met1 ( 45770 28390 ) M1M2_PR - NEW met1 ( 45770 26690 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 45770 28390 ) RECT ( -355 -70 0 70 ) ; - - _084_ ( _125_ A ) ( _124_ X ) + USE SIGNAL - + ROUTED met1 ( 19090 22610 ) ( * 22950 ) - NEW met1 ( 19090 22610 ) ( 24150 * ) - NEW met1 ( 24150 22270 ) ( * 22610 ) - NEW met1 ( 24150 22270 ) ( 30130 * ) - NEW met2 ( 30130 22270 ) ( * 30430 ) - NEW met2 ( 29670 30430 ) ( 30130 * ) - NEW met2 ( 29670 30430 ) ( * 33150 ) - NEW met1 ( 29210 33150 ) ( 29670 * ) - NEW li1 ( 19090 22950 ) L1M1_PR_MR - NEW met1 ( 30130 22270 ) M1M2_PR - NEW met1 ( 29670 33150 ) M1M2_PR - NEW li1 ( 29210 33150 ) L1M1_PR_MR ; + + ROUTED met1 ( 43010 51170 ) ( 45770 * ) + NEW met2 ( 43010 51170 ) ( * 55590 ) + NEW met1 ( 43010 55590 ) ( 44390 * ) + NEW met1 ( 44390 55590 ) ( * 55600 ) + NEW met1 ( 44390 55600 ) ( 44850 * ) + NEW met1 ( 44850 55590 ) ( * 55600 ) + NEW li1 ( 45770 51170 ) L1M1_PR_MR + NEW met1 ( 43010 51170 ) M1M2_PR + NEW met1 ( 43010 55590 ) M1M2_PR + NEW li1 ( 44850 55590 ) L1M1_PR_MR ; + - _084_ ( _125_ A ) ( _124_ X ) + USE CLOCK + + ROUTED met1 ( 26450 11730 ) ( * 12070 ) + NEW met1 ( 26450 11730 ) ( 29670 * ) + NEW met1 ( 29670 11390 ) ( * 11730 ) + NEW li1 ( 26450 12070 ) L1M1_PR_MR + NEW li1 ( 29670 11390 ) L1M1_PR_MR ; - _085_ ( _127_ A ) ( _126_ X ) + USE SIGNAL - + ROUTED met1 ( 35650 23970 ) ( 41170 * ) - NEW met2 ( 41170 23970 ) ( * 33830 ) - NEW li1 ( 35650 23970 ) L1M1_PR_MR - NEW met1 ( 41170 23970 ) M1M2_PR - NEW li1 ( 41170 33830 ) L1M1_PR_MR - NEW met1 ( 41170 33830 ) M1M2_PR - NEW met1 ( 41170 33830 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 47610 12070 ) ( 48070 * ) + NEW met2 ( 47610 17340 ) ( 48070 * ) + NEW met2 ( 47610 17340 ) ( * 26180 ) + NEW met2 ( 47150 26180 ) ( 47610 * ) + NEW met2 ( 47150 26180 ) ( * 35870 ) + NEW met1 ( 42550 35870 ) ( 47150 * ) + NEW met2 ( 48070 12070 ) ( * 17340 ) + NEW met1 ( 48070 12070 ) M1M2_PR + NEW li1 ( 47610 12070 ) L1M1_PR_MR + NEW met1 ( 47150 35870 ) M1M2_PR + NEW li1 ( 42550 35870 ) L1M1_PR_MR ; - _086_ ( _129_ A ) ( _128_ X ) + USE SIGNAL - + ROUTED met1 ( 46230 20570 ) ( 46690 * ) - NEW met2 ( 46230 20570 ) ( * 22270 ) - NEW met1 ( 40710 22270 ) ( 46230 * ) - NEW met2 ( 40710 22270 ) ( * 24990 ) - NEW li1 ( 46690 20570 ) L1M1_PR_MR - NEW met1 ( 46230 20570 ) M1M2_PR - NEW met1 ( 46230 22270 ) M1M2_PR - NEW met1 ( 40710 22270 ) M1M2_PR - NEW li1 ( 40710 24990 ) L1M1_PR_MR - NEW met1 ( 40710 24990 ) M1M2_PR - NEW met1 ( 40710 24990 ) RECT ( -355 -70 0 70 ) ; - - _087_ ( _131_ A ) ( _130_ X ) + USE SIGNAL - + ROUTED met1 ( 18170 36890 ) ( 19090 * ) - NEW met1 ( 19090 36550 ) ( * 36890 ) - NEW met1 ( 19090 36550 ) ( 22770 * ) - NEW met2 ( 22770 36550 ) ( * 38590 ) - NEW li1 ( 18170 36890 ) L1M1_PR_MR - NEW met1 ( 22770 36550 ) M1M2_PR - NEW li1 ( 22770 38590 ) L1M1_PR_MR - NEW met1 ( 22770 38590 ) M1M2_PR - NEW met1 ( 22770 38590 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 33350 12410 ) ( 35650 * ) + NEW met1 ( 35650 12070 ) ( * 12410 ) + NEW met2 ( 32430 20060 ) ( 33350 * ) + NEW met2 ( 32430 20060 ) ( * 28050 ) + NEW met1 ( 29670 28050 ) ( 32430 * ) + NEW met1 ( 29670 27710 ) ( * 28050 ) + NEW met1 ( 17250 27710 ) ( 29670 * ) + NEW met2 ( 33350 12410 ) ( * 20060 ) + NEW met1 ( 33350 12410 ) M1M2_PR + NEW li1 ( 35650 12070 ) L1M1_PR_MR + NEW met1 ( 32430 28050 ) M1M2_PR + NEW li1 ( 17250 27710 ) L1M1_PR_MR ; + - _087_ ( _131_ A ) ( _130_ X ) + USE CLOCK + + ROUTED met1 ( 31050 12070 ) ( 31510 * ) + NEW met1 ( 31050 11390 ) ( * 12070 ) + NEW li1 ( 31510 12070 ) L1M1_PR_MR + NEW li1 ( 31050 11390 ) L1M1_PR_MR ; - _088_ ( _133_ A ) ( _132_ X ) + USE SIGNAL - + ROUTED met1 ( 47150 26010 ) ( 47610 * ) - NEW met2 ( 47610 26010 ) ( * 30770 ) - NEW met1 ( 43930 30770 ) ( 47610 * ) - NEW li1 ( 47150 26010 ) L1M1_PR_MR - NEW met1 ( 47610 26010 ) M1M2_PR - NEW met1 ( 47610 30770 ) M1M2_PR - NEW li1 ( 43930 30770 ) L1M1_PR_MR ; + + ROUTED met1 ( 32430 16830 ) ( 34270 * ) + NEW met2 ( 34270 12070 ) ( * 16830 ) + NEW li1 ( 34270 12070 ) L1M1_PR_MR + NEW met1 ( 34270 12070 ) M1M2_PR + NEW met1 ( 34270 16830 ) M1M2_PR + NEW li1 ( 32430 16830 ) L1M1_PR_MR + NEW met1 ( 34270 12070 ) RECT ( -355 -70 0 70 ) ; - _089_ ( _197_ TE_B ) ( _180_ X ) + USE SIGNAL - + ROUTED met1 ( 44390 42330 ) ( * 42670 ) - NEW met1 ( 41170 42670 ) ( 44390 * ) - NEW met2 ( 41170 34510 ) ( * 42670 ) - NEW met1 ( 41170 34170 ) ( * 34510 ) - NEW met1 ( 29210 34170 ) ( 41170 * ) - NEW met2 ( 29210 31110 ) ( * 34170 ) - NEW met2 ( 27830 31110 ) ( 29210 * ) - NEW met2 ( 27830 30260 ) ( * 31110 ) - NEW met3 ( 6210 30260 ) ( 27830 * ) - NEW met2 ( 6210 30260 ) ( * 41310 ) - NEW li1 ( 44390 42330 ) L1M1_PR_MR - NEW met1 ( 41170 42670 ) M1M2_PR - NEW met1 ( 41170 34510 ) M1M2_PR - NEW met1 ( 29210 34170 ) M1M2_PR - NEW met2 ( 27830 30260 ) M2M3_PR_M - NEW met2 ( 6210 30260 ) M2M3_PR_M - NEW li1 ( 6210 41310 ) L1M1_PR_MR - NEW met1 ( 6210 41310 ) M1M2_PR - NEW met1 ( 6210 41310 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 46690 5950 ) ( 47150 * ) + NEW met1 ( 44390 25670 ) ( 47150 * ) + NEW met2 ( 47150 5950 ) ( * 25670 ) + NEW li1 ( 46690 5950 ) L1M1_PR_MR + NEW met1 ( 47150 5950 ) M1M2_PR + NEW met1 ( 47150 25670 ) M1M2_PR + NEW li1 ( 44390 25670 ) L1M1_PR_MR ; - _090_ ( _198_ Q_N ) + USE SIGNAL ; - _091_ ( _199_ Q_N ) + USE SIGNAL ; - _092_ ( _200_ Q_N ) + USE SIGNAL ; @@ -1884,1759 +1678,2028 @@ NETS 204 ; - _100_ ( _209_ Q_N ) + USE SIGNAL ; - _101_ ( _210_ Q_N ) + USE SIGNAL ; - clknet_0_serial_clock ( clkbuf_1_1_0_serial_clock A ) ( clkbuf_1_0_0_serial_clock A ) ( clkbuf_0_serial_clock X ) + USE CLOCK - + ROUTED met1 ( 35650 34850 ) ( 37490 * ) - NEW met2 ( 35650 34850 ) ( * 42670 ) - NEW met1 ( 31970 42670 ) ( 35650 * ) - NEW met1 ( 31970 42330 ) ( * 42670 ) - NEW met1 ( 29210 42330 ) ( 31970 * ) - NEW met1 ( 31510 22610 ) ( 35650 * ) - NEW met2 ( 35650 22610 ) ( * 34850 ) - NEW li1 ( 37490 34850 ) L1M1_PR_MR - NEW met1 ( 35650 34850 ) M1M2_PR - NEW met1 ( 35650 42670 ) M1M2_PR - NEW li1 ( 29210 42330 ) L1M1_PR_MR - NEW li1 ( 31510 22610 ) L1M1_PR_MR - NEW met1 ( 35650 22610 ) M1M2_PR ; - - clknet_1_0_0_serial_clock ( _215_ CLK ) ( _216_ CLK ) ( _217_ CLK ) ( _218_ CLK ) ( _222_ CLK ) ( _223_ CLK ) ( clkbuf_1_0_0_serial_clock X ) + USE CLOCK - + ROUTED met1 ( 16790 12410 ) ( 19090 * ) - NEW met1 ( 19090 12410 ) ( 28750 * ) - NEW met1 ( 25990 12410 ) ( * 12750 ) - NEW met2 ( 16790 17850 ) ( * 28390 ) - NEW met1 ( 25990 22950 ) ( 32430 * ) - NEW met1 ( 27370 25670 ) ( 29670 * ) - NEW met2 ( 27370 22950 ) ( * 25670 ) - NEW met2 ( 25990 22950 ) ( * 31110 ) - NEW met2 ( 16790 12410 ) ( * 17850 ) - NEW met2 ( 25990 12750 ) ( * 22950 ) - NEW li1 ( 19090 12410 ) L1M1_PR_MR - NEW met1 ( 16790 12410 ) M1M2_PR - NEW li1 ( 28750 12410 ) L1M1_PR_MR - NEW met1 ( 25990 12750 ) M1M2_PR - NEW li1 ( 16790 17850 ) L1M1_PR_MR - NEW met1 ( 16790 17850 ) M1M2_PR - NEW li1 ( 16790 28390 ) L1M1_PR_MR - NEW met1 ( 16790 28390 ) M1M2_PR - NEW li1 ( 32430 22950 ) L1M1_PR_MR - NEW met1 ( 25990 22950 ) M1M2_PR - NEW li1 ( 29670 25670 ) L1M1_PR_MR - NEW met1 ( 27370 25670 ) M1M2_PR - NEW met1 ( 27370 22950 ) M1M2_PR - NEW li1 ( 25990 31110 ) L1M1_PR_MR - NEW met1 ( 25990 31110 ) M1M2_PR - NEW met1 ( 16790 17850 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 16790 28390 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 27370 22950 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 25990 31110 ) RECT ( -355 -70 0 70 ) ; - - clknet_1_1_0_serial_clock ( _191_ A ) ( _211_ CLK ) ( _212_ CLK ) ( _213_ CLK ) ( _214_ CLK ) ( _219_ CLK ) ( _220_ CLK ) - ( _221_ CLK ) ( clkbuf_1_1_0_serial_clock X ) + USE CLOCK - + ROUTED met1 ( 44390 52530 ) ( * 53210 ) - NEW met3 ( 16790 33660 ) ( 26450 * ) - NEW met2 ( 26450 33660 ) ( * 36890 ) - NEW met2 ( 26450 36890 ) ( 26910 * ) - NEW met2 ( 16790 31450 ) ( * 33660 ) - NEW met1 ( 13570 52190 ) ( * 52870 ) - NEW met1 ( 13570 52190 ) ( 18170 * ) - NEW met2 ( 18170 52190 ) ( * 55590 ) - NEW met1 ( 14030 47430 ) ( 15410 * ) - NEW met2 ( 15410 47430 ) ( * 52190 ) - NEW met1 ( 15410 41990 ) ( * 42670 ) - NEW met2 ( 15410 42670 ) ( * 47430 ) - NEW met2 ( 16790 42250 ) ( 17250 * ) - NEW met2 ( 17250 42250 ) ( * 43010 ) - NEW met2 ( 16790 43010 ) ( 17250 * ) - NEW met2 ( 16790 43010 ) ( * 44370 ) - NEW met1 ( 15410 44370 ) ( 16790 * ) - NEW met1 ( 26910 43010 ) ( 29670 * ) - NEW met2 ( 31970 43010 ) ( * 50150 ) - NEW met1 ( 29670 43010 ) ( 31970 * ) - NEW met2 ( 32890 48620 ) ( * 53210 ) - NEW met2 ( 31970 48620 ) ( 32890 * ) - NEW met1 ( 39330 52190 ) ( * 52530 ) - NEW met1 ( 32890 52190 ) ( 39330 * ) - NEW met2 ( 16790 33660 ) ( * 42250 ) - NEW met2 ( 26910 36890 ) ( * 43010 ) - NEW met1 ( 39330 52530 ) ( 44390 * ) - NEW li1 ( 44390 53210 ) L1M1_PR_MR - NEW met2 ( 16790 33660 ) M2M3_PR_M - NEW met2 ( 26450 33660 ) M2M3_PR_M - NEW li1 ( 16790 31450 ) L1M1_PR_MR - NEW met1 ( 16790 31450 ) M1M2_PR - NEW li1 ( 13570 52870 ) L1M1_PR_MR - NEW met1 ( 18170 52190 ) M1M2_PR - NEW li1 ( 18170 55590 ) L1M1_PR_MR - NEW met1 ( 18170 55590 ) M1M2_PR - NEW li1 ( 14030 47430 ) L1M1_PR_MR - NEW met1 ( 15410 47430 ) M1M2_PR - NEW met1 ( 15410 52190 ) M1M2_PR - NEW li1 ( 15410 41990 ) L1M1_PR_MR - NEW met1 ( 15410 42670 ) M1M2_PR - NEW met1 ( 16790 44370 ) M1M2_PR - NEW met1 ( 15410 44370 ) M1M2_PR - NEW li1 ( 29670 43010 ) L1M1_PR_MR - NEW met1 ( 26910 43010 ) M1M2_PR - NEW li1 ( 31970 50150 ) L1M1_PR_MR - NEW met1 ( 31970 50150 ) M1M2_PR - NEW met1 ( 31970 43010 ) M1M2_PR - NEW li1 ( 32890 53210 ) L1M1_PR_MR - NEW met1 ( 32890 53210 ) M1M2_PR - NEW met1 ( 32890 52190 ) M1M2_PR - NEW met1 ( 16790 31450 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 18170 55590 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 15410 52190 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 15410 44370 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 31970 50150 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 32890 53210 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 32890 52190 ) RECT ( -70 -485 70 0 ) ; - - gpio_defaults[0] ( PIN gpio_defaults[0] ) ( ANTENNA_20 DIODE ) ( input1 A ) + USE SIGNAL - + ROUTED met3 ( 111780 1020 0 ) ( 112010 * ) - NEW met2 ( 112010 1020 ) ( * 44370 ) - NEW met2 ( 8510 44710 ) ( * 47940 ) - NEW met1 ( 7130 44710 ) ( 8510 * ) - NEW met2 ( 67390 44370 ) ( * 44540 ) - NEW met1 ( 67390 44370 ) ( 112010 * ) - NEW met3 ( 62100 44540 ) ( 67390 * ) - NEW met3 ( 46460 45900 ) ( * 47940 ) - NEW met3 ( 46460 45900 ) ( 62100 * ) - NEW met3 ( 62100 44540 ) ( * 45900 ) - NEW met3 ( 8510 47940 ) ( 46460 * ) - NEW met2 ( 112010 1020 ) M2M3_PR_M - NEW met1 ( 112010 44370 ) M1M2_PR - NEW li1 ( 8510 44710 ) L1M1_PR_MR - NEW met1 ( 8510 44710 ) M1M2_PR - NEW met2 ( 8510 47940 ) M2M3_PR_M - NEW li1 ( 7130 44710 ) L1M1_PR_MR - NEW met2 ( 67390 44540 ) M2M3_PR_M - NEW met1 ( 67390 44370 ) M1M2_PR - NEW met1 ( 8510 44710 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[10] ( PIN gpio_defaults[10] ) ( ANTENNA_0 DIODE ) ( input2 A ) + USE SIGNAL - + ROUTED met2 ( 68770 16660 ) ( * 29410 ) - NEW met3 ( 68770 16660 ) ( 70380 * 0 ) - NEW met1 ( 15410 34850 ) ( 21390 * ) - NEW met2 ( 21390 34170 ) ( * 34850 ) - NEW met1 ( 21390 34170 ) ( 25070 * ) - NEW met2 ( 25070 28050 ) ( * 34170 ) - NEW met1 ( 25070 28050 ) ( 27370 * ) - NEW met1 ( 27370 27710 ) ( * 28050 ) - NEW met1 ( 27370 27710 ) ( 40710 * ) - NEW li1 ( 40710 27710 ) ( * 29410 ) - NEW met1 ( 13570 33830 ) ( 14030 * ) - NEW li1 ( 14030 33830 ) ( * 34850 ) - NEW met1 ( 14030 34850 ) ( 15410 * ) - NEW met1 ( 40710 29410 ) ( 68770 * ) - NEW met1 ( 68770 29410 ) M1M2_PR - NEW met2 ( 68770 16660 ) M2M3_PR_M - NEW li1 ( 15410 34850 ) L1M1_PR_MR - NEW met1 ( 21390 34850 ) M1M2_PR - NEW met1 ( 21390 34170 ) M1M2_PR - NEW met1 ( 25070 34170 ) M1M2_PR - NEW met1 ( 25070 28050 ) M1M2_PR - NEW li1 ( 40710 27710 ) L1M1_PR_MR - NEW li1 ( 40710 29410 ) L1M1_PR_MR - NEW li1 ( 13570 33830 ) L1M1_PR_MR - NEW li1 ( 14030 33830 ) L1M1_PR_MR - NEW li1 ( 14030 34850 ) L1M1_PR_MR ; - - gpio_defaults[11] ( PIN gpio_defaults[11] ) ( ANTENNA_1 DIODE ) ( input3 A ) + USE SIGNAL - + ROUTED met2 ( 68310 18700 ) ( * 32130 ) - NEW met3 ( 68310 18700 ) ( 70380 * 0 ) - NEW li1 ( 51750 32130 ) ( 52670 * ) - NEW met1 ( 52670 32130 ) ( 68310 * ) - NEW met1 ( 16330 34170 ) ( 20010 * ) - NEW li1 ( 20010 33150 ) ( * 34170 ) - NEW met1 ( 20010 33150 ) ( 26450 * ) - NEW met2 ( 26450 32130 ) ( * 33150 ) - NEW met1 ( 12190 33830 ) ( * 34170 ) - NEW met1 ( 12190 34170 ) ( 16330 * ) - NEW met1 ( 26450 32130 ) ( 51750 * ) - NEW met1 ( 68310 32130 ) M1M2_PR - NEW met2 ( 68310 18700 ) M2M3_PR_M - NEW li1 ( 51750 32130 ) L1M1_PR_MR - NEW li1 ( 52670 32130 ) L1M1_PR_MR - NEW li1 ( 16330 34170 ) L1M1_PR_MR - NEW li1 ( 20010 34170 ) L1M1_PR_MR - NEW li1 ( 20010 33150 ) L1M1_PR_MR - NEW met1 ( 26450 33150 ) M1M2_PR - NEW met1 ( 26450 32130 ) M1M2_PR - NEW li1 ( 12190 33830 ) L1M1_PR_MR ; - - gpio_defaults[12] ( PIN gpio_defaults[12] ) ( ANTENNA_2 DIODE ) ( input4 A ) + USE SIGNAL - + ROUTED met2 ( 10810 31790 ) ( * 33830 ) - NEW met2 ( 14490 20060 ) ( * 31790 ) - NEW met1 ( 14490 33150 ) ( 16790 * ) - NEW met2 ( 14490 31790 ) ( * 33150 ) - NEW met1 ( 10810 31790 ) ( 14490 * ) - NEW met3 ( 14490 20060 ) ( 70380 * 0 ) - NEW met1 ( 10810 31790 ) M1M2_PR - NEW li1 ( 10810 33830 ) L1M1_PR_MR - NEW met1 ( 10810 33830 ) M1M2_PR - NEW met1 ( 14490 31790 ) M1M2_PR - NEW met2 ( 14490 20060 ) M2M3_PR_M - NEW li1 ( 16790 33150 ) L1M1_PR_MR - NEW met1 ( 14490 33150 ) M1M2_PR - NEW met1 ( 10810 33830 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[1] ( PIN gpio_defaults[1] ) ( ANTENNA_3 DIODE ) ( input5 A ) + USE SIGNAL - + ROUTED met1 ( 17710 33150 ) ( 18170 * ) - NEW met2 ( 17710 2380 ) ( * 33150 ) - NEW met2 ( 10350 34850 ) ( * 39270 ) - NEW met1 ( 10350 34510 ) ( * 34850 ) - NEW met1 ( 10350 34510 ) ( 17710 * ) - NEW met2 ( 17710 33150 ) ( * 34510 ) - NEW met3 ( 17710 2380 ) ( 70380 * 0 ) - NEW li1 ( 18170 33150 ) L1M1_PR_MR - NEW met1 ( 17710 33150 ) M1M2_PR - NEW met2 ( 17710 2380 ) M2M3_PR_M - NEW li1 ( 10350 39270 ) L1M1_PR_MR - NEW met1 ( 10350 39270 ) M1M2_PR - NEW met1 ( 10350 34850 ) M1M2_PR - NEW met1 ( 17710 34510 ) M1M2_PR - NEW met1 ( 10350 39270 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[2] ( PIN gpio_defaults[2] ) ( ANTENNA_4 DIODE ) ( input6 A ) + USE SIGNAL - + ROUTED met3 ( 111550 3740 ) ( 111780 * 0 ) - NEW met2 ( 111550 3740 ) ( * 38250 ) - NEW met1 ( 13110 42330 ) ( * 42670 ) - NEW met1 ( 11270 42670 ) ( 13110 * ) - NEW met2 ( 11270 42500 ) ( * 42670 ) - NEW met2 ( 10810 42500 ) ( 11270 * ) - NEW met2 ( 10810 39950 ) ( * 42500 ) - NEW met1 ( 7590 39950 ) ( 10810 * ) - NEW met2 ( 10810 39100 ) ( * 39950 ) - NEW met2 ( 67390 38250 ) ( * 38420 ) - NEW met1 ( 67390 38250 ) ( 111550 * ) - NEW met3 ( 62100 38420 ) ( 67390 * ) - NEW met3 ( 62100 38420 ) ( * 39100 ) - NEW met3 ( 10810 39100 ) ( 62100 * ) - NEW met2 ( 111550 3740 ) M2M3_PR_M - NEW met1 ( 111550 38250 ) M1M2_PR - NEW li1 ( 13110 42330 ) L1M1_PR_MR - NEW met1 ( 11270 42670 ) M1M2_PR - NEW met1 ( 10810 39950 ) M1M2_PR - NEW li1 ( 7590 39950 ) L1M1_PR_MR - NEW met2 ( 10810 39100 ) M2M3_PR_M - NEW met2 ( 67390 38420 ) M2M3_PR_M - NEW met1 ( 67390 38250 ) M1M2_PR ; - - gpio_defaults[3] ( PIN gpio_defaults[3] ) ( ANTENNA_5 DIODE ) ( input7 A ) + USE SIGNAL - + ROUTED met3 ( 14030 5100 ) ( 34500 * ) - NEW met3 ( 34500 5100 ) ( * 5780 ) - NEW met3 ( 34500 5780 ) ( 70380 * 0 ) - NEW met1 ( 9430 43010 ) ( 11730 * ) - NEW met2 ( 9430 29410 ) ( * 43010 ) - NEW met1 ( 9430 29410 ) ( 13570 * ) - NEW li1 ( 13570 24310 ) ( * 29410 ) - NEW met1 ( 10810 50150 ) ( 13110 * ) - NEW met1 ( 10810 49810 ) ( * 50150 ) - NEW met2 ( 10810 44540 ) ( * 49810 ) - NEW met2 ( 10350 44540 ) ( 10810 * ) - NEW met2 ( 10350 43010 ) ( * 44540 ) - NEW met1 ( 13570 24310 ) ( 14030 * ) - NEW met2 ( 14030 5100 ) ( * 24310 ) - NEW met2 ( 14030 5100 ) M2M3_PR_M - NEW li1 ( 11730 43010 ) L1M1_PR_MR - NEW met1 ( 9430 43010 ) M1M2_PR - NEW met1 ( 9430 29410 ) M1M2_PR - NEW li1 ( 13570 29410 ) L1M1_PR_MR - NEW li1 ( 13570 24310 ) L1M1_PR_MR - NEW li1 ( 13110 50150 ) L1M1_PR_MR - NEW met1 ( 10810 49810 ) M1M2_PR - NEW met1 ( 10350 43010 ) M1M2_PR - NEW met1 ( 14030 24310 ) M1M2_PR - NEW met1 ( 10350 43010 ) RECT ( -595 -70 0 70 ) ; - - gpio_defaults[4] ( PIN gpio_defaults[4] ) ( ANTENNA_6 DIODE ) ( input8 A ) + USE SIGNAL - + ROUTED met3 ( 5750 7140 ) ( 70380 * 0 ) - NEW met1 ( 5750 38590 ) ( 6210 * ) - NEW met1 ( 8970 47430 ) ( * 47770 ) - NEW met1 ( 5750 47430 ) ( 8970 * ) - NEW met2 ( 5750 38590 ) ( * 47430 ) - NEW met2 ( 5750 7140 ) ( * 38590 ) - NEW met2 ( 5750 7140 ) M2M3_PR_M - NEW li1 ( 6210 38590 ) L1M1_PR_MR - NEW met1 ( 5750 38590 ) M1M2_PR - NEW li1 ( 8970 47770 ) L1M1_PR_MR - NEW met1 ( 5750 47430 ) M1M2_PR ; - - gpio_defaults[5] ( PIN gpio_defaults[5] ) ( ANTENNA_7 DIODE ) ( input9 A ) + USE SIGNAL - + ROUTED met3 ( 17250 8500 ) ( 70380 * 0 ) - NEW met1 ( 15870 32130 ) ( 17250 * ) - NEW met2 ( 17250 8500 ) ( * 32130 ) - NEW met1 ( 11730 38590 ) ( 14950 * ) - NEW met1 ( 11730 38590 ) ( * 38930 ) - NEW met1 ( 5290 38930 ) ( 11730 * ) - NEW met2 ( 5290 38930 ) ( * 47770 ) - NEW met1 ( 5290 47770 ) ( 7590 * ) - NEW met1 ( 14950 38590 ) ( 15870 * ) - NEW met2 ( 15870 32130 ) ( * 38590 ) - NEW met2 ( 17250 8500 ) M2M3_PR_M - NEW met1 ( 15870 32130 ) M1M2_PR - NEW met1 ( 17250 32130 ) M1M2_PR - NEW li1 ( 14950 38590 ) L1M1_PR_MR - NEW met1 ( 5290 38930 ) M1M2_PR - NEW met1 ( 5290 47770 ) M1M2_PR - NEW li1 ( 7590 47770 ) L1M1_PR_MR - NEW met1 ( 15870 38590 ) M1M2_PR ; - - gpio_defaults[6] ( PIN gpio_defaults[6] ) ( ANTENNA_8 DIODE ) ( input10 A ) + USE SIGNAL - + ROUTED met3 ( 51980 9860 ) ( * 10540 ) - NEW met3 ( 51980 10540 ) ( 70380 * 0 ) - NEW met3 ( 15870 9860 ) ( 51980 * ) - NEW met2 ( 8970 31110 ) ( * 33830 ) - NEW met1 ( 18630 33150 ) ( 19090 * ) - NEW met2 ( 18630 31110 ) ( * 33150 ) - NEW met1 ( 15870 31110 ) ( 18630 * ) - NEW met1 ( 8970 31110 ) ( 15870 * ) - NEW met2 ( 15870 9860 ) ( * 31110 ) - NEW met2 ( 15870 9860 ) M2M3_PR_M - NEW met1 ( 8970 31110 ) M1M2_PR - NEW li1 ( 8970 33830 ) L1M1_PR_MR - NEW met1 ( 8970 33830 ) M1M2_PR - NEW met1 ( 15870 31110 ) M1M2_PR - NEW li1 ( 19090 33150 ) L1M1_PR_MR - NEW met1 ( 18630 33150 ) M1M2_PR - NEW met1 ( 18630 31110 ) M1M2_PR - NEW met1 ( 8970 33830 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[7] ( PIN gpio_defaults[7] ) ( ANTENNA_9 DIODE ) ( input11 A ) + USE SIGNAL - + ROUTED met3 ( 97060 11900 0 ) ( 97290 * ) - NEW met1 ( 7590 33830 ) ( * 34170 ) - NEW met1 ( 7590 34170 ) ( 8510 * ) - NEW met2 ( 8510 34170 ) ( * 42330 ) - NEW met2 ( 8510 42330 ) ( 8970 * ) - NEW met2 ( 8970 42330 ) ( * 46750 ) - NEW met1 ( 8970 46750 ) ( 10350 * ) - NEW met2 ( 69230 36550 ) ( * 37060 ) - NEW met1 ( 69230 36550 ) ( 97290 * ) - NEW met2 ( 97290 11900 ) ( * 36550 ) - NEW met3 ( 8510 37740 ) ( 13800 * ) - NEW met3 ( 13800 37060 ) ( * 37740 ) - NEW met3 ( 13800 37060 ) ( 69230 * ) - NEW met2 ( 97290 11900 ) M2M3_PR_M - NEW li1 ( 7590 33830 ) L1M1_PR_MR + + ROUTED met1 ( 7130 37230 ) ( * 37570 ) + NEW met2 ( 42090 37570 ) ( * 38930 ) + NEW met1 ( 37490 37570 ) ( 42090 * ) + NEW met1 ( 7130 37570 ) ( 37490 * ) + NEW li1 ( 7130 37230 ) L1M1_PR_MR + NEW li1 ( 37490 37570 ) L1M1_PR_MR + NEW li1 ( 42090 38930 ) L1M1_PR_MR + NEW met1 ( 42090 38930 ) M1M2_PR + NEW met1 ( 42090 37570 ) M1M2_PR + NEW met1 ( 42090 38930 ) RECT ( -355 -70 0 70 ) ; + - clknet_1_0_0_serial_clock ( _212_ CLK ) ( _213_ CLK ) ( _214_ CLK ) ( _215_ CLK ) ( _216_ CLK ) ( _217_ CLK ) ( _218_ CLK ) + ( _222_ CLK ) ( clkbuf_1_0_0_serial_clock X ) + USE CLOCK + + ROUTED met1 ( 8050 36210 ) ( 8510 * ) + NEW met2 ( 8510 36210 ) ( * 39270 ) + NEW met2 ( 8510 34170 ) ( * 36210 ) + NEW met1 ( 11730 41650 ) ( * 41990 ) + NEW met1 ( 8510 41650 ) ( 11730 * ) + NEW met1 ( 8510 31110 ) ( 12190 * ) + NEW met2 ( 8510 31110 ) ( * 34170 ) + NEW met1 ( 18170 41990 ) ( 20930 * ) + NEW met1 ( 18170 41310 ) ( * 41990 ) + NEW met1 ( 11730 41310 ) ( 18170 * ) + NEW met1 ( 11730 41310 ) ( * 41650 ) + NEW met1 ( 12190 26010 ) ( 16790 * ) + NEW met2 ( 12190 26010 ) ( * 31110 ) + NEW met2 ( 21390 24990 ) ( * 28390 ) + NEW met1 ( 16790 24990 ) ( 21390 * ) + NEW met1 ( 16790 24990 ) ( * 26010 ) + NEW met2 ( 8510 39270 ) ( * 50150 ) + NEW li1 ( 8510 50150 ) L1M1_PR_MR + NEW met1 ( 8510 50150 ) M1M2_PR + NEW li1 ( 8510 39270 ) L1M1_PR_MR + NEW met1 ( 8510 39270 ) M1M2_PR + NEW li1 ( 8050 36210 ) L1M1_PR_MR + NEW met1 ( 8510 36210 ) M1M2_PR + NEW li1 ( 8510 34170 ) L1M1_PR_MR NEW met1 ( 8510 34170 ) M1M2_PR - NEW met1 ( 8970 46750 ) M1M2_PR - NEW li1 ( 10350 46750 ) L1M1_PR_MR - NEW met2 ( 8510 37740 ) M2M3_PR_M - NEW met2 ( 69230 37060 ) M2M3_PR_M - NEW met1 ( 69230 36550 ) M1M2_PR - NEW met1 ( 97290 36550 ) M1M2_PR - NEW met2 ( 8510 37740 ) RECT ( -70 -485 70 0 ) ; - - gpio_defaults[8] ( PIN gpio_defaults[8] ) ( ANTENNA_10 DIODE ) ( input12 A ) + USE SIGNAL - + ROUTED met3 ( 62100 13940 ) ( 70380 * 0 ) - NEW met3 ( 62100 13940 ) ( * 14620 ) - NEW met2 ( 13570 35870 ) ( * 39270 ) - NEW met2 ( 13570 35870 ) ( 14950 * ) - NEW met2 ( 14950 34850 ) ( * 35870 ) - NEW met2 ( 14030 34850 ) ( 14950 * ) - NEW met2 ( 14030 25670 ) ( * 34850 ) - NEW met1 ( 14030 25330 ) ( * 25670 ) - NEW met1 ( 14030 25330 ) ( 16330 * ) - NEW met2 ( 16330 14620 ) ( * 25330 ) - NEW met1 ( 13570 39270 ) ( 15870 * ) - NEW met3 ( 16330 14620 ) ( 62100 * ) - NEW li1 ( 13570 39270 ) L1M1_PR_MR - NEW met1 ( 13570 39270 ) M1M2_PR + NEW li1 ( 11730 41990 ) L1M1_PR_MR + NEW met1 ( 8510 41650 ) M1M2_PR + NEW li1 ( 12190 31110 ) L1M1_PR_MR + NEW met1 ( 8510 31110 ) M1M2_PR + NEW li1 ( 20930 41990 ) L1M1_PR_MR + NEW li1 ( 16790 26010 ) L1M1_PR_MR + NEW met1 ( 12190 26010 ) M1M2_PR + NEW met1 ( 12190 31110 ) M1M2_PR + NEW li1 ( 21390 28390 ) L1M1_PR_MR + NEW met1 ( 21390 28390 ) M1M2_PR + NEW met1 ( 21390 24990 ) M1M2_PR + NEW met1 ( 8510 50150 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 8510 39270 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 8510 34170 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 8510 41650 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 12190 31110 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 21390 28390 ) RECT ( -355 -70 0 70 ) ; + - clknet_1_1_0_serial_clock ( _191_ A ) ( _211_ CLK ) ( _219_ CLK ) ( _220_ CLK ) ( _221_ CLK ) ( _223_ CLK ) ( clkbuf_1_1_0_serial_clock X ) + USE CLOCK + + ROUTED met2 ( 43010 39950 ) ( * 50150 ) + NEW met1 ( 43010 50150 ) ( 46230 * ) + NEW met1 ( 30590 50490 ) ( 43010 * ) + NEW met1 ( 43010 50150 ) ( * 50490 ) + NEW met1 ( 26910 45050 ) ( 43010 * ) + NEW met1 ( 32890 34170 ) ( 43010 * ) + NEW met1 ( 43010 34170 ) ( * 34510 ) + NEW met2 ( 43010 34510 ) ( * 39950 ) + NEW met2 ( 34270 28730 ) ( * 28900 ) + NEW met2 ( 34270 28900 ) ( 34730 * ) + NEW met2 ( 34730 28900 ) ( * 34170 ) + NEW met1 ( 31510 23290 ) ( 34730 * ) + NEW met2 ( 34730 23290 ) ( * 28900 ) + NEW li1 ( 43010 39950 ) L1M1_PR_MR + NEW met1 ( 43010 39950 ) M1M2_PR + NEW met1 ( 43010 50150 ) M1M2_PR + NEW li1 ( 46230 50150 ) L1M1_PR_MR + NEW li1 ( 30590 50490 ) L1M1_PR_MR + NEW li1 ( 26910 45050 ) L1M1_PR_MR + NEW met1 ( 43010 45050 ) M1M2_PR + NEW li1 ( 32890 34170 ) L1M1_PR_MR + NEW met1 ( 43010 34510 ) M1M2_PR + NEW li1 ( 34270 28730 ) L1M1_PR_MR + NEW met1 ( 34270 28730 ) M1M2_PR + NEW met1 ( 34730 34170 ) M1M2_PR + NEW li1 ( 31510 23290 ) L1M1_PR_MR + NEW met1 ( 34730 23290 ) M1M2_PR + NEW met1 ( 43010 39950 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 43010 45050 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 34270 28730 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 34730 34170 ) RECT ( -595 -70 0 70 ) ; + - gpio_defaults[0] ( PIN gpio_defaults[0] ) ( input1 A ) + USE SIGNAL + + ROUTED met1 ( 7130 28390 ) ( 8050 * ) + NEW met2 ( 8050 28390 ) ( * 38590 ) + NEW met1 ( 4830 38590 ) ( 8050 * ) + NEW met2 ( 4830 38590 ) ( * 61540 0 ) + NEW li1 ( 7130 28390 ) L1M1_PR_MR + NEW met1 ( 8050 28390 ) M1M2_PR + NEW met1 ( 8050 38590 ) M1M2_PR + NEW met1 ( 4830 38590 ) M1M2_PR ; + - gpio_defaults[10] ( PIN gpio_defaults[10] ) ( input2 A ) + USE SIGNAL + + ROUTED met2 ( 27830 58650 ) ( 28290 * ) + NEW met2 ( 27830 58650 ) ( * 61540 0 ) + NEW met2 ( 27830 41820 ) ( 28290 * ) + NEW met2 ( 27830 33150 ) ( * 41820 ) + NEW met2 ( 27370 33150 ) ( 27830 * ) + NEW met2 ( 27370 21250 ) ( * 33150 ) + NEW met2 ( 26910 21250 ) ( 27370 * ) + NEW met2 ( 26910 17340 ) ( * 21250 ) + NEW met2 ( 26910 17340 ) ( 27370 * ) + NEW met2 ( 27370 14110 ) ( * 17340 ) + NEW met2 ( 26910 14110 ) ( 27370 * ) + NEW met2 ( 26910 12070 ) ( * 14110 ) + NEW met2 ( 25990 12070 ) ( 26910 * ) + NEW met1 ( 25530 12070 ) ( 25990 * ) + NEW met2 ( 28290 41820 ) ( * 58650 ) + NEW met1 ( 25990 12070 ) M1M2_PR + NEW li1 ( 25530 12070 ) L1M1_PR_MR ; + - gpio_defaults[11] ( PIN gpio_defaults[11] ) ( input3 A ) + USE SIGNAL + + ROUTED met1 ( 31510 12410 ) ( * 12750 ) + NEW met1 ( 29210 12410 ) ( 31510 * ) + NEW met2 ( 29210 11390 ) ( * 12410 ) + NEW met1 ( 24150 11390 ) ( 29210 * ) + NEW met1 ( 24150 11390 ) ( * 12070 ) + NEW met2 ( 30590 61540 ) ( 31510 * ) + NEW met2 ( 30590 60690 ) ( * 61540 ) + NEW met2 ( 30130 60690 ) ( 30590 * ) + NEW met2 ( 30130 60690 ) ( * 61540 0 ) + NEW met2 ( 31510 12750 ) ( * 61540 ) + NEW met1 ( 31510 12750 ) M1M2_PR + NEW met1 ( 29210 12410 ) M1M2_PR + NEW met1 ( 29210 11390 ) M1M2_PR + NEW li1 ( 24150 12070 ) L1M1_PR_MR ; + - gpio_defaults[12] ( PIN gpio_defaults[12] ) ( input4 A ) + USE SIGNAL + + ROUTED met1 ( 22770 12070 ) ( 23230 * ) + NEW met3 ( 23230 13940 ) ( 31970 * ) + NEW met2 ( 31970 13940 ) ( * 41820 ) + NEW met2 ( 31970 41820 ) ( 32430 * ) + NEW met2 ( 32430 41820 ) ( * 61540 0 ) + NEW met2 ( 23230 12070 ) ( * 13940 ) + NEW met1 ( 23230 12070 ) M1M2_PR + NEW li1 ( 22770 12070 ) L1M1_PR_MR + NEW met2 ( 23230 13940 ) M2M3_PR_M + NEW met2 ( 31970 13940 ) M2M3_PR_M ; + - gpio_defaults[1] ( PIN gpio_defaults[1] ) ( input5 A ) + USE SIGNAL + + ROUTED met2 ( 7130 54740 ) ( 7590 * ) + NEW met2 ( 7130 54740 ) ( * 61540 0 ) + NEW met1 ( 5750 44030 ) ( 7590 * ) + NEW met2 ( 5750 26350 ) ( * 44030 ) + NEW met1 ( 5750 26350 ) ( 13570 * ) + NEW met2 ( 13570 10030 ) ( * 26350 ) + NEW met1 ( 13570 10030 ) ( 19090 * ) + NEW met1 ( 19090 9690 ) ( * 10030 ) + NEW met2 ( 7590 44030 ) ( * 54740 ) + NEW met1 ( 7590 44030 ) M1M2_PR + NEW met1 ( 5750 44030 ) M1M2_PR + NEW met1 ( 5750 26350 ) M1M2_PR + NEW met1 ( 13570 26350 ) M1M2_PR + NEW met1 ( 13570 10030 ) M1M2_PR + NEW li1 ( 19090 9690 ) L1M1_PR_MR ; + - gpio_defaults[2] ( PIN gpio_defaults[2] ) ( input6 A ) + USE SIGNAL + + ROUTED met2 ( 8970 56100 ) ( 9430 * ) + NEW met2 ( 9430 56100 ) ( * 61540 0 ) + NEW met1 ( 8970 44370 ) ( * 44710 ) + NEW met1 ( 8970 44370 ) ( 11270 * ) + NEW met2 ( 11270 42330 ) ( * 44370 ) + NEW met1 ( 11270 41990 ) ( * 42330 ) + NEW met1 ( 10350 41990 ) ( 11270 * ) + NEW met2 ( 10350 25670 ) ( * 41990 ) + NEW met1 ( 10350 25670 ) ( 14030 * ) + NEW met2 ( 14030 9350 ) ( * 25670 ) + NEW met1 ( 14030 9350 ) ( 20470 * ) + NEW met1 ( 20470 9350 ) ( * 9690 ) + NEW met2 ( 8970 44710 ) ( * 56100 ) + NEW met1 ( 8970 44710 ) M1M2_PR + NEW met1 ( 11270 44370 ) M1M2_PR + NEW met1 ( 11270 42330 ) M1M2_PR + NEW met1 ( 10350 41990 ) M1M2_PR + NEW met1 ( 10350 25670 ) M1M2_PR NEW met1 ( 14030 25670 ) M1M2_PR - NEW met1 ( 16330 25330 ) M1M2_PR - NEW met2 ( 16330 14620 ) M2M3_PR_M - NEW li1 ( 15870 39270 ) L1M1_PR_MR - NEW met1 ( 13570 39270 ) RECT ( -355 -70 0 70 ) ; - - gpio_defaults[9] ( PIN gpio_defaults[9] ) ( ANTENNA_11 DIODE ) ( input13 A ) + USE SIGNAL - + ROUTED met2 ( 17250 35700 ) ( * 38590 ) - NEW met3 ( 17250 35700 ) ( 26220 * ) - NEW met3 ( 26220 34340 ) ( * 35700 ) - NEW met3 ( 26220 34340 ) ( 31510 * ) - NEW met2 ( 31510 15300 ) ( * 34340 ) - NEW met1 ( 12190 38930 ) ( * 39270 ) - NEW met1 ( 12190 38930 ) ( 17250 * ) - NEW met1 ( 17250 38590 ) ( * 38930 ) - NEW met3 ( 31510 15300 ) ( 70380 * 0 ) - NEW li1 ( 17250 38590 ) L1M1_PR_MR - NEW met1 ( 17250 38590 ) M1M2_PR - NEW met2 ( 17250 35700 ) M2M3_PR_M - NEW met2 ( 31510 34340 ) M2M3_PR_M - NEW met2 ( 31510 15300 ) M2M3_PR_M - NEW li1 ( 12190 39270 ) L1M1_PR_MR - NEW met1 ( 17250 38590 ) RECT ( -355 -70 0 70 ) ; - - gpio_logic1 ( gpio_logic_high gpio_logic1 ) ( gpio_in_buf TE ) + USE SIGNAL - + ROUTED met3 ( 12420 22100 0 ) ( * 24820 ) - NEW met2 ( 14030 24820 ) ( * 24990 ) - NEW met1 ( 14030 24990 ) ( 26450 * ) - NEW met2 ( 26450 24990 ) ( * 28220 ) - NEW met2 ( 26450 28220 ) ( 26910 * ) - NEW met2 ( 26910 28220 ) ( * 32980 ) - NEW met3 ( 26910 32980 ) ( 28980 * ) - NEW met3 ( 28980 32980 ) ( * 33660 ) - NEW met3 ( 28980 33660 ) ( 35190 * ) - NEW met2 ( 35190 33660 ) ( * 41990 ) - NEW met1 ( 35190 41990 ) ( 35650 * ) - NEW met3 ( 12420 24820 ) ( 14030 * ) - NEW met2 ( 14030 24820 ) M2M3_PR_M - NEW met1 ( 14030 24990 ) M1M2_PR - NEW met1 ( 26450 24990 ) M1M2_PR - NEW met2 ( 26910 32980 ) M2M3_PR_M - NEW met2 ( 35190 33660 ) M2M3_PR_M - NEW met1 ( 35190 41990 ) M1M2_PR - NEW li1 ( 35650 41990 ) L1M1_PR_MR ; - - gpio_outenb ( _204_ Q ) ( _181_ A ) ( _179_ B_N ) + USE SIGNAL - + ROUTED met2 ( 38870 37570 ) ( * 39270 ) - NEW met1 ( 30130 37570 ) ( 38870 * ) - NEW met1 ( 39330 41990 ) ( 41170 * ) - NEW met2 ( 39330 39270 ) ( * 41990 ) - NEW met2 ( 38870 39270 ) ( 39330 * ) - NEW li1 ( 38870 39270 ) L1M1_PR_MR - NEW met1 ( 38870 39270 ) M1M2_PR - NEW met1 ( 38870 37570 ) M1M2_PR - NEW li1 ( 30130 37570 ) L1M1_PR_MR - NEW li1 ( 41170 41990 ) L1M1_PR_MR - NEW met1 ( 39330 41990 ) M1M2_PR - NEW met1 ( 38870 39270 ) RECT ( -355 -70 0 70 ) ; - - mgmt_ena ( _198_ Q ) ( _196_ S ) ( _193_ S ) + USE SIGNAL - + ROUTED met2 ( 46690 48450 ) ( * 50490 ) - NEW met2 ( 46690 45050 ) ( * 48450 ) - NEW li1 ( 46690 48450 ) L1M1_PR_MR - NEW met1 ( 46690 48450 ) M1M2_PR - NEW li1 ( 46690 50490 ) L1M1_PR_MR - NEW met1 ( 46690 50490 ) M1M2_PR - NEW li1 ( 46690 45050 ) L1M1_PR_MR - NEW met1 ( 46690 45050 ) M1M2_PR - NEW met1 ( 46690 48450 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 46690 50490 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 46690 45050 ) RECT ( -355 -70 0 70 ) ; - - mgmt_gpio_in ( PIN mgmt_gpio_in ) ( _197_ Z ) + USE SIGNAL - + ROUTED met2 ( 54510 24820 ) ( * 41990 ) - NEW met1 ( 46690 41990 ) ( 54510 * ) - NEW met3 ( 54510 24820 ) ( 70380 * 0 ) - NEW met2 ( 54510 24820 ) M2M3_PR_M - NEW met1 ( 54510 41990 ) M1M2_PR - NEW li1 ( 46690 41990 ) L1M1_PR_MR ; - - mgmt_gpio_oeb ( PIN mgmt_gpio_oeb ) ( ANTENNA_12 DIODE ) ( input14 A ) + USE SIGNAL - + ROUTED met1 ( 9890 36210 ) ( 12190 * ) - NEW met2 ( 12190 26180 ) ( * 36210 ) - NEW met1 ( 8050 36890 ) ( 9890 * ) - NEW met1 ( 9890 36210 ) ( * 36890 ) - NEW met3 ( 62100 26860 ) ( 70380 * 0 ) - NEW met3 ( 62100 26180 ) ( * 26860 ) - NEW met3 ( 12190 26180 ) ( 62100 * ) - NEW li1 ( 9890 36210 ) L1M1_PR_MR - NEW met1 ( 12190 36210 ) M1M2_PR - NEW met2 ( 12190 26180 ) M2M3_PR_M - NEW li1 ( 8050 36890 ) L1M1_PR_MR ; - - mgmt_gpio_out ( PIN mgmt_gpio_out ) ( ANTENNA_13 DIODE ) ( input15 A ) + USE SIGNAL - + ROUTED met3 ( 11730 28900 ) ( 13800 * ) - NEW met3 ( 13800 28220 ) ( * 28900 ) - NEW met3 ( 13800 28220 ) ( 70380 * 0 ) - NEW met1 ( 10810 36550 ) ( 11730 * ) - NEW met2 ( 8970 37230 ) ( * 39270 ) - NEW met1 ( 8970 37230 ) ( 10810 * ) - NEW met1 ( 10810 36550 ) ( * 37230 ) - NEW met2 ( 11730 28900 ) ( * 36550 ) - NEW met2 ( 11730 28900 ) M2M3_PR_M - NEW li1 ( 10810 36550 ) L1M1_PR_MR - NEW met1 ( 11730 36550 ) M1M2_PR - NEW li1 ( 8970 39270 ) L1M1_PR_MR - NEW met1 ( 8970 39270 ) M1M2_PR - NEW met1 ( 8970 37230 ) M1M2_PR - NEW met1 ( 8970 39270 ) RECT ( -355 -70 0 70 ) ; - - net1 ( input1 X ) ( _175_ B_N ) ( _177_ A ) + USE SIGNAL - + ROUTED met1 ( 10810 45390 ) ( * 45730 ) - NEW met1 ( 7590 45730 ) ( 10810 * ) - NEW met1 ( 39330 44710 ) ( 40710 * ) - NEW met2 ( 39330 44710 ) ( * 49980 ) - NEW met3 ( 17710 49980 ) ( 39330 * ) - NEW met2 ( 17710 45730 ) ( * 49980 ) - NEW met1 ( 15870 45730 ) ( 17710 * ) - NEW met1 ( 15870 45390 ) ( * 45730 ) - NEW met1 ( 39790 50150 ) ( 42090 * ) - NEW met2 ( 39790 49980 ) ( * 50150 ) - NEW met2 ( 39330 49980 ) ( 39790 * ) - NEW met1 ( 10810 45390 ) ( 15870 * ) - NEW li1 ( 7590 45730 ) L1M1_PR_MR - NEW li1 ( 40710 44710 ) L1M1_PR_MR - NEW met1 ( 39330 44710 ) M1M2_PR - NEW met2 ( 39330 49980 ) M2M3_PR_M - NEW met2 ( 17710 49980 ) M2M3_PR_M - NEW met1 ( 17710 45730 ) M1M2_PR - NEW li1 ( 42090 50150 ) L1M1_PR_MR - NEW met1 ( 39790 50150 ) M1M2_PR ; - - net10 ( input10 X ) ( _108_ B_N ) ( _110_ B ) + USE SIGNAL - + ROUTED li1 ( 8050 28390 ) ( * 32130 ) - NEW met2 ( 8050 32130 ) ( * 33150 ) - NEW met2 ( 37490 14110 ) ( * 15130 ) - NEW met1 ( 25070 14110 ) ( 37490 * ) - NEW met2 ( 25070 14110 ) ( * 17340 ) - NEW met2 ( 25070 17340 ) ( 25530 * ) - NEW met2 ( 25530 17340 ) ( * 26690 ) - NEW met1 ( 14490 26690 ) ( 25530 * ) - NEW li1 ( 14490 26690 ) ( * 28390 ) - NEW met3 ( 37490 13940 ) ( 41630 * ) - NEW met2 ( 37490 13940 ) ( * 14110 ) - NEW met1 ( 8050 28390 ) ( 14490 * ) - NEW met2 ( 41630 12070 ) ( * 13940 ) - NEW li1 ( 41630 12070 ) L1M1_PR_MR - NEW met1 ( 41630 12070 ) M1M2_PR - NEW li1 ( 8050 28390 ) L1M1_PR_MR - NEW li1 ( 8050 32130 ) L1M1_PR_MR - NEW met1 ( 8050 32130 ) M1M2_PR - NEW li1 ( 8050 33150 ) L1M1_PR_MR - NEW met1 ( 8050 33150 ) M1M2_PR - NEW li1 ( 37490 15130 ) L1M1_PR_MR - NEW met1 ( 37490 15130 ) M1M2_PR - NEW met1 ( 37490 14110 ) M1M2_PR - NEW met1 ( 25070 14110 ) M1M2_PR - NEW met1 ( 25530 26690 ) M1M2_PR - NEW li1 ( 14490 26690 ) L1M1_PR_MR - NEW li1 ( 14490 28390 ) L1M1_PR_MR - NEW met2 ( 41630 13940 ) M2M3_PR_M - NEW met2 ( 37490 13940 ) M2M3_PR_M - NEW met1 ( 41630 12070 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 8050 32130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 8050 33150 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 37490 15130 ) RECT ( 0 -70 355 70 ) ; - - net11 ( input11 X ) ( _102_ B_N ) ( _104_ B ) + USE SIGNAL - + ROUTED met1 ( 42090 12070 ) ( 44390 * ) - NEW met2 ( 13570 18530 ) ( * 28050 ) - NEW met1 ( 7130 28050 ) ( 13570 * ) - NEW met2 ( 7130 28050 ) ( * 33150 ) - NEW met1 ( 6670 33150 ) ( 7130 * ) - NEW met2 ( 42090 12070 ) ( * 13800 ) - NEW met1 ( 40250 15130 ) ( 41630 * ) - NEW met2 ( 40250 15130 ) ( * 18530 ) - NEW met1 ( 31970 18530 ) ( 40250 * ) - NEW met1 ( 31970 18190 ) ( * 18530 ) - NEW met1 ( 28750 18190 ) ( 31970 * ) - NEW met1 ( 28750 18190 ) ( * 18530 ) - NEW met2 ( 42090 13800 ) ( 42550 * ) - NEW met2 ( 42550 13800 ) ( * 15130 ) - NEW met1 ( 41630 15130 ) ( 42550 * ) - NEW met1 ( 13570 18530 ) ( 28750 * ) - NEW li1 ( 44390 12070 ) L1M1_PR_MR - NEW met1 ( 42090 12070 ) M1M2_PR - NEW met1 ( 13570 18530 ) M1M2_PR - NEW met1 ( 13570 28050 ) M1M2_PR - NEW met1 ( 7130 28050 ) M1M2_PR - NEW met1 ( 7130 33150 ) M1M2_PR - NEW li1 ( 6670 33150 ) L1M1_PR_MR - NEW li1 ( 41630 15130 ) L1M1_PR_MR - NEW met1 ( 40250 15130 ) M1M2_PR - NEW met1 ( 40250 18530 ) M1M2_PR - NEW met1 ( 42550 15130 ) M1M2_PR ; - - net12 ( input12 X ) ( _163_ B_N ) ( _165_ B ) + USE SIGNAL - + ROUTED met1 ( 26450 41310 ) ( 26910 * ) - NEW li1 ( 25990 41310 ) ( 26450 * ) - NEW met3 ( 18630 40460 ) ( 24610 * ) - NEW met2 ( 18630 39950 ) ( * 40460 ) - NEW met1 ( 12650 39950 ) ( 18630 * ) - NEW met1 ( 25530 43010 ) ( 25990 * ) - NEW met2 ( 25530 43010 ) ( * 47770 ) - NEW met1 ( 24610 47770 ) ( 25530 * ) - NEW met1 ( 24610 43010 ) ( 25530 * ) - NEW met1 ( 26450 42350 ) ( 26910 * ) - NEW met1 ( 26450 42330 ) ( * 42350 ) - NEW met2 ( 24610 40460 ) ( * 43010 ) - NEW li1 ( 25990 41310 ) ( * 43010 ) - NEW met1 ( 26910 41310 ) ( * 42350 ) - NEW li1 ( 26450 41310 ) L1M1_PR_MR - NEW met2 ( 24610 40460 ) M2M3_PR_M - NEW met2 ( 18630 40460 ) M2M3_PR_M - NEW met1 ( 18630 39950 ) M1M2_PR - NEW li1 ( 12650 39950 ) L1M1_PR_MR - NEW li1 ( 25990 43010 ) L1M1_PR_MR - NEW met1 ( 25530 43010 ) M1M2_PR - NEW met1 ( 25530 47770 ) M1M2_PR - NEW li1 ( 24610 47770 ) L1M1_PR_MR - NEW met1 ( 24610 43010 ) M1M2_PR - NEW li1 ( 26450 42330 ) L1M1_PR_MR ; - - net13 ( input13 X ) ( _157_ B_N ) ( _159_ B ) + USE SIGNAL - + ROUTED met1 ( 11270 39950 ) ( 12190 * ) - NEW met1 ( 25990 47770 ) ( 28290 * ) - NEW met1 ( 25990 47430 ) ( * 47770 ) - NEW met1 ( 20470 47430 ) ( 25990 * ) - NEW met2 ( 20470 45220 ) ( * 47430 ) - NEW met2 ( 19550 45220 ) ( 20470 * ) - NEW met2 ( 19550 44540 ) ( * 45220 ) - NEW met2 ( 18630 44540 ) ( 19550 * ) - NEW met3 ( 12190 44540 ) ( 18630 * ) - NEW met1 ( 31970 47770 ) ( * 48110 ) - NEW met1 ( 28290 48110 ) ( 31970 * ) - NEW met1 ( 28290 47770 ) ( * 48110 ) - NEW met2 ( 12190 39950 ) ( * 44540 ) - NEW met1 ( 12190 39950 ) M1M2_PR - NEW li1 ( 11270 39950 ) L1M1_PR_MR - NEW li1 ( 28290 47770 ) L1M1_PR_MR - NEW met1 ( 20470 47430 ) M1M2_PR - NEW met2 ( 18630 44540 ) M2M3_PR_M + NEW met1 ( 14030 9350 ) M1M2_PR + NEW li1 ( 20470 9690 ) L1M1_PR_MR ; + - gpio_defaults[3] ( PIN gpio_defaults[3] ) ( input7 A ) + USE SIGNAL + + ROUTED met2 ( 11730 25500 ) ( 12650 * ) + NEW met2 ( 11730 25500 ) ( * 41820 ) + NEW met2 ( 10810 41820 ) ( 11730 * ) + NEW met2 ( 10810 41820 ) ( * 45220 ) + NEW met2 ( 10350 45220 ) ( 10810 * ) + NEW met2 ( 12650 7650 ) ( * 25500 ) + NEW met1 ( 12650 7650 ) ( 13800 * ) + NEW met1 ( 13800 7650 ) ( * 9010 ) + NEW met1 ( 13800 9010 ) ( 21850 * ) + NEW met1 ( 21850 9010 ) ( * 9690 ) + NEW met2 ( 10350 61540 ) ( 11270 * ) + NEW met2 ( 11270 60690 ) ( * 61540 ) + NEW met2 ( 11270 60690 ) ( 11730 * ) + NEW met2 ( 11730 60690 ) ( * 61540 0 ) + NEW met2 ( 10350 45220 ) ( * 61540 ) + NEW met1 ( 12650 7650 ) M1M2_PR + NEW li1 ( 21850 9690 ) L1M1_PR_MR ; + - gpio_defaults[4] ( PIN gpio_defaults[4] ) ( input8 A ) + USE SIGNAL + + ROUTED met1 ( 14030 59330 ) ( 19090 * ) + NEW met2 ( 14030 59330 ) ( * 61540 0 ) + NEW met3 ( 19090 44540 ) ( * 45900 ) + NEW met3 ( 12190 44540 ) ( 19090 * ) + NEW met2 ( 12190 34850 ) ( * 44540 ) + NEW met2 ( 12190 34850 ) ( 12650 * ) + NEW met2 ( 12650 30940 ) ( * 34850 ) + NEW met3 ( 12650 30940 ) ( 15180 * ) + NEW met3 ( 15180 30260 ) ( * 30940 ) + NEW met3 ( 15180 30260 ) ( 24150 * ) + NEW met2 ( 24150 23460 ) ( * 30260 ) + NEW met2 ( 24150 23460 ) ( 24610 * ) + NEW met2 ( 24610 17340 ) ( * 23460 ) + NEW met2 ( 23690 17340 ) ( 24610 * ) + NEW met2 ( 23690 9690 ) ( * 17340 ) + NEW met1 ( 23230 9690 ) ( 23690 * ) + NEW met2 ( 19090 45900 ) ( * 59330 ) + NEW met1 ( 19090 59330 ) M1M2_PR + NEW met1 ( 14030 59330 ) M1M2_PR + NEW met2 ( 19090 45900 ) M2M3_PR_M NEW met2 ( 12190 44540 ) M2M3_PR_M - NEW li1 ( 31970 47770 ) L1M1_PR_MR ; + NEW met2 ( 12650 30940 ) M2M3_PR_M + NEW met2 ( 24150 30260 ) M2M3_PR_M + NEW met1 ( 23690 9690 ) M1M2_PR + NEW li1 ( 23230 9690 ) L1M1_PR_MR ; + - gpio_defaults[5] ( PIN gpio_defaults[5] ) ( input9 A ) + USE SIGNAL + + ROUTED met3 ( 16790 48620 ) ( 17020 * ) + NEW met2 ( 16790 48620 ) ( * 58140 ) + NEW met2 ( 16330 58140 ) ( 16790 * ) + NEW met2 ( 16330 58140 ) ( * 61540 0 ) + NEW met3 ( 17020 47940 ) ( 21390 * ) + NEW met2 ( 21390 44710 ) ( * 47940 ) + NEW met2 ( 20930 44710 ) ( 21390 * ) + NEW met2 ( 20930 30940 ) ( * 44710 ) + NEW met3 ( 20930 30940 ) ( 22310 * ) + NEW met2 ( 22310 21420 ) ( * 30940 ) + NEW met3 ( 21390 21420 ) ( 22310 * ) + NEW met3 ( 21390 20740 ) ( * 21420 ) + NEW met2 ( 21390 17850 ) ( * 20740 ) + NEW met1 ( 21390 17850 ) ( 22310 * ) + NEW met2 ( 22310 12750 ) ( * 17850 ) + NEW met1 ( 22310 12750 ) ( 24150 * ) + NEW met2 ( 24150 9690 ) ( * 12750 ) + NEW met1 ( 24150 9690 ) ( 24610 * ) + NEW met3 ( 17020 47940 ) ( * 48620 ) + NEW met2 ( 16790 48620 ) M2M3_PR_M + NEW met2 ( 21390 47940 ) M2M3_PR_M + NEW met2 ( 20930 30940 ) M2M3_PR_M + NEW met2 ( 22310 30940 ) M2M3_PR_M + NEW met2 ( 22310 21420 ) M2M3_PR_M + NEW met2 ( 21390 20740 ) M2M3_PR_M + NEW met1 ( 21390 17850 ) M1M2_PR + NEW met1 ( 22310 17850 ) M1M2_PR + NEW met1 ( 22310 12750 ) M1M2_PR + NEW met1 ( 24150 12750 ) M1M2_PR + NEW met1 ( 24150 9690 ) M1M2_PR + NEW li1 ( 24610 9690 ) L1M1_PR_MR ; + - gpio_defaults[6] ( PIN gpio_defaults[6] ) ( input10 A ) + USE SIGNAL + + ROUTED met2 ( 17710 20570 ) ( * 41140 ) + NEW met2 ( 17710 41140 ) ( 18630 * ) + NEW met2 ( 18630 41140 ) ( * 61540 0 ) + NEW li1 ( 17710 20570 ) L1M1_PR_MR + NEW met1 ( 17710 20570 ) M1M2_PR + NEW met1 ( 17710 20570 ) RECT ( -355 -70 0 70 ) ; + - gpio_defaults[7] ( PIN gpio_defaults[7] ) ( input11 A ) + USE SIGNAL + + ROUTED met1 ( 17710 17510 ) ( 18630 * ) + NEW met2 ( 18630 17510 ) ( * 18020 ) + NEW met2 ( 18630 18020 ) ( 19090 * ) + NEW met2 ( 19090 18020 ) ( * 45390 ) + NEW met1 ( 19090 45390 ) ( 20930 * ) + NEW met2 ( 20930 45390 ) ( * 61540 0 ) + NEW li1 ( 17710 17510 ) L1M1_PR_MR + NEW met1 ( 18630 17510 ) M1M2_PR + NEW met1 ( 19090 45390 ) M1M2_PR + NEW met1 ( 20930 45390 ) M1M2_PR ; + - gpio_defaults[8] ( PIN gpio_defaults[8] ) ( input12 A ) + USE SIGNAL + + ROUTED met1 ( 19090 17510 ) ( * 17850 ) + NEW met1 ( 16330 17850 ) ( 19090 * ) + NEW met2 ( 16330 17850 ) ( * 28900 ) + NEW met2 ( 15870 28900 ) ( 16330 * ) + NEW met2 ( 15870 28900 ) ( * 41820 ) + NEW met3 ( 15870 41820 ) ( 23230 * ) + NEW met2 ( 23230 41820 ) ( * 61540 0 ) + NEW li1 ( 19090 17510 ) L1M1_PR_MR + NEW met1 ( 16330 17850 ) M1M2_PR + NEW met2 ( 15870 41820 ) M2M3_PR_M + NEW met2 ( 23230 41820 ) M2M3_PR_M ; + - gpio_defaults[9] ( PIN gpio_defaults[9] ) ( input13 A ) + USE SIGNAL + + ROUTED met2 ( 25070 54910 ) ( 25530 * ) + NEW met2 ( 25530 54910 ) ( * 61540 0 ) + NEW met3 ( 24150 36380 ) ( 25070 * ) + NEW met2 ( 24150 31790 ) ( * 36380 ) + NEW met1 ( 20010 31790 ) ( 24150 * ) + NEW met1 ( 20010 31450 ) ( * 31790 ) + NEW met2 ( 20010 15130 ) ( * 31450 ) + NEW met1 ( 20010 15130 ) ( 20470 * ) + NEW met2 ( 25070 36380 ) ( * 54910 ) + NEW met2 ( 25070 36380 ) M2M3_PR_M + NEW met2 ( 24150 36380 ) M2M3_PR_M + NEW met1 ( 24150 31790 ) M1M2_PR + NEW met1 ( 20010 31450 ) M1M2_PR + NEW met1 ( 20010 15130 ) M1M2_PR + NEW li1 ( 20470 15130 ) L1M1_PR_MR ; + - gpio_logic1 ( gpio_logic_high gpio_logic1 ) ( gpio_in_buf TE ) + USE SIGNAL + + ROUTED met3 ( 12420 16660 0 ) ( * 20060 ) + NEW met3 ( 12420 20060 ) ( 38870 * ) + NEW met2 ( 38870 19890 ) ( * 20060 ) + NEW met1 ( 37950 19890 ) ( 38870 * ) + NEW met1 ( 37950 19890 ) ( * 20230 ) + NEW met2 ( 38870 20060 ) M2M3_PR_M + NEW met1 ( 38870 19890 ) M1M2_PR + NEW li1 ( 37950 20230 ) L1M1_PR_MR ; + - gpio_outenb ( _204_ Q ) ( _181_ A ) ( _179_ B_N ) + USE SIGNAL + + ROUTED met1 ( 42090 52870 ) ( 45310 * ) + NEW met1 ( 42090 52190 ) ( * 52870 ) + NEW met1 ( 42090 52190 ) ( 43930 * ) + NEW met1 ( 43930 45390 ) ( 46690 * ) + NEW met2 ( 46690 26690 ) ( * 45390 ) + NEW met1 ( 43930 26690 ) ( 46690 * ) + NEW met2 ( 43930 18700 ) ( * 26690 ) + NEW met3 ( 43930 18700 ) ( 46230 * ) + NEW met2 ( 46230 18530 ) ( * 18700 ) + NEW met1 ( 46230 18530 ) ( 47610 * ) + NEW li1 ( 47610 17510 ) ( * 18530 ) + NEW met1 ( 44850 17510 ) ( 47610 * ) + NEW met1 ( 25070 46750 ) ( 26910 * ) + NEW met2 ( 26910 45730 ) ( * 46750 ) + NEW met1 ( 26910 45730 ) ( 33350 * ) + NEW met1 ( 33350 45390 ) ( * 45730 ) + NEW met1 ( 33350 45390 ) ( 43930 * ) + NEW met2 ( 43930 45390 ) ( * 52190 ) + NEW li1 ( 45310 52870 ) L1M1_PR_MR + NEW met1 ( 43930 52190 ) M1M2_PR + NEW met1 ( 43930 45390 ) M1M2_PR + NEW met1 ( 46690 45390 ) M1M2_PR + NEW met1 ( 46690 26690 ) M1M2_PR + NEW met1 ( 43930 26690 ) M1M2_PR + NEW met2 ( 43930 18700 ) M2M3_PR_M + NEW met2 ( 46230 18700 ) M2M3_PR_M + NEW met1 ( 46230 18530 ) M1M2_PR + NEW li1 ( 47610 18530 ) L1M1_PR_MR + NEW li1 ( 47610 17510 ) L1M1_PR_MR + NEW li1 ( 44850 17510 ) L1M1_PR_MR + NEW li1 ( 25070 46750 ) L1M1_PR_MR + NEW met1 ( 26910 46750 ) M1M2_PR + NEW met1 ( 26910 45730 ) M1M2_PR ; + - mgmt_ena ( _198_ Q ) ( _196_ S ) ( _193_ S ) + USE SIGNAL + + ROUTED met2 ( 46230 36550 ) ( * 52530 ) + NEW met1 ( 42550 52530 ) ( 46230 * ) + NEW met1 ( 46230 34170 ) ( 46690 * ) + NEW met2 ( 46230 34170 ) ( * 36550 ) + NEW li1 ( 46230 36550 ) L1M1_PR_MR + NEW met1 ( 46230 36550 ) M1M2_PR + NEW met1 ( 46230 52530 ) M1M2_PR + NEW li1 ( 42550 52530 ) L1M1_PR_MR + NEW li1 ( 46690 34170 ) L1M1_PR_MR + NEW met1 ( 46230 34170 ) M1M2_PR + NEW met1 ( 46230 36550 ) RECT ( 0 -70 355 70 ) ; + - mgmt_gpio_in ( PIN mgmt_gpio_in ) ( _197_ Z ) + USE SIGNAL + + ROUTED met3 ( 46690 4420 ) ( 70380 * 0 ) + NEW met2 ( 46690 4420 ) ( * 24990 ) + NEW met2 ( 46690 4420 ) M2M3_PR_M + NEW li1 ( 46690 24990 ) L1M1_PR_MR + NEW met1 ( 46690 24990 ) M1M2_PR + NEW met1 ( 46690 24990 ) RECT ( -355 -70 0 70 ) ; + - mgmt_gpio_oeb ( PIN mgmt_gpio_oeb ) ( input14 A ) + USE SIGNAL + + ROUTED met2 ( 83030 6630 ) ( * 8500 ) + NEW met3 ( 83030 8500 ) ( 83260 * 0 ) + NEW met1 ( 82800 6630 ) ( 83030 * ) + NEW met1 ( 82800 6290 ) ( * 6630 ) + NEW met1 ( 42550 6290 ) ( * 6630 ) + NEW met1 ( 42550 6290 ) ( 82800 * ) + NEW met1 ( 83030 6630 ) M1M2_PR + NEW met2 ( 83030 8500 ) M2M3_PR_M + NEW li1 ( 42550 6630 ) L1M1_PR_MR ; + - mgmt_gpio_out ( PIN mgmt_gpio_out ) ( ANTENNA_5 DIODE ) ( input15 A ) + USE SIGNAL + + ROUTED met2 ( 83030 9010 ) ( * 10540 ) + NEW met3 ( 83030 10540 ) ( 83260 * 0 ) + NEW met1 ( 82800 9010 ) ( 83030 * ) + NEW met1 ( 82800 8670 ) ( * 9010 ) + NEW met1 ( 40710 9350 ) ( * 9690 ) + NEW met1 ( 40710 9350 ) ( 45310 * ) + NEW met1 ( 45310 8670 ) ( * 9350 ) + NEW met1 ( 39330 9350 ) ( 40710 * ) + NEW met1 ( 45310 8670 ) ( 82800 * ) + NEW met1 ( 83030 9010 ) M1M2_PR + NEW met2 ( 83030 10540 ) M2M3_PR_M + NEW li1 ( 40710 9690 ) L1M1_PR_MR + NEW li1 ( 39330 9350 ) L1M1_PR_MR ; + - net1 ( input1 X ) ( _175_ B_N ) ( _177_ A ) + USE SIGNAL + + ROUTED met1 ( 6210 29410 ) ( 9430 * ) + NEW met2 ( 9430 29410 ) ( * 31620 ) + NEW met1 ( 29210 53210 ) ( 30130 * ) + NEW met2 ( 30130 41990 ) ( * 53210 ) + NEW met1 ( 30130 41650 ) ( * 41990 ) + NEW met1 ( 28290 41650 ) ( 30130 * ) + NEW met1 ( 28290 41310 ) ( * 41650 ) + NEW met2 ( 28290 31620 ) ( * 41310 ) + NEW met1 ( 30130 55590 ) ( 31050 * ) + NEW met2 ( 30130 53210 ) ( * 55590 ) + NEW met3 ( 9430 31620 ) ( 28290 * ) + NEW li1 ( 6210 29410 ) L1M1_PR_MR + NEW met1 ( 9430 29410 ) M1M2_PR + NEW met2 ( 9430 31620 ) M2M3_PR_M + NEW li1 ( 29210 53210 ) L1M1_PR_MR + NEW met1 ( 30130 53210 ) M1M2_PR + NEW met1 ( 30130 41990 ) M1M2_PR + NEW met1 ( 28290 41310 ) M1M2_PR + NEW met2 ( 28290 31620 ) M2M3_PR_M + NEW li1 ( 31050 55590 ) L1M1_PR_MR + NEW met1 ( 30130 55590 ) M1M2_PR ; + - net10 ( input10 X ) ( _108_ B_N ) ( _110_ B ) + USE SIGNAL + + ROUTED met1 ( 21390 22950 ) ( 21850 * ) + NEW met2 ( 21390 21250 ) ( * 22950 ) + NEW met1 ( 16790 21250 ) ( 21390 * ) + NEW met1 ( 21850 22610 ) ( 28750 * ) + NEW met1 ( 21850 22610 ) ( * 22950 ) + NEW li1 ( 21850 22950 ) L1M1_PR_MR + NEW met1 ( 21390 22950 ) M1M2_PR + NEW met1 ( 21390 21250 ) M1M2_PR + NEW li1 ( 16790 21250 ) L1M1_PR_MR + NEW li1 ( 28750 22610 ) L1M1_PR_MR ; + - net11 ( input11 X ) ( _102_ B_N ) ( _104_ B ) + USE SIGNAL + + ROUTED met2 ( 30130 29410 ) ( * 33830 ) + NEW met1 ( 20010 29410 ) ( 30130 * ) + NEW met1 ( 20010 28390 ) ( * 29410 ) + NEW met1 ( 18630 28390 ) ( 20010 * ) + NEW met2 ( 18630 20060 ) ( * 28390 ) + NEW met2 ( 18170 20060 ) ( 18630 * ) + NEW met2 ( 18170 18190 ) ( * 20060 ) + NEW met1 ( 16790 18190 ) ( 18170 * ) + NEW met1 ( 28290 48110 ) ( 30590 * ) + NEW met2 ( 30590 39100 ) ( * 48110 ) + NEW met2 ( 30130 39100 ) ( 30590 * ) + NEW met2 ( 30130 33830 ) ( * 39100 ) + NEW li1 ( 30130 33830 ) L1M1_PR_MR + NEW met1 ( 30130 33830 ) M1M2_PR + NEW met1 ( 30130 29410 ) M1M2_PR + NEW met1 ( 18630 28390 ) M1M2_PR + NEW met1 ( 18170 18190 ) M1M2_PR + NEW li1 ( 16790 18190 ) L1M1_PR_MR + NEW li1 ( 28290 48110 ) L1M1_PR_MR + NEW met1 ( 30590 48110 ) M1M2_PR + NEW met1 ( 30130 33830 ) RECT ( -355 -70 0 70 ) ; + - net12 ( input12 X ) ( _163_ B_N ) ( _165_ B ) + USE SIGNAL + + ROUTED met2 ( 37490 55590 ) ( 38410 * ) + NEW met2 ( 37490 51170 ) ( * 55590 ) + NEW met1 ( 35190 51170 ) ( 37490 * ) + NEW met2 ( 35190 47260 ) ( * 51170 ) + NEW met2 ( 34730 47260 ) ( 35190 * ) + NEW met2 ( 34730 35020 ) ( * 47260 ) + NEW met2 ( 34270 35020 ) ( 34730 * ) + NEW met2 ( 34270 30260 ) ( * 35020 ) + NEW met3 ( 28750 30260 ) ( 34270 * ) + NEW met2 ( 28750 17510 ) ( * 30260 ) + NEW met1 ( 28290 17510 ) ( 28750 * ) + NEW met1 ( 28290 16830 ) ( * 17510 ) + NEW met1 ( 23690 16830 ) ( 28290 * ) + NEW met1 ( 23690 16830 ) ( * 17170 ) + NEW met1 ( 18170 17170 ) ( 23690 * ) + NEW met1 ( 18170 16830 ) ( * 17170 ) + NEW met1 ( 40710 53210 ) ( 43010 * ) + NEW met1 ( 40710 52530 ) ( * 53210 ) + NEW met1 ( 37490 52530 ) ( 40710 * ) + NEW li1 ( 38410 55590 ) L1M1_PR_MR + NEW met1 ( 38410 55590 ) M1M2_PR + NEW met1 ( 37490 51170 ) M1M2_PR + NEW met1 ( 35190 51170 ) M1M2_PR + NEW met2 ( 34270 30260 ) M2M3_PR_M + NEW met2 ( 28750 30260 ) M2M3_PR_M + NEW met1 ( 28750 17510 ) M1M2_PR + NEW li1 ( 18170 16830 ) L1M1_PR_MR + NEW li1 ( 43010 53210 ) L1M1_PR_MR + NEW met1 ( 37490 52530 ) M1M2_PR + NEW met1 ( 38410 55590 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 37490 52530 ) RECT ( -70 -485 70 0 ) ; + - net13 ( input13 X ) ( _157_ B_N ) ( _159_ B ) + USE SIGNAL + + ROUTED met1 ( 25530 47770 ) ( 25990 * ) + NEW li1 ( 25530 47090 ) ( * 47770 ) + NEW met1 ( 25530 47090 ) ( 27370 * ) + NEW met1 ( 27370 46750 ) ( * 47090 ) + NEW met1 ( 27370 46750 ) ( 29670 * ) + NEW met2 ( 29670 46580 ) ( * 46750 ) + NEW met3 ( 29670 46580 ) ( 31050 * ) + NEW met2 ( 31050 38590 ) ( * 46580 ) + NEW met2 ( 30590 38590 ) ( 31050 * ) + NEW met2 ( 30590 28900 ) ( * 38590 ) + NEW met2 ( 29670 28900 ) ( 30590 * ) + NEW met2 ( 29670 15300 ) ( * 28900 ) + NEW met3 ( 19090 15300 ) ( 29670 * ) + NEW met2 ( 19090 15300 ) ( * 15810 ) + NEW met1 ( 19090 15810 ) ( 19550 * ) + NEW met1 ( 38870 50150 ) ( 39790 * ) + NEW met2 ( 38870 47770 ) ( * 50150 ) + NEW met2 ( 38410 47770 ) ( 38870 * ) + NEW met1 ( 36110 47770 ) ( 38410 * ) + NEW met1 ( 36110 47770 ) ( * 48110 ) + NEW met1 ( 31970 48110 ) ( 36110 * ) + NEW met2 ( 31970 46580 ) ( * 48110 ) + NEW met3 ( 31050 46580 ) ( 31970 * ) + NEW li1 ( 25990 47770 ) L1M1_PR_MR + NEW li1 ( 25530 47770 ) L1M1_PR_MR + NEW li1 ( 25530 47090 ) L1M1_PR_MR + NEW met1 ( 29670 46750 ) M1M2_PR + NEW met2 ( 29670 46580 ) M2M3_PR_M + NEW met2 ( 31050 46580 ) M2M3_PR_M + NEW met2 ( 29670 15300 ) M2M3_PR_M + NEW met2 ( 19090 15300 ) M2M3_PR_M + NEW met1 ( 19090 15810 ) M1M2_PR + NEW li1 ( 19550 15810 ) L1M1_PR_MR + NEW li1 ( 39790 50150 ) L1M1_PR_MR + NEW met1 ( 38870 50150 ) M1M2_PR + NEW met1 ( 38410 47770 ) M1M2_PR + NEW met1 ( 31970 48110 ) M1M2_PR + NEW met2 ( 31970 46580 ) M2M3_PR_M ; - net14 ( input14 X ) ( _181_ B ) ( _195_ S ) + USE SIGNAL - + ROUTED met2 ( 42090 39780 ) ( * 42330 ) - NEW met3 ( 29670 39780 ) ( 42090 * ) - NEW met2 ( 29670 37570 ) ( * 39780 ) - NEW met1 ( 25070 37570 ) ( 29670 * ) - NEW met2 ( 25070 35020 ) ( * 37570 ) - NEW met3 ( 25070 34340 ) ( * 35020 ) - NEW met3 ( 7130 34340 ) ( 25070 * ) - NEW met2 ( 7130 34340 ) ( * 35870 ) - NEW met2 ( 46690 39610 ) ( * 39780 ) - NEW met3 ( 42090 39780 ) ( 46690 * ) - NEW li1 ( 42090 42330 ) L1M1_PR_MR - NEW met1 ( 42090 42330 ) M1M2_PR - NEW met2 ( 42090 39780 ) M2M3_PR_M - NEW met2 ( 29670 39780 ) M2M3_PR_M - NEW met1 ( 29670 37570 ) M1M2_PR - NEW met1 ( 25070 37570 ) M1M2_PR - NEW met2 ( 25070 35020 ) M2M3_PR_M - NEW met2 ( 7130 34340 ) M2M3_PR_M - NEW li1 ( 7130 35870 ) L1M1_PR_MR - NEW met1 ( 7130 35870 ) M1M2_PR - NEW li1 ( 46690 39610 ) L1M1_PR_MR - NEW met1 ( 46690 39610 ) M1M2_PR - NEW met2 ( 46690 39780 ) M2M3_PR_M - NEW met1 ( 42090 42330 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 7130 35870 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 46690 39610 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 46230 53210 ) ( 48070 * ) + NEW met1 ( 46690 20230 ) ( 48070 * ) + NEW met1 ( 41630 7650 ) ( 43470 * ) + NEW met2 ( 43470 7650 ) ( * 12580 ) + NEW met3 ( 43470 12580 ) ( 44390 * ) + NEW met2 ( 44390 12580 ) ( * 20910 ) + NEW met1 ( 44390 20910 ) ( 46690 * ) + NEW met1 ( 46690 20230 ) ( * 20910 ) + NEW met2 ( 48070 20230 ) ( * 53210 ) + NEW met1 ( 48070 53210 ) M1M2_PR + NEW li1 ( 46230 53210 ) L1M1_PR_MR + NEW li1 ( 46690 20230 ) L1M1_PR_MR + NEW met1 ( 48070 20230 ) M1M2_PR + NEW li1 ( 41630 7650 ) L1M1_PR_MR + NEW met1 ( 43470 7650 ) M1M2_PR + NEW met2 ( 43470 12580 ) M2M3_PR_M + NEW met2 ( 44390 12580 ) M2M3_PR_M + NEW met1 ( 44390 20910 ) M1M2_PR ; - net15 ( input15 X ) ( _194_ A1 ) ( _195_ A0 ) + USE SIGNAL - + ROUTED met1 ( 42550 38590 ) ( 45770 * ) - NEW met2 ( 42550 38590 ) ( * 41310 ) - NEW met1 ( 27370 41310 ) ( 42550 * ) - NEW met2 ( 27370 41140 ) ( * 41310 ) - NEW met3 ( 15410 41140 ) ( 27370 * ) - NEW met2 ( 15410 40290 ) ( * 41140 ) - NEW met1 ( 46230 33830 ) ( 47150 * ) - NEW met2 ( 47150 33830 ) ( * 39100 ) - NEW met2 ( 45770 39100 ) ( 47150 * ) - NEW met2 ( 45770 38590 ) ( * 39100 ) - NEW met1 ( 8050 40290 ) ( 15410 * ) - NEW li1 ( 8050 40290 ) L1M1_PR_MR - NEW li1 ( 45770 38590 ) L1M1_PR_MR - NEW met1 ( 42550 38590 ) M1M2_PR - NEW met1 ( 42550 41310 ) M1M2_PR - NEW met1 ( 27370 41310 ) M1M2_PR - NEW met2 ( 27370 41140 ) M2M3_PR_M - NEW met2 ( 15410 41140 ) M2M3_PR_M - NEW met1 ( 15410 40290 ) M1M2_PR - NEW li1 ( 46230 33830 ) L1M1_PR_MR - NEW met1 ( 47150 33830 ) M1M2_PR - NEW met1 ( 45770 38590 ) M1M2_PR - NEW met1 ( 45770 38590 ) RECT ( -595 -70 0 70 ) ; + + ROUTED met1 ( 39790 8670 ) ( 43010 * ) + NEW met1 ( 45770 15130 ) ( * 15150 ) + NEW met1 ( 44850 15150 ) ( 45770 * ) + NEW met1 ( 44850 15130 ) ( * 15150 ) + NEW met2 ( 44850 15130 ) ( * 20570 ) + NEW met1 ( 44850 20570 ) ( 45310 * ) + NEW met1 ( 43010 15130 ) ( 44850 * ) + NEW met2 ( 43010 8670 ) ( * 15130 ) + NEW li1 ( 39790 8670 ) L1M1_PR_MR + NEW met1 ( 43010 8670 ) M1M2_PR + NEW li1 ( 45770 15130 ) L1M1_PR_MR + NEW met1 ( 44850 15130 ) M1M2_PR + NEW met1 ( 44850 20570 ) M1M2_PR + NEW li1 ( 45310 20570 ) L1M1_PR_MR + NEW met1 ( 43010 15130 ) M1M2_PR ; - net16 ( input16 X ) ( _185_ A ) ( _197_ A ) + USE SIGNAL - + ROUTED met2 ( 43470 42330 ) ( * 45050 ) - NEW met1 ( 11730 45730 ) ( 13570 * ) - NEW met2 ( 11730 45730 ) ( * 50660 ) - NEW met3 ( 11730 50660 ) ( 14950 * ) - NEW met3 ( 14950 50660 ) ( * 51340 ) - NEW met3 ( 14950 51340 ) ( 24610 * ) - NEW met2 ( 24610 47940 ) ( * 51340 ) - NEW met2 ( 24150 47940 ) ( 24610 * ) - NEW met2 ( 24150 45730 ) ( * 47940 ) - NEW met1 ( 24150 45730 ) ( 32430 * ) - NEW met1 ( 32430 45390 ) ( * 45730 ) - NEW met1 ( 32430 45390 ) ( 35190 * ) - NEW met1 ( 35190 45050 ) ( * 45390 ) - NEW met1 ( 8970 44710 ) ( * 45050 ) - NEW met1 ( 8970 45050 ) ( 11730 * ) - NEW met2 ( 11730 45050 ) ( * 45730 ) - NEW met1 ( 35190 45050 ) ( 43470 * ) - NEW met1 ( 43470 45050 ) M1M2_PR - NEW li1 ( 43470 42330 ) L1M1_PR_MR - NEW met1 ( 43470 42330 ) M1M2_PR - NEW li1 ( 13570 45730 ) L1M1_PR_MR - NEW met1 ( 11730 45730 ) M1M2_PR - NEW met2 ( 11730 50660 ) M2M3_PR_M - NEW met2 ( 24610 51340 ) M2M3_PR_M - NEW met1 ( 24150 45730 ) M1M2_PR - NEW li1 ( 8970 44710 ) L1M1_PR_MR - NEW met1 ( 11730 45050 ) M1M2_PR - NEW met1 ( 43470 42330 ) RECT ( 0 -70 355 70 ) ; + + ROUTED met2 ( 35190 7650 ) ( * 9690 ) + NEW met1 ( 35190 7650 ) ( 38410 * ) + NEW met2 ( 35190 9860 ) ( 36110 * ) + NEW met2 ( 35190 9690 ) ( * 9860 ) + NEW met1 ( 36110 25330 ) ( 41170 * ) + NEW met1 ( 41170 25330 ) ( * 25670 ) + NEW met1 ( 41170 25670 ) ( 43470 * ) + NEW met2 ( 36110 9860 ) ( * 25330 ) + NEW li1 ( 35190 9690 ) L1M1_PR_MR + NEW met1 ( 35190 9690 ) M1M2_PR + NEW met1 ( 35190 7650 ) M1M2_PR + NEW li1 ( 38410 7650 ) L1M1_PR_MR + NEW met1 ( 36110 25330 ) M1M2_PR + NEW li1 ( 43470 25670 ) L1M1_PR_MR + NEW met1 ( 35190 9690 ) RECT ( -355 -70 0 70 ) ; - net17 ( input17 X ) ( _102_ A ) ( _104_ A ) ( _108_ A ) ( _110_ A ) ( _114_ A ) ( _116_ A ) ( _120_ A ) ( _122_ A ) ( _126_ A ) ( _128_ A ) ( _132_ A ) ( _134_ A ) ( _139_ A ) ( _141_ A ) ( _145_ A ) ( _147_ A ) ( _151_ A ) ( _153_ A ) ( _157_ A ) ( _159_ A ) ( _163_ A ) ( _165_ A ) ( _169_ A ) ( _171_ A ) ( _175_ A ) ( _177_ B ) ( _190_ A ) ( _211_ RESET_B ) ( _212_ RESET_B ) ( _213_ RESET_B ) ( _214_ RESET_B ) ( _215_ RESET_B ) ( _216_ RESET_B ) ( _217_ RESET_B ) ( _218_ RESET_B ) ( _219_ RESET_B ) ( _220_ RESET_B ) ( _221_ RESET_B ) ( _222_ RESET_B ) ( _223_ RESET_B ) + USE SIGNAL - + ROUTED met1 ( 24150 31790 0 ) ( 25530 * ) - NEW met1 ( 36110 31110 ) ( * 31450 ) - NEW met1 ( 32430 31110 ) ( 36110 * ) - NEW met1 ( 32430 31110 ) ( * 31790 0 ) - NEW met1 ( 23690 28390 0 ) ( * 28730 ) - NEW met1 ( 23690 28730 ) ( 25530 * ) - NEW met1 ( 25530 28730 ) ( * 29070 ) - NEW met2 ( 25530 29070 ) ( * 31790 ) - NEW met1 ( 32430 26350 ) ( 33350 * 0 ) - NEW met2 ( 32430 26350 ) ( * 31790 ) - NEW met1 ( 32430 23970 ) ( 33810 * ) - NEW met2 ( 32430 23970 ) ( * 26350 ) - NEW met1 ( 29670 22610 ) ( 30590 * ) - NEW met1 ( 30590 22270 ) ( * 22610 ) - NEW met1 ( 30590 22270 ) ( 32430 * ) - NEW met2 ( 32430 22270 ) ( * 23970 ) - NEW met2 ( 22310 23460 ) ( * 23970 ) - NEW met3 ( 22310 23460 ) ( 29670 * ) - NEW met2 ( 29670 22610 ) ( * 23460 ) - NEW met1 ( 37030 26350 0 ) ( 39790 * ) - NEW met1 ( 37030 26350 0 ) ( * 26690 ) - NEW met1 ( 33350 26690 ) ( 37030 * ) - NEW met1 ( 33350 26350 0 ) ( * 26690 ) - NEW met1 ( 39790 31110 ) ( * 31450 ) - NEW met1 ( 36110 31110 ) ( 39790 * ) - NEW met2 ( 42090 30430 ) ( * 31110 ) - NEW met1 ( 39790 31110 ) ( 42090 * ) - NEW met1 ( 44390 26010 ) ( 44850 * ) - NEW met1 ( 44390 25330 ) ( * 26010 ) - NEW met1 ( 39790 25330 ) ( 44390 * ) - NEW met1 ( 39790 25330 ) ( * 26350 ) - NEW met1 ( 44390 19550 ) ( 47610 * ) - NEW met2 ( 47610 19550 ) ( * 25330 ) - NEW met1 ( 44390 25330 ) ( 47610 * ) - NEW met2 ( 30130 18530 ) ( * 21250 ) - NEW met2 ( 29670 21250 ) ( 30130 * ) - NEW met2 ( 29670 21250 ) ( * 22610 ) - NEW met1 ( 26910 17170 ) ( 30130 * ) - NEW met2 ( 30130 17170 ) ( * 18530 ) - NEW met1 ( 23690 17510 0 ) ( * 18190 ) - NEW met1 ( 23690 18190 ) ( 27370 * ) - NEW met2 ( 27370 17170 ) ( * 18190 ) - NEW met2 ( 19550 15130 ) ( * 17850 ) - NEW met2 ( 19550 17850 ) ( 20010 * ) - NEW met1 ( 20010 17850 ) ( 23690 * ) - NEW met1 ( 21390 14110 ) ( * 14790 ) - NEW met1 ( 19550 14790 ) ( 21390 * ) - NEW met1 ( 19550 14790 ) ( * 15130 ) - NEW met1 ( 42090 14110 ) ( 43470 * ) - NEW met2 ( 43470 14110 ) ( * 19550 ) - NEW met1 ( 43470 19550 ) ( 44390 * ) - NEW met1 ( 42550 11730 ) ( 43470 * ) - NEW met2 ( 43470 11730 ) ( * 14110 ) - NEW met2 ( 22770 11730 ) ( * 14110 ) - NEW met1 ( 21390 14110 ) ( 22770 * ) - NEW met1 ( 36110 11730 0 ) ( 42550 * ) - NEW met2 ( 37950 11730 ) ( * 14110 ) - NEW met1 ( 43470 11730 ) ( 45310 * ) - NEW met1 ( 13110 53550 ) ( 17250 * 0 ) - NEW met1 ( 13110 53210 ) ( * 53550 ) - NEW met2 ( 18630 48110 ) ( * 49470 ) - NEW met1 ( 17250 49470 ) ( 18630 * ) - NEW met2 ( 17250 49470 ) ( * 53550 ) - NEW met2 ( 21850 55250 ) ( * 56100 ) - NEW met3 ( 17710 56100 ) ( 21850 * ) - NEW met2 ( 17710 53550 ) ( * 56100 ) - NEW met2 ( 17250 53550 ) ( 17710 * ) - NEW met1 ( 23690 46750 ) ( 25070 * ) - NEW met2 ( 23690 46750 ) ( * 56100 ) - NEW met3 ( 21850 56100 ) ( 23690 * ) - NEW met1 ( 22770 42670 0 ) ( 24150 * ) - NEW met2 ( 24150 42670 ) ( * 44540 ) - NEW met2 ( 23690 44540 ) ( 24150 * ) - NEW met2 ( 23690 44540 ) ( * 46750 ) - NEW met1 ( 24150 42670 ) ( 27370 * ) - NEW met2 ( 29210 47090 ) ( * 47770 ) - NEW met1 ( 29210 46750 ) ( * 47090 ) - NEW met1 ( 25070 46750 ) ( 29210 * ) - NEW met1 ( 29210 46750 ) ( 32430 * ) - NEW met2 ( 32430 42330 ) ( * 46750 ) - NEW met2 ( 33350 49810 ) ( 33810 * ) - NEW met2 ( 33350 46750 ) ( * 49810 ) - NEW met2 ( 32430 46750 ) ( 33350 * ) - NEW met2 ( 32430 35870 ) ( * 42330 ) - NEW met1 ( 27830 34850 ) ( 32430 * ) - NEW met2 ( 32430 34850 ) ( * 35870 ) - NEW met1 ( 25530 34850 ) ( 27830 * ) - NEW met2 ( 25530 31790 ) ( * 34850 ) - NEW met2 ( 32430 31790 ) ( * 34850 ) - NEW met2 ( 39790 53210 ) ( * 55930 ) - NEW met1 ( 39330 49810 0 ) ( 41170 * ) - NEW met2 ( 41170 49810 ) ( * 53210 ) - NEW met1 ( 39790 53210 0 ) ( 41170 * ) - NEW met1 ( 35650 49470 ) ( * 49810 0 ) - NEW met1 ( 35650 49470 ) ( 39330 * ) - NEW met1 ( 39330 49470 ) ( * 49810 0 ) - NEW met2 ( 41170 45730 ) ( * 49810 ) - NEW met1 ( 33810 49810 ) ( 35650 * 0 ) - NEW met1 ( 25530 31790 ) M1M2_PR - NEW met1 ( 32430 31790 ) M1M2_PR - NEW li1 ( 36110 31450 ) L1M1_PR_MR - NEW met1 ( 25530 29070 ) M1M2_PR - NEW met1 ( 32430 26350 ) M1M2_PR - NEW li1 ( 33810 23970 ) L1M1_PR_MR - NEW met1 ( 32430 23970 ) M1M2_PR - NEW li1 ( 29670 22610 ) L1M1_PR_MR - NEW met1 ( 32430 22270 ) M1M2_PR - NEW li1 ( 22310 23970 ) L1M1_PR_MR - NEW met1 ( 22310 23970 ) M1M2_PR - NEW met2 ( 22310 23460 ) M2M3_PR_M - NEW met2 ( 29670 23460 ) M2M3_PR_M - NEW met1 ( 29670 22610 ) M1M2_PR - NEW li1 ( 39790 26350 ) L1M1_PR_MR - NEW li1 ( 39790 31450 ) L1M1_PR_MR - NEW li1 ( 42090 30430 ) L1M1_PR_MR - NEW met1 ( 42090 30430 ) M1M2_PR - NEW met1 ( 42090 31110 ) M1M2_PR - NEW li1 ( 44850 26010 ) L1M1_PR_MR - NEW li1 ( 44390 19550 ) L1M1_PR_MR - NEW met1 ( 47610 19550 ) M1M2_PR - NEW met1 ( 47610 25330 ) M1M2_PR - NEW li1 ( 30130 18530 ) L1M1_PR_MR + + ROUTED met1 ( 38410 44370 ) ( 40710 * ) + NEW met2 ( 38410 44370 ) ( * 44540 ) + NEW met2 ( 37030 44540 ) ( 38410 * ) + NEW met1 ( 33810 44710 0 ) ( 36570 * ) + NEW met2 ( 36570 44710 ) ( 37030 * ) + NEW met1 ( 28290 42670 0 ) ( 33810 * ) + NEW met2 ( 33810 42670 ) ( * 44710 ) + NEW met2 ( 40710 35870 ) ( * 42500 ) + NEW met2 ( 40710 42500 ) ( 41170 * ) + NEW met2 ( 41170 42500 ) ( * 44370 ) + NEW met1 ( 40710 44370 ) ( 41170 * ) + NEW met2 ( 31050 34850 ) ( * 36550 ) + NEW met1 ( 31050 36550 ) ( 33810 * ) + NEW met2 ( 33810 36550 ) ( * 42670 ) + NEW met2 ( 39330 33490 ) ( * 34510 ) + NEW met1 ( 39330 34510 ) ( 40710 * ) + NEW met2 ( 40710 34510 ) ( * 35870 ) + NEW met2 ( 37490 28050 ) ( 37950 * ) + NEW met2 ( 37490 28050 ) ( * 33490 ) + NEW met2 ( 37490 33490 ) ( 39330 * ) + NEW met1 ( 28290 28390 0 ) ( * 28730 ) + NEW met1 ( 28290 28730 ) ( 33350 * ) + NEW met1 ( 33350 28050 ) ( * 28730 ) + NEW met1 ( 33350 28050 ) ( 37950 * 0 ) + NEW met1 ( 31510 28730 ) ( * 29410 ) + NEW met2 ( 27830 26350 ) ( * 28390 ) + NEW met1 ( 27830 28390 ) ( 28290 * 0 ) + NEW met1 ( 29670 22950 ) ( 30130 * ) + NEW met2 ( 30130 22950 ) ( * 26010 ) + NEW met1 ( 27830 26010 ) ( 30130 * ) + NEW met1 ( 27830 26010 ) ( * 26350 ) + NEW met1 ( 35190 22270 ) ( * 22610 0 ) + NEW met1 ( 31970 22270 ) ( 35190 * ) + NEW met1 ( 31970 22270 ) ( * 22610 ) + NEW met1 ( 30130 22610 ) ( 31970 * ) + NEW met1 ( 30130 22610 ) ( * 22950 ) + NEW met1 ( 24150 26350 0 ) ( 27830 * ) + NEW met1 ( 23230 23970 ) ( 24610 * ) + NEW met2 ( 24610 23970 ) ( * 26010 ) + NEW met1 ( 24610 26010 ) ( * 26350 ) + NEW met1 ( 22770 39950 ) ( * 40290 ) + NEW met1 ( 22770 39950 ) ( 25530 * ) + NEW met2 ( 25530 39950 ) ( * 41990 ) + NEW met1 ( 25530 41990 ) ( 28290 * ) + NEW met1 ( 28290 41990 ) ( * 42670 0 ) + NEW met1 ( 20010 23970 ) ( 23230 * ) + NEW met2 ( 18630 28900 ) ( * 29410 ) + NEW met2 ( 18170 28900 ) ( 18630 * ) + NEW met2 ( 18170 23970 ) ( * 28900 ) + NEW met1 ( 18170 23970 ) ( 20010 * ) + NEW met1 ( 18630 31450 ) ( 19090 * 0 ) + NEW met2 ( 18630 29410 ) ( * 31450 ) + NEW met1 ( 19090 42670 0 ) ( 22310 * ) + NEW met2 ( 22310 40290 ) ( * 42670 ) + NEW met1 ( 22310 40290 ) ( 22770 * ) + NEW met1 ( 16330 28390 ) ( * 29070 ) + NEW met1 ( 16330 29070 ) ( 18630 * ) + NEW met1 ( 18630 29070 ) ( * 29410 ) + NEW met1 ( 14030 28050 ) ( 16330 * ) + NEW met1 ( 16330 28050 ) ( * 28390 ) + NEW met1 ( 15870 33490 0 ) ( 16330 * ) + NEW met2 ( 16330 30940 ) ( * 33490 ) + NEW met3 ( 16330 30940 ) ( 18630 * ) + NEW met1 ( 15870 38930 0 ) ( 16330 * ) + NEW met2 ( 16330 33490 ) ( * 38930 ) + NEW met1 ( 30130 18530 ) ( 30590 * ) + NEW met2 ( 30130 18530 ) ( * 22950 ) + NEW met1 ( 37490 15470 ) ( 38870 * ) + NEW met2 ( 37490 15470 ) ( * 18020 ) + NEW met2 ( 36570 18020 ) ( 37490 * ) + NEW met2 ( 36570 18020 ) ( * 22270 ) + NEW met1 ( 35190 22270 ) ( 36570 * ) + NEW met1 ( 8510 28390 ) ( * 28730 ) + NEW met1 ( 8510 28730 ) ( 12650 * ) + NEW met1 ( 12650 28050 ) ( * 28730 ) + NEW met1 ( 12650 28050 ) ( 14030 * ) + NEW met1 ( 26450 47770 ) ( 26910 * ) + NEW met2 ( 26450 44710 ) ( * 47770 ) + NEW met1 ( 25530 44710 ) ( 26450 * ) + NEW met1 ( 26910 47770 ) ( 29210 * ) + NEW met2 ( 16330 50660 ) ( * 52190 ) + NEW met3 ( 14030 50660 ) ( 16330 * ) + NEW met2 ( 14030 49810 ) ( * 50660 ) + NEW met2 ( 13570 49810 ) ( 14030 * ) + NEW met1 ( 16330 52190 ) ( 19550 * ) + NEW met1 ( 19090 54910 ) ( * 55250 ) + NEW met1 ( 19090 54910 ) ( 20470 * ) + NEW met2 ( 20470 52190 ) ( * 54910 ) + NEW met1 ( 19550 52190 ) ( 20470 * ) + NEW met1 ( 22770 52190 ) ( * 53210 ) + NEW met1 ( 20470 52190 ) ( 22770 * ) + NEW met1 ( 28290 52870 ) ( * 53210 ) + NEW met1 ( 25070 52870 ) ( 28290 * ) + NEW met1 ( 25070 52530 ) ( * 52870 ) + NEW met1 ( 22770 52530 ) ( 25070 * ) + NEW met1 ( 28290 52870 ) ( 28750 * ) + NEW met1 ( 30590 56610 ) ( 31510 * ) + NEW met2 ( 30590 52870 ) ( * 56610 ) + NEW met1 ( 28750 52870 ) ( 30590 * ) + NEW met2 ( 34270 49810 ) ( * 51170 ) + NEW met1 ( 30590 51170 ) ( 34270 * ) + NEW met2 ( 30590 51170 ) ( * 52870 ) + NEW met3 ( 34270 49300 ) ( 37030 * ) + NEW met2 ( 34270 49300 ) ( * 49810 ) + NEW met1 ( 35650 56610 ) ( 38870 * ) + NEW met1 ( 35650 56270 ) ( * 56610 ) + NEW met1 ( 32430 56270 ) ( 35650 * ) + NEW met1 ( 32430 56270 ) ( * 56610 ) + NEW met1 ( 31510 56610 ) ( 32430 * ) + NEW met1 ( 37950 51170 ) ( 40250 * ) + NEW met1 ( 37950 50830 ) ( * 51170 ) + NEW met1 ( 37030 50830 ) ( 37950 * ) + NEW met2 ( 37030 49300 ) ( * 50830 ) + NEW met1 ( 43930 53210 ) ( * 53550 ) + NEW met1 ( 41170 53550 ) ( 43930 * ) + NEW met2 ( 41170 51170 ) ( * 53550 ) + NEW met1 ( 40250 51170 ) ( 41170 * ) + NEW met1 ( 44850 49470 ) ( * 49810 ) + NEW met1 ( 41170 49470 ) ( 44850 * ) + NEW met2 ( 41170 49470 ) ( * 51170 ) + NEW met2 ( 28750 47770 ) ( * 52870 ) + NEW met2 ( 37030 44540 ) ( * 49300 ) + NEW li1 ( 40710 44370 ) L1M1_PR_MR + NEW met1 ( 38410 44370 ) M1M2_PR + NEW met1 ( 36570 44710 ) M1M2_PR + NEW met1 ( 33810 42670 ) M1M2_PR + NEW met1 ( 33810 44710 ) M1M2_PR + NEW li1 ( 40710 35870 ) L1M1_PR_MR + NEW met1 ( 40710 35870 ) M1M2_PR + NEW met1 ( 41170 44370 ) M1M2_PR + NEW li1 ( 31050 34850 ) L1M1_PR_MR + NEW met1 ( 31050 34850 ) M1M2_PR + NEW met1 ( 31050 36550 ) M1M2_PR + NEW met1 ( 33810 36550 ) M1M2_PR + NEW met1 ( 39330 33490 ) M1M2_PR + NEW met1 ( 39330 34510 ) M1M2_PR + NEW met1 ( 40710 34510 ) M1M2_PR + NEW met1 ( 37950 28050 ) M1M2_PR + NEW li1 ( 31510 29410 ) L1M1_PR_MR + NEW li1 ( 27830 26350 ) L1M1_PR_MR + NEW met1 ( 27830 26350 ) M1M2_PR + NEW met1 ( 27830 28390 ) M1M2_PR + NEW li1 ( 29670 22950 ) L1M1_PR_MR + NEW met1 ( 30130 22950 ) M1M2_PR + NEW met1 ( 30130 26010 ) M1M2_PR + NEW li1 ( 23230 23970 ) L1M1_PR_MR + NEW met1 ( 24610 23970 ) M1M2_PR + NEW met1 ( 24610 26010 ) M1M2_PR + NEW li1 ( 22770 40290 ) L1M1_PR_MR + NEW met1 ( 25530 39950 ) M1M2_PR + NEW met1 ( 25530 41990 ) M1M2_PR + NEW li1 ( 20010 23970 ) L1M1_PR_MR + NEW li1 ( 18630 29410 ) L1M1_PR_MR + NEW met1 ( 18630 29410 ) M1M2_PR + NEW met1 ( 18170 23970 ) M1M2_PR + NEW met1 ( 18630 31450 ) M1M2_PR + NEW met1 ( 22310 42670 ) M1M2_PR + NEW met1 ( 22310 40290 ) M1M2_PR + NEW li1 ( 16330 28390 ) L1M1_PR_MR + NEW li1 ( 14030 28050 ) L1M1_PR_MR + NEW met1 ( 16330 33490 ) M1M2_PR + NEW met2 ( 16330 30940 ) M2M3_PR_M + NEW met2 ( 18630 30940 ) M2M3_PR_M + NEW met1 ( 16330 38930 ) M1M2_PR + NEW li1 ( 30590 18530 ) L1M1_PR_MR NEW met1 ( 30130 18530 ) M1M2_PR - NEW li1 ( 26910 17170 ) L1M1_PR_MR - NEW met1 ( 30130 17170 ) M1M2_PR - NEW met1 ( 27370 18190 ) M1M2_PR - NEW met1 ( 27370 17170 ) M1M2_PR - NEW li1 ( 19550 15130 ) L1M1_PR_MR - NEW met1 ( 19550 15130 ) M1M2_PR - NEW met1 ( 20010 17850 ) M1M2_PR - NEW li1 ( 21390 14110 ) L1M1_PR_MR - NEW li1 ( 42090 14110 ) L1M1_PR_MR - NEW met1 ( 43470 14110 ) M1M2_PR - NEW met1 ( 43470 19550 ) M1M2_PR - NEW li1 ( 42550 11730 ) L1M1_PR_MR - NEW met1 ( 43470 11730 ) M1M2_PR - NEW met1 ( 22770 11730 ) M1M2_PR - NEW met1 ( 22770 14110 ) M1M2_PR - NEW li1 ( 37950 14110 ) L1M1_PR_MR - NEW met1 ( 37950 14110 ) M1M2_PR - NEW met1 ( 37950 11730 ) M1M2_PR - NEW li1 ( 45310 11730 ) L1M1_PR_MR - NEW li1 ( 13110 53210 ) L1M1_PR_MR - NEW met1 ( 18630 48110 ) M1M2_PR - NEW met1 ( 18630 49470 ) M1M2_PR - NEW met1 ( 17250 49470 ) M1M2_PR - NEW met1 ( 17250 53550 ) M1M2_PR - NEW met1 ( 21850 55250 ) M1M2_PR - NEW met2 ( 21850 56100 ) M2M3_PR_M - NEW met2 ( 17710 56100 ) M2M3_PR_M - NEW li1 ( 25070 46750 ) L1M1_PR_MR - NEW met1 ( 23690 46750 ) M1M2_PR - NEW met2 ( 23690 56100 ) M2M3_PR_M - NEW met1 ( 24150 42670 ) M1M2_PR - NEW li1 ( 27370 42670 ) L1M1_PR_MR + NEW li1 ( 38870 15470 ) L1M1_PR_MR + NEW met1 ( 37490 15470 ) M1M2_PR + NEW met1 ( 36570 22270 ) M1M2_PR + NEW li1 ( 8510 28390 ) L1M1_PR_MR + NEW li1 ( 26910 47770 ) L1M1_PR_MR + NEW met1 ( 26450 47770 ) M1M2_PR + NEW met1 ( 26450 44710 ) M1M2_PR + NEW li1 ( 25530 44710 ) L1M1_PR_MR NEW li1 ( 29210 47770 ) L1M1_PR_MR - NEW met1 ( 29210 47770 ) M1M2_PR - NEW met1 ( 29210 47090 ) M1M2_PR - NEW li1 ( 32430 46750 ) L1M1_PR_MR - NEW li1 ( 32430 42330 ) L1M1_PR_MR - NEW met1 ( 32430 42330 ) M1M2_PR - NEW met1 ( 32430 46750 ) M1M2_PR - NEW met1 ( 33810 49810 ) M1M2_PR - NEW li1 ( 32430 35870 ) L1M1_PR_MR - NEW met1 ( 32430 35870 ) M1M2_PR - NEW li1 ( 27830 34850 ) L1M1_PR_MR - NEW met1 ( 32430 34850 ) M1M2_PR - NEW met1 ( 25530 34850 ) M1M2_PR - NEW met1 ( 39790 53210 ) M1M2_PR - NEW li1 ( 39790 55930 ) L1M1_PR_MR - NEW met1 ( 39790 55930 ) M1M2_PR - NEW met1 ( 41170 49810 ) M1M2_PR - NEW met1 ( 41170 53210 ) M1M2_PR - NEW li1 ( 41170 49810 ) L1M1_PR_MR - NEW li1 ( 41170 45730 ) L1M1_PR_MR - NEW met1 ( 41170 45730 ) M1M2_PR - NEW met1 ( 22310 23970 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 29670 22610 ) RECT ( 0 -70 595 70 ) - NEW met1 ( 42090 30430 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 30130 18530 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 27370 17170 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 19550 15130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 37950 14110 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 37950 11730 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 29210 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 32430 42330 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 32430 46750 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 32430 35870 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 39790 55930 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 41170 49810 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 41170 45730 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 28750 47770 ) M1M2_PR + NEW li1 ( 16330 52190 ) L1M1_PR_MR + NEW met1 ( 16330 52190 ) M1M2_PR + NEW met2 ( 16330 50660 ) M2M3_PR_M + NEW met2 ( 14030 50660 ) M2M3_PR_M + NEW met1 ( 13570 49810 ) M1M2_PR + NEW li1 ( 19550 52190 ) L1M1_PR_MR + NEW li1 ( 19090 55250 ) L1M1_PR_MR + NEW met1 ( 20470 54910 ) M1M2_PR + NEW met1 ( 20470 52190 ) M1M2_PR + NEW li1 ( 22770 53210 ) L1M1_PR_MR + NEW li1 ( 28290 53210 ) L1M1_PR_MR + NEW met1 ( 28750 52870 ) M1M2_PR + NEW li1 ( 31510 56610 ) L1M1_PR_MR + NEW met1 ( 30590 56610 ) M1M2_PR + NEW met1 ( 30590 52870 ) M1M2_PR + NEW met1 ( 34270 49810 ) M1M2_PR + NEW met1 ( 34270 51170 ) M1M2_PR + NEW met1 ( 30590 51170 ) M1M2_PR + NEW met2 ( 37030 49300 ) M2M3_PR_M + NEW met2 ( 34270 49300 ) M2M3_PR_M + NEW li1 ( 38870 56610 ) L1M1_PR_MR + NEW li1 ( 40250 51170 ) L1M1_PR_MR + NEW met1 ( 37030 50830 ) M1M2_PR + NEW li1 ( 43930 53210 ) L1M1_PR_MR + NEW met1 ( 41170 53550 ) M1M2_PR + NEW met1 ( 41170 51170 ) M1M2_PR + NEW li1 ( 44850 49810 ) L1M1_PR_MR + NEW met1 ( 41170 49470 ) M1M2_PR + NEW met1 ( 40710 35870 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 31050 34850 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 27830 26350 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 18630 29410 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 18630 30940 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 28750 47770 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 16330 52190 ) RECT ( -355 -70 0 70 ) ; - net18 ( input18 X ) ( _211_ D ) + USE SIGNAL - + ROUTED met1 ( 32430 52870 ) ( 34270 * ) - NEW met2 ( 32430 52870 ) ( * 56610 ) - NEW met1 ( 14950 56610 ) ( 32430 * ) - NEW li1 ( 34270 52870 ) L1M1_PR_MR - NEW met1 ( 32430 52870 ) M1M2_PR - NEW met1 ( 32430 56610 ) M1M2_PR - NEW li1 ( 14950 56610 ) L1M1_PR_MR ; - - net19 ( input19 X ) ( _106_ A ) ( _192_ A ) + USE SIGNAL - + ROUTED met1 ( 14490 50150 ) ( 14950 * ) - NEW met2 ( 14950 50150 ) ( * 56780 ) - NEW met3 ( 14950 56780 ) ( 24380 * ) - NEW met3 ( 24380 56100 ) ( * 56780 ) - NEW met3 ( 24380 56100 ) ( 31050 * ) - NEW met2 ( 31050 55590 ) ( * 56100 ) - NEW met2 ( 10810 50490 ) ( * 52190 ) - NEW met1 ( 10810 50490 ) ( 14490 * ) - NEW met1 ( 14490 50150 ) ( * 50490 ) - NEW li1 ( 14490 50150 ) L1M1_PR_MR - NEW met1 ( 14950 50150 ) M1M2_PR - NEW met2 ( 14950 56780 ) M2M3_PR_M - NEW met2 ( 31050 56100 ) M2M3_PR_M - NEW li1 ( 31050 55590 ) L1M1_PR_MR - NEW met1 ( 31050 55590 ) M1M2_PR - NEW li1 ( 10810 52190 ) L1M1_PR_MR - NEW met1 ( 10810 52190 ) M1M2_PR - NEW met1 ( 10810 50490 ) M1M2_PR - NEW met1 ( 31050 55590 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 10810 52190 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 18170 15810 ) ( 18630 * ) + NEW met2 ( 18630 15810 ) ( * 16830 ) + NEW met1 ( 18630 16830 ) ( 20470 * ) + NEW met2 ( 20470 16830 ) ( * 26180 ) + NEW met3 ( 20470 26180 ) ( 29210 * ) + NEW met2 ( 29210 26180 ) ( * 49810 ) + NEW met1 ( 29210 49810 ) ( 31970 * ) + NEW li1 ( 18170 15810 ) L1M1_PR_MR + NEW met1 ( 18630 15810 ) M1M2_PR + NEW met1 ( 18630 16830 ) M1M2_PR + NEW met1 ( 20470 16830 ) M1M2_PR + NEW met2 ( 20470 26180 ) M2M3_PR_M + NEW met2 ( 29210 26180 ) M2M3_PR_M + NEW met1 ( 29210 49810 ) M1M2_PR + NEW li1 ( 31970 49810 ) L1M1_PR_MR ; + - net19 ( input19 X ) ( _193_ A0 ) + USE SIGNAL + + ROUTED met1 ( 14950 8670 ) ( 16790 * ) + NEW met1 ( 14950 7650 ) ( * 8670 ) + NEW met1 ( 14950 7650 ) ( 34730 * ) + NEW met2 ( 34730 7650 ) ( * 13800 ) + NEW met2 ( 34730 13800 ) ( 35190 * ) + NEW met2 ( 35190 13800 ) ( * 36550 ) + NEW met1 ( 35190 36550 ) ( 41630 * ) + NEW met1 ( 41630 36550 ) ( * 36890 ) + NEW met1 ( 41630 36890 ) ( 45310 * ) + NEW li1 ( 16790 8670 ) L1M1_PR_MR + NEW met1 ( 34730 7650 ) M1M2_PR + NEW met1 ( 35190 36550 ) M1M2_PR + NEW li1 ( 45310 36890 ) L1M1_PR_MR ; - net2 ( input2 X ) ( _132_ B_N ) ( _134_ B ) + USE SIGNAL - + ROUTED met2 ( 38870 28900 ) ( * 31790 ) - NEW met3 ( 27830 28900 ) ( 38870 * ) - NEW met3 ( 27830 28900 ) ( * 29580 ) - NEW met3 ( 13570 29580 ) ( 27830 * ) - NEW met2 ( 13570 29580 ) ( * 33150 ) - NEW met1 ( 12650 33150 ) ( 13570 * ) - NEW met1 ( 41630 31450 ) ( * 31790 ) - NEW met1 ( 38870 31790 ) ( 41630 * ) - NEW li1 ( 38870 31790 ) L1M1_PR_MR - NEW met1 ( 38870 31790 ) M1M2_PR - NEW met2 ( 38870 28900 ) M2M3_PR_M - NEW met2 ( 13570 29580 ) M2M3_PR_M - NEW met1 ( 13570 33150 ) M1M2_PR - NEW li1 ( 12650 33150 ) L1M1_PR_MR - NEW li1 ( 41630 31450 ) L1M1_PR_MR - NEW met1 ( 38870 31790 ) RECT ( -355 -70 0 70 ) ; - - net20 ( input20 X ) ( _193_ A0 ) + USE SIGNAL - + ROUTED met2 ( 40710 44710 ) ( 41170 * ) - NEW met2 ( 40710 44710 ) ( * 55420 ) - NEW met3 ( 15410 55420 ) ( 40710 * ) - NEW met2 ( 15410 55420 ) ( * 56270 ) - NEW met1 ( 11730 56270 ) ( 15410 * ) - NEW met1 ( 41170 44710 ) ( 45770 * ) - NEW li1 ( 45770 44710 ) L1M1_PR_MR - NEW met1 ( 41170 44710 ) M1M2_PR - NEW met2 ( 40710 55420 ) M2M3_PR_M - NEW met2 ( 15410 55420 ) M2M3_PR_M - NEW met1 ( 15410 56270 ) M1M2_PR - NEW li1 ( 11730 56270 ) L1M1_PR_MR ; - - net21 ( input21 X ) ( _196_ A0 ) + USE SIGNAL - + ROUTED met2 ( 6670 56610 ) ( * 57630 ) - NEW met1 ( 43010 50150 ) ( 45770 * ) - NEW met2 ( 43010 50150 ) ( * 57630 ) - NEW met1 ( 6670 57630 ) ( 43010 * ) - NEW li1 ( 6670 56610 ) L1M1_PR_MR - NEW met1 ( 6670 56610 ) M1M2_PR - NEW met1 ( 6670 57630 ) M1M2_PR - NEW li1 ( 45770 50150 ) L1M1_PR_MR - NEW met1 ( 43010 50150 ) M1M2_PR - NEW met1 ( 43010 57630 ) M1M2_PR - NEW met1 ( 6670 56610 ) RECT ( -355 -70 0 70 ) ; - - net22 + USE SIGNAL ; - - net23 ( output23 A ) ( _208_ Q ) + USE SIGNAL - + ROUTED met1 ( 35190 14790 ) ( 42090 * ) - NEW met2 ( 42090 14790 ) ( * 28050 ) - NEW met1 ( 42090 28050 ) ( 46230 * ) - NEW met1 ( 46230 28050 ) ( * 28390 ) - NEW li1 ( 35190 14790 ) L1M1_PR_MR - NEW met1 ( 42090 14790 ) M1M2_PR - NEW met1 ( 42090 28050 ) M1M2_PR - NEW li1 ( 46230 28390 ) L1M1_PR_MR ; - - net24 ( output24 A ) ( _210_ Q ) + USE SIGNAL - + ROUTED met1 ( 40710 19550 ) ( 43010 * ) - NEW met2 ( 43010 19550 ) ( * 37230 ) - NEW met1 ( 43010 37230 ) ( 46230 * ) - NEW met1 ( 46230 36890 ) ( * 37230 ) - NEW li1 ( 40710 19550 ) L1M1_PR_MR - NEW met1 ( 43010 19550 ) M1M2_PR - NEW met1 ( 43010 37230 ) M1M2_PR - NEW li1 ( 46230 36890 ) L1M1_PR_MR ; - - net25 ( output25 A ) ( _209_ Q ) + USE SIGNAL - + ROUTED met1 ( 41630 18530 ) ( 43010 * ) - NEW met2 ( 41630 18530 ) ( * 33830 ) - NEW li1 ( 43010 18530 ) L1M1_PR_MR - NEW met1 ( 41630 18530 ) M1M2_PR - NEW li1 ( 41630 33830 ) L1M1_PR_MR - NEW met1 ( 41630 33830 ) M1M2_PR - NEW met1 ( 41630 33830 ) RECT ( 0 -70 355 70 ) ; - - net26 ( output26 A ) ( _205_ Q ) + USE SIGNAL - + ROUTED met1 ( 42550 37570 ) ( 45770 * ) - NEW met2 ( 42550 37570 ) ( * 37740 ) - NEW met2 ( 42090 37740 ) ( 42550 * ) - NEW met2 ( 42090 37740 ) ( * 39270 ) - NEW met1 ( 41630 39270 ) ( 42090 * ) - NEW li1 ( 45770 37570 ) L1M1_PR_MR - NEW met1 ( 42550 37570 ) M1M2_PR - NEW met1 ( 42090 39270 ) M1M2_PR - NEW li1 ( 41630 39270 ) L1M1_PR_MR ; - - net27 ( output27 A ) ( _183_ B_N ) ( _206_ Q ) + USE SIGNAL - + ROUTED met1 ( 39790 29410 ) ( 40250 * ) - NEW met2 ( 39790 29410 ) ( * 39610 ) - NEW met1 ( 37030 39610 ) ( 39790 * ) - NEW met1 ( 37030 39270 ) ( * 39610 ) - NEW met1 ( 43010 31450 ) ( 44390 * ) - NEW met1 ( 43010 30770 ) ( * 31450 ) - NEW met1 ( 39790 30770 ) ( 43010 * ) - NEW li1 ( 40250 29410 ) L1M1_PR_MR - NEW met1 ( 39790 29410 ) M1M2_PR - NEW met1 ( 39790 39610 ) M1M2_PR - NEW li1 ( 37030 39270 ) L1M1_PR_MR - NEW li1 ( 44390 31450 ) L1M1_PR_MR - NEW met1 ( 39790 30770 ) M1M2_PR - NEW met2 ( 39790 30770 ) RECT ( -70 -485 70 0 ) ; - - net28 ( output28 A ) ( _183_ A ) ( _207_ Q ) + USE SIGNAL - + ROUTED met1 ( 42550 30430 ) ( 44850 * ) - NEW met2 ( 42550 30430 ) ( * 34340 ) - NEW met2 ( 41630 34340 ) ( 42550 * ) - NEW met2 ( 41630 34340 ) ( * 42330 ) - NEW met1 ( 38870 42330 ) ( 41630 * ) - NEW met1 ( 45770 23970 ) ( 47610 * ) - NEW met2 ( 45770 23970 ) ( * 25500 ) - NEW met2 ( 45310 25500 ) ( 45770 * ) - NEW met2 ( 45310 25500 ) ( * 28900 ) - NEW met2 ( 45310 28900 ) ( 45770 * ) - NEW met2 ( 45770 28900 ) ( * 30430 ) - NEW met1 ( 44850 30430 ) ( 45770 * ) - NEW li1 ( 44850 30430 ) L1M1_PR_MR - NEW met1 ( 42550 30430 ) M1M2_PR - NEW met1 ( 41630 42330 ) M1M2_PR - NEW li1 ( 38870 42330 ) L1M1_PR_MR - NEW li1 ( 47610 23970 ) L1M1_PR_MR - NEW met1 ( 45770 23970 ) M1M2_PR - NEW met1 ( 45770 30430 ) M1M2_PR ; - - net29 ( output29 A ) ( _199_ Q ) + USE SIGNAL - + ROUTED met1 ( 35650 40290 ) ( 38870 * ) - NEW met2 ( 38870 40290 ) ( * 44710 ) - NEW li1 ( 35650 40290 ) L1M1_PR_MR - NEW met1 ( 38870 40290 ) M1M2_PR - NEW li1 ( 38870 44710 ) L1M1_PR_MR - NEW met1 ( 38870 44710 ) M1M2_PR - NEW met1 ( 38870 44710 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 24610 12750 ) ( 30130 * ) + NEW met2 ( 30130 15470 ) ( * 17510 ) + NEW met1 ( 30130 15470 ) ( 34730 * ) + NEW met1 ( 34730 15130 ) ( * 15470 ) + NEW met1 ( 34730 15130 ) ( 37950 * ) + NEW met2 ( 30130 12750 ) ( * 15470 ) + NEW li1 ( 24610 12750 ) L1M1_PR_MR + NEW met1 ( 30130 12750 ) M1M2_PR + NEW li1 ( 30130 17510 ) L1M1_PR_MR + NEW met1 ( 30130 17510 ) M1M2_PR + NEW met1 ( 30130 15470 ) M1M2_PR + NEW li1 ( 37950 15130 ) L1M1_PR_MR + NEW met1 ( 30130 17510 ) RECT ( 0 -70 355 70 ) ; + - net20 ( input20 X ) ( _196_ A0 ) + USE SIGNAL + + ROUTED met1 ( 19550 12750 ) ( 20470 * ) + NEW met2 ( 20470 12580 ) ( * 12750 ) + NEW met3 ( 20470 12580 ) ( 28750 * ) + NEW met2 ( 28750 12580 ) ( * 16660 ) + NEW met3 ( 28750 16660 ) ( 40710 * ) + NEW met2 ( 40710 16660 ) ( * 32130 ) + NEW met1 ( 40710 32130 ) ( 44850 * ) + NEW met2 ( 44850 32130 ) ( * 33150 ) + NEW met1 ( 44850 33150 ) ( 45770 * ) + NEW li1 ( 19550 12750 ) L1M1_PR_MR + NEW met1 ( 20470 12750 ) M1M2_PR + NEW met2 ( 20470 12580 ) M2M3_PR_M + NEW met2 ( 28750 12580 ) M2M3_PR_M + NEW met2 ( 28750 16660 ) M2M3_PR_M + NEW met2 ( 40710 16660 ) M2M3_PR_M + NEW met1 ( 40710 32130 ) M1M2_PR + NEW met1 ( 44850 32130 ) M1M2_PR + NEW met1 ( 44850 33150 ) M1M2_PR + NEW li1 ( 45770 33150 ) L1M1_PR_MR ; + - net21 + USE SIGNAL ; + - net22 ( output22 A ) ( _208_ Q ) + USE SIGNAL + + ROUTED met2 ( 46230 9690 ) ( * 16660 ) + NEW met3 ( 43700 16660 ) ( 46230 * ) + NEW met3 ( 43700 16660 ) ( * 17340 ) + NEW met3 ( 25990 17340 ) ( 43700 * ) + NEW met2 ( 25990 17340 ) ( * 19550 ) + NEW met1 ( 25990 19550 ) ( 29670 * ) + NEW li1 ( 46230 9690 ) L1M1_PR_MR + NEW met1 ( 46230 9690 ) M1M2_PR + NEW met2 ( 46230 16660 ) M2M3_PR_M + NEW met2 ( 25990 17340 ) M2M3_PR_M + NEW met1 ( 25990 19550 ) M1M2_PR + NEW li1 ( 29670 19550 ) L1M1_PR_MR + NEW met1 ( 46230 9690 ) RECT ( 0 -70 355 70 ) ; + - net23 ( output23 A ) ( _210_ Q ) + USE SIGNAL + + ROUTED met1 ( 41170 15130 ) ( 41630 * ) + NEW met2 ( 41170 15130 ) ( * 18020 ) + NEW met3 ( 40940 18020 ) ( 41170 * ) + NEW met3 ( 40940 18020 ) ( * 20740 ) + NEW met3 ( 37030 20740 ) ( 40940 * ) + NEW met2 ( 37030 20740 ) ( * 38590 ) + NEW met1 ( 37030 38590 ) ( 37490 * ) + NEW li1 ( 41630 15130 ) L1M1_PR_MR + NEW met1 ( 41170 15130 ) M1M2_PR + NEW met2 ( 41170 18020 ) M2M3_PR_M + NEW met2 ( 37030 20740 ) M2M3_PR_M + NEW met1 ( 37030 38590 ) M1M2_PR + NEW li1 ( 37490 38590 ) L1M1_PR_MR ; + - net24 ( output24 A ) ( _209_ Q ) + USE SIGNAL + + ROUTED met1 ( 40710 12070 ) ( 42090 * ) + NEW met1 ( 33350 14790 ) ( 40710 * ) + NEW met2 ( 40710 12070 ) ( * 14790 ) + NEW met1 ( 40710 12070 ) M1M2_PR + NEW li1 ( 42090 12070 ) L1M1_PR_MR + NEW met1 ( 40710 14790 ) M1M2_PR + NEW li1 ( 33350 14790 ) L1M1_PR_MR ; + - net25 ( output25 A ) ( _205_ Q ) + USE SIGNAL + + ROUTED met1 ( 44390 16830 ) ( * 17510 ) + NEW met1 ( 43010 17510 ) ( 44390 * ) + NEW met2 ( 43010 17510 ) ( * 20570 ) + NEW met1 ( 41630 20570 ) ( 43010 * ) + NEW li1 ( 44390 16830 ) L1M1_PR_MR + NEW met1 ( 43010 17510 ) M1M2_PR + NEW met1 ( 43010 20570 ) M1M2_PR + NEW li1 ( 41630 20570 ) L1M1_PR_MR ; + - net26 ( output26 A ) ( _183_ B_N ) ( _206_ Q ) + USE SIGNAL + + ROUTED met1 ( 42090 12750 ) ( 43930 * ) + NEW met1 ( 43930 12070 ) ( * 12750 ) + NEW met1 ( 41630 26010 ) ( 42090 * ) + NEW met1 ( 40710 25670 ) ( * 26010 ) + NEW met1 ( 40710 26010 ) ( 41630 * ) + NEW met2 ( 42090 12750 ) ( * 26010 ) + NEW met1 ( 42090 12750 ) M1M2_PR + NEW li1 ( 43930 12070 ) L1M1_PR_MR + NEW li1 ( 41630 26010 ) L1M1_PR_MR + NEW met1 ( 42090 26010 ) M1M2_PR + NEW li1 ( 40710 25670 ) L1M1_PR_MR ; + - net27 ( output27 A ) ( _183_ A ) ( _207_ Q ) + USE SIGNAL + + ROUTED met1 ( 41630 13090 ) ( 44390 * ) + NEW met1 ( 41630 12410 ) ( * 13090 ) + NEW met1 ( 40250 12410 ) ( 41630 * ) + NEW met1 ( 40250 12070 ) ( * 12410 ) + NEW met1 ( 44390 13090 ) ( 45310 * ) + NEW met1 ( 45310 30430 ) ( 46230 * ) + NEW met2 ( 45310 13090 ) ( * 30430 ) + NEW li1 ( 44390 13090 ) L1M1_PR_MR + NEW li1 ( 40250 12070 ) L1M1_PR_MR + NEW met1 ( 45310 13090 ) M1M2_PR + NEW met1 ( 45310 30430 ) M1M2_PR + NEW li1 ( 46230 30430 ) L1M1_PR_MR ; + - net28 ( output28 A ) ( _199_ Q ) + USE SIGNAL + + ROUTED met2 ( 26450 17510 ) ( * 20910 ) + NEW met1 ( 25990 20910 ) ( 26450 * ) + NEW met1 ( 25990 20910 ) ( * 21250 ) + NEW met1 ( 23690 21250 ) ( 25990 * ) + NEW met2 ( 23690 21250 ) ( * 49470 ) + NEW met1 ( 23690 49470 ) ( 30130 * ) + NEW li1 ( 26450 17510 ) L1M1_PR_MR + NEW met1 ( 26450 17510 ) M1M2_PR + NEW met1 ( 26450 20910 ) M1M2_PR + NEW met1 ( 23690 21250 ) M1M2_PR + NEW met1 ( 23690 49470 ) M1M2_PR + NEW li1 ( 30130 49470 ) L1M1_PR_MR + NEW met1 ( 26450 17510 ) RECT ( 0 -70 355 70 ) ; + - net29 ( output29 A ) ( _203_ Q ) + USE SIGNAL + + ROUTED met2 ( 29670 34850 ) ( * 36890 ) + NEW met1 ( 28750 36890 ) ( 29670 * ) + NEW li1 ( 29670 34850 ) L1M1_PR_MR + NEW met1 ( 29670 34850 ) M1M2_PR + NEW met1 ( 29670 36890 ) M1M2_PR + NEW li1 ( 28750 36890 ) L1M1_PR_MR + NEW met1 ( 29670 34850 ) RECT ( -355 -70 0 70 ) ; - net3 ( input3 X ) ( _126_ B_N ) ( _128_ B ) + USE SIGNAL - + ROUTED li1 ( 11270 27710 ) ( * 32130 ) - NEW met2 ( 11270 32130 ) ( * 33150 ) - NEW met2 ( 33350 22950 ) ( * 29410 ) - NEW met1 ( 14950 29410 ) ( 33350 * ) - NEW li1 ( 14950 27710 ) ( * 29410 ) - NEW met1 ( 38870 25670 ) ( * 26010 ) - NEW met1 ( 33350 25670 ) ( 38870 * ) - NEW met1 ( 11270 27710 ) ( 14950 * ) - NEW li1 ( 11270 27710 ) L1M1_PR_MR - NEW li1 ( 11270 32130 ) L1M1_PR_MR - NEW met1 ( 11270 32130 ) M1M2_PR - NEW li1 ( 11270 33150 ) L1M1_PR_MR - NEW met1 ( 11270 33150 ) M1M2_PR - NEW li1 ( 33350 22950 ) L1M1_PR_MR - NEW met1 ( 33350 22950 ) M1M2_PR - NEW met1 ( 33350 29410 ) M1M2_PR - NEW li1 ( 14950 29410 ) L1M1_PR_MR - NEW li1 ( 14950 27710 ) L1M1_PR_MR - NEW li1 ( 38870 26010 ) L1M1_PR_MR - NEW met1 ( 33350 25670 ) M1M2_PR - NEW met1 ( 11270 32130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 11270 33150 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 33350 22950 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 33350 25670 ) RECT ( -70 -485 70 0 ) ; - - net30 ( output30 A ) ( _203_ Q ) + USE SIGNAL - + ROUTED met1 ( 28750 19550 ) ( 33810 * ) - NEW met2 ( 33810 19550 ) ( * 42330 ) - NEW li1 ( 28750 19550 ) L1M1_PR_MR - NEW met1 ( 33810 19550 ) M1M2_PR - NEW li1 ( 33810 42330 ) L1M1_PR_MR - NEW met1 ( 33810 42330 ) M1M2_PR - NEW met1 ( 33810 42330 ) RECT ( -355 -70 0 70 ) ; - - net31 ( output31 A ) ( _179_ A ) ( _202_ Q ) + USE SIGNAL - + ROUTED met2 ( 40250 37570 ) ( * 40290 ) - NEW met1 ( 39330 37570 ) ( 40250 * ) - NEW met2 ( 39330 24990 ) ( * 37570 ) - NEW met1 ( 28750 24990 ) ( 39330 * ) - NEW met1 ( 45770 53210 ) ( 46230 * ) - NEW met2 ( 45770 48450 ) ( * 53210 ) - NEW met1 ( 39790 48450 ) ( 45770 * ) - NEW met2 ( 39790 46580 ) ( * 48450 ) - NEW met2 ( 39790 46580 ) ( 40250 * ) - NEW met2 ( 40250 40290 ) ( * 46580 ) - NEW li1 ( 40250 40290 ) L1M1_PR_MR - NEW met1 ( 40250 40290 ) M1M2_PR - NEW met1 ( 40250 37570 ) M1M2_PR - NEW met1 ( 39330 37570 ) M1M2_PR - NEW met1 ( 39330 24990 ) M1M2_PR - NEW li1 ( 28750 24990 ) L1M1_PR_MR - NEW li1 ( 46230 53210 ) L1M1_PR_MR - NEW met1 ( 45770 53210 ) M1M2_PR - NEW met1 ( 45770 48450 ) M1M2_PR - NEW met1 ( 39790 48450 ) M1M2_PR - NEW met1 ( 40250 40290 ) RECT ( -355 -70 0 70 ) ; - - net32 ( output32 A ) ( _196_ X ) + USE SIGNAL - + ROUTED met1 ( 43930 51170 ) ( 46230 * ) - NEW met2 ( 46230 51170 ) ( * 55590 ) - NEW li1 ( 43930 51170 ) L1M1_PR_MR - NEW met1 ( 46230 51170 ) M1M2_PR - NEW li1 ( 46230 55590 ) L1M1_PR_MR - NEW met1 ( 46230 55590 ) M1M2_PR - NEW met1 ( 46230 55590 ) RECT ( -355 -70 0 70 ) ; - - net33 ( output33 A ) ( _193_ X ) + USE SIGNAL - + ROUTED met1 ( 42550 45730 ) ( 43930 * ) - NEW met2 ( 42550 45730 ) ( * 53210 ) - NEW li1 ( 43930 45730 ) L1M1_PR_MR - NEW met1 ( 42550 45730 ) M1M2_PR - NEW li1 ( 42550 53210 ) L1M1_PR_MR - NEW met1 ( 42550 53210 ) M1M2_PR - NEW met1 ( 42550 53210 ) RECT ( -355 -70 0 70 ) ; - - net34 ( output34 A ) ( _200_ Q ) + USE SIGNAL - + ROUTED met1 ( 31510 50830 ) ( 31970 * ) - NEW met2 ( 31970 50830 ) ( * 54910 ) - NEW met1 ( 31970 54910 ) ( 34730 * ) - NEW met1 ( 34730 54910 ) ( * 55250 ) - NEW met1 ( 34730 55250 ) ( 44390 * ) - NEW met1 ( 44390 55250 ) ( * 55590 ) - NEW li1 ( 31510 50830 ) L1M1_PR_MR - NEW met1 ( 31970 50830 ) M1M2_PR - NEW met1 ( 31970 54910 ) M1M2_PR - NEW li1 ( 44390 55590 ) L1M1_PR_MR ; - - net35 ( output35 A ) ( _201_ Q ) + USE SIGNAL - + ROUTED met1 ( 34270 45730 ) ( 37490 * ) - NEW met2 ( 34270 45730 ) ( * 55590 ) - NEW li1 ( 37490 45730 ) L1M1_PR_MR - NEW met1 ( 34270 45730 ) M1M2_PR - NEW li1 ( 34270 55590 ) L1M1_PR_MR - NEW met1 ( 34270 55590 ) M1M2_PR - NEW met1 ( 34270 55590 ) RECT ( -355 -70 0 70 ) ; - - net36 ( output36 A ) ( _190_ X ) + USE SIGNAL - + ROUTED met2 ( 12190 52530 ) ( * 54910 ) - NEW met1 ( 12190 54910 ) ( 13800 * ) - NEW met1 ( 13800 54910 ) ( * 55250 ) - NEW met1 ( 13800 55250 ) ( 15410 * ) - NEW met1 ( 15410 54910 ) ( * 55250 ) - NEW met1 ( 15410 54910 ) ( 26450 * ) - NEW met1 ( 26450 54910 ) ( * 55250 ) - NEW met1 ( 26450 55250 ) ( 32430 * ) - NEW met1 ( 32430 55250 ) ( * 55590 ) - NEW li1 ( 12190 52530 ) L1M1_PR_MR - NEW met1 ( 12190 52530 ) M1M2_PR - NEW met1 ( 12190 54910 ) M1M2_PR - NEW li1 ( 32430 55590 ) L1M1_PR_MR - NEW met1 ( 12190 52530 ) RECT ( -355 -70 0 70 ) ; - - net37 ( output37 A ) ( _191_ X ) + USE CLOCK - + ROUTED met1 ( 8510 53210 ) ( * 53890 ) - NEW met1 ( 8510 53890 ) ( 45310 * ) - NEW li1 ( 8510 53210 ) L1M1_PR_MR - NEW li1 ( 45310 53890 ) L1M1_PR_MR ; - - net38 ( output38 A ) ( _187_ X ) + USE SIGNAL - + ROUTED met1 ( 28750 55590 ) ( * 55930 ) - NEW met1 ( 16330 55930 ) ( 28750 * ) - NEW met1 ( 16330 55930 ) ( * 56270 ) - NEW li1 ( 28750 55590 ) L1M1_PR_MR - NEW li1 ( 16330 56270 ) L1M1_PR_MR ; - - net39 ( output39 A ) ( _192_ X ) + USE SIGNAL - + ROUTED met2 ( 19090 51170 ) ( * 52020 ) - NEW met2 ( 18630 52020 ) ( 19090 * ) - NEW met2 ( 18630 52020 ) ( * 52190 ) - NEW met1 ( 18630 52190 ) ( 22770 * ) - NEW li1 ( 22770 52190 ) ( * 53550 ) - NEW met1 ( 22770 53550 ) ( 31050 * ) - NEW met1 ( 31050 53210 ) ( * 53550 ) - NEW met1 ( 13570 51170 ) ( 19090 * ) - NEW li1 ( 13570 51170 ) L1M1_PR_MR - NEW met1 ( 19090 51170 ) M1M2_PR - NEW met1 ( 18630 52190 ) M1M2_PR - NEW li1 ( 22770 52190 ) L1M1_PR_MR - NEW li1 ( 22770 53550 ) L1M1_PR_MR - NEW li1 ( 31050 53210 ) L1M1_PR_MR ; - - net4 ( input4 X ) ( _120_ B_N ) ( _122_ B ) + USE SIGNAL - + ROUTED met1 ( 43930 26010 ) ( 44020 * ) - NEW met1 ( 43930 26010 ) ( * 26690 ) - NEW met2 ( 43930 26690 ) ( * 28900 ) - NEW met2 ( 43470 28900 ) ( 43930 * ) - NEW met2 ( 43470 28900 ) ( * 30940 ) - NEW met3 ( 10350 30940 ) ( 43470 * ) - NEW met2 ( 10350 30940 ) ( * 33150 ) - NEW met1 ( 9890 33150 ) ( 10350 * ) - NEW met1 ( 43010 20570 ) ( 43930 * ) - NEW met2 ( 43930 20570 ) ( * 23460 ) - NEW met3 ( 43010 23460 ) ( 43930 * ) - NEW met3 ( 43010 23460 ) ( * 25500 ) - NEW met3 ( 43010 25500 ) ( 44390 * ) - NEW met2 ( 44390 25500 ) ( * 26690 ) - NEW met2 ( 43930 26690 ) ( 44390 * ) - NEW li1 ( 44020 26010 ) L1M1_PR_MR - NEW met1 ( 43930 26690 ) M1M2_PR - NEW met2 ( 43470 30940 ) M2M3_PR_M - NEW met2 ( 10350 30940 ) M2M3_PR_M - NEW met1 ( 10350 33150 ) M1M2_PR - NEW li1 ( 9890 33150 ) L1M1_PR_MR - NEW li1 ( 43010 20570 ) L1M1_PR_MR - NEW met1 ( 43930 20570 ) M1M2_PR - NEW met2 ( 43930 23460 ) M2M3_PR_M - NEW met2 ( 44390 25500 ) M2M3_PR_M ; - - net40 ( output40 A ) ( gpio_in_buf Z ) + USE SIGNAL - + ROUTED met1 ( 32890 41650 ) ( 37950 * ) - NEW met2 ( 32890 41650 ) ( * 44030 ) - NEW met1 ( 31510 44030 ) ( 32890 * ) - NEW met2 ( 31510 44030 ) ( * 52870 ) - NEW met1 ( 30590 52870 ) ( 31510 * ) - NEW met1 ( 30590 52870 ) ( * 53210 ) - NEW met1 ( 28750 53210 ) ( 30590 * ) - NEW li1 ( 37950 41650 ) L1M1_PR_MR - NEW met1 ( 32890 41650 ) M1M2_PR - NEW met1 ( 32890 44030 ) M1M2_PR - NEW met1 ( 31510 44030 ) M1M2_PR - NEW met1 ( 31510 52870 ) M1M2_PR - NEW li1 ( 28750 53210 ) L1M1_PR_MR ; - - net5 ( input5 X ) ( _139_ B_N ) ( _141_ B ) + USE SIGNAL - + ROUTED met1 ( 26450 33490 ) ( * 33830 ) - NEW met1 ( 11270 33490 ) ( 26450 * ) - NEW met1 ( 11270 33490 ) ( * 33830 ) - NEW met2 ( 11270 33830 ) ( * 38590 ) - NEW met1 ( 9430 38590 ) ( 11270 * ) - NEW met2 ( 35190 31450 ) ( * 32300 ) - NEW met3 ( 28290 32300 ) ( 35190 * ) - NEW met2 ( 28290 32300 ) ( * 33490 ) - NEW met1 ( 26450 33490 ) ( 28290 * ) - NEW li1 ( 26450 33830 ) L1M1_PR_MR - NEW met1 ( 11270 33830 ) M1M2_PR - NEW met1 ( 11270 38590 ) M1M2_PR - NEW li1 ( 9430 38590 ) L1M1_PR_MR - NEW li1 ( 35190 31450 ) L1M1_PR_MR - NEW met1 ( 35190 31450 ) M1M2_PR - NEW met2 ( 35190 32300 ) M2M3_PR_M - NEW met2 ( 28290 32300 ) M2M3_PR_M - NEW met1 ( 28290 33490 ) M1M2_PR - NEW met1 ( 35190 31450 ) RECT ( -355 -70 0 70 ) ; - - net6 ( input6 X ) ( _169_ B_N ) ( _171_ B ) + USE SIGNAL - + ROUTED met1 ( 31050 42670 ) ( 31510 * ) - NEW met2 ( 31050 40290 ) ( * 42670 ) - NEW met1 ( 15870 40290 ) ( 31050 * ) - NEW met2 ( 15870 40290 ) ( * 41650 ) - NEW met2 ( 14490 41650 ) ( 15870 * ) - NEW met2 ( 14490 41650 ) ( * 43010 ) - NEW met1 ( 12190 43010 ) ( 14490 * ) - NEW met2 ( 31050 36890 ) ( * 40290 ) - NEW li1 ( 31510 42670 ) L1M1_PR_MR - NEW met1 ( 31050 42670 ) M1M2_PR - NEW met1 ( 31050 40290 ) M1M2_PR - NEW met1 ( 15870 40290 ) M1M2_PR - NEW met1 ( 14490 43010 ) M1M2_PR - NEW li1 ( 12190 43010 ) L1M1_PR_MR - NEW li1 ( 31050 36890 ) L1M1_PR_MR - NEW met1 ( 31050 36890 ) M1M2_PR - NEW met1 ( 31050 36890 ) RECT ( -355 -70 0 70 ) ; - - net7 ( input7 X ) ( _151_ B_N ) ( _153_ B ) + USE SIGNAL - + ROUTED met2 ( 20930 22950 ) ( * 26350 ) - NEW met2 ( 19550 26350 ) ( 20930 * ) - NEW met2 ( 19550 26350 ) ( * 28220 ) - NEW met2 ( 19090 28220 ) ( 19550 * ) - NEW met2 ( 28750 22610 ) ( * 22780 ) - NEW met3 ( 20930 22780 ) ( 28750 * ) - NEW met2 ( 20930 22780 ) ( * 22950 ) - NEW met2 ( 19090 34510 ) ( 20010 * ) - NEW met2 ( 20010 34510 ) ( * 35700 ) - NEW met2 ( 20010 35700 ) ( 21850 * ) - NEW met2 ( 21850 35700 ) ( * 37230 ) - NEW met1 ( 21850 37230 ) ( * 37570 ) - NEW met1 ( 16330 37570 ) ( 21850 * ) - NEW met2 ( 16330 37570 ) ( * 48450 ) - NEW met1 ( 14950 48450 ) ( 16330 * ) - NEW met2 ( 14950 48450 ) ( * 49470 ) - NEW met1 ( 12190 49470 ) ( 14950 * ) - NEW met2 ( 19090 28220 ) ( * 34510 ) - NEW li1 ( 20930 22950 ) L1M1_PR_MR - NEW met1 ( 20930 22950 ) M1M2_PR - NEW li1 ( 28750 22610 ) L1M1_PR_MR - NEW met1 ( 28750 22610 ) M1M2_PR - NEW met2 ( 28750 22780 ) M2M3_PR_M - NEW met2 ( 20930 22780 ) M2M3_PR_M - NEW met1 ( 21850 37230 ) M1M2_PR - NEW met1 ( 16330 37570 ) M1M2_PR - NEW met1 ( 16330 48450 ) M1M2_PR - NEW met1 ( 14950 48450 ) M1M2_PR - NEW met1 ( 14950 49470 ) M1M2_PR - NEW li1 ( 12190 49470 ) L1M1_PR_MR - NEW met1 ( 20930 22950 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 28750 22610 ) RECT ( -355 -70 0 70 ) ; - - net8 ( input8 X ) ( _145_ B_N ) ( _147_ B ) + USE SIGNAL - + ROUTED met2 ( 13570 15470 ) ( * 17340 ) - NEW met2 ( 13110 17340 ) ( 13570 * ) - NEW met2 ( 13110 17340 ) ( * 29070 ) - NEW met1 ( 8510 29070 ) ( 13110 * ) - NEW met2 ( 8510 29070 ) ( * 33660 ) - NEW met2 ( 8050 33660 ) ( 8510 * ) - NEW met2 ( 8050 33660 ) ( * 46750 ) - NEW met1 ( 20930 15130 ) ( * 15470 ) - NEW met1 ( 18630 15470 ) ( 20930 * ) - NEW met1 ( 13570 15470 ) ( 18630 * ) - NEW met1 ( 13570 15470 ) M1M2_PR - NEW met1 ( 13110 29070 ) M1M2_PR - NEW met1 ( 8510 29070 ) M1M2_PR - NEW li1 ( 8050 46750 ) L1M1_PR_MR - NEW met1 ( 8050 46750 ) M1M2_PR - NEW li1 ( 18630 15470 ) L1M1_PR_MR - NEW li1 ( 20930 15130 ) L1M1_PR_MR - NEW met1 ( 8050 46750 ) RECT ( -355 -70 0 70 ) ; - - net9 ( input9 X ) ( _114_ B_N ) ( _116_ B ) + USE SIGNAL - + ROUTED met1 ( 13110 14790 ) ( * 16830 ) - NEW met1 ( 13110 14790 ) ( 13570 * ) - NEW met2 ( 13570 14620 ) ( * 14790 ) - NEW met2 ( 12650 14620 ) ( 13570 * ) - NEW met2 ( 12650 14620 ) ( * 29750 ) - NEW met1 ( 7590 29750 ) ( 12650 * ) - NEW met2 ( 7590 29750 ) ( * 36380 ) - NEW met2 ( 7130 36380 ) ( 7590 * ) - NEW met2 ( 7130 36380 ) ( * 46750 ) - NEW met1 ( 6670 46750 ) ( 7130 * ) - NEW met1 ( 24610 17170 ) ( 25990 * ) - NEW met1 ( 24610 16830 ) ( * 17170 ) - NEW met1 ( 25990 17510 ) ( 28750 * ) - NEW met1 ( 25990 17170 ) ( * 17510 ) - NEW met1 ( 13110 16830 ) ( 24610 * ) - NEW met1 ( 13570 14790 ) M1M2_PR - NEW met1 ( 12650 29750 ) M1M2_PR - NEW met1 ( 7590 29750 ) M1M2_PR - NEW met1 ( 7130 46750 ) M1M2_PR - NEW li1 ( 6670 46750 ) L1M1_PR_MR - NEW li1 ( 25990 17170 ) L1M1_PR_MR - NEW li1 ( 28750 17510 ) L1M1_PR_MR ; - - one ( PIN one ) ( ANTENNA_15 DIODE ) ( ANTENNA_14 DIODE ) ( const_source HI ) ( _186_ A ) + USE SIGNAL - + ROUTED met1 ( 46230 15810 ) ( 48530 * ) - NEW met2 ( 48530 15810 ) ( * 23460 ) - NEW met1 ( 45770 15810 ) ( 46230 * ) - NEW met1 ( 42550 28730 ) ( 48530 * ) - NEW met2 ( 48530 23460 ) ( * 28730 ) - NEW met1 ( 42090 28730 ) ( 42550 * ) - NEW met3 ( 48530 23460 ) ( 70380 * 0 ) - NEW li1 ( 46230 15810 ) L1M1_PR_MR - NEW met1 ( 48530 15810 ) M1M2_PR - NEW met2 ( 48530 23460 ) M2M3_PR_M - NEW li1 ( 45770 15810 ) L1M1_PR_MR - NEW li1 ( 42550 28730 ) L1M1_PR_MR - NEW met1 ( 48530 28730 ) M1M2_PR - NEW li1 ( 42090 28730 ) L1M1_PR_MR ; - - pad_gpio_ana_en ( PIN pad_gpio_ana_en ) ( output23 X ) + USE SIGNAL - + ROUTED met2 ( 64170 29070 ) ( * 30260 ) - NEW met3 ( 64170 30260 ) ( 70380 * 0 ) - NEW met1 ( 47150 29070 ) ( 64170 * ) - NEW met1 ( 64170 29070 ) M1M2_PR - NEW met2 ( 64170 30260 ) M2M3_PR_M - NEW li1 ( 47150 29070 ) L1M1_PR_MR ; - - pad_gpio_ana_pol ( PIN pad_gpio_ana_pol ) ( output24 X ) + USE SIGNAL - + ROUTED met2 ( 68770 31620 ) ( * 35870 ) - NEW met3 ( 68770 31620 ) ( 70380 * 0 ) - NEW met1 ( 47150 35870 ) ( 68770 * ) - NEW met1 ( 68770 35870 ) M1M2_PR - NEW met2 ( 68770 31620 ) M2M3_PR_M - NEW li1 ( 47150 35870 ) L1M1_PR_MR ; - - pad_gpio_ana_sel ( PIN pad_gpio_ana_sel ) ( output25 X ) + USE SIGNAL - + ROUTED met2 ( 69230 31790 ) ( * 32980 ) - NEW met3 ( 69230 32980 ) ( 70380 * 0 ) - NEW met1 ( 52210 31790 ) ( * 33150 ) - NEW met1 ( 42550 33150 ) ( 52210 * ) - NEW met1 ( 52210 31790 ) ( 69230 * ) - NEW met1 ( 69230 31790 ) M1M2_PR - NEW met2 ( 69230 32980 ) M2M3_PR_M - NEW li1 ( 42550 33150 ) L1M1_PR_MR ; - - pad_gpio_dm[0] ( PIN pad_gpio_dm[0] ) ( output26 X ) + USE SIGNAL - + ROUTED met2 ( 68310 35020 ) ( * 39270 ) - NEW met3 ( 68310 35020 ) ( 70380 * 0 ) - NEW met1 ( 42550 39270 ) ( * 39950 ) - NEW met1 ( 42550 39270 ) ( 68310 * ) - NEW met1 ( 68310 39270 ) M1M2_PR - NEW met2 ( 68310 35020 ) M2M3_PR_M - NEW li1 ( 42550 39950 ) L1M1_PR_MR ; - - pad_gpio_dm[1] ( PIN pad_gpio_dm[1] ) ( output27 X ) + USE SIGNAL - + ROUTED met2 ( 67850 36380 ) ( * 39950 ) - NEW met3 ( 67850 36380 ) ( 70380 * 0 ) - NEW met1 ( 43470 39950 ) ( * 40290 ) - NEW met1 ( 42090 40290 ) ( 43470 * ) - NEW met1 ( 42090 39950 ) ( * 40290 ) - NEW met1 ( 37950 39950 ) ( 42090 * ) - NEW met1 ( 43470 39950 ) ( 67850 * ) - NEW met1 ( 67850 39950 ) M1M2_PR - NEW met2 ( 67850 36380 ) M2M3_PR_M - NEW li1 ( 37950 39950 ) L1M1_PR_MR ; - - pad_gpio_dm[2] ( PIN pad_gpio_dm[2] ) ( output28 X ) + USE SIGNAL - + ROUTED met2 ( 69230 39100 ) ( * 42670 ) - NEW met3 ( 69230 39100 ) ( 69460 * ) - NEW met3 ( 69460 38420 ) ( * 39100 ) - NEW met3 ( 69460 38420 ) ( 70380 * 0 ) - NEW met1 ( 62100 42670 ) ( 69230 * ) - NEW met1 ( 62100 41650 ) ( * 42670 ) - NEW met1 ( 39790 41650 ) ( 62100 * ) - NEW met1 ( 69230 42670 ) M1M2_PR - NEW met2 ( 69230 39100 ) M2M3_PR_M - NEW li1 ( 39790 41650 ) L1M1_PR_MR ; - - pad_gpio_holdover ( PIN pad_gpio_holdover ) ( output29 X ) + USE SIGNAL - + ROUTED met2 ( 50830 39780 ) ( * 45390 ) - NEW met1 ( 39790 45390 ) ( 50830 * ) - NEW met3 ( 50830 39780 ) ( 70380 * 0 ) - NEW met2 ( 50830 39780 ) M2M3_PR_M - NEW met1 ( 50830 45390 ) M1M2_PR - NEW li1 ( 39790 45390 ) L1M1_PR_MR ; - - pad_gpio_ib_mode_sel ( PIN pad_gpio_ib_mode_sel ) ( output30 X ) + USE SIGNAL - + ROUTED met2 ( 48070 41140 ) ( * 43010 ) - NEW met1 ( 34730 43010 ) ( 48070 * ) - NEW met3 ( 48070 41140 ) ( 70380 * 0 ) - NEW met2 ( 48070 41140 ) M2M3_PR_M - NEW met1 ( 48070 43010 ) M1M2_PR - NEW li1 ( 34730 43010 ) L1M1_PR_MR ; - - pad_gpio_in ( PIN pad_gpio_in ) ( ANTENNA_16 DIODE ) ( input16 A ) + USE SIGNAL - + ROUTED met2 ( 68310 43180 ) ( * 49980 ) - NEW met3 ( 68310 43180 ) ( 70380 * 0 ) - NEW met2 ( 16790 45050 ) ( * 53380 ) - NEW met3 ( 16790 53380 ) ( 40250 * ) - NEW met2 ( 40250 49980 ) ( * 53380 ) - NEW met1 ( 14490 44710 ) ( * 45050 ) - NEW met1 ( 13110 45050 ) ( 16790 * ) - NEW met3 ( 40250 49980 ) ( 68310 * ) - NEW li1 ( 13110 45050 ) L1M1_PR_MR - NEW met2 ( 68310 49980 ) M2M3_PR_M - NEW met2 ( 68310 43180 ) M2M3_PR_M - NEW met1 ( 16790 45050 ) M1M2_PR - NEW met2 ( 16790 53380 ) M2M3_PR_M - NEW met2 ( 40250 53380 ) M2M3_PR_M - NEW met2 ( 40250 49980 ) M2M3_PR_M - NEW li1 ( 14490 44710 ) L1M1_PR_MR - NEW met1 ( 14490 44710 ) RECT ( 0 -70 255 70 ) ; - - pad_gpio_inenb ( PIN pad_gpio_inenb ) ( output31 X ) + USE SIGNAL - + ROUTED met2 ( 67850 45220 ) ( * 52190 ) - NEW met3 ( 67850 45220 ) ( 69460 * ) - NEW met3 ( 69460 44540 ) ( * 45220 ) - NEW met3 ( 69460 44540 ) ( 70380 * 0 ) - NEW met1 ( 47150 52190 ) ( 67850 * ) - NEW met1 ( 67850 52190 ) M1M2_PR - NEW met2 ( 67850 45220 ) M2M3_PR_M - NEW li1 ( 47150 52190 ) L1M1_PR_MR ; - - pad_gpio_out ( PIN pad_gpio_out ) ( output32 X ) + USE SIGNAL - + ROUTED met2 ( 47150 46580 ) ( * 54910 ) - NEW met3 ( 47150 46580 ) ( 70380 * 0 ) - NEW met2 ( 47150 46580 ) M2M3_PR_M - NEW li1 ( 47150 54910 ) L1M1_PR_MR - NEW met1 ( 47150 54910 ) M1M2_PR - NEW met1 ( 47150 54910 ) RECT ( -355 -70 0 70 ) ; - - pad_gpio_outenb ( PIN pad_gpio_outenb ) ( output33 X ) + USE SIGNAL - + ROUTED met2 ( 69230 47940 ) ( * 48790 ) - NEW met3 ( 69230 47940 ) ( 70380 * 0 ) - NEW li1 ( 52670 48790 ) ( * 52530 ) - NEW met1 ( 46690 52530 ) ( 52670 * ) - NEW met1 ( 46690 52190 ) ( * 52530 ) - NEW met1 ( 43470 52190 ) ( 46690 * ) - NEW met1 ( 52670 48790 ) ( 69230 * ) - NEW met1 ( 69230 48790 ) M1M2_PR - NEW met2 ( 69230 47940 ) M2M3_PR_M - NEW li1 ( 52670 48790 ) L1M1_PR_MR - NEW li1 ( 52670 52530 ) L1M1_PR_MR - NEW li1 ( 43470 52190 ) L1M1_PR_MR ; - - pad_gpio_slow_sel ( PIN pad_gpio_slow_sel ) ( output34 X ) + USE SIGNAL - + ROUTED met2 ( 69230 49300 ) ( * 55250 ) - NEW met3 ( 69230 49300 ) ( 70380 * 0 ) - NEW met1 ( 45310 54910 ) ( * 55250 ) - NEW met1 ( 45310 55250 ) ( 69230 * ) - NEW met1 ( 69230 55250 ) M1M2_PR - NEW met2 ( 69230 49300 ) M2M3_PR_M - NEW li1 ( 45310 54910 ) L1M1_PR_MR ; - - pad_gpio_vtrip_sel ( PIN pad_gpio_vtrip_sel ) ( output35 X ) + USE SIGNAL - + ROUTED met2 ( 48530 51340 ) ( * 56610 ) - NEW met1 ( 35190 56610 ) ( 48530 * ) - NEW met3 ( 48530 51340 ) ( 70380 * 0 ) - NEW met2 ( 48530 51340 ) M2M3_PR_M - NEW met1 ( 48530 56610 ) M1M2_PR - NEW li1 ( 35190 56610 ) L1M1_PR_MR ; - - resetn ( PIN resetn ) ( input17 A ) + USE SIGNAL - + ROUTED met3 ( 69460 52700 ) ( * 53380 ) - NEW met3 ( 69460 52700 ) ( 70380 * 0 ) - NEW met1 ( 37030 55590 ) ( 41630 * ) - NEW met2 ( 41630 53380 ) ( * 55590 ) - NEW met3 ( 41630 53380 ) ( 69460 * ) - NEW li1 ( 37030 55590 ) L1M1_PR_MR + + ROUTED met2 ( 15410 28390 ) ( * 29580 ) + NEW met3 ( 15410 29580 ) ( 21390 * ) + NEW met2 ( 21390 29580 ) ( * 37230 ) + NEW met1 ( 21390 37230 ) ( 30130 * ) + NEW met1 ( 30130 36890 ) ( * 37230 ) + NEW met1 ( 30130 36890 ) ( 40250 * ) + NEW met1 ( 23230 13090 ) ( 25990 * ) + NEW met2 ( 25990 13090 ) ( * 14790 ) + NEW met1 ( 20930 14790 ) ( 25990 * ) + NEW met1 ( 20930 14790 ) ( * 15470 ) + NEW met1 ( 15410 15470 ) ( 20930 * ) + NEW met2 ( 15410 15470 ) ( * 28390 ) + NEW li1 ( 15410 28390 ) L1M1_PR_MR + NEW met1 ( 15410 28390 ) M1M2_PR + NEW met2 ( 15410 29580 ) M2M3_PR_M + NEW met2 ( 21390 29580 ) M2M3_PR_M + NEW met1 ( 21390 37230 ) M1M2_PR + NEW li1 ( 40250 36890 ) L1M1_PR_MR + NEW li1 ( 23230 13090 ) L1M1_PR_MR + NEW met1 ( 25990 13090 ) M1M2_PR + NEW met1 ( 25990 14790 ) M1M2_PR + NEW met1 ( 15410 15470 ) M1M2_PR + NEW met1 ( 15410 28390 ) RECT ( 0 -70 355 70 ) ; + - net30 ( output30 A ) ( _179_ A ) ( _202_ Q ) + USE SIGNAL + + ROUTED met2 ( 41630 55590 ) ( * 57630 ) + NEW met1 ( 33810 57630 ) ( 41630 * ) + NEW met2 ( 33810 56100 ) ( * 57630 ) + NEW met2 ( 33350 56100 ) ( 33810 * ) + NEW met1 ( 24610 36210 ) ( 32430 * ) + NEW met2 ( 32430 28900 ) ( * 36210 ) + NEW met3 ( 32430 28220 ) ( * 28900 ) + NEW met3 ( 32430 28220 ) ( 41170 * ) + NEW met2 ( 41170 18530 ) ( * 28220 ) + NEW met1 ( 41170 18530 ) ( 43930 * ) + NEW met1 ( 43930 18190 ) ( * 18530 ) + NEW met1 ( 43930 18190 ) ( 44850 * ) + NEW met1 ( 44850 18190 ) ( * 18530 ) + NEW met1 ( 44850 18530 ) ( 45310 * ) + NEW met2 ( 32890 36380 ) ( 33350 * ) + NEW met2 ( 32890 36210 ) ( * 36380 ) + NEW met2 ( 32430 36210 ) ( 32890 * ) + NEW met2 ( 33350 36380 ) ( * 56100 ) + NEW li1 ( 41630 55590 ) L1M1_PR_MR NEW met1 ( 41630 55590 ) M1M2_PR - NEW met2 ( 41630 53380 ) M2M3_PR_M ; - - resetn_out ( PIN resetn_out ) ( output36 X ) + USE SIGNAL - + ROUTED met2 ( 47610 54740 ) ( * 56270 ) - NEW met1 ( 33350 56270 ) ( 47610 * ) - NEW met3 ( 47610 54740 ) ( 70380 * 0 ) - NEW met2 ( 47610 54740 ) M2M3_PR_M - NEW met1 ( 47610 56270 ) M1M2_PR - NEW li1 ( 33350 56270 ) L1M1_PR_MR ; - - serial_clock ( PIN serial_clock ) ( clkbuf_0_serial_clock A ) + USE CLOCK - + ROUTED met2 ( 68770 38590 ) ( * 56100 ) - NEW met3 ( 68770 56100 ) ( 70380 * 0 ) - NEW met1 ( 62100 38590 ) ( 68770 * ) - NEW met1 ( 62100 38590 ) ( * 38930 ) - NEW met1 ( 34270 38930 ) ( 62100 * ) - NEW met2 ( 34270 33830 ) ( * 38930 ) - NEW met1 ( 31050 33830 ) ( 34270 * ) - NEW met1 ( 68770 38590 ) M1M2_PR - NEW met2 ( 68770 56100 ) M2M3_PR_M - NEW met1 ( 34270 38930 ) M1M2_PR - NEW met1 ( 34270 33830 ) M1M2_PR - NEW li1 ( 31050 33830 ) L1M1_PR_MR ; - - serial_clock_out ( PIN serial_clock_out ) ( output37 X ) + USE CLOCK - + ROUTED met2 ( 7590 52530 ) ( * 52700 ) - NEW met2 ( 67390 52700 ) ( * 57460 ) - NEW met3 ( 67390 57460 ) ( 70380 * 0 ) - NEW met3 ( 7590 52700 ) ( 67390 * ) - NEW met2 ( 7590 52700 ) M2M3_PR_M - NEW li1 ( 7590 52530 ) L1M1_PR_MR - NEW met1 ( 7590 52530 ) M1M2_PR - NEW met2 ( 67390 52700 ) M2M3_PR_M - NEW met2 ( 67390 57460 ) M2M3_PR_M - NEW met1 ( 7590 52530 ) RECT ( -355 -70 0 70 ) ; - - serial_data_in ( PIN serial_data_in ) ( ANTENNA_17 DIODE ) ( input18 A ) + USE SIGNAL - + ROUTED met2 ( 15870 55590 ) ( * 59500 ) - NEW met1 ( 14490 55590 ) ( 15870 * ) - NEW met3 ( 15870 59500 ) ( 70380 * 0 ) - NEW li1 ( 15870 55590 ) L1M1_PR_MR - NEW met1 ( 15870 55590 ) M1M2_PR - NEW met2 ( 15870 59500 ) M2M3_PR_M - NEW li1 ( 14490 55590 ) L1M1_PR_MR - NEW met1 ( 15870 55590 ) RECT ( -355 -70 0 70 ) ; - - serial_data_out ( PIN serial_data_out ) ( output38 X ) + USE SIGNAL - + ROUTED met1 ( 29670 56270 ) ( 30130 * ) - NEW met2 ( 30130 56270 ) ( * 60860 ) - NEW met3 ( 30130 60860 ) ( 70380 * 0 ) - NEW li1 ( 29670 56270 ) L1M1_PR_MR - NEW met1 ( 30130 56270 ) M1M2_PR - NEW met2 ( 30130 60860 ) M2M3_PR_M ; - - serial_data_pre ( _223_ Q ) ( _207_ D ) ( _186_ B ) + USE SIGNAL - + ROUTED met1 ( 38410 26690 ) ( 43470 * ) - NEW met2 ( 43470 26690 ) ( * 28390 ) - NEW met1 ( 37950 23290 ) ( 38870 * ) - NEW met2 ( 38870 23290 ) ( * 26690 ) - NEW li1 ( 38410 26690 ) L1M1_PR_MR - NEW met1 ( 43470 26690 ) M1M2_PR - NEW li1 ( 43470 28390 ) L1M1_PR_MR - NEW met1 ( 43470 28390 ) M1M2_PR - NEW li1 ( 37950 23290 ) L1M1_PR_MR - NEW met1 ( 38870 23290 ) M1M2_PR - NEW met1 ( 38870 26690 ) M1M2_PR - NEW met1 ( 43470 28390 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 38870 26690 ) RECT ( -595 -70 0 70 ) ; - - serial_load ( PIN serial_load ) ( ANTENNA_21 DIODE ) ( input19 A ) + USE SIGNAL - + ROUTED met3 ( 11730 62220 ) ( 34500 * ) - NEW met3 ( 34500 62220 ) ( * 62900 ) - NEW met3 ( 34500 62900 ) ( 70380 * 0 ) - NEW met1 ( 10350 53210 ) ( 11730 * ) - NEW met2 ( 11730 53210 ) ( * 62220 ) - NEW met2 ( 11730 62220 ) M2M3_PR_M - NEW li1 ( 11730 53210 ) L1M1_PR_MR - NEW met1 ( 11730 53210 ) M1M2_PR - NEW li1 ( 10350 53210 ) L1M1_PR_MR - NEW met1 ( 11730 53210 ) RECT ( -355 -70 0 70 ) ; - - serial_load_out ( PIN serial_load_out ) ( output39 X ) + USE SIGNAL - + ROUTED met3 ( 83950 64260 ) ( 84180 * 0 ) - NEW met2 ( 83950 52870 ) ( * 64260 ) - NEW met1 ( 62100 52870 ) ( 83950 * ) - NEW met1 ( 62100 52870 ) ( * 53550 ) - NEW met1 ( 43930 53550 ) ( 62100 * ) - NEW met1 ( 43930 52870 ) ( * 53550 ) - NEW met1 ( 34730 52870 ) ( 43930 * ) - NEW met2 ( 34730 52870 ) ( * 53550 ) - NEW met1 ( 31970 53550 ) ( 34730 * ) - NEW met1 ( 31970 52530 ) ( * 53550 ) - NEW met2 ( 83950 64260 ) M2M3_PR_M - NEW met1 ( 83950 52870 ) M1M2_PR - NEW met1 ( 34730 52870 ) M1M2_PR - NEW met1 ( 34730 53550 ) M1M2_PR - NEW li1 ( 31970 52530 ) L1M1_PR_MR ; - - shift_register\[0\] ( _212_ D ) ( _211_ Q ) ( _198_ D ) + USE SIGNAL - + ROUTED met2 ( 41630 46580 ) ( * 52190 ) - NEW met1 ( 34730 47770 ) ( 37030 * ) - NEW met2 ( 34730 47770 ) ( * 48620 ) - NEW met3 ( 22770 48620 ) ( 34730 * ) - NEW met2 ( 22770 48450 ) ( * 48620 ) - NEW met1 ( 17250 48450 ) ( 22770 * ) - NEW met1 ( 17250 48110 ) ( * 48450 ) - NEW met1 ( 15410 48110 ) ( 17250 * ) - NEW met2 ( 37030 46580 ) ( * 47770 ) - NEW met3 ( 37030 46580 ) ( 41630 * ) - NEW li1 ( 41630 52190 ) L1M1_PR_MR - NEW met1 ( 41630 52190 ) M1M2_PR - NEW met2 ( 41630 46580 ) M2M3_PR_M - NEW li1 ( 37030 47770 ) L1M1_PR_MR - NEW met1 ( 34730 47770 ) M1M2_PR - NEW met2 ( 34730 48620 ) M2M3_PR_M - NEW met2 ( 22770 48620 ) M2M3_PR_M - NEW met1 ( 22770 48450 ) M1M2_PR - NEW li1 ( 15410 48110 ) L1M1_PR_MR - NEW met2 ( 37030 46580 ) M2M3_PR_M - NEW met1 ( 37030 47770 ) M1M2_PR - NEW met1 ( 41630 52190 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 37030 47770 ) RECT ( -595 -70 0 70 ) ; - - shift_register\[10\] ( _222_ D ) ( _221_ Q ) ( _205_ D ) + USE SIGNAL - + ROUTED met1 ( 36110 36550 ) ( 37950 * ) - NEW met2 ( 37950 36550 ) ( * 50660 ) - NEW met3 ( 37950 50660 ) ( 39330 * ) - NEW met2 ( 39330 50660 ) ( * 50830 ) - NEW met1 ( 39330 50830 ) ( 40710 * ) - NEW met1 ( 27370 31790 ) ( 27830 * ) - NEW met2 ( 27830 31790 ) ( * 36210 ) - NEW met2 ( 27830 36210 ) ( 28290 * ) - NEW met1 ( 28290 36210 ) ( 34730 * ) - NEW met1 ( 34730 36210 ) ( * 36550 ) - NEW met1 ( 34730 36550 ) ( 36110 * ) - NEW li1 ( 36110 36550 ) L1M1_PR_MR - NEW met1 ( 37950 36550 ) M1M2_PR - NEW met2 ( 37950 50660 ) M2M3_PR_M - NEW met2 ( 39330 50660 ) M2M3_PR_M - NEW met1 ( 39330 50830 ) M1M2_PR - NEW li1 ( 40710 50830 ) L1M1_PR_MR - NEW li1 ( 27370 31790 ) L1M1_PR_MR - NEW met1 ( 27830 31790 ) M1M2_PR - NEW met1 ( 28290 36210 ) M1M2_PR ; - - shift_register\[11\] ( _223_ D ) ( _222_ Q ) ( _206_ D ) + USE SIGNAL - + ROUTED met2 ( 30590 28730 ) ( * 30430 ) - NEW met1 ( 30590 30430 ) ( 34730 * ) - NEW met1 ( 30590 26350 ) ( 31050 * ) - NEW met2 ( 30590 26350 ) ( * 28730 ) - NEW li1 ( 30590 28730 ) L1M1_PR_MR - NEW met1 ( 30590 28730 ) M1M2_PR - NEW met1 ( 30590 30430 ) M1M2_PR - NEW li1 ( 34730 30430 ) L1M1_PR_MR - NEW li1 ( 31050 26350 ) L1M1_PR_MR - NEW met1 ( 30590 26350 ) M1M2_PR - NEW met1 ( 30590 28730 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[1\] ( _213_ D ) ( _212_ Q ) ( _204_ D ) + USE SIGNAL - + ROUTED met1 ( 16790 41990 ) ( 22770 * ) - NEW met2 ( 22770 41990 ) ( * 46750 ) - NEW met2 ( 20470 36890 ) ( * 37570 ) - NEW met2 ( 19550 37570 ) ( 20470 * ) - NEW met2 ( 19550 37570 ) ( * 41990 ) - NEW li1 ( 16790 41990 ) L1M1_PR_MR - NEW met1 ( 22770 41990 ) M1M2_PR - NEW li1 ( 22770 46750 ) L1M1_PR_MR - NEW met1 ( 22770 46750 ) M1M2_PR - NEW li1 ( 20470 36890 ) L1M1_PR_MR - NEW met1 ( 20470 36890 ) M1M2_PR - NEW met1 ( 19550 41990 ) M1M2_PR - NEW met1 ( 22770 46750 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 20470 36890 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 19550 41990 ) RECT ( -595 -70 0 70 ) ; - - shift_register\[2\] ( _214_ D ) ( _213_ Q ) ( _199_ D ) + USE SIGNAL - + ROUTED met2 ( 25990 32130 ) ( * 39270 ) - NEW met1 ( 18170 32130 ) ( 25990 * ) - NEW met1 ( 18170 31790 ) ( * 32130 ) - NEW met1 ( 24150 41310 ) ( 25990 * ) - NEW met2 ( 25990 39270 ) ( * 41310 ) - NEW li1 ( 25990 39270 ) L1M1_PR_MR - NEW met1 ( 25990 39270 ) M1M2_PR - NEW met1 ( 25990 32130 ) M1M2_PR - NEW li1 ( 18170 31790 ) L1M1_PR_MR - NEW li1 ( 24150 41310 ) L1M1_PR_MR - NEW met1 ( 25990 41310 ) M1M2_PR - NEW met1 ( 25990 39270 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[3\] ( _215_ D ) ( _214_ Q ) ( _202_ D ) + USE SIGNAL - + ROUTED met2 ( 18170 28050 ) ( * 30430 ) - NEW met1 ( 18170 30430 ) ( 25530 * ) - NEW met1 ( 18170 26010 ) ( 19090 * ) - NEW met2 ( 18170 26010 ) ( * 28050 ) - NEW li1 ( 18170 28050 ) L1M1_PR_MR - NEW met1 ( 18170 28050 ) M1M2_PR - NEW met1 ( 18170 30430 ) M1M2_PR - NEW li1 ( 25530 30430 ) L1M1_PR_MR - NEW li1 ( 19090 26010 ) L1M1_PR_MR - NEW met1 ( 18170 26010 ) M1M2_PR - NEW met1 ( 18170 28050 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[4\] ( _216_ D ) ( _215_ Q ) ( _203_ D ) + USE SIGNAL - + ROUTED met2 ( 19090 20570 ) ( * 27710 ) - NEW met1 ( 19090 27710 ) ( 25530 * ) - NEW met1 ( 18170 17850 ) ( 18630 * ) - NEW met2 ( 18630 17850 ) ( 19090 * ) - NEW met2 ( 19090 17850 ) ( * 20570 ) - NEW li1 ( 19090 20570 ) L1M1_PR_MR - NEW met1 ( 19090 20570 ) M1M2_PR - NEW met1 ( 19090 27710 ) M1M2_PR - NEW li1 ( 25530 27710 ) L1M1_PR_MR - NEW li1 ( 18170 17850 ) L1M1_PR_MR - NEW met1 ( 18630 17850 ) M1M2_PR - NEW met1 ( 19090 20570 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[5\] ( _217_ D ) ( _216_ Q ) ( _208_ D ) + USE SIGNAL - + ROUTED met1 ( 20470 11730 ) ( 21850 * ) - NEW met1 ( 21850 15130 ) ( 25530 * ) - NEW met2 ( 25530 15130 ) ( * 16830 ) - NEW met2 ( 21850 11730 ) ( * 15130 ) - NEW met1 ( 21850 11730 ) M1M2_PR - NEW li1 ( 20470 11730 ) L1M1_PR_MR - NEW li1 ( 25530 15130 ) L1M1_PR_MR - NEW met1 ( 21850 15130 ) M1M2_PR - NEW li1 ( 25530 16830 ) L1M1_PR_MR - NEW met1 ( 25530 16830 ) M1M2_PR - NEW met1 ( 25530 15130 ) M1M2_PR - NEW met1 ( 25530 16830 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 25530 15130 ) RECT ( -595 -70 0 70 ) ; - - shift_register\[6\] ( _218_ D ) ( _217_ Q ) ( _209_ D ) + USE SIGNAL - + ROUTED met1 ( 30130 12410 ) ( 32430 * ) - NEW met1 ( 27830 12750 ) ( 29210 * ) - NEW met1 ( 29210 12410 ) ( * 12750 ) - NEW met1 ( 29210 12410 ) ( 30130 * ) - NEW met1 ( 32430 17510 ) ( 33350 * ) - NEW met2 ( 32430 12410 ) ( * 17510 ) - NEW li1 ( 30130 12410 ) L1M1_PR_MR - NEW met1 ( 32430 12410 ) M1M2_PR - NEW li1 ( 27830 12750 ) L1M1_PR_MR - NEW met1 ( 32430 17510 ) M1M2_PR - NEW li1 ( 33350 17510 ) L1M1_PR_MR ; - - shift_register\[7\] ( _219_ D ) ( _218_ Q ) ( _210_ D ) + USE SIGNAL - + ROUTED met1 ( 26450 50830 ) ( 30590 * ) - NEW met2 ( 26450 50830 ) ( * 52020 ) - NEW met3 ( 17710 52020 ) ( 26450 * ) - NEW met2 ( 17710 52020 ) ( * 52870 ) - NEW met1 ( 14950 52870 ) ( 17710 * ) - NEW met2 ( 31050 20570 ) ( * 30940 ) - NEW met2 ( 30590 30940 ) ( 31050 * ) - NEW met1 ( 30590 11390 ) ( 37490 * ) - NEW met2 ( 30590 11390 ) ( * 20570 ) - NEW met2 ( 30590 20570 ) ( 31050 * ) - NEW met2 ( 30590 30940 ) ( * 50830 ) - NEW met1 ( 30590 50830 ) M1M2_PR - NEW met1 ( 26450 50830 ) M1M2_PR - NEW met2 ( 26450 52020 ) M2M3_PR_M - NEW met2 ( 17710 52020 ) M2M3_PR_M - NEW met1 ( 17710 52870 ) M1M2_PR - NEW li1 ( 14950 52870 ) L1M1_PR_MR - NEW li1 ( 31050 20570 ) L1M1_PR_MR - NEW met1 ( 31050 20570 ) M1M2_PR - NEW li1 ( 37490 11390 ) L1M1_PR_MR - NEW met1 ( 30590 11390 ) M1M2_PR - NEW met1 ( 31050 20570 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[8\] ( _220_ D ) ( _219_ Q ) ( _200_ D ) + USE SIGNAL - + ROUTED met1 ( 20010 52870 ) ( 22310 * ) - NEW met2 ( 20010 52870 ) ( * 55250 ) - NEW met1 ( 19550 55250 ) ( 20010 * ) - NEW met2 ( 21850 50490 ) ( * 52870 ) - NEW li1 ( 22310 52870 ) L1M1_PR_MR - NEW met1 ( 20010 52870 ) M1M2_PR - NEW met1 ( 20010 55250 ) M1M2_PR - NEW li1 ( 19550 55250 ) L1M1_PR_MR - NEW li1 ( 21850 50490 ) L1M1_PR_MR - NEW met1 ( 21850 50490 ) M1M2_PR - NEW met1 ( 21850 52870 ) M1M2_PR - NEW met1 ( 21850 50490 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 21850 52870 ) RECT ( -595 -70 0 70 ) ; - - shift_register\[9\] ( _221_ D ) ( _220_ Q ) ( _201_ D ) + USE SIGNAL - + ROUTED met1 ( 31050 49810 ) ( 33350 * ) - NEW met2 ( 31050 49810 ) ( * 54910 ) - NEW met1 ( 26910 54910 ) ( 31050 * ) - NEW met1 ( 27830 45050 ) ( 31050 * ) - NEW met2 ( 31050 45050 ) ( * 49810 ) - NEW li1 ( 33350 49810 ) L1M1_PR_MR - NEW met1 ( 31050 49810 ) M1M2_PR - NEW met1 ( 31050 54910 ) M1M2_PR - NEW li1 ( 26910 54910 ) L1M1_PR_MR - NEW met1 ( 31050 45050 ) M1M2_PR - NEW li1 ( 27830 45050 ) L1M1_PR_MR ; - - user_gpio_in ( PIN user_gpio_in ) ( output40 X ) + USE SIGNAL - + ROUTED met3 ( 30590 65620 ) ( 70380 * 0 ) - NEW met1 ( 29670 52530 ) ( 30590 * ) - NEW met2 ( 30590 52530 ) ( * 65620 ) - NEW met2 ( 30590 65620 ) M2M3_PR_M - NEW li1 ( 29670 52530 ) L1M1_PR_MR - NEW met1 ( 30590 52530 ) M1M2_PR ; - - user_gpio_oeb ( PIN user_gpio_oeb ) ( ANTENNA_18 DIODE ) ( input20 A ) + USE SIGNAL - + ROUTED met3 ( 12190 66980 ) ( 34500 * ) - NEW met3 ( 34500 66980 ) ( * 67660 ) - NEW met3 ( 34500 67660 ) ( 70380 * 0 ) - NEW met1 ( 12190 55590 ) ( 12650 * ) - NEW met1 ( 10350 55590 ) ( 12190 * ) - NEW met2 ( 12190 55590 ) ( * 66980 ) - NEW met2 ( 12190 66980 ) M2M3_PR_M - NEW li1 ( 12650 55590 ) L1M1_PR_MR - NEW met1 ( 12190 55590 ) M1M2_PR - NEW li1 ( 10350 55590 ) L1M1_PR_MR ; - - user_gpio_out ( PIN user_gpio_out ) ( ANTENNA_19 DIODE ) ( input21 A ) + USE SIGNAL - + ROUTED met3 ( 83030 69020 ) ( 83260 * 0 ) - NEW met2 ( 83030 57970 ) ( * 69020 ) - NEW met2 ( 9430 56610 ) ( * 57970 ) - NEW met1 ( 7590 55590 ) ( 9430 * ) - NEW met2 ( 9430 55590 ) ( * 56610 ) - NEW met1 ( 9430 57970 ) ( 83030 * ) - NEW met2 ( 83030 69020 ) M2M3_PR_M - NEW met1 ( 83030 57970 ) M1M2_PR - NEW li1 ( 9430 56610 ) L1M1_PR_MR - NEW met1 ( 9430 56610 ) M1M2_PR - NEW met1 ( 9430 57970 ) M1M2_PR - NEW li1 ( 7590 55590 ) L1M1_PR_MR + NEW met1 ( 41630 57630 ) M1M2_PR + NEW met1 ( 33810 57630 ) M1M2_PR + NEW li1 ( 24610 36210 ) L1M1_PR_MR + NEW met1 ( 32430 36210 ) M1M2_PR + NEW met2 ( 32430 28900 ) M2M3_PR_M + NEW met2 ( 41170 28220 ) M2M3_PR_M + NEW met1 ( 41170 18530 ) M1M2_PR + NEW li1 ( 45310 18530 ) L1M1_PR_MR + NEW met1 ( 41630 55590 ) RECT ( 0 -70 355 70 ) ; + - net31 ( output31 A ) ( _196_ X ) + USE SIGNAL + + ROUTED met1 ( 43930 33150 ) ( * 33490 ) + NEW met1 ( 42550 33490 ) ( 43930 * ) + NEW met2 ( 42550 33490 ) ( * 41820 ) + NEW met2 ( 42090 41820 ) ( 42550 * ) + NEW met2 ( 42090 41820 ) ( * 44030 ) + NEW met1 ( 37950 44030 ) ( 42090 * ) + NEW met1 ( 37950 44030 ) ( * 44370 ) + NEW met1 ( 34730 44370 ) ( 37950 * ) + NEW met1 ( 34730 44030 ) ( * 44370 ) + NEW met1 ( 18630 44030 ) ( 34730 * ) + NEW li1 ( 18630 44030 ) ( * 44710 ) + NEW met1 ( 18630 44710 ) ( 19090 * ) + NEW li1 ( 43930 33150 ) L1M1_PR_MR + NEW met1 ( 42550 33490 ) M1M2_PR + NEW met1 ( 42090 44030 ) M1M2_PR + NEW li1 ( 18630 44030 ) L1M1_PR_MR + NEW li1 ( 18630 44710 ) L1M1_PR_MR + NEW li1 ( 19090 44710 ) L1M1_PR_MR ; + - net32 ( output32 A ) ( _193_ X ) + USE SIGNAL + + ROUTED met1 ( 28750 31450 ) ( 30130 * ) + NEW met1 ( 30130 31450 ) ( * 32130 ) + NEW met1 ( 30130 32130 ) ( 36110 * ) + NEW met2 ( 36110 32130 ) ( * 36210 ) + NEW met1 ( 36110 36210 ) ( 43470 * ) + NEW li1 ( 28750 31450 ) L1M1_PR_MR + NEW met1 ( 36110 32130 ) M1M2_PR + NEW met1 ( 36110 36210 ) M1M2_PR + NEW li1 ( 43470 36210 ) L1M1_PR_MR ; + - net33 ( output33 A ) ( _200_ Q ) + USE SIGNAL + + ROUTED met1 ( 41630 46750 ) ( 47610 * ) + NEW met2 ( 41630 46750 ) ( * 54910 ) + NEW met1 ( 34270 54910 ) ( 41630 * ) + NEW met1 ( 34270 54910 ) ( * 55590 ) + NEW li1 ( 47610 46750 ) L1M1_PR_MR + NEW met1 ( 41630 46750 ) M1M2_PR + NEW met1 ( 41630 54910 ) M1M2_PR + NEW li1 ( 34270 55590 ) L1M1_PR_MR ; + - net34 ( output34 A ) ( _201_ Q ) + USE SIGNAL + + ROUTED met1 ( 42550 43010 ) ( 47610 * ) + NEW met3 ( 36570 52700 ) ( 42550 * ) + NEW met2 ( 36570 52700 ) ( * 55590 ) + NEW met2 ( 42550 43010 ) ( * 52700 ) + NEW li1 ( 47610 43010 ) L1M1_PR_MR + NEW met1 ( 42550 43010 ) M1M2_PR + NEW met2 ( 42550 52700 ) M2M3_PR_M + NEW met2 ( 36570 52700 ) M2M3_PR_M + NEW li1 ( 36570 55590 ) L1M1_PR_MR + NEW met1 ( 36570 55590 ) M1M2_PR + NEW met1 ( 36570 55590 ) RECT ( -355 -70 0 70 ) ; + - net35 ( output35 A ) ( _190_ X ) + USE SIGNAL + + ROUTED met1 ( 24150 53550 ) ( 26450 * ) + NEW met1 ( 26450 53210 ) ( * 53550 ) + NEW met1 ( 6670 29070 ) ( 7590 * ) + NEW met2 ( 6670 29070 ) ( * 33660 ) + NEW met2 ( 6210 33660 ) ( 6670 * ) + NEW met2 ( 6210 33660 ) ( * 41820 ) + NEW met2 ( 6210 41820 ) ( 6670 * ) + NEW met2 ( 6670 41820 ) ( * 47260 ) + NEW met3 ( 6670 47260 ) ( 24150 * ) + NEW met2 ( 24150 47260 ) ( * 53550 ) + NEW met1 ( 24150 53550 ) M1M2_PR + NEW li1 ( 26450 53210 ) L1M1_PR_MR + NEW li1 ( 7590 29070 ) L1M1_PR_MR + NEW met1 ( 6670 29070 ) M1M2_PR + NEW met2 ( 6670 47260 ) M2M3_PR_M + NEW met2 ( 24150 47260 ) M2M3_PR_M ; + - net36 ( output36 A ) ( _191_ X ) + USE CLOCK + + ROUTED met1 ( 17710 6290 ) ( 39790 * ) + NEW met1 ( 17710 6290 ) ( * 6630 ) + NEW met1 ( 39790 50830 ) ( 47150 * ) + NEW met2 ( 39790 6290 ) ( * 50830 ) + NEW met1 ( 39790 6290 ) M1M2_PR + NEW li1 ( 17710 6630 ) L1M1_PR_MR + NEW met1 ( 39790 50830 ) M1M2_PR + NEW li1 ( 47150 50830 ) L1M1_PR_MR ; + - net37 ( output37 A ) ( _187_ X ) + USE SIGNAL + + ROUTED met1 ( 6210 45730 ) ( 6670 * ) + NEW met3 ( 6210 53380 ) ( 28750 * ) + NEW met2 ( 28750 53380 ) ( * 55590 ) + NEW met2 ( 6210 45730 ) ( * 53380 ) + NEW li1 ( 6670 45730 ) L1M1_PR_MR + NEW met1 ( 6210 45730 ) M1M2_PR + NEW met2 ( 6210 53380 ) M2M3_PR_M + NEW met2 ( 28750 53380 ) M2M3_PR_M + NEW li1 ( 28750 55590 ) L1M1_PR_MR + NEW met1 ( 28750 55590 ) M1M2_PR + NEW met1 ( 28750 55590 ) RECT ( 0 -70 355 70 ) ; + - net38 ( output38 A ) ( _192_ X ) + USE CLOCK + + ROUTED met1 ( 25990 10370 ) ( 45770 * ) + NEW met1 ( 25990 9690 ) ( * 10370 ) + NEW met1 ( 45770 56270 ) ( 46230 * ) + NEW met2 ( 45770 10370 ) ( * 56270 ) + NEW met1 ( 45770 10370 ) M1M2_PR + NEW li1 ( 25990 9690 ) L1M1_PR_MR + NEW met1 ( 45770 56270 ) M1M2_PR + NEW li1 ( 46230 56270 ) L1M1_PR_MR ; + - net39 ( output39 A ) ( gpio_in_buf Z ) + USE SIGNAL + + ROUTED met1 ( 39330 19890 ) ( 40250 * ) + NEW met2 ( 39330 19890 ) ( * 23290 ) + NEW met1 ( 39330 23290 ) ( 40250 * ) + NEW met2 ( 40250 23290 ) ( * 56100 ) + NEW met2 ( 37950 56100 ) ( 40250 * ) + NEW met2 ( 37950 55930 ) ( * 56100 ) + NEW met2 ( 37030 55930 ) ( 37950 * ) + NEW met1 ( 31970 55930 ) ( 37030 * ) + NEW met1 ( 31970 55930 ) ( * 56270 ) + NEW met1 ( 28290 56270 ) ( 31970 * ) + NEW met1 ( 28290 55590 ) ( * 56270 ) + NEW met1 ( 26910 55590 ) ( 28290 * ) + NEW li1 ( 40250 19890 ) L1M1_PR_MR + NEW met1 ( 39330 19890 ) M1M2_PR + NEW met1 ( 39330 23290 ) M1M2_PR + NEW met1 ( 40250 23290 ) M1M2_PR + NEW met1 ( 37030 55930 ) M1M2_PR + NEW li1 ( 26910 55590 ) L1M1_PR_MR ; + - net4 ( input4 X ) ( _120_ B_N ) ( _122_ B ) + USE SIGNAL + + ROUTED met1 ( 21850 11390 ) ( 22770 * ) + NEW met2 ( 22770 9350 ) ( * 11390 ) + NEW met1 ( 22770 9350 ) ( 30590 * ) + NEW met1 ( 31050 28390 ) ( 32890 * ) + NEW met2 ( 32890 28390 ) ( * 33490 ) + NEW met1 ( 32890 33490 ) ( 35650 * ) + NEW met2 ( 35650 33490 ) ( * 37060 ) + NEW met2 ( 35650 37060 ) ( 36110 * ) + NEW met2 ( 36110 37060 ) ( * 48450 ) + NEW met1 ( 36110 48450 ) ( 38410 * ) + NEW met2 ( 38410 48450 ) ( * 49810 ) + NEW met1 ( 38410 49810 ) ( 43930 * ) + NEW met2 ( 30590 17340 ) ( 31050 * ) + NEW met2 ( 31050 17340 ) ( * 22780 ) + NEW met2 ( 30590 22780 ) ( 31050 * ) + NEW met2 ( 30590 22780 ) ( * 28390 ) + NEW met1 ( 30590 28390 ) ( 31050 * ) + NEW met2 ( 30590 9350 ) ( * 17340 ) + NEW li1 ( 21850 11390 ) L1M1_PR_MR + NEW met1 ( 22770 11390 ) M1M2_PR + NEW met1 ( 22770 9350 ) M1M2_PR + NEW met1 ( 30590 9350 ) M1M2_PR + NEW li1 ( 31050 28390 ) L1M1_PR_MR + NEW met1 ( 32890 28390 ) M1M2_PR + NEW met1 ( 32890 33490 ) M1M2_PR + NEW met1 ( 35650 33490 ) M1M2_PR + NEW met1 ( 36110 48450 ) M1M2_PR + NEW met1 ( 38410 48450 ) M1M2_PR + NEW met1 ( 38410 49810 ) M1M2_PR + NEW li1 ( 43930 49810 ) L1M1_PR_MR + NEW met1 ( 30590 28390 ) M1M2_PR ; + - net40 ( _188_ A ) ( _106__1 Y ) + USE CLOCK + + ROUTED met1 ( 11270 30430 ) ( * 30770 ) + NEW met1 ( 6670 30770 ) ( 11270 * ) + NEW met1 ( 6670 30770 ) ( * 31450 ) + NEW met1 ( 20470 30430 ) ( * 30770 ) + NEW met1 ( 20470 30770 ) ( 28750 * ) + NEW met2 ( 28750 30770 ) ( * 47090 ) + NEW met1 ( 28750 47090 ) ( 35190 * ) + NEW met1 ( 11270 30430 ) ( 20470 * ) + NEW li1 ( 6670 31450 ) L1M1_PR_MR + NEW met1 ( 28750 30770 ) M1M2_PR + NEW met1 ( 28750 47090 ) M1M2_PR + NEW li1 ( 35190 47090 ) L1M1_PR_MR ; + - net41 ( _173_ A ) ( _106__2 Y ) + USE CLOCK + + ROUTED met1 ( 9430 48110 ) ( 12650 * ) + NEW met2 ( 9430 48110 ) ( * 55590 ) + NEW li1 ( 12650 48110 ) L1M1_PR_MR + NEW met1 ( 9430 48110 ) M1M2_PR + NEW li1 ( 9430 55590 ) L1M1_PR_MR NEW met1 ( 9430 55590 ) M1M2_PR - NEW met1 ( 9430 56610 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 9430 55590 ) RECT ( -355 -70 0 70 ) ; + - net42 ( _167_ A ) ( _106__3 Y ) + USE CLOCK + + ROUTED met1 ( 7590 48450 ) ( 10810 * ) + NEW met2 ( 10810 48450 ) ( * 53210 ) + NEW li1 ( 7590 48450 ) L1M1_PR_MR + NEW met1 ( 10810 48450 ) M1M2_PR + NEW li1 ( 10810 53210 ) L1M1_PR_MR + NEW met1 ( 10810 53210 ) M1M2_PR + NEW met1 ( 10810 53210 ) RECT ( 0 -70 355 70 ) ; + - net43 ( _136_ A ) ( _106__4 Y ) + USE CLOCK + + ROUTED met2 ( 16330 40290 ) ( * 44710 ) + NEW met1 ( 7590 40290 ) ( 16330 * ) + NEW li1 ( 7590 40290 ) L1M1_PR_MR + NEW met1 ( 16330 40290 ) M1M2_PR + NEW li1 ( 16330 44710 ) L1M1_PR_MR + NEW met1 ( 16330 44710 ) M1M2_PR + NEW met1 ( 16330 44710 ) RECT ( 0 -70 355 70 ) ; + - net44 ( _107_ A ) ( _106__5 Y ) + USE CLOCK + + ROUTED met2 ( 18630 32130 ) ( * 38930 ) + NEW met1 ( 18630 38930 ) ( 24610 * ) + NEW met1 ( 24610 38930 ) ( * 39270 ) + NEW met1 ( 11270 32130 ) ( 18630 * ) + NEW li1 ( 11270 32130 ) L1M1_PR_MR + NEW met1 ( 18630 32130 ) M1M2_PR + NEW met1 ( 18630 38930 ) M1M2_PR + NEW li1 ( 24610 39270 ) L1M1_PR_MR ; + - net45 ( _201_ D ) ( hold1 X ) + USE SIGNAL + + ROUTED met1 ( 41170 29070 ) ( 47150 * ) + NEW met2 ( 41170 29070 ) ( * 41990 ) + NEW met1 ( 37950 41990 ) ( 41170 * ) + NEW li1 ( 47150 29070 ) L1M1_PR_MR + NEW met1 ( 41170 29070 ) M1M2_PR + NEW met1 ( 41170 41990 ) M1M2_PR + NEW li1 ( 37950 41990 ) L1M1_PR_MR ; + - net46 ( _203_ D ) ( hold2 X ) + USE SIGNAL + + ROUTED met1 ( 20010 32130 ) ( 24610 * ) + NEW met2 ( 20010 32130 ) ( * 33830 ) + NEW li1 ( 24610 32130 ) L1M1_PR_MR + NEW met1 ( 20010 32130 ) M1M2_PR + NEW li1 ( 20010 33830 ) L1M1_PR_MR + NEW met1 ( 20010 33830 ) M1M2_PR + NEW met1 ( 20010 33830 ) RECT ( -355 -70 0 70 ) ; + - net47 ( _200_ D ) ( hold3 X ) + USE SIGNAL + + ROUTED met1 ( 41170 45730 ) ( 47150 * ) + NEW met2 ( 41170 45730 ) ( * 47430 ) + NEW met1 ( 37950 47430 ) ( 41170 * ) + NEW li1 ( 47150 45730 ) L1M1_PR_MR + NEW met1 ( 41170 45730 ) M1M2_PR + NEW met1 ( 41170 47430 ) M1M2_PR + NEW li1 ( 37950 47430 ) L1M1_PR_MR ; + - net48 ( _202_ D ) ( hold4 X ) + USE SIGNAL + + ROUTED met1 ( 11730 36550 ) ( 14950 * ) + NEW li1 ( 11730 36550 ) L1M1_PR_MR + NEW li1 ( 14950 36550 ) L1M1_PR_MR ; + - net49 ( hold18 A ) ( hold5 X ) + USE SIGNAL + + ROUTED met1 ( 9890 46750 ) ( 11730 * ) + NEW met2 ( 9890 46750 ) ( * 53550 ) + NEW met1 ( 9890 53550 ) ( 12190 * ) + NEW met1 ( 12190 53210 ) ( * 53550 ) + NEW li1 ( 11730 46750 ) L1M1_PR_MR + NEW met1 ( 9890 46750 ) M1M2_PR + NEW met1 ( 9890 53550 ) M1M2_PR + NEW li1 ( 12190 53210 ) L1M1_PR_MR ; + - net5 ( input5 X ) ( _139_ B_N ) ( _141_ B ) + USE SIGNAL + + ROUTED met1 ( 16330 10370 ) ( 18170 * ) + NEW met2 ( 16330 10370 ) ( * 13800 ) + NEW met2 ( 17250 45220 ) ( 17710 * ) + NEW met2 ( 17250 36380 ) ( * 45220 ) + NEW met2 ( 16790 36380 ) ( 17250 * ) + NEW met2 ( 16790 13800 ) ( * 36380 ) + NEW met2 ( 16330 13800 ) ( 16790 * ) + NEW met1 ( 15870 53210 ) ( 17710 * ) + NEW met1 ( 17710 55250 ) ( 18170 * ) + NEW met2 ( 17710 53210 ) ( * 55250 ) + NEW met2 ( 17710 45220 ) ( * 53210 ) + NEW li1 ( 18170 10370 ) L1M1_PR_MR + NEW met1 ( 16330 10370 ) M1M2_PR + NEW li1 ( 15870 53210 ) L1M1_PR_MR + NEW met1 ( 17710 53210 ) M1M2_PR + NEW li1 ( 18170 55250 ) L1M1_PR_MR + NEW met1 ( 17710 55250 ) M1M2_PR ; + - net50 ( hold19 A ) ( hold6 X ) + USE SIGNAL + + ROUTED met1 ( 43930 22950 ) ( 44390 * ) + NEW li1 ( 44390 22950 ) L1M1_PR_MR + NEW li1 ( 43930 22950 ) L1M1_PR_MR ; + - net51 ( _206_ D ) ( hold7 X ) + USE SIGNAL + + ROUTED met2 ( 31050 26010 ) ( * 30430 ) + NEW met1 ( 31050 30430 ) ( 34270 * ) + NEW li1 ( 31050 26010 ) L1M1_PR_MR + NEW met1 ( 31050 26010 ) M1M2_PR + NEW met1 ( 31050 30430 ) M1M2_PR + NEW li1 ( 34270 30430 ) L1M1_PR_MR + NEW met1 ( 31050 26010 ) RECT ( -355 -70 0 70 ) ; + - net52 ( _208_ D ) ( hold8 X ) + USE SIGNAL + + ROUTED met1 ( 20010 20230 ) ( 23230 * ) + NEW met2 ( 23230 20230 ) ( * 23290 ) + NEW met1 ( 23230 23290 ) ( 28290 * ) + NEW met2 ( 28290 23290 ) ( * 28900 ) + NEW met2 ( 27830 28900 ) ( 28290 * ) + NEW met2 ( 27830 28900 ) ( * 31450 ) + NEW met1 ( 27830 31450 ) ( 28290 * ) + NEW li1 ( 20010 20230 ) L1M1_PR_MR + NEW met1 ( 23230 20230 ) M1M2_PR + NEW met1 ( 23230 23290 ) M1M2_PR + NEW met1 ( 28290 23290 ) M1M2_PR + NEW met1 ( 27830 31450 ) M1M2_PR + NEW li1 ( 28290 31450 ) L1M1_PR_MR ; + - net53 ( _205_ D ) ( hold9 X ) + USE SIGNAL + + ROUTED met2 ( 34730 17850 ) ( * 19550 ) + NEW met1 ( 34730 19550 ) ( 37490 * ) + NEW li1 ( 34730 17850 ) L1M1_PR_MR + NEW met1 ( 34730 17850 ) M1M2_PR + NEW met1 ( 34730 19550 ) M1M2_PR + NEW li1 ( 37490 19550 ) L1M1_PR_MR + NEW met1 ( 34730 17850 ) RECT ( -355 -70 0 70 ) ; + - net54 ( _210_ D ) ( hold10 X ) + USE SIGNAL + + ROUTED met1 ( 27830 39610 ) ( 30130 * ) + NEW met2 ( 30130 39610 ) ( * 41310 ) + NEW met1 ( 30130 41310 ) ( 34270 * ) + NEW li1 ( 27830 39610 ) L1M1_PR_MR + NEW met1 ( 30130 39610 ) M1M2_PR + NEW met1 ( 30130 41310 ) M1M2_PR + NEW li1 ( 34270 41310 ) L1M1_PR_MR ; + - net55 ( _198_ D ) ( hold11 X ) + USE SIGNAL + + ROUTED met1 ( 32890 48450 ) ( 34270 * ) + NEW met2 ( 32890 48450 ) ( * 52870 ) + NEW li1 ( 34270 48450 ) L1M1_PR_MR + NEW met1 ( 32890 48450 ) M1M2_PR + NEW li1 ( 32890 52870 ) L1M1_PR_MR + NEW met1 ( 32890 52870 ) M1M2_PR + NEW met1 ( 32890 52870 ) RECT ( -355 -70 0 70 ) ; + - net56 ( _199_ D ) ( hold12 X ) + USE SIGNAL + + ROUTED met1 ( 20470 45730 ) ( 24150 * ) + NEW met2 ( 20470 45730 ) ( * 50150 ) + NEW li1 ( 24150 45730 ) L1M1_PR_MR + NEW met1 ( 20470 45730 ) M1M2_PR + NEW li1 ( 20470 50150 ) L1M1_PR_MR + NEW met1 ( 20470 50150 ) M1M2_PR + NEW met1 ( 20470 50150 ) RECT ( -355 -70 0 70 ) ; + - net57 ( hold2 A ) ( hold13 X ) + USE SIGNAL + + ROUTED met1 ( 21390 31450 ) ( 22310 * ) + NEW met2 ( 22310 31450 ) ( * 38590 ) + NEW met1 ( 21390 38590 ) ( 22310 * ) + NEW li1 ( 21390 31450 ) L1M1_PR_MR + NEW met1 ( 22310 31450 ) M1M2_PR + NEW met1 ( 22310 38590 ) M1M2_PR + NEW li1 ( 21390 38590 ) L1M1_PR_MR ; + - net58 ( hold1 A ) ( hold14 X ) + USE SIGNAL + + ROUTED met2 ( 43930 28390 ) ( * 38590 ) + NEW met1 ( 43930 38590 ) ( 47150 * ) + NEW li1 ( 43930 28390 ) L1M1_PR_MR + NEW met1 ( 43930 28390 ) M1M2_PR + NEW met1 ( 43930 38590 ) M1M2_PR + NEW li1 ( 47150 38590 ) L1M1_PR_MR + NEW met1 ( 43930 28390 ) RECT ( -355 -70 0 70 ) ; + - net59 ( _209_ D ) ( hold15 X ) + USE SIGNAL + + ROUTED met1 ( 22770 15130 ) ( 23690 * ) + NEW met2 ( 22770 15130 ) ( * 16660 ) + NEW met3 ( 22770 16660 ) ( * 18020 ) + NEW met3 ( 22770 18020 ) ( 25070 * ) + NEW met2 ( 25070 18020 ) ( * 22270 ) + NEW met1 ( 25070 22270 ) ( 27830 * ) + NEW li1 ( 23690 15130 ) L1M1_PR_MR + NEW met1 ( 22770 15130 ) M1M2_PR + NEW met2 ( 22770 16660 ) M2M3_PR_M + NEW met2 ( 25070 18020 ) M2M3_PR_M + NEW met1 ( 25070 22270 ) M1M2_PR + NEW li1 ( 27830 22270 ) L1M1_PR_MR ; + - net6 ( input6 X ) ( _169_ B_N ) ( _171_ B ) + USE SIGNAL + + ROUTED met1 ( 19090 53210 ) ( 19550 * ) + NEW met2 ( 19550 44540 ) ( * 53210 ) + NEW met2 ( 19550 44540 ) ( 20010 * ) + NEW met2 ( 20010 37740 ) ( * 44540 ) + NEW met2 ( 19550 37740 ) ( 20010 * ) + NEW met1 ( 19550 53210 ) ( 21850 * ) + NEW met2 ( 19550 10370 ) ( * 37740 ) + NEW li1 ( 19550 10370 ) L1M1_PR_MR + NEW met1 ( 19550 10370 ) M1M2_PR + NEW li1 ( 19090 53210 ) L1M1_PR_MR + NEW met1 ( 19550 53210 ) M1M2_PR + NEW li1 ( 21850 53210 ) L1M1_PR_MR + NEW met1 ( 19550 10370 ) RECT ( -355 -70 0 70 ) ; + - net60 ( hold3 A ) ( hold16 X ) + USE SIGNAL + + ROUTED met1 ( 41170 40290 ) ( 43930 * ) + NEW met2 ( 43930 40290 ) ( * 44710 ) + NEW li1 ( 41170 40290 ) L1M1_PR_MR + NEW met1 ( 43930 40290 ) M1M2_PR + NEW li1 ( 43930 44710 ) L1M1_PR_MR + NEW met1 ( 43930 44710 ) M1M2_PR + NEW met1 ( 43930 44710 ) RECT ( -355 -70 0 70 ) ; + - net61 ( hold4 A ) ( hold17 X ) + USE SIGNAL + + ROUTED met1 ( 8510 36890 ) ( * 37230 ) + NEW met1 ( 8510 37230 ) ( 11270 * ) + NEW met2 ( 11270 37230 ) ( * 41310 ) + NEW li1 ( 8510 36890 ) L1M1_PR_MR + NEW met1 ( 11270 37230 ) M1M2_PR + NEW li1 ( 11270 41310 ) L1M1_PR_MR + NEW met1 ( 11270 41310 ) M1M2_PR + NEW met1 ( 11270 41310 ) RECT ( -355 -70 0 70 ) ; + - net62 ( _204_ D ) ( hold18 X ) + USE SIGNAL + + ROUTED met2 ( 15410 47770 ) ( * 52190 ) + NEW li1 ( 15410 47770 ) L1M1_PR_MR + NEW met1 ( 15410 47770 ) M1M2_PR + NEW li1 ( 15410 52190 ) L1M1_PR_MR + NEW met1 ( 15410 52190 ) M1M2_PR + NEW met1 ( 15410 47770 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 15410 52190 ) RECT ( -355 -70 0 70 ) ; + - net63 ( _207_ D ) ( hold19 X ) + USE SIGNAL + + ROUTED met1 ( 36570 23970 ) ( 47610 * ) + NEW met2 ( 36570 23970 ) ( * 31110 ) + NEW li1 ( 47610 23970 ) L1M1_PR_MR + NEW met1 ( 36570 23970 ) M1M2_PR + NEW li1 ( 36570 31110 ) L1M1_PR_MR + NEW met1 ( 36570 31110 ) M1M2_PR + NEW met1 ( 36570 31110 ) RECT ( -355 -70 0 70 ) ; + - net64 ( hold7 A ) ( hold20 X ) + USE SIGNAL + + ROUTED met2 ( 33810 21250 ) ( * 31450 ) + NEW met1 ( 31050 31450 ) ( 33810 * ) + NEW li1 ( 33810 21250 ) L1M1_PR_MR + NEW met1 ( 33810 21250 ) M1M2_PR + NEW met1 ( 33810 31450 ) M1M2_PR + NEW li1 ( 31050 31450 ) L1M1_PR_MR + NEW met1 ( 33810 21250 ) RECT ( -355 -70 0 70 ) ; + - net65 ( hold8 A ) ( hold21 X ) + USE SIGNAL + + ROUTED met2 ( 25070 31450 ) ( * 35870 ) + NEW met1 ( 25070 35870 ) ( 28290 * ) + NEW li1 ( 25070 31450 ) L1M1_PR_MR + NEW met1 ( 25070 31450 ) M1M2_PR + NEW met1 ( 25070 35870 ) M1M2_PR + NEW li1 ( 28290 35870 ) L1M1_PR_MR + NEW met1 ( 25070 31450 ) RECT ( -355 -70 0 70 ) ; + - net66 ( hold9 A ) ( hold22 X ) + USE SIGNAL + + ROUTED met1 ( 32430 14450 ) ( 37490 * ) + NEW met2 ( 32430 14450 ) ( * 18700 ) + NEW met3 ( 32430 18700 ) ( 33810 * ) + NEW met2 ( 33810 18700 ) ( * 20570 ) + NEW met1 ( 33810 20570 ) ( 34270 * ) + NEW li1 ( 37490 14450 ) L1M1_PR_MR + NEW met1 ( 32430 14450 ) M1M2_PR + NEW met2 ( 32430 18700 ) M2M3_PR_M + NEW met2 ( 33810 18700 ) M2M3_PR_M + NEW met1 ( 33810 20570 ) M1M2_PR + NEW li1 ( 34270 20570 ) L1M1_PR_MR ; + - net7 ( input7 X ) ( _151_ B_N ) ( _153_ B ) + USE SIGNAL + + ROUTED met1 ( 20470 39270 ) ( 21850 * ) + NEW met2 ( 20470 28220 ) ( * 39270 ) + NEW met2 ( 20470 28220 ) ( 20930 * ) + NEW met1 ( 24150 44370 ) ( 24610 * ) + NEW met2 ( 24150 39270 ) ( * 44370 ) + NEW met1 ( 21850 39270 ) ( 24150 * ) + NEW met2 ( 20930 10370 ) ( * 28220 ) + NEW li1 ( 20930 10370 ) L1M1_PR_MR + NEW met1 ( 20930 10370 ) M1M2_PR + NEW li1 ( 21850 39270 ) L1M1_PR_MR + NEW met1 ( 20470 39270 ) M1M2_PR + NEW li1 ( 24610 44370 ) L1M1_PR_MR + NEW met1 ( 24150 44370 ) M1M2_PR + NEW met1 ( 24150 39270 ) M1M2_PR + NEW met1 ( 20930 10370 ) RECT ( -355 -70 0 70 ) ; + - net8 ( input8 X ) ( _145_ B_N ) ( _147_ B ) + USE SIGNAL + + ROUTED met1 ( 13110 28390 ) ( 14950 * ) + NEW met2 ( 14950 11730 ) ( * 28390 ) + NEW met1 ( 14950 11730 ) ( 22310 * ) + NEW met2 ( 22310 9010 ) ( * 11730 ) + NEW met1 ( 17250 28390 ) ( 18170 * ) + NEW met1 ( 17250 28270 ) ( * 28390 ) + NEW met2 ( 17250 28220 ) ( * 28270 ) + NEW met3 ( 14950 28220 ) ( 17250 * ) + NEW li1 ( 13110 28390 ) L1M1_PR_MR + NEW met1 ( 14950 28390 ) M1M2_PR + NEW met1 ( 14950 11730 ) M1M2_PR + NEW met1 ( 22310 11730 ) M1M2_PR + NEW li1 ( 22310 9010 ) L1M1_PR_MR + NEW met1 ( 22310 9010 ) M1M2_PR + NEW li1 ( 18170 28390 ) L1M1_PR_MR + NEW met1 ( 17250 28270 ) M1M2_PR + NEW met2 ( 17250 28220 ) M2M3_PR_M + NEW met2 ( 14950 28220 ) M2M3_PR_M + NEW met1 ( 22310 9010 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 14950 28220 ) RECT ( -70 -485 70 0 ) ; + - net9 ( input9 X ) ( _114_ B_N ) ( _116_ B ) + USE SIGNAL + + ROUTED met1 ( 18630 22610 ) ( * 22950 ) + NEW met1 ( 14490 22610 ) ( 18630 * ) + NEW met2 ( 14490 11390 ) ( * 22610 ) + NEW met1 ( 14490 11390 ) ( 21390 * ) + NEW met2 ( 21390 10370 ) ( * 11390 ) + NEW met1 ( 21390 10370 ) ( 23690 * ) + NEW met2 ( 26910 23630 ) ( * 26010 ) + NEW met1 ( 18630 23630 ) ( 26910 * ) + NEW met1 ( 18630 22950 ) ( * 23630 ) + NEW li1 ( 18630 22950 ) L1M1_PR_MR + NEW met1 ( 14490 22610 ) M1M2_PR + NEW met1 ( 14490 11390 ) M1M2_PR + NEW met1 ( 21390 11390 ) M1M2_PR + NEW met1 ( 21390 10370 ) M1M2_PR + NEW li1 ( 23690 10370 ) L1M1_PR_MR + NEW li1 ( 26910 26010 ) L1M1_PR_MR + NEW met1 ( 26910 26010 ) M1M2_PR + NEW met1 ( 26910 23630 ) M1M2_PR + NEW met1 ( 26910 26010 ) RECT ( -355 -70 0 70 ) ; + - one ( PIN one ) ( ANTENNA_1 DIODE ) ( ANTENNA_0 DIODE ) ( const_source HI ) ( _186_ A ) + USE SIGNAL + + ROUTED met2 ( 44850 6460 ) ( * 6630 ) + NEW met1 ( 44390 6630 ) ( 44850 * ) + NEW met1 ( 38410 11730 ) ( * 12070 ) + NEW met1 ( 38410 11730 ) ( 42090 * ) + NEW met1 ( 42090 11390 ) ( * 11730 ) + NEW met2 ( 42090 6970 ) ( * 11390 ) + NEW met1 ( 42090 6970 ) ( 44390 * ) + NEW met1 ( 44390 6630 ) ( * 6970 ) + NEW met1 ( 37490 11730 ) ( 38410 * ) + NEW met3 ( 44850 6460 ) ( 70380 * 0 ) + NEW li1 ( 44850 6630 ) L1M1_PR_MR + NEW met1 ( 44850 6630 ) M1M2_PR + NEW met2 ( 44850 6460 ) M2M3_PR_M + NEW li1 ( 44390 6630 ) L1M1_PR_MR + NEW li1 ( 38410 12070 ) L1M1_PR_MR + NEW met1 ( 42090 11390 ) M1M2_PR + NEW met1 ( 42090 6970 ) M1M2_PR + NEW li1 ( 37490 11730 ) L1M1_PR_MR + NEW met1 ( 44850 6630 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_ana_en ( PIN pad_gpio_ana_en ) ( output22 X ) + USE SIGNAL + + ROUTED met2 ( 83490 9350 ) ( * 12580 ) + NEW met3 ( 83260 12580 0 ) ( 83490 * ) + NEW met1 ( 62100 9350 ) ( 83490 * ) + NEW met1 ( 62100 9010 ) ( * 9350 ) + NEW met1 ( 47150 9010 ) ( 62100 * ) + NEW met1 ( 83490 9350 ) M1M2_PR + NEW met2 ( 83490 12580 ) M2M3_PR_M + NEW li1 ( 47150 9010 ) L1M1_PR_MR ; + - pad_gpio_ana_pol ( PIN pad_gpio_ana_pol ) ( output23 X ) + USE SIGNAL + + ROUTED met2 ( 69230 14450 ) ( * 14620 ) + NEW met3 ( 69230 14620 ) ( 70380 * 0 ) + NEW met1 ( 42550 14450 ) ( 69230 * ) + NEW met1 ( 69230 14450 ) M1M2_PR + NEW met2 ( 69230 14620 ) M2M3_PR_M + NEW li1 ( 42550 14450 ) L1M1_PR_MR ; + - pad_gpio_ana_sel ( PIN pad_gpio_ana_sel ) ( output24 X ) + USE SIGNAL + + ROUTED met1 ( 62790 11390 ) ( * 11730 ) + NEW met1 ( 62790 11390 ) ( 83950 * ) + NEW met1 ( 69230 16490 ) ( 83950 * ) + NEW met2 ( 69230 16490 ) ( * 16660 ) + NEW met3 ( 69230 16660 ) ( 70380 * 0 ) + NEW met2 ( 83950 11390 ) ( * 16490 ) + NEW met1 ( 43010 11390 ) ( * 11730 ) + NEW met1 ( 43010 11730 ) ( 62790 * ) + NEW met1 ( 83950 11390 ) M1M2_PR + NEW met1 ( 83950 16490 ) M1M2_PR + NEW met1 ( 69230 16490 ) M1M2_PR + NEW met2 ( 69230 16660 ) M2M3_PR_M + NEW li1 ( 43010 11390 ) L1M1_PR_MR ; + - pad_gpio_dm[0] ( PIN pad_gpio_dm[0] ) ( output25 X ) + USE SIGNAL + + ROUTED met2 ( 69230 18700 ) ( * 19890 ) + NEW met3 ( 69230 18700 ) ( 70380 * 0 ) + NEW met1 ( 42550 19890 ) ( 69230 * ) + NEW met1 ( 69230 19890 ) M1M2_PR + NEW met2 ( 69230 18700 ) M2M3_PR_M + NEW li1 ( 42550 19890 ) L1M1_PR_MR ; + - pad_gpio_dm[1] ( PIN pad_gpio_dm[1] ) ( output26 X ) + USE SIGNAL + + ROUTED met2 ( 69230 20740 ) ( * 25330 ) + NEW met3 ( 69230 20740 ) ( 70380 * 0 ) + NEW met1 ( 42550 25330 ) ( 69230 * ) + NEW met1 ( 69230 25330 ) M1M2_PR + NEW met2 ( 69230 20740 ) M2M3_PR_M + NEW li1 ( 42550 25330 ) L1M1_PR_MR ; + - pad_gpio_dm[2] ( PIN pad_gpio_dm[2] ) ( output27 X ) + USE SIGNAL + + ROUTED met2 ( 69230 11730 ) ( * 11900 ) + NEW met1 ( 69230 11730 ) ( 84410 * ) + NEW met1 ( 68310 15810 ) ( 84410 * ) + NEW met2 ( 68310 15810 ) ( * 22780 ) + NEW met3 ( 68310 22780 ) ( 70380 * 0 ) + NEW met2 ( 84410 11730 ) ( * 15810 ) + NEW met2 ( 40250 11390 ) ( * 11900 ) + NEW met1 ( 40250 11390 ) ( 41170 * ) + NEW met3 ( 40250 11900 ) ( 69230 * ) + NEW met1 ( 84410 11730 ) M1M2_PR + NEW met1 ( 69230 11730 ) M1M2_PR + NEW met2 ( 69230 11900 ) M2M3_PR_M + NEW met1 ( 84410 15810 ) M1M2_PR + NEW met1 ( 68310 15810 ) M1M2_PR + NEW met2 ( 68310 22780 ) M2M3_PR_M + NEW met2 ( 40250 11900 ) M2M3_PR_M + NEW met1 ( 40250 11390 ) M1M2_PR + NEW li1 ( 41170 11390 ) L1M1_PR_MR ; + - pad_gpio_holdover ( PIN pad_gpio_holdover ) ( output28 X ) + USE SIGNAL + + ROUTED met2 ( 48530 18020 ) ( * 24820 ) + NEW met3 ( 48530 24820 ) ( 70380 * 0 ) + NEW met3 ( 48300 18020 ) ( 48530 * ) + NEW met3 ( 48300 15980 ) ( * 18020 ) + NEW met3 ( 39330 15980 ) ( 48300 * ) + NEW met2 ( 39330 15980 ) ( * 18020 ) + NEW met3 ( 27370 18020 ) ( 39330 * ) + NEW met2 ( 27370 17850 ) ( * 18020 ) + NEW met1 ( 27370 17850 ) ( * 18530 ) + NEW met2 ( 48530 18020 ) M2M3_PR_M + NEW met2 ( 48530 24820 ) M2M3_PR_M + NEW met2 ( 39330 15980 ) M2M3_PR_M + NEW met2 ( 39330 18020 ) M2M3_PR_M + NEW met2 ( 27370 18020 ) M2M3_PR_M + NEW met1 ( 27370 17850 ) M1M2_PR + NEW li1 ( 27370 18530 ) L1M1_PR_MR ; + - pad_gpio_ib_mode_sel ( PIN pad_gpio_ib_mode_sel ) ( output29 X ) + USE SIGNAL + + ROUTED met2 ( 33350 26860 ) ( * 35870 ) + NEW met1 ( 29670 35870 ) ( 33350 * ) + NEW met3 ( 33350 26860 ) ( 70380 * 0 ) + NEW met2 ( 33350 26860 ) M2M3_PR_M + NEW met1 ( 33350 35870 ) M1M2_PR + NEW li1 ( 29670 35870 ) L1M1_PR_MR ; + - pad_gpio_in ( PIN pad_gpio_in ) ( ANTENNA_2 DIODE ) ( input16 A ) + USE SIGNAL + + ROUTED met1 ( 82800 7650 ) ( 84870 * ) + NEW met1 ( 82800 7310 ) ( * 7650 ) + NEW met1 ( 69230 28730 ) ( 84870 * ) + NEW met2 ( 69230 28730 ) ( * 28900 ) + NEW met3 ( 69230 28900 ) ( 70380 * 0 ) + NEW met2 ( 84870 7650 ) ( * 28730 ) + NEW met1 ( 39330 6630 ) ( * 7310 ) + NEW met2 ( 37490 7310 ) ( * 8670 ) + NEW met1 ( 37490 7310 ) ( 39330 * ) + NEW met1 ( 39330 7310 ) ( 82800 * ) + NEW met1 ( 84870 7650 ) M1M2_PR + NEW met1 ( 84870 28730 ) M1M2_PR + NEW met1 ( 69230 28730 ) M1M2_PR + NEW met2 ( 69230 28900 ) M2M3_PR_M + NEW li1 ( 39330 6630 ) L1M1_PR_MR + NEW li1 ( 37490 8670 ) L1M1_PR_MR + NEW met1 ( 37490 8670 ) M1M2_PR + NEW met1 ( 37490 7310 ) M1M2_PR + NEW met1 ( 37490 8670 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_inenb ( PIN pad_gpio_inenb ) ( output30 X ) + USE SIGNAL + + ROUTED met2 ( 52210 30940 ) ( * 55250 ) + NEW met1 ( 45770 55250 ) ( 52210 * ) + NEW met1 ( 45770 54910 ) ( * 55250 ) + NEW met1 ( 42550 54910 ) ( 45770 * ) + NEW met3 ( 52210 30940 ) ( 70380 * 0 ) + NEW met2 ( 52210 30940 ) M2M3_PR_M + NEW met1 ( 52210 55250 ) M1M2_PR + NEW li1 ( 42550 54910 ) L1M1_PR_MR ; + - pad_gpio_out ( PIN pad_gpio_out ) ( output31 X ) + USE SIGNAL + + ROUTED met3 ( 111550 32980 ) ( 111780 * 0 ) + NEW met2 ( 111550 32980 ) ( * 50150 ) + NEW met2 ( 69230 50150 ) ( * 50660 ) + NEW met1 ( 69230 50150 ) ( 111550 * ) + NEW met1 ( 18170 45730 ) ( 20010 * ) + NEW met2 ( 18170 45730 ) ( * 50660 ) + NEW met3 ( 18170 50660 ) ( 69230 * ) + NEW met2 ( 111550 32980 ) M2M3_PR_M + NEW met1 ( 111550 50150 ) M1M2_PR + NEW met2 ( 69230 50660 ) M2M3_PR_M + NEW met1 ( 69230 50150 ) M1M2_PR + NEW li1 ( 20010 45730 ) L1M1_PR_MR + NEW met1 ( 18170 45730 ) M1M2_PR + NEW met2 ( 18170 50660 ) M2M3_PR_M ; + - pad_gpio_outenb ( PIN pad_gpio_outenb ) ( output32 X ) + USE SIGNAL + + ROUTED met3 ( 69460 34340 ) ( * 35020 ) + NEW met3 ( 69460 35020 ) ( 70380 * 0 ) + NEW met2 ( 29670 32130 ) ( * 34340 ) + NEW met3 ( 29670 34340 ) ( 69460 * ) + NEW li1 ( 29670 32130 ) L1M1_PR_MR + NEW met1 ( 29670 32130 ) M1M2_PR + NEW met2 ( 29670 34340 ) M2M3_PR_M + NEW met1 ( 29670 32130 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_slow_sel ( PIN pad_gpio_slow_sel ) ( output33 X ) + USE SIGNAL + + ROUTED met2 ( 67850 37740 ) ( * 59330 ) + NEW met3 ( 67850 37740 ) ( 69460 * ) + NEW met3 ( 69460 37060 ) ( * 37740 ) + NEW met3 ( 69460 37060 ) ( 70380 * 0 ) + NEW met1 ( 62100 59330 ) ( 67850 * ) + NEW met2 ( 35190 56610 ) ( * 58990 ) + NEW met1 ( 35190 58990 ) ( 62100 * ) + NEW met1 ( 62100 58990 ) ( * 59330 ) + NEW met1 ( 67850 59330 ) M1M2_PR + NEW met2 ( 67850 37740 ) M2M3_PR_M + NEW li1 ( 35190 56610 ) L1M1_PR_MR + NEW met1 ( 35190 56610 ) M1M2_PR + NEW met1 ( 35190 58990 ) M1M2_PR + NEW met1 ( 35190 56610 ) RECT ( -355 -70 0 70 ) ; + - pad_gpio_vtrip_sel ( PIN pad_gpio_vtrip_sel ) ( output34 X ) + USE SIGNAL + + ROUTED met2 ( 68310 39100 ) ( * 55930 ) + NEW met3 ( 68310 39100 ) ( 70380 * 0 ) + NEW met1 ( 48300 55930 ) ( 68310 * ) + NEW met1 ( 48300 55930 ) ( * 56610 ) + NEW met1 ( 43470 56610 ) ( 48300 * ) + NEW met1 ( 43470 55930 ) ( * 56610 ) + NEW met1 ( 37490 55930 ) ( 43470 * ) + NEW met1 ( 37490 55930 ) ( * 56270 ) + NEW met1 ( 68310 55930 ) M1M2_PR + NEW met2 ( 68310 39100 ) M2M3_PR_M + NEW li1 ( 37490 56270 ) L1M1_PR_MR ; + - resetn ( PIN resetn ) ( input17 A ) + USE SIGNAL + + ROUTED met1 ( 37030 44710 ) ( 43010 * ) + NEW met1 ( 43010 44370 ) ( * 44710 ) + NEW met1 ( 43010 44370 ) ( 48530 * ) + NEW met2 ( 48530 41140 ) ( * 44370 ) + NEW met3 ( 48530 41140 ) ( 70380 * 0 ) + NEW li1 ( 37030 44710 ) L1M1_PR_MR + NEW met1 ( 48530 44370 ) M1M2_PR + NEW met2 ( 48530 41140 ) M2M3_PR_M ; + - resetn_out ( PIN resetn_out ) ( output35 X ) + USE SIGNAL + + ROUTED met2 ( 40710 43180 ) ( * 52190 ) + NEW met1 ( 27370 52190 ) ( 40710 * ) + NEW met3 ( 40710 43180 ) ( 70380 * 0 ) + NEW met2 ( 40710 43180 ) M2M3_PR_M + NEW met1 ( 40710 52190 ) M1M2_PR + NEW li1 ( 27370 52190 ) L1M1_PR_MR ; + - serial_clock ( PIN serial_clock ) ( clkbuf_0_serial_clock A ) + USE CLOCK + + ROUTED met2 ( 69230 38420 ) ( * 45220 ) + NEW met3 ( 69230 45220 ) ( 70380 * 0 ) + NEW met2 ( 34270 37230 ) ( * 38420 ) + NEW met1 ( 31050 37230 ) ( 34270 * ) + NEW met3 ( 34270 38420 ) ( 69230 * ) + NEW met2 ( 69230 38420 ) M2M3_PR_M + NEW met2 ( 69230 45220 ) M2M3_PR_M + NEW met2 ( 34270 38420 ) M2M3_PR_M + NEW met1 ( 34270 37230 ) M1M2_PR + NEW li1 ( 31050 37230 ) L1M1_PR_MR ; + - serial_clock_out ( PIN serial_clock_out ) ( output36 X ) + USE CLOCK + + ROUTED met3 ( 110630 47260 ) ( 110860 * 0 ) + NEW met2 ( 110630 1020 ) ( * 47260 ) + NEW met2 ( 16790 1020 ) ( * 5950 ) + NEW met3 ( 16790 1020 ) ( 110630 * ) + NEW met2 ( 110630 1020 ) M2M3_PR_M + NEW met2 ( 110630 47260 ) M2M3_PR_M + NEW met2 ( 16790 1020 ) M2M3_PR_M + NEW li1 ( 16790 5950 ) L1M1_PR_MR + NEW met1 ( 16790 5950 ) M1M2_PR + NEW met1 ( 16790 5950 ) RECT ( -355 -70 0 70 ) ; + - serial_data_in ( PIN serial_data_in ) ( ANTENNA_3 DIODE ) ( input18 A ) + USE SIGNAL + + ROUTED met3 ( 110860 49300 0 ) ( 111090 * ) + NEW met2 ( 111090 14450 ) ( * 49300 ) + NEW met1 ( 110400 14450 ) ( 111090 * ) + NEW met1 ( 110400 14450 ) ( * 15130 ) + NEW met1 ( 19090 14110 ) ( * 15130 ) + NEW met1 ( 19090 14110 ) ( 37950 * ) + NEW met1 ( 37950 14110 ) ( * 14450 ) + NEW met1 ( 37950 14450 ) ( 41170 * ) + NEW met1 ( 41170 14450 ) ( * 14790 ) + NEW met1 ( 41170 14790 ) ( 46230 * ) + NEW met1 ( 46230 14790 ) ( * 15130 ) + NEW met1 ( 17710 14790 ) ( 19090 * ) + NEW met1 ( 46230 15130 ) ( 110400 * ) + NEW met1 ( 111090 14450 ) M1M2_PR + NEW met2 ( 111090 49300 ) M2M3_PR_M + NEW li1 ( 19090 15130 ) L1M1_PR_MR + NEW li1 ( 17710 14790 ) L1M1_PR_MR ; + - serial_data_out ( PIN serial_data_out ) ( output37 X ) + USE SIGNAL + + ROUTED met2 ( 69230 51340 ) ( * 58650 ) + NEW met3 ( 69230 51340 ) ( 70380 * 0 ) + NEW met2 ( 29670 56610 ) ( * 58650 ) + NEW met1 ( 29670 58650 ) ( 69230 * ) + NEW met1 ( 69230 58650 ) M1M2_PR + NEW met2 ( 69230 51340 ) M2M3_PR_M + NEW li1 ( 29670 56610 ) L1M1_PR_MR + NEW met1 ( 29670 56610 ) M1M2_PR + NEW met1 ( 29670 58650 ) M1M2_PR + NEW met1 ( 29670 56610 ) RECT ( -355 -70 0 70 ) ; + - serial_data_pre ( hold6 A ) ( _223_ Q ) ( _186_ B ) + USE SIGNAL + + ROUTED met1 ( 38870 12750 ) ( 40250 * ) + NEW met1 ( 38870 12070 ) ( * 12750 ) + NEW met1 ( 40250 22950 ) ( 40710 * ) + NEW met1 ( 40250 22270 ) ( * 22950 ) + NEW met2 ( 40250 12750 ) ( * 22270 ) + NEW met1 ( 40250 12750 ) M1M2_PR + NEW li1 ( 38870 12070 ) L1M1_PR_MR + NEW li1 ( 40250 22270 ) L1M1_PR_MR + NEW met1 ( 40250 22270 ) M1M2_PR + NEW li1 ( 40710 22950 ) L1M1_PR_MR + NEW met1 ( 40250 22270 ) RECT ( -355 -70 0 70 ) ; + - serial_load ( PIN serial_load ) ( _106__5 A ) ( _106__4 A ) ( _106__3 A ) ( _106__2 A ) ( _106__1 A ) ( _192_ A ) + USE CLOCK + + ROUTED met1 ( 7130 38930 ) ( * 39270 ) + NEW met1 ( 7130 38930 ) ( 9430 * ) + NEW met2 ( 9430 32130 ) ( * 38930 ) + NEW met1 ( 9430 32130 ) ( 10810 * ) + NEW met1 ( 10810 31450 ) ( * 32130 ) + NEW met1 ( 7130 47770 ) ( 8050 * ) + NEW met1 ( 8050 46750 ) ( * 47770 ) + NEW met1 ( 8050 46750 ) ( 9430 * ) + NEW met2 ( 9430 38930 ) ( * 46750 ) + NEW met1 ( 8970 47770 ) ( 12190 * ) + NEW met1 ( 8970 47770 ) ( * 48110 ) + NEW met1 ( 8050 48110 ) ( 8970 * ) + NEW met1 ( 8050 47770 ) ( * 48110 ) + NEW met2 ( 12190 46750 ) ( * 47770 ) + NEW met1 ( 33350 47770 ) ( 34730 * ) + NEW met1 ( 33350 47430 ) ( * 47770 ) + NEW met1 ( 24610 47430 ) ( 33350 * ) + NEW met1 ( 24610 46750 ) ( * 47430 ) + NEW met1 ( 12190 46750 ) ( 24610 * ) + NEW met1 ( 45310 55470 ) ( * 55590 ) + NEW met2 ( 45310 53380 ) ( * 55470 ) + NEW met2 ( 34730 47770 ) ( * 53380 ) + NEW met3 ( 34730 53380 ) ( 70380 * 0 ) + NEW li1 ( 7130 39270 ) L1M1_PR_MR + NEW met1 ( 9430 38930 ) M1M2_PR + NEW met1 ( 9430 32130 ) M1M2_PR + NEW li1 ( 10810 31450 ) L1M1_PR_MR + NEW li1 ( 7130 47770 ) L1M1_PR_MR + NEW met1 ( 9430 46750 ) M1M2_PR + NEW li1 ( 12190 47770 ) L1M1_PR_MR + NEW met1 ( 12190 46750 ) M1M2_PR + NEW met1 ( 12190 47770 ) M1M2_PR + NEW li1 ( 34730 47770 ) L1M1_PR_MR + NEW met1 ( 34730 47770 ) M1M2_PR + NEW met2 ( 34730 53380 ) M2M3_PR_M + NEW li1 ( 45310 55590 ) L1M1_PR_MR + NEW met1 ( 45310 55470 ) M1M2_PR + NEW met2 ( 45310 53380 ) M2M3_PR_M + NEW met1 ( 12190 47770 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 34730 47770 ) RECT ( -595 -70 0 70 ) + NEW met3 ( 45310 53380 ) RECT ( -800 -150 0 150 ) ; + - serial_load_out ( PIN serial_load_out ) ( output38 X ) + USE CLOCK + + ROUTED met2 ( 68770 18190 ) ( * 55420 ) + NEW met3 ( 68770 55420 ) ( 70380 * 0 ) + NEW met1 ( 25070 9010 ) ( 28290 * ) + NEW met2 ( 28290 9010 ) ( * 18190 ) + NEW met1 ( 28290 18190 ) ( 31050 * ) + NEW met1 ( 31050 18190 ) ( * 18530 ) + NEW met1 ( 31050 18530 ) ( 39330 * ) + NEW met1 ( 39330 18190 ) ( * 18530 ) + NEW met1 ( 39330 18190 ) ( 43470 * ) + NEW met1 ( 43470 17850 ) ( * 18190 ) + NEW met1 ( 43470 17850 ) ( 45310 * ) + NEW met1 ( 45310 17850 ) ( * 18190 ) + NEW met1 ( 45310 18190 ) ( 68770 * ) + NEW met1 ( 68770 18190 ) M1M2_PR + NEW met2 ( 68770 55420 ) M2M3_PR_M + NEW li1 ( 25070 9010 ) L1M1_PR_MR + NEW met1 ( 28290 9010 ) M1M2_PR + NEW met1 ( 28290 18190 ) M1M2_PR ; + - shift_register\[0\] ( hold11 A ) ( _212_ D ) ( _211_ Q ) + USE SIGNAL + + ROUTED met2 ( 11730 48450 ) ( * 49470 ) + NEW met1 ( 11730 49470 ) ( * 49810 ) + NEW met1 ( 9925 49810 ) ( 11730 * ) + NEW met1 ( 31050 47770 ) ( * 48450 ) + NEW met1 ( 31050 49470 ) ( 39330 * ) + NEW met2 ( 31050 48450 ) ( * 49470 ) + NEW met1 ( 11730 48450 ) ( 31050 * ) + NEW met1 ( 11730 48450 ) M1M2_PR + NEW met1 ( 11730 49470 ) M1M2_PR + NEW li1 ( 9925 49810 ) L1M1_PR_MR + NEW li1 ( 31050 47770 ) L1M1_PR_MR + NEW li1 ( 39330 49470 ) L1M1_PR_MR + NEW met1 ( 31050 49470 ) M1M2_PR + NEW met1 ( 31050 48450 ) M1M2_PR + NEW met1 ( 31050 48450 ) RECT ( -595 -70 0 70 ) ; + - shift_register\[10\] ( hold22 A ) ( _222_ D ) ( _221_ Q ) + USE SIGNAL + + ROUTED met1 ( 36110 27710 ) ( 43010 * ) + NEW met2 ( 36110 27710 ) ( * 29580 ) + NEW met3 ( 22770 29580 ) ( 36110 * ) + NEW met2 ( 22770 28730 ) ( * 29580 ) + NEW met1 ( 33810 15130 ) ( 34270 * ) + NEW met2 ( 33810 15130 ) ( * 17340 ) + NEW met2 ( 33810 17340 ) ( 34270 * ) + NEW met2 ( 34270 17340 ) ( * 27710 ) + NEW met1 ( 34270 27710 ) ( 36110 * ) + NEW li1 ( 43010 27710 ) L1M1_PR_MR + NEW met1 ( 36110 27710 ) M1M2_PR + NEW met2 ( 36110 29580 ) M2M3_PR_M + NEW met2 ( 22770 29580 ) M2M3_PR_M + NEW li1 ( 22770 28730 ) L1M1_PR_MR + NEW met1 ( 22770 28730 ) M1M2_PR + NEW li1 ( 34270 15130 ) L1M1_PR_MR + NEW met1 ( 33810 15130 ) M1M2_PR + NEW met1 ( 34270 27710 ) M1M2_PR + NEW met1 ( 22770 28730 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[11\] ( hold20 A ) ( _223_ D ) ( _222_ Q ) + USE SIGNAL + + ROUTED met2 ( 32890 22610 ) ( * 27710 ) + NEW met1 ( 30130 27710 ) ( 32890 * ) + NEW met1 ( 30590 20570 ) ( 32890 * ) + NEW met2 ( 32890 20570 ) ( * 22610 ) + NEW li1 ( 32890 22610 ) L1M1_PR_MR + NEW met1 ( 32890 22610 ) M1M2_PR + NEW met1 ( 32890 27710 ) M1M2_PR + NEW li1 ( 30130 27710 ) L1M1_PR_MR + NEW li1 ( 30590 20570 ) L1M1_PR_MR + NEW met1 ( 32890 20570 ) M1M2_PR + NEW met1 ( 32890 22610 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[1\] ( hold5 A ) ( _213_ D ) ( _212_ Q ) + USE SIGNAL + + ROUTED met1 ( 14950 50830 ) ( 17250 * ) + NEW met1 ( 8510 47090 ) ( * 47770 ) + NEW met1 ( 8510 47090 ) ( 14030 * ) + NEW met1 ( 14030 47090 ) ( * 47430 ) + NEW met1 ( 14030 47430 ) ( 14950 * ) + NEW met1 ( 13110 41990 ) ( 14950 * ) + NEW met2 ( 14950 41990 ) ( * 47430 ) + NEW met2 ( 14950 47430 ) ( * 50830 ) + NEW met1 ( 14950 50830 ) M1M2_PR + NEW li1 ( 17250 50830 ) L1M1_PR_MR + NEW li1 ( 8510 47770 ) L1M1_PR_MR + NEW met1 ( 14950 47430 ) M1M2_PR + NEW li1 ( 13110 41990 ) L1M1_PR_MR + NEW met1 ( 14950 41990 ) M1M2_PR ; + - shift_register\[2\] ( hold12 A ) ( _214_ D ) ( _213_ Q ) + USE SIGNAL + + ROUTED met2 ( 13110 38590 ) ( * 39780 ) + NEW met1 ( 9890 38590 ) ( 13110 * ) + NEW met1 ( 9890 38590 ) ( * 38930 ) + NEW met2 ( 20470 39780 ) ( * 41310 ) + NEW met1 ( 20470 44710 ) ( 20930 * ) + NEW met2 ( 20470 41310 ) ( * 44710 ) + NEW met3 ( 13110 39780 ) ( 20470 * ) + NEW met2 ( 13110 39780 ) M2M3_PR_M + NEW met1 ( 13110 38590 ) M1M2_PR + NEW li1 ( 9890 38930 ) L1M1_PR_MR + NEW li1 ( 20470 41310 ) L1M1_PR_MR + NEW met1 ( 20470 41310 ) M1M2_PR + NEW met2 ( 20470 39780 ) M2M3_PR_M + NEW li1 ( 20930 44710 ) L1M1_PR_MR + NEW met1 ( 20470 44710 ) M1M2_PR + NEW met1 ( 20470 41310 ) RECT ( 0 -70 355 70 ) ; + - shift_register\[3\] ( hold17 A ) ( _215_ D ) ( _214_ Q ) + USE SIGNAL + + ROUTED met1 ( 9825 34850 ) ( 9890 * ) + NEW met2 ( 9890 34850 ) ( * 42330 ) + NEW met1 ( 8050 42330 ) ( 9890 * ) + NEW met2 ( 13570 36210 ) ( * 38590 ) + NEW met1 ( 9890 36210 ) ( 13570 * ) + NEW met1 ( 13570 38590 ) ( 17250 * ) + NEW li1 ( 9825 34850 ) L1M1_PR_MR + NEW met1 ( 9890 34850 ) M1M2_PR + NEW met1 ( 9890 42330 ) M1M2_PR + NEW li1 ( 8050 42330 ) L1M1_PR_MR + NEW met1 ( 13570 38590 ) M1M2_PR + NEW met1 ( 13570 36210 ) M1M2_PR + NEW met1 ( 9890 36210 ) M1M2_PR + NEW li1 ( 17250 38590 ) L1M1_PR_MR + NEW met2 ( 9890 36210 ) RECT ( -70 -485 70 0 ) ; + - shift_register\[4\] ( hold13 A ) ( _216_ D ) ( _215_ Q ) + USE SIGNAL + + ROUTED met1 ( 17250 34850 ) ( 18170 * ) + NEW met2 ( 18170 34850 ) ( * 39270 ) + NEW met2 ( 14950 31790 ) ( * 34850 ) + NEW met1 ( 14950 34850 ) ( 17250 * ) + NEW met1 ( 13570 31790 ) ( 14950 * ) + NEW li1 ( 13570 31790 ) L1M1_PR_MR + NEW li1 ( 17250 34850 ) L1M1_PR_MR + NEW met1 ( 18170 34850 ) M1M2_PR + NEW li1 ( 18170 39270 ) L1M1_PR_MR + NEW met1 ( 18170 39270 ) M1M2_PR + NEW met1 ( 14950 31790 ) M1M2_PR + NEW met1 ( 14950 34850 ) M1M2_PR + NEW met1 ( 18170 39270 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[5\] ( hold21 A ) ( _217_ D ) ( _216_ Q ) + USE SIGNAL + + ROUTED met1 ( 20930 30430 ) ( 23230 * ) + NEW met2 ( 23230 30430 ) ( * 35870 ) + NEW met1 ( 23230 35870 ) ( * 36550 ) + NEW met1 ( 23230 36550 ) ( 25070 * ) + NEW met1 ( 25070 36550 ) ( * 36890 ) + NEW met1 ( 18170 25670 ) ( 23230 * ) + NEW met2 ( 23230 25670 ) ( * 30430 ) + NEW li1 ( 20930 30430 ) L1M1_PR_MR + NEW met1 ( 23230 30430 ) M1M2_PR + NEW met1 ( 23230 35870 ) M1M2_PR + NEW li1 ( 25070 36890 ) L1M1_PR_MR + NEW li1 ( 18170 25670 ) L1M1_PR_MR + NEW met1 ( 23230 25670 ) M1M2_PR ; + - shift_register\[6\] ( hold15 A ) ( _218_ D ) ( _217_ Q ) + USE SIGNAL + + ROUTED met1 ( 24610 26690 ) ( 25530 * ) + NEW met2 ( 24610 26690 ) ( * 41990 ) + NEW met1 ( 22310 41990 ) ( 24610 * ) + NEW met1 ( 24610 22950 ) ( 25530 * ) + NEW met2 ( 25530 22950 ) ( * 26690 ) + NEW met2 ( 24610 26690 ) ( 25530 * ) + NEW li1 ( 25530 26690 ) L1M1_PR_MR + NEW met1 ( 24610 26690 ) M1M2_PR + NEW met1 ( 24610 41990 ) M1M2_PR + NEW li1 ( 22310 41990 ) L1M1_PR_MR + NEW li1 ( 24610 22950 ) L1M1_PR_MR + NEW met1 ( 25530 22950 ) M1M2_PR ; + - shift_register\[7\] ( hold10 A ) ( _219_ D ) ( _218_ Q ) + USE SIGNAL + + ROUTED met2 ( 29670 41990 ) ( * 44370 ) + NEW met1 ( 28290 44370 ) ( 29670 * ) + NEW met1 ( 29670 42330 ) ( 31050 * ) + NEW met1 ( 29670 41990 ) ( * 42330 ) + NEW li1 ( 29670 41990 ) L1M1_PR_MR + NEW met1 ( 29670 41990 ) M1M2_PR + NEW met1 ( 29670 44370 ) M1M2_PR + NEW li1 ( 28290 44370 ) L1M1_PR_MR + NEW li1 ( 31050 42330 ) L1M1_PR_MR + NEW met1 ( 29670 41990 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[8\] ( hold16 A ) ( _220_ D ) ( _219_ Q ) + USE SIGNAL + + ROUTED met1 ( 37490 39270 ) ( 37950 * ) + NEW met2 ( 37490 34850 ) ( * 39270 ) + NEW met1 ( 34205 34850 ) ( 37490 * ) + NEW met1 ( 35650 44030 ) ( 37490 * ) + NEW met2 ( 37490 39270 ) ( * 44030 ) + NEW li1 ( 37950 39270 ) L1M1_PR_MR + NEW met1 ( 37490 39270 ) M1M2_PR + NEW met1 ( 37490 34850 ) M1M2_PR + NEW li1 ( 34205 34850 ) L1M1_PR_MR + NEW li1 ( 35650 44030 ) L1M1_PR_MR + NEW met1 ( 37490 44030 ) M1M2_PR ; + - shift_register\[9\] ( hold14 A ) ( _221_ D ) ( _220_ Q ) + USE SIGNAL + + ROUTED met2 ( 41630 28730 ) ( * 33150 ) + NEW met1 ( 35650 28730 ) ( 41630 * ) + NEW met1 ( 43470 39270 ) ( 43930 * ) + NEW met2 ( 43470 33150 ) ( * 39270 ) + NEW met1 ( 41630 33150 ) ( 43470 * ) + NEW li1 ( 41630 33150 ) L1M1_PR_MR + NEW met1 ( 41630 33150 ) M1M2_PR + NEW met1 ( 41630 28730 ) M1M2_PR + NEW li1 ( 35650 28730 ) L1M1_PR_MR + NEW li1 ( 43930 39270 ) L1M1_PR_MR + NEW met1 ( 43470 39270 ) M1M2_PR + NEW met1 ( 43470 33150 ) M1M2_PR + NEW met1 ( 41630 33150 ) RECT ( -355 -70 0 70 ) ; + - user_gpio_in ( PIN user_gpio_in ) ( output39 X ) + USE SIGNAL + + ROUTED met3 ( 62100 57460 ) ( 70380 * 0 ) + NEW met2 ( 27830 56610 ) ( * 58140 ) + NEW met3 ( 27830 58140 ) ( 62100 * ) + NEW met3 ( 62100 57460 ) ( * 58140 ) + NEW li1 ( 27830 56610 ) L1M1_PR_MR + NEW met1 ( 27830 56610 ) M1M2_PR + NEW met2 ( 27830 58140 ) M2M3_PR_M + NEW met1 ( 27830 56610 ) RECT ( -355 -70 0 70 ) ; + - user_gpio_oeb ( PIN user_gpio_oeb ) ( ANTENNA_4 DIODE ) ( input19 A ) + USE SIGNAL + + ROUTED met2 ( 67390 15810 ) ( * 59500 ) + NEW met3 ( 67390 59500 ) ( 70380 * 0 ) + NEW met1 ( 18630 13090 ) ( 20010 * ) + NEW met2 ( 17710 9690 ) ( * 13090 ) + NEW met1 ( 17710 13090 ) ( 18630 * ) + NEW met2 ( 20010 13090 ) ( * 13800 ) + NEW met2 ( 20010 13800 ) ( 20470 * ) + NEW met2 ( 20470 13800 ) ( * 15810 ) + NEW met1 ( 20470 15810 ) ( 39330 * ) + NEW met1 ( 39330 15470 ) ( * 15810 ) + NEW met1 ( 39330 15470 ) ( 45770 * ) + NEW met1 ( 45770 15470 ) ( * 15810 ) + NEW met1 ( 45770 15810 ) ( 67390 * ) + NEW met1 ( 67390 15810 ) M1M2_PR + NEW met2 ( 67390 59500 ) M2M3_PR_M + NEW li1 ( 18630 13090 ) L1M1_PR_MR + NEW met1 ( 20010 13090 ) M1M2_PR + NEW li1 ( 17710 9690 ) L1M1_PR_MR + NEW met1 ( 17710 9690 ) M1M2_PR + NEW met1 ( 17710 13090 ) M1M2_PR + NEW met1 ( 20470 15810 ) M1M2_PR + NEW met1 ( 17710 9690 ) RECT ( -355 -70 0 70 ) ; + - user_gpio_out ( PIN user_gpio_out ) ( ANTENNA_6 DIODE ) ( input20 A ) + USE SIGNAL + + ROUTED met2 ( 83030 17850 ) ( * 62900 ) + NEW met3 ( 69460 62900 ) ( 83030 * ) + NEW met3 ( 69460 61540 ) ( * 62900 ) + NEW met3 ( 69460 61540 ) ( 70380 * 0 ) + NEW met1 ( 21390 13090 ) ( 22770 * ) + NEW met2 ( 22770 13090 ) ( * 13260 ) + NEW met3 ( 22770 13260 ) ( 26450 * ) + NEW met1 ( 20470 12070 ) ( 20930 * ) + NEW met1 ( 20930 12070 ) ( * 13090 ) + NEW met1 ( 20930 13090 ) ( 21390 * ) + NEW met3 ( 26450 14620 ) ( 49450 * ) + NEW met2 ( 49450 14620 ) ( * 17850 ) + NEW met2 ( 26450 13260 ) ( * 14620 ) + NEW met1 ( 49450 17850 ) ( 83030 * ) + NEW met1 ( 83030 17850 ) M1M2_PR + NEW met2 ( 83030 62900 ) M2M3_PR_M + NEW li1 ( 21390 13090 ) L1M1_PR_MR + NEW met1 ( 22770 13090 ) M1M2_PR + NEW met2 ( 22770 13260 ) M2M3_PR_M + NEW met2 ( 26450 13260 ) M2M3_PR_M + NEW li1 ( 20470 12070 ) L1M1_PR_MR + NEW met2 ( 26450 14620 ) M2M3_PR_M + NEW met2 ( 49450 14620 ) M2M3_PR_M + NEW met1 ( 49450 17850 ) M1M2_PR ; - zero ( PIN zero ) ( const_source LO ) + USE SIGNAL - + ROUTED met2 ( 69230 14110 ) ( * 22100 ) - NEW met3 ( 69230 22100 ) ( 70380 * 0 ) - NEW met1 ( 47150 14110 ) ( 69230 * ) - NEW met1 ( 69230 14110 ) M1M2_PR - NEW met2 ( 69230 22100 ) M2M3_PR_M - NEW li1 ( 47150 14110 ) L1M1_PR_MR ; + + ROUTED met2 ( 45770 2380 ) ( * 6970 ) + NEW met3 ( 45770 2380 ) ( 70380 * 0 ) + NEW met2 ( 45770 2380 ) M2M3_PR_M + NEW li1 ( 45770 6970 ) L1M1_PR_MR + NEW met1 ( 45770 6970 ) M1M2_PR + NEW met1 ( 45770 6970 ) RECT ( -355 -70 0 70 ) ; END NETS END DESIGN diff --git a/def/gpio_defaults_block_0403.def b/def/gpio_defaults_block_0403.def new file mode 100644 index 00000000..a1b25e3d --- /dev/null +++ b/def/gpio_defaults_block_0403.def @@ -0,0 +1,1740 @@ +VERSION 5.7 ; + NAMESCASESENSITIVE ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "()" ; + DESIGN gpio_defaults_block_0403 ; + UNITS DISTANCE MICRONS 1000 ; + DIEAREA ( -190 0 ) ( 30090 11120 ) ; + +VIAS 7 ; + - via3_320_320 + + RECT met3 ( -160 -160 ) ( 160 160 ) + + RECT met4 ( -160 -160 ) ( 160 160 ) ; + - via_150_260 + + RECT via ( -75 -130 ) ( 75 130 ) + + RECT via2 ( -75 -130 ) ( 75 130 ) ; + - mcon_170_170 + + RECT li1 ( -85 -85 ) ( 85 85 ) + + RECT met1 ( -85 -85 ) ( 85 85 ) ; + - via_230_260 + + RECT via ( -115 -130 ) ( 115 130 ) + + RECT via2 ( -115 -130 ) ( 115 130 ) ; + - via4_1180_1180 + + RECT met4 ( -590 -590 ) ( 590 590 ) + + RECT met5 ( -590 -590 ) ( 590 590 ) ; + - via_260_260 + + RECT met1 ( -130 -130 ) ( 130 130 ) + + RECT met2 ( -130 -130 ) ( 130 130 ) ; + - via2_280_280 + + RECT via2 ( -140 -140 ) ( 140 140 ) + + RECT via3 ( -140 -140 ) ( 140 140 ) ; +END VIAS + +COMPONENTS 49 ; + - gpio_default_value\[0\] sky130_fd_sc_hd__conb_1 + + PLACED ( 4600 2720 ) N ; + - FILLER_0_3 sky130_fd_sc_hd__decap_6 + + PLACED ( 1380 2720 ) N ; + - FILLER_0_9 sky130_fd_sc_hd__fill_1 + + PLACED ( 4140 2720 ) N ; + - FILLER_1_3 sky130_fd_sc_hd__decap_12 + + PLACED ( 1380 5440 ) FS ; + - PHY_0 sky130_fd_sc_hd__decap_3 + + PLACED ( 0 2720 ) N ; + - PHY_2 sky130_fd_sc_hd__decap_3 + + PLACED ( 0 5440 ) FS ; + - gpio_default_value\[2\] sky130_fd_sc_hd__conb_1 + + PLACED ( 7360 2720 ) N ; + - gpio_default_value\[3\] sky130_fd_sc_hd__conb_1 + + PLACED ( 8740 2720 ) N ; + - gpio_default_value\[4\] sky130_fd_sc_hd__conb_1 + + PLACED ( 10120 2720 ) N ; + - gpio_default_value\[1\] sky130_fd_sc_hd__conb_1 + + PLACED ( 5980 2720 ) N ; + - gpio_default_value\[5\] sky130_fd_sc_hd__conb_1 + + PLACED ( 11500 2720 ) N ; + - FILLER_1_15 sky130_fd_sc_hd__decap_12 + + PLACED ( 6900 5440 ) FS ; + - gpio_default_value\[6\] sky130_fd_sc_hd__conb_1 + + PLACED ( 13800 2720 ) N ; + - gpio_default_value\[7\] sky130_fd_sc_hd__conb_1 + + PLACED ( 16100 2720 ) N ; + - FILLER_0_29 sky130_fd_sc_hd__fill_1 + + PLACED ( 13340 2720 ) N ; + - FILLER_1_27 sky130_fd_sc_hd__decap_12 + + PLACED ( 12420 5440 ) FS ; + - FILLER_0_33 sky130_fd_sc_hd__fill_2 + + PLACED ( 15180 2720 ) N ; + - FILLER_0_38 sky130_fd_sc_hd__fill_2 + + PLACED ( 17480 2720 ) N ; + - TAP_6 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 12880 2720 ) N ; + - gpio_default_value\[12\] sky130_fd_sc_hd__conb_1 + + PLACED ( 22540 2720 ) N ; + - gpio_default_value\[8\] sky130_fd_sc_hd__conb_1 + + PLACED ( 18400 2720 ) N ; + - gpio_default_value\[9\] sky130_fd_sc_hd__conb_1 + + PLACED ( 20700 2720 ) N ; + - FILLER_0_48 sky130_fd_sc_hd__fill_1 + + PLACED ( 22080 2720 ) N ; + - FILLER_1_39 sky130_fd_sc_hd__decap_12 + + PLACED ( 17940 5440 ) FS ; + - FILLER_0_43 sky130_fd_sc_hd__fill_2 + + PLACED ( 19780 2720 ) N ; + - FILLER_1_51 sky130_fd_sc_hd__decap_4 + + PLACED ( 23460 5440 ) FS ; + - gpio_default_value\[10\] sky130_fd_sc_hd__conb_1 + + PLACED ( 23920 2720 ) N ; + - FILLER_1_55 sky130_fd_sc_hd__fill_1 + + PLACED ( 25300 5440 ) FS ; + - FILLER_0_55 sky130_fd_sc_hd__fill_1 + + PLACED ( 25300 2720 ) N ; + - TAP_8 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 25760 5440 ) FS ; + - TAP_7 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 25760 2720 ) N ; + - gpio_default_value\[11\] sky130_fd_sc_hd__conb_1 + + PLACED ( 26220 2720 ) N ; + - FILLER_1_61 sky130_fd_sc_hd__fill_1 + + PLACED ( 28060 5440 ) FS ; + - FILLER_0_60 sky130_fd_sc_hd__fill_2 + + PLACED ( 27600 2720 ) N ; + - FILLER_1_57 sky130_fd_sc_hd__decap_4 + + PLACED ( 26220 5440 ) FS ; + - PHY_3 sky130_fd_sc_hd__decap_3 + + PLACED ( 28520 5440 ) S ; + - PHY_1 sky130_fd_sc_hd__decap_3 + + PLACED ( 28520 2720 ) FN ; + - FILLER_2_3 sky130_fd_sc_hd__decap_12 + + PLACED ( 1380 8160 ) N ; + - PHY_4 sky130_fd_sc_hd__decap_3 + + PLACED ( 0 8160 ) N ; + - FILLER_2_15 sky130_fd_sc_hd__decap_12 + + PLACED ( 6900 8160 ) N ; + - FILLER_2_27 sky130_fd_sc_hd__fill_1 + + PLACED ( 12420 8160 ) N ; + - FILLER_2_29 sky130_fd_sc_hd__decap_12 + + PLACED ( 13340 8160 ) N ; + - TAP_9 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 12880 8160 ) N ; + - FILLER_2_41 sky130_fd_sc_hd__decap_12 + + PLACED ( 18860 8160 ) N ; + - FILLER_2_61 sky130_fd_sc_hd__fill_1 + + PLACED ( 28060 8160 ) N ; + - FILLER_2_53 sky130_fd_sc_hd__decap_3 + + PLACED ( 24380 8160 ) N ; + - PHY_5 sky130_fd_sc_hd__decap_3 + + PLACED ( 28520 8160 ) FN ; + - TAP_10 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 25760 8160 ) N ; + - FILLER_2_57 sky130_fd_sc_hd__decap_4 + + PLACED ( 26220 8160 ) N ; +END COMPONENTS + +PINS 23 ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER met5 ( -14950 -800 ) ( 14950 800 ) + PLACED ( 14950 7980 ) N ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER met4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 4500 6800 ) N ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER met4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 11500 6800 ) N ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER met4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 18500 6800 ) N ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER met4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 25500 6800 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER met5 ( -14950 -800 ) ( 14950 800 ) + PLACED ( 14950 4480 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER met4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 1000 6800 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER met4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 8000 6800 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER met4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 15000 6800 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER met4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 22000 6800 ) N ; + - gpio_defaults[0] + NET gpio_defaults[0] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 1150 1000 ) N ; + - gpio_defaults[10] + NET gpio_defaults[10] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 24150 1000 ) N ; + - gpio_defaults[11] + NET gpio_defaults[11] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 26450 1000 ) N ; + - gpio_defaults[12] + NET gpio_defaults[12] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 28750 1000 ) N ; + - gpio_defaults[1] + NET gpio_defaults[1] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 3450 1000 ) N ; + - gpio_defaults[2] + NET gpio_defaults[2] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 5750 1000 ) N ; + - gpio_defaults[3] + NET gpio_defaults[3] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 8050 1000 ) N ; + - gpio_defaults[4] + NET gpio_defaults[4] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 10350 1000 ) N ; + - gpio_defaults[5] + NET gpio_defaults[5] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 12650 1000 ) N ; + - gpio_defaults[6] + NET gpio_defaults[6] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 14950 1000 ) N ; + - gpio_defaults[7] + NET gpio_defaults[7] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 17250 1000 ) N ; + - gpio_defaults[8] + NET gpio_defaults[8] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 19550 1000 ) N ; + - gpio_defaults[9] + NET gpio_defaults[9] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER met2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 21850 1000 ) N ; +END PINS + +SPECIALNETS 2 ; + - VGND + + ROUTED met4 80 ( 24800 2520 ) ( 26200 * ) + NEW met4 140 ( 26130 2560 ) ( * 2880 ) + NEW met4 80 ( 25700 2560 ) ( * 2880 ) + NEW met4 80 ( 25300 2560 ) ( * 2880 ) + NEW met4 140 ( 24870 2560 ) ( * 2880 ) + NEW met4 1400 ( 25500 2880 ) ( * 7390 ) + NEW met4 110 ( 26145 7390 ) ( * 8570 ) + NEW met4 110 ( 24855 7390 ) ( * 8570 ) + NEW met4 1180 ( 25500 7980 ) via4_1180_1180 + NEW met5 1180 ( 25500 7980 ) via4_1180_1180 + NEW met4 1180 ( 25500 7980 ) via4_1180_1180 + NEW met5 1180 ( 19090 7980 ) ( 24910 * ) + NEW met5 1180 ( 12090 7980 ) ( 17910 * ) + NEW met5 1180 ( 5090 7980 ) ( 10910 * ) + NEW met5 1180 ( 0 7980 ) ( 3910 * ) + NEW met5 210 ( 0 7285 ) ( 29900 * ) + NEW met5 1180 ( 26090 7980 ) ( 29900 * ) + NEW met5 210 ( 0 8675 ) ( 29900 * ) + NEW met4 1400 ( 4500 8570 ) ( * 11120 ) + NEW met4 110 ( 3855 7390 ) ( * 8570 ) + NEW met4 1400 ( 4500 2880 ) ( * 7390 ) + NEW met4 140 ( 3870 2560 ) ( * 2880 ) + NEW met4 80 ( 3800 2520 ) ( 5200 * ) + NEW met4 140 ( 5130 2560 ) ( * 2880 ) + NEW met4 80 ( 4700 2560 ) ( * 2880 ) + NEW met4 80 ( 4300 2560 ) ( * 2880 ) + NEW met3 5 ( 3800 2882.5 ) ( 5200 * ) + NEW met3 140 ( 3870 2560 ) ( * 2880 ) + NEW met3 5 ( 3800 2557.5 ) ( 5200 * ) + NEW met3 140 ( 5130 2560 ) ( * 2880 ) + NEW met3 80 ( 4700 2560 ) ( * 2880 ) + NEW met3 80 ( 4300 2560 ) ( * 2880 ) + NEW met3 320 ( 4100 2720 ) via3_320_320 + NEW met2 100 ( 3890 2910 ) ( 5110 * ) + NEW met2 10 ( 3890 2855 ) ( 3960 * ) + NEW met2 10 ( 3890 2585 ) ( 3960 * ) + NEW met2 100 ( 3890 2530 ) ( 5110 * ) + NEW met2 10 ( 5040 2585 ) ( 5110 * ) + NEW met2 10 ( 4640 2585 ) ( 4760 * ) + NEW met2 10 ( 4240 2585 ) ( 4360 * ) + NEW met2 280 ( 4100 2720 ) via2_280_280 + NEW met3 280 ( 4100 2720 ) via2_280_280 + NEW met2 10 ( 4240 2855 ) ( 4360 * ) + NEW met2 280 ( 4500 2720 ) via2_280_280 + NEW met3 280 ( 4500 2720 ) via2_280_280 + NEW met2 280 ( 4900 2720 ) via2_280_280 + NEW met3 280 ( 4900 2720 ) via2_280_280 + NEW met2 10 ( 5040 2855 ) ( 5110 * ) + NEW met2 10 ( 4640 2855 ) ( 4760 * ) + NEW met4 320 ( 4500 2720 ) via3_320_320 + NEW met4 320 ( 4900 2720 ) via3_320_320 + NEW met1 110 ( 0 2905 ) ( 29900 * ) + NEW met1 260 ( 0 2720 ) ( 3890 * ) + NEW met1 110 ( 0 2535 ) ( 29900 * ) + NEW met1 260 ( 26110 2720 ) ( 29900 * ) + NEW met1 260 ( 25980 2720 ) via_260_260 + NEW met1 60 ( 25820 2590 ) ( * 2850 ) + NEW met1 260 ( 25660 2720 ) via_260_260 + NEW met1 60 ( 25500 2590 ) ( * 2850 ) + NEW met1 260 ( 25340 2720 ) via_260_260 + NEW met1 60 ( 25180 2590 ) ( * 2850 ) + NEW met1 260 ( 25020 2720 ) via_260_260 + NEW met1 260 ( 19110 2720 ) ( 24890 * ) + NEW met1 260 ( 18980 2720 ) via_260_260 + NEW met1 60 ( 18820 2590 ) ( * 2850 ) + NEW met1 260 ( 18660 2720 ) via_260_260 + NEW met1 60 ( 18500 2590 ) ( * 2850 ) + NEW met1 260 ( 18340 2720 ) via_260_260 + NEW met1 60 ( 18180 2590 ) ( * 2850 ) + NEW met1 260 ( 18020 2720 ) via_260_260 + NEW met1 260 ( 12110 2720 ) ( 17890 * ) + NEW met1 260 ( 11980 2720 ) via_260_260 + NEW met1 60 ( 11820 2590 ) ( * 2850 ) + NEW met1 260 ( 11660 2720 ) via_260_260 + NEW met1 60 ( 11500 2590 ) ( * 2850 ) + NEW met1 260 ( 11340 2720 ) via_260_260 + NEW met1 60 ( 11180 2590 ) ( * 2850 ) + NEW met1 260 ( 11020 2720 ) via_260_260 + NEW met1 260 ( 5110 2720 ) ( 10890 * ) + NEW met1 260 ( 4980 2720 ) via_260_260 + NEW met1 60 ( 4820 2590 ) ( * 2850 ) + NEW met1 260 ( 4660 2720 ) via_260_260 + NEW met1 60 ( 4500 2590 ) ( * 2850 ) + NEW met1 260 ( 4340 2720 ) via_260_260 + NEW met1 60 ( 4180 2590 ) ( * 2850 ) + NEW met1 260 ( 4020 2720 ) via_260_260 + NEW met2 10 ( 10890 2855 ) ( 10960 * ) + NEW met2 10 ( 10890 2585 ) ( 10960 * ) + NEW met2 100 ( 10890 2530 ) ( 12110 * ) + NEW met2 10 ( 12040 2585 ) ( 12110 * ) + NEW met2 10 ( 11640 2585 ) ( 11760 * ) + NEW met2 10 ( 11240 2585 ) ( 11360 * ) + NEW met2 280 ( 11100 2720 ) via2_280_280 + NEW met3 280 ( 11100 2720 ) via2_280_280 + NEW met2 10 ( 11240 2855 ) ( 11360 * ) + NEW met2 280 ( 11500 2720 ) via2_280_280 + NEW met3 280 ( 11500 2720 ) via2_280_280 + NEW met2 280 ( 11900 2720 ) via2_280_280 + NEW met3 280 ( 11900 2720 ) via2_280_280 + NEW met2 10 ( 12040 2855 ) ( 12110 * ) + NEW met2 10 ( 11640 2855 ) ( 11760 * ) + NEW met2 100 ( 10890 2910 ) ( 12110 * ) + NEW met3 80 ( 11300 2560 ) ( * 2880 ) + NEW met3 140 ( 10870 2560 ) ( * 2880 ) + NEW met3 5 ( 10800 2557.5 ) ( 12200 * ) + NEW met3 140 ( 12130 2560 ) ( * 2880 ) + NEW met3 80 ( 11700 2560 ) ( * 2880 ) + NEW met3 320 ( 11500 2720 ) via3_320_320 + NEW met4 80 ( 11300 2560 ) ( * 2880 ) + NEW met4 140 ( 10870 2560 ) ( * 2880 ) + NEW met4 80 ( 10800 2520 ) ( 12200 * ) + NEW met4 140 ( 12130 2560 ) ( * 2880 ) + NEW met4 80 ( 11700 2560 ) ( * 2880 ) + NEW met4 1400 ( 11500 2880 ) ( * 7390 ) + NEW met4 110 ( 12145 7390 ) ( * 8570 ) + NEW met4 110 ( 10855 7390 ) ( * 8570 ) + NEW met4 1180 ( 11500 7980 ) via4_1180_1180 + NEW met5 1180 ( 11500 7980 ) via4_1180_1180 + NEW met4 1180 ( 11500 7980 ) via4_1180_1180 + NEW met3 5 ( 10800 8322.5 ) ( 12200 * ) + NEW met3 140 ( 10870 8000 ) ( * 8320 ) + NEW met3 5 ( 10800 7997.5 ) ( 12200 * ) + NEW met3 140 ( 12130 8000 ) ( * 8320 ) + NEW met3 80 ( 11700 8000 ) ( * 8320 ) + NEW met3 80 ( 11300 8000 ) ( * 8320 ) + NEW met3 320 ( 11100 8160 ) via3_320_320 + NEW met2 100 ( 10890 8350 ) ( 12110 * ) + NEW met2 10 ( 10890 8295 ) ( 10960 * ) + NEW met2 10 ( 10890 8025 ) ( 10960 * ) + NEW met2 100 ( 10890 7970 ) ( 12110 * ) + NEW met2 10 ( 12040 8025 ) ( 12110 * ) + NEW met2 10 ( 11640 8025 ) ( 11760 * ) + NEW met2 10 ( 11240 8025 ) ( 11360 * ) + NEW met2 280 ( 11100 8160 ) via2_280_280 + NEW met3 280 ( 11100 8160 ) via2_280_280 + NEW met2 10 ( 11240 8295 ) ( 11360 * ) + NEW met2 280 ( 11500 8160 ) via2_280_280 + NEW met3 280 ( 11500 8160 ) via2_280_280 + NEW met2 280 ( 11900 8160 ) via2_280_280 + NEW met3 280 ( 11900 8160 ) via2_280_280 + NEW met2 10 ( 12040 8295 ) ( 12110 * ) + NEW met2 10 ( 11640 8295 ) ( 11760 * ) + NEW met4 320 ( 11500 8160 ) via3_320_320 + NEW met4 320 ( 11900 8160 ) via3_320_320 + NEW met1 110 ( 0 8345 ) ( 29900 * ) + NEW met1 260 ( 0 8160 ) ( 3890 * ) + NEW met1 110 ( 0 7975 ) ( 29900 * ) + NEW met1 260 ( 26110 8160 ) ( 29900 * ) + NEW met1 260 ( 25980 8160 ) via_260_260 + NEW met1 60 ( 25820 8030 ) ( * 8290 ) + NEW met1 260 ( 25660 8160 ) via_260_260 + NEW met1 60 ( 25500 8030 ) ( * 8290 ) + NEW met1 260 ( 25340 8160 ) via_260_260 + NEW met1 60 ( 25180 8030 ) ( * 8290 ) + NEW met1 260 ( 25020 8160 ) via_260_260 + NEW met1 260 ( 19110 8160 ) ( 24890 * ) + NEW met1 260 ( 18980 8160 ) via_260_260 + NEW met1 60 ( 18820 8030 ) ( * 8290 ) + NEW met1 260 ( 18660 8160 ) via_260_260 + NEW met1 60 ( 18500 8030 ) ( * 8290 ) + NEW met1 260 ( 18340 8160 ) via_260_260 + NEW met1 60 ( 18180 8030 ) ( * 8290 ) + NEW met1 260 ( 18020 8160 ) via_260_260 + NEW met1 260 ( 12110 8160 ) ( 17890 * ) + NEW met1 260 ( 11980 8160 ) via_260_260 + NEW met1 60 ( 11820 8030 ) ( * 8290 ) + NEW met1 260 ( 11660 8160 ) via_260_260 + NEW met1 60 ( 11500 8030 ) ( * 8290 ) + NEW met1 260 ( 11340 8160 ) via_260_260 + NEW met1 60 ( 11180 8030 ) ( * 8290 ) + NEW met1 260 ( 11020 8160 ) via_260_260 + NEW met1 260 ( 5110 8160 ) ( 10890 * ) + NEW met1 260 ( 4980 8160 ) via_260_260 + NEW met1 60 ( 4820 8030 ) ( * 8290 ) + NEW met1 260 ( 4660 8160 ) via_260_260 + NEW met1 60 ( 4500 8030 ) ( * 8290 ) + NEW met1 260 ( 4340 8160 ) via_260_260 + NEW met1 60 ( 4180 8030 ) ( * 8290 ) + NEW met1 260 ( 4020 8160 ) via_260_260 + NEW met2 10 ( 3890 8295 ) ( 3960 * ) + NEW met2 10 ( 3890 8025 ) ( 3960 * ) + NEW met2 100 ( 3890 7970 ) ( 5110 * ) + NEW met2 10 ( 5040 8025 ) ( 5110 * ) + NEW met2 10 ( 4640 8025 ) ( 4760 * ) + NEW met2 10 ( 4240 8025 ) ( 4360 * ) + NEW met2 280 ( 4100 8160 ) via2_280_280 + NEW met3 280 ( 4100 8160 ) via2_280_280 + NEW met2 10 ( 4240 8295 ) ( 4360 * ) + NEW met2 280 ( 4500 8160 ) via2_280_280 + NEW met3 280 ( 4500 8160 ) via2_280_280 + NEW met2 280 ( 4900 8160 ) via2_280_280 + NEW met3 280 ( 4900 8160 ) via2_280_280 + NEW met2 10 ( 5040 8295 ) ( 5110 * ) + NEW met2 10 ( 4640 8295 ) ( 4760 * ) + NEW met2 100 ( 3890 8350 ) ( 5110 * ) + NEW met3 80 ( 4300 8000 ) ( * 8320 ) + NEW met3 140 ( 3870 8000 ) ( * 8320 ) + NEW met3 5 ( 3800 7997.5 ) ( 5200 * ) + NEW met3 140 ( 5130 8000 ) ( * 8320 ) + NEW met3 80 ( 4700 8000 ) ( * 8320 ) + NEW met3 320 ( 4500 8160 ) via3_320_320 + NEW met4 1180 ( 4500 7980 ) via4_1180_1180 + NEW met4 110 ( 5145 7390 ) ( * 8570 ) + NEW met4 1180 ( 4500 7980 ) via4_1180_1180 + NEW met5 1180 ( 4500 7980 ) via4_1180_1180 + NEW met3 5 ( 3800 8322.5 ) ( 5200 * ) + NEW met3 320 ( 4100 8160 ) via3_320_320 + NEW met4 320 ( 4900 8160 ) via3_320_320 + NEW met2 10 ( 17890 8295 ) ( 17960 * ) + NEW met2 10 ( 17890 8025 ) ( 17960 * ) + NEW met2 100 ( 17890 7970 ) ( 19110 * ) + NEW met2 10 ( 19040 8025 ) ( 19110 * ) + NEW met2 10 ( 18640 8025 ) ( 18760 * ) + NEW met2 10 ( 18240 8025 ) ( 18360 * ) + NEW met2 280 ( 18100 8160 ) via2_280_280 + NEW met3 280 ( 18100 8160 ) via2_280_280 + NEW met2 10 ( 18240 8295 ) ( 18360 * ) + NEW met2 280 ( 18500 8160 ) via2_280_280 + NEW met3 280 ( 18500 8160 ) via2_280_280 + NEW met2 280 ( 18900 8160 ) via2_280_280 + NEW met3 280 ( 18900 8160 ) via2_280_280 + NEW met2 10 ( 19040 8295 ) ( 19110 * ) + NEW met2 10 ( 18640 8295 ) ( 18760 * ) + NEW met2 100 ( 17890 8350 ) ( 19110 * ) + NEW met3 80 ( 18300 8000 ) ( * 8320 ) + NEW met3 140 ( 17870 8000 ) ( * 8320 ) + NEW met3 5 ( 17800 7997.5 ) ( 19200 * ) + NEW met3 140 ( 19130 8000 ) ( * 8320 ) + NEW met3 80 ( 18700 8000 ) ( * 8320 ) + NEW met3 320 ( 18500 8160 ) via3_320_320 + NEW met4 1180 ( 18500 7980 ) via4_1180_1180 + NEW met4 110 ( 17855 7390 ) ( * 8570 ) + NEW met4 1400 ( 18500 2880 ) ( * 7390 ) + NEW met4 140 ( 17870 2560 ) ( * 2880 ) + NEW met4 80 ( 17800 2520 ) ( 19200 * ) + NEW met4 140 ( 19130 2560 ) ( * 2880 ) + NEW met4 80 ( 18700 2560 ) ( * 2880 ) + NEW met4 80 ( 18300 2560 ) ( * 2880 ) + NEW met3 5 ( 17800 2882.5 ) ( 19200 * ) + NEW met3 140 ( 17870 2560 ) ( * 2880 ) + NEW met3 5 ( 17800 2557.5 ) ( 19200 * ) + NEW met3 140 ( 19130 2560 ) ( * 2880 ) + NEW met3 80 ( 18700 2560 ) ( * 2880 ) + NEW met3 80 ( 18300 2560 ) ( * 2880 ) + NEW met3 320 ( 18100 2720 ) via3_320_320 + NEW met2 100 ( 17890 2910 ) ( 19110 * ) + NEW met2 10 ( 17890 2855 ) ( 17960 * ) + NEW met2 10 ( 17890 2585 ) ( 17960 * ) + NEW met2 100 ( 17890 2530 ) ( 19110 * ) + NEW met2 10 ( 19040 2585 ) ( 19110 * ) + NEW met2 10 ( 18640 2585 ) ( 18760 * ) + NEW met2 10 ( 18240 2585 ) ( 18360 * ) + NEW met2 280 ( 18100 2720 ) via2_280_280 + NEW met3 280 ( 18100 2720 ) via2_280_280 + NEW met2 10 ( 18240 2855 ) ( 18360 * ) + NEW met2 280 ( 18500 2720 ) via2_280_280 + NEW met3 280 ( 18500 2720 ) via2_280_280 + NEW met2 280 ( 18900 2720 ) via2_280_280 + NEW met3 280 ( 18900 2720 ) via2_280_280 + NEW met2 10 ( 19040 2855 ) ( 19110 * ) + NEW met2 10 ( 18640 2855 ) ( 18760 * ) + NEW met4 320 ( 18500 2720 ) via3_320_320 + NEW met4 320 ( 18900 2720 ) via3_320_320 + NEW met4 110 ( 19145 7390 ) ( * 8570 ) + NEW met4 1180 ( 18500 7980 ) via4_1180_1180 + NEW met5 1180 ( 18500 7980 ) via4_1180_1180 + NEW met4 1400 ( 18500 8570 ) ( * 11120 ) + NEW met3 5 ( 17800 8322.5 ) ( 19200 * ) + NEW met3 320 ( 18100 8160 ) via3_320_320 + NEW met4 320 ( 18900 8160 ) via3_320_320 + NEW met2 10 ( 24890 8295 ) ( 24960 * ) + NEW met2 10 ( 24890 8025 ) ( 24960 * ) + NEW met2 100 ( 24890 7970 ) ( 26110 * ) + NEW met2 10 ( 26040 8025 ) ( 26110 * ) + NEW met2 10 ( 25640 8025 ) ( 25760 * ) + NEW met2 10 ( 25240 8025 ) ( 25360 * ) + NEW met2 280 ( 25100 8160 ) via2_280_280 + NEW met3 280 ( 25100 8160 ) via2_280_280 + NEW met2 10 ( 25240 8295 ) ( 25360 * ) + NEW met2 280 ( 25500 8160 ) via2_280_280 + NEW met3 280 ( 25500 8160 ) via2_280_280 + NEW met2 280 ( 25900 8160 ) via2_280_280 + NEW met3 280 ( 25900 8160 ) via2_280_280 + NEW met2 10 ( 26040 8295 ) ( 26110 * ) + NEW met2 10 ( 25640 8295 ) ( 25760 * ) + NEW met2 100 ( 24890 8350 ) ( 26110 * ) + NEW met3 80 ( 25300 8000 ) ( * 8320 ) + NEW met3 140 ( 24870 8000 ) ( * 8320 ) + NEW met3 5 ( 24800 7997.5 ) ( 26200 * ) + NEW met3 140 ( 26130 8000 ) ( * 8320 ) + NEW met3 80 ( 25700 8000 ) ( * 8320 ) + NEW met3 320 ( 25500 8160 ) via3_320_320 + NEW met4 320 ( 25900 8160 ) via3_320_320 + NEW met3 5 ( 24800 8322.5 ) ( 26200 * ) + NEW met3 320 ( 25100 8160 ) via3_320_320 + NEW met4 1400 ( 11500 8570 ) ( * 11120 ) + NEW met3 5 ( 10800 2882.5 ) ( 12200 * ) + NEW met3 320 ( 11100 2720 ) via3_320_320 + NEW met4 320 ( 11900 2720 ) via3_320_320 + NEW met2 10 ( 24890 2855 ) ( 24960 * ) + NEW met2 10 ( 24890 2585 ) ( 24960 * ) + NEW met2 100 ( 24890 2530 ) ( 26110 * ) + NEW met2 10 ( 26040 2585 ) ( 26110 * ) + NEW met2 10 ( 25640 2585 ) ( 25760 * ) + NEW met2 10 ( 25240 2585 ) ( 25360 * ) + NEW met2 280 ( 25100 2720 ) via2_280_280 + NEW met3 280 ( 25100 2720 ) via2_280_280 + NEW met2 10 ( 25240 2855 ) ( 25360 * ) + NEW met2 280 ( 25500 2720 ) via2_280_280 + NEW met3 280 ( 25500 2720 ) via2_280_280 + NEW met2 280 ( 25900 2720 ) via2_280_280 + NEW met3 280 ( 25900 2720 ) via2_280_280 + NEW met2 10 ( 26040 2855 ) ( 26110 * ) + NEW met2 10 ( 25640 2855 ) ( 25760 * ) + NEW met2 100 ( 24890 2910 ) ( 26110 * ) + NEW met3 80 ( 25300 2560 ) ( * 2880 ) + NEW met3 140 ( 24870 2560 ) ( * 2880 ) + NEW met3 5 ( 24800 2557.5 ) ( 26200 * ) + NEW met3 140 ( 26130 2560 ) ( * 2880 ) + NEW met3 80 ( 25700 2560 ) ( * 2880 ) + NEW met3 320 ( 25500 2720 ) via3_320_320 + NEW met4 320 ( 25900 2720 ) via3_320_320 + NEW met3 5 ( 24800 2882.5 ) ( 26200 * ) + NEW met3 320 ( 25100 2720 ) via3_320_320 + NEW met4 1400 ( 25500 8570 ) ( * 11120 ) ; + - VPWR + + ROUTED met4 1400 ( 22000 2480 ) ( * 3890 ) + NEW met4 110 ( 22645 3890 ) ( * 5070 ) + NEW met4 1180 ( 22000 4480 ) via4_1180_1180 + NEW met4 110 ( 21355 3890 ) ( * 5070 ) + NEW met4 210 ( 21300 5175 ) ( 22700 * ) + NEW met4 140 ( 22630 5280 ) ( * 5600 ) + NEW met4 80 ( 22200 5280 ) ( * 5600 ) + NEW met4 80 ( 21800 5280 ) ( * 5600 ) + NEW met4 140 ( 21370 5280 ) ( * 5600 ) + NEW met4 1400 ( 22000 5600 ) ( * 10720 ) + NEW met4 140 ( 22630 10720 ) ( * 11040 ) + NEW met4 80 ( 22200 10720 ) ( * 11040 ) + NEW met4 80 ( 21800 10720 ) ( * 11040 ) + NEW met4 140 ( 21370 10720 ) ( * 11040 ) + NEW met4 80 ( 21300 11080 ) ( 22700 * ) + NEW met3 5 ( 21300 11042.5 ) ( 22700 * ) + NEW met3 140 ( 21370 10720 ) ( * 11040 ) + NEW met3 5 ( 21300 10717.5 ) ( 22700 * ) + NEW met3 140 ( 22630 10720 ) ( * 11040 ) + NEW met3 80 ( 22200 10720 ) ( * 11040 ) + NEW met3 80 ( 21800 10720 ) ( * 11040 ) + NEW met3 320 ( 21600 10880 ) via3_320_320 + NEW met2 100 ( 21390 11070 ) ( 22610 * ) + NEW met2 10 ( 21390 11015 ) ( 21460 * ) + NEW met2 10 ( 21390 10745 ) ( 21460 * ) + NEW met2 100 ( 21390 10690 ) ( 22610 * ) + NEW met2 10 ( 22540 10745 ) ( 22610 * ) + NEW met2 10 ( 22140 10745 ) ( 22260 * ) + NEW met2 10 ( 21740 10745 ) ( 21860 * ) + NEW met2 280 ( 21600 10880 ) via2_280_280 + NEW met3 280 ( 21600 10880 ) via2_280_280 + NEW met2 10 ( 21740 11015 ) ( 21860 * ) + NEW met2 280 ( 22000 10880 ) via2_280_280 + NEW met3 280 ( 22000 10880 ) via2_280_280 + NEW met2 280 ( 22400 10880 ) via2_280_280 + NEW met3 280 ( 22400 10880 ) via2_280_280 + NEW met2 10 ( 22540 11015 ) ( 22610 * ) + NEW met2 10 ( 22140 11015 ) ( 22260 * ) + NEW met4 320 ( 22000 10880 ) via3_320_320 + NEW met4 320 ( 22400 10880 ) via3_320_320 + NEW met1 110 ( 0 11065 ) ( 29900 * ) + NEW met1 260 ( 0 10880 ) ( 390 * ) + NEW met1 110 ( 0 10695 ) ( 29900 * ) + NEW met1 260 ( 22610 10880 ) ( 29900 * ) + NEW met1 260 ( 22480 10880 ) via_260_260 + NEW met1 60 ( 22320 10750 ) ( * 11010 ) + NEW met1 260 ( 22160 10880 ) via_260_260 + NEW met1 60 ( 22000 10750 ) ( * 11010 ) + NEW met1 260 ( 21840 10880 ) via_260_260 + NEW met1 60 ( 21680 10750 ) ( * 11010 ) + NEW met1 260 ( 21520 10880 ) via_260_260 + NEW met1 260 ( 15610 10880 ) ( 21390 * ) + NEW met1 260 ( 15480 10880 ) via_260_260 + NEW met1 60 ( 15320 10750 ) ( * 11010 ) + NEW met1 260 ( 15160 10880 ) via_260_260 + NEW met1 60 ( 15000 10750 ) ( * 11010 ) + NEW met1 260 ( 14840 10880 ) via_260_260 + NEW met1 60 ( 14680 10750 ) ( * 11010 ) + NEW met1 260 ( 14520 10880 ) via_260_260 + NEW met1 260 ( 8610 10880 ) ( 14390 * ) + NEW met1 260 ( 8480 10880 ) via_260_260 + NEW met1 60 ( 8320 10750 ) ( * 11010 ) + NEW met1 260 ( 8160 10880 ) via_260_260 + NEW met1 60 ( 8000 10750 ) ( * 11010 ) + NEW met1 260 ( 7840 10880 ) via_260_260 + NEW met1 60 ( 7680 10750 ) ( * 11010 ) + NEW met1 260 ( 7520 10880 ) via_260_260 + NEW met1 260 ( 1610 10880 ) ( 7390 * ) + NEW met1 260 ( 1480 10880 ) via_260_260 + NEW met1 60 ( 1320 10750 ) ( * 11010 ) + NEW met1 260 ( 1160 10880 ) via_260_260 + NEW met1 60 ( 1000 10750 ) ( * 11010 ) + NEW met1 260 ( 840 10880 ) via_260_260 + NEW met1 60 ( 680 10750 ) ( * 11010 ) + NEW met1 260 ( 520 10880 ) via_260_260 + NEW met2 10 ( 390 11015 ) ( 460 * ) + NEW met2 10 ( 390 10745 ) ( 460 * ) + NEW met2 100 ( 390 10690 ) ( 1610 * ) + NEW met2 10 ( 1540 10745 ) ( 1610 * ) + NEW met2 10 ( 1140 10745 ) ( 1260 * ) + NEW met2 10 ( 740 10745 ) ( 860 * ) + NEW met2 280 ( 600 10880 ) via2_280_280 + NEW met3 280 ( 600 10880 ) via2_280_280 + NEW met2 10 ( 740 11015 ) ( 860 * ) + NEW met2 280 ( 1000 10880 ) via2_280_280 + NEW met3 280 ( 1000 10880 ) via2_280_280 + NEW met2 280 ( 1400 10880 ) via2_280_280 + NEW met3 280 ( 1400 10880 ) via2_280_280 + NEW met2 10 ( 1540 11015 ) ( 1610 * ) + NEW met2 10 ( 1140 11015 ) ( 1260 * ) + NEW met2 100 ( 390 11070 ) ( 1610 * ) + NEW met3 80 ( 800 10720 ) ( * 11040 ) + NEW met3 140 ( 370 10720 ) ( * 11040 ) + NEW met3 5 ( 300 10717.5 ) ( 1700 * ) + NEW met3 140 ( 1630 10720 ) ( * 11040 ) + NEW met3 80 ( 1200 10720 ) ( * 11040 ) + NEW met3 320 ( 1000 10880 ) via3_320_320 + NEW met4 80 ( 800 10720 ) ( * 11040 ) + NEW met4 140 ( 370 10720 ) ( * 11040 ) + NEW met4 1400 ( 1000 5600 ) ( * 10720 ) + NEW met4 140 ( 370 5280 ) ( * 5600 ) + NEW met4 210 ( 300 5175 ) ( 1700 * ) + NEW met4 110 ( 355 3890 ) ( * 5070 ) + NEW met4 1400 ( 1000 2480 ) ( * 3890 ) + NEW met4 110 ( 1645 3890 ) ( * 5070 ) + NEW met4 1180 ( 1000 4480 ) via4_1180_1180 + NEW met5 210 ( 0 5175 ) ( 29900 * ) + NEW met5 410 ( 205 3890 ) ( * 5070 ) + NEW met5 210 ( 0 3785 ) ( 29900 * ) + NEW met5 1180 ( 22590 4480 ) ( 29900 * ) + NEW met5 1180 ( 15590 4480 ) ( 21410 * ) + NEW met5 1180 ( 8590 4480 ) ( 14410 * ) + NEW met5 1180 ( 1590 4480 ) ( 7410 * ) + NEW met4 210 ( 7300 5175 ) ( 8700 * ) + NEW met4 110 ( 7355 3890 ) ( * 5070 ) + NEW met4 1400 ( 8000 2480 ) ( * 3890 ) + NEW met4 110 ( 8645 3890 ) ( * 5070 ) + NEW met4 1180 ( 8000 4480 ) via4_1180_1180 + NEW met4 140 ( 8630 5280 ) ( * 5600 ) + NEW met4 80 ( 8200 5280 ) ( * 5600 ) + NEW met4 80 ( 7800 5280 ) ( * 5600 ) + NEW met4 140 ( 7370 5280 ) ( * 5600 ) + NEW met4 1400 ( 8000 5600 ) ( * 10720 ) + NEW met4 140 ( 8630 10720 ) ( * 11040 ) + NEW met4 80 ( 8200 10720 ) ( * 11040 ) + NEW met4 80 ( 7800 10720 ) ( * 11040 ) + NEW met4 140 ( 7370 10720 ) ( * 11040 ) + NEW met4 80 ( 7300 11080 ) ( 8700 * ) + NEW met3 5 ( 7300 11042.5 ) ( 8700 * ) + NEW met3 140 ( 7370 10720 ) ( * 11040 ) + NEW met3 5 ( 7300 10717.5 ) ( 8700 * ) + NEW met3 140 ( 8630 10720 ) ( * 11040 ) + NEW met3 80 ( 8200 10720 ) ( * 11040 ) + NEW met3 80 ( 7800 10720 ) ( * 11040 ) + NEW met3 320 ( 7600 10880 ) via3_320_320 + NEW met2 100 ( 7390 11070 ) ( 8610 * ) + NEW met2 10 ( 7390 11015 ) ( 7460 * ) + NEW met2 10 ( 7390 10745 ) ( 7460 * ) + NEW met2 100 ( 7390 10690 ) ( 8610 * ) + NEW met2 10 ( 8540 10745 ) ( 8610 * ) + NEW met2 10 ( 8140 10745 ) ( 8260 * ) + NEW met2 10 ( 7740 10745 ) ( 7860 * ) + NEW met2 280 ( 7600 10880 ) via2_280_280 + NEW met3 280 ( 7600 10880 ) via2_280_280 + NEW met2 10 ( 7740 11015 ) ( 7860 * ) + NEW met2 280 ( 8000 10880 ) via2_280_280 + NEW met3 280 ( 8000 10880 ) via2_280_280 + NEW met2 280 ( 8400 10880 ) via2_280_280 + NEW met3 280 ( 8400 10880 ) via2_280_280 + NEW met2 10 ( 8540 11015 ) ( 8610 * ) + NEW met2 10 ( 8140 11015 ) ( 8260 * ) + NEW met4 320 ( 8000 10880 ) via3_320_320 + NEW met4 320 ( 8400 10880 ) via3_320_320 + NEW met3 5 ( 7300 5602.5 ) ( 8700 * ) + NEW met3 140 ( 7370 5280 ) ( * 5600 ) + NEW met3 5 ( 7300 5277.5 ) ( 8700 * ) + NEW met3 140 ( 8630 5280 ) ( * 5600 ) + NEW met3 80 ( 8200 5280 ) ( * 5600 ) + NEW met3 80 ( 7800 5280 ) ( * 5600 ) + NEW met3 320 ( 7600 5440 ) via3_320_320 + NEW met2 100 ( 7390 5630 ) ( 8610 * ) + NEW met2 10 ( 7390 5575 ) ( 7460 * ) + NEW met2 10 ( 7390 5305 ) ( 7460 * ) + NEW met2 100 ( 7390 5250 ) ( 8610 * ) + NEW met2 10 ( 8540 5305 ) ( 8610 * ) + NEW met2 10 ( 8140 5305 ) ( 8260 * ) + NEW met2 10 ( 7740 5305 ) ( 7860 * ) + NEW met2 280 ( 7600 5440 ) via2_280_280 + NEW met3 280 ( 7600 5440 ) via2_280_280 + NEW met2 10 ( 7740 5575 ) ( 7860 * ) + NEW met2 280 ( 8000 5440 ) via2_280_280 + NEW met3 280 ( 8000 5440 ) via2_280_280 + NEW met2 280 ( 8400 5440 ) via2_280_280 + NEW met3 280 ( 8400 5440 ) via2_280_280 + NEW met2 10 ( 8540 5575 ) ( 8610 * ) + NEW met2 10 ( 8140 5575 ) ( 8260 * ) + NEW met4 320 ( 8000 5440 ) via3_320_320 + NEW met4 320 ( 8400 5440 ) via3_320_320 + NEW met1 110 ( 0 5625 ) ( 29900 * ) + NEW met1 260 ( 0 5440 ) ( 390 * ) + NEW met1 110 ( 0 5255 ) ( 29900 * ) + NEW met1 260 ( 22610 5440 ) ( 29900 * ) + NEW met1 260 ( 22480 5440 ) via_260_260 + NEW met1 60 ( 22320 5310 ) ( * 5570 ) + NEW met1 260 ( 22160 5440 ) via_260_260 + NEW met1 60 ( 22000 5310 ) ( * 5570 ) + NEW met1 260 ( 21840 5440 ) via_260_260 + NEW met1 60 ( 21680 5310 ) ( * 5570 ) + NEW met1 260 ( 21520 5440 ) via_260_260 + NEW met1 260 ( 15610 5440 ) ( 21390 * ) + NEW met1 260 ( 15480 5440 ) via_260_260 + NEW met1 60 ( 15320 5310 ) ( * 5570 ) + NEW met1 260 ( 15160 5440 ) via_260_260 + NEW met1 60 ( 15000 5310 ) ( * 5570 ) + NEW met1 260 ( 14840 5440 ) via_260_260 + NEW met1 60 ( 14680 5310 ) ( * 5570 ) + NEW met1 260 ( 14520 5440 ) via_260_260 + NEW met1 260 ( 8610 5440 ) ( 14390 * ) + NEW met1 260 ( 8480 5440 ) via_260_260 + NEW met1 60 ( 8320 5310 ) ( * 5570 ) + NEW met1 260 ( 8160 5440 ) via_260_260 + NEW met1 60 ( 8000 5310 ) ( * 5570 ) + NEW met1 260 ( 7840 5440 ) via_260_260 + NEW met1 60 ( 7680 5310 ) ( * 5570 ) + NEW met1 260 ( 7520 5440 ) via_260_260 + NEW met1 260 ( 1610 5440 ) ( 7390 * ) + NEW met1 260 ( 1480 5440 ) via_260_260 + NEW met1 60 ( 1320 5310 ) ( * 5570 ) + NEW met1 260 ( 1160 5440 ) via_260_260 + NEW met1 60 ( 1000 5310 ) ( * 5570 ) + NEW met1 260 ( 840 5440 ) via_260_260 + NEW met1 60 ( 680 5310 ) ( * 5570 ) + NEW met1 260 ( 520 5440 ) via_260_260 + NEW met2 10 ( 390 5575 ) ( 460 * ) + NEW met2 10 ( 390 5305 ) ( 460 * ) + NEW met2 100 ( 390 5250 ) ( 1610 * ) + NEW met2 10 ( 1540 5305 ) ( 1610 * ) + NEW met2 10 ( 1140 5305 ) ( 1260 * ) + NEW met2 10 ( 740 5305 ) ( 860 * ) + NEW met2 280 ( 600 5440 ) via2_280_280 + NEW met3 280 ( 600 5440 ) via2_280_280 + NEW met2 10 ( 740 5575 ) ( 860 * ) + NEW met2 280 ( 1000 5440 ) via2_280_280 + NEW met3 280 ( 1000 5440 ) via2_280_280 + NEW met2 280 ( 1400 5440 ) via2_280_280 + NEW met3 280 ( 1400 5440 ) via2_280_280 + NEW met2 10 ( 1540 5575 ) ( 1610 * ) + NEW met2 10 ( 1140 5575 ) ( 1260 * ) + NEW met2 100 ( 390 5630 ) ( 1610 * ) + NEW met3 80 ( 800 5280 ) ( * 5600 ) + NEW met3 140 ( 370 5280 ) ( * 5600 ) + NEW met3 5 ( 300 5277.5 ) ( 1700 * ) + NEW met3 140 ( 1630 5280 ) ( * 5600 ) + NEW met3 80 ( 1200 5280 ) ( * 5600 ) + NEW met3 320 ( 1000 5440 ) via3_320_320 + NEW met4 80 ( 800 5280 ) ( * 5600 ) + NEW met3 5 ( 300 5602.5 ) ( 1700 * ) + NEW met3 320 ( 600 5440 ) via3_320_320 + NEW met4 320 ( 1400 5440 ) via3_320_320 + NEW met4 80 ( 1200 5280 ) ( * 5600 ) + NEW met4 140 ( 1630 5280 ) ( * 5600 ) + NEW met2 10 ( 14390 5575 ) ( 14460 * ) + NEW met2 10 ( 14390 5305 ) ( 14460 * ) + NEW met2 100 ( 14390 5250 ) ( 15610 * ) + NEW met2 10 ( 15540 5305 ) ( 15610 * ) + NEW met2 10 ( 15140 5305 ) ( 15260 * ) + NEW met2 10 ( 14740 5305 ) ( 14860 * ) + NEW met2 280 ( 14600 5440 ) via2_280_280 + NEW met3 280 ( 14600 5440 ) via2_280_280 + NEW met2 10 ( 14740 5575 ) ( 14860 * ) + NEW met2 280 ( 15000 5440 ) via2_280_280 + NEW met3 280 ( 15000 5440 ) via2_280_280 + NEW met2 280 ( 15400 5440 ) via2_280_280 + NEW met3 280 ( 15400 5440 ) via2_280_280 + NEW met2 10 ( 15540 5575 ) ( 15610 * ) + NEW met2 10 ( 15140 5575 ) ( 15260 * ) + NEW met2 100 ( 14390 5630 ) ( 15610 * ) + NEW met3 80 ( 14800 5280 ) ( * 5600 ) + NEW met3 140 ( 14370 5280 ) ( * 5600 ) + NEW met3 5 ( 14300 5277.5 ) ( 15700 * ) + NEW met3 140 ( 15630 5280 ) ( * 5600 ) + NEW met3 80 ( 15200 5280 ) ( * 5600 ) + NEW met3 320 ( 15000 5440 ) via3_320_320 + NEW met4 80 ( 14800 5280 ) ( * 5600 ) + NEW met4 140 ( 14370 5280 ) ( * 5600 ) + NEW met4 210 ( 14300 5175 ) ( 15700 * ) + NEW met4 110 ( 14355 3890 ) ( * 5070 ) + NEW met4 1400 ( 15000 2480 ) ( * 3890 ) + NEW met4 110 ( 15645 3890 ) ( * 5070 ) + NEW met4 1180 ( 15000 4480 ) via4_1180_1180 + NEW met4 140 ( 15630 5280 ) ( * 5600 ) + NEW met4 80 ( 15200 5280 ) ( * 5600 ) + NEW met4 1400 ( 15000 5600 ) ( * 10720 ) + NEW met4 140 ( 15630 10720 ) ( * 11040 ) + NEW met4 80 ( 15200 10720 ) ( * 11040 ) + NEW met4 80 ( 14800 10720 ) ( * 11040 ) + NEW met4 140 ( 14370 10720 ) ( * 11040 ) + NEW met4 80 ( 14300 11080 ) ( 15700 * ) + NEW met3 5 ( 14300 11042.5 ) ( 15700 * ) + NEW met3 140 ( 14370 10720 ) ( * 11040 ) + NEW met3 5 ( 14300 10717.5 ) ( 15700 * ) + NEW met3 140 ( 15630 10720 ) ( * 11040 ) + NEW met3 80 ( 15200 10720 ) ( * 11040 ) + NEW met3 80 ( 14800 10720 ) ( * 11040 ) + NEW met3 320 ( 14600 10880 ) via3_320_320 + NEW met2 100 ( 14390 11070 ) ( 15610 * ) + NEW met2 10 ( 14390 11015 ) ( 14460 * ) + NEW met2 10 ( 14390 10745 ) ( 14460 * ) + NEW met2 100 ( 14390 10690 ) ( 15610 * ) + NEW met2 10 ( 15540 10745 ) ( 15610 * ) + NEW met2 10 ( 15140 10745 ) ( 15260 * ) + NEW met2 10 ( 14740 10745 ) ( 14860 * ) + NEW met2 280 ( 14600 10880 ) via2_280_280 + NEW met3 280 ( 14600 10880 ) via2_280_280 + NEW met2 10 ( 14740 11015 ) ( 14860 * ) + NEW met2 280 ( 15000 10880 ) via2_280_280 + NEW met3 280 ( 15000 10880 ) via2_280_280 + NEW met2 280 ( 15400 10880 ) via2_280_280 + NEW met3 280 ( 15400 10880 ) via2_280_280 + NEW met2 10 ( 15540 11015 ) ( 15610 * ) + NEW met2 10 ( 15140 11015 ) ( 15260 * ) + NEW met4 320 ( 15000 10880 ) via3_320_320 + NEW met4 320 ( 15400 10880 ) via3_320_320 + NEW met3 5 ( 14300 5602.5 ) ( 15700 * ) + NEW met3 320 ( 14600 5440 ) via3_320_320 + NEW met4 320 ( 15400 5440 ) via3_320_320 + NEW met2 10 ( 21390 5575 ) ( 21460 * ) + NEW met2 10 ( 21390 5305 ) ( 21460 * ) + NEW met2 100 ( 21390 5250 ) ( 22610 * ) + NEW met2 10 ( 22540 5305 ) ( 22610 * ) + NEW met2 10 ( 22140 5305 ) ( 22260 * ) + NEW met2 10 ( 21740 5305 ) ( 21860 * ) + NEW met2 280 ( 21600 5440 ) via2_280_280 + NEW met3 280 ( 21600 5440 ) via2_280_280 + NEW met2 10 ( 21740 5575 ) ( 21860 * ) + NEW met2 280 ( 22000 5440 ) via2_280_280 + NEW met3 280 ( 22000 5440 ) via2_280_280 + NEW met2 280 ( 22400 5440 ) via2_280_280 + NEW met3 280 ( 22400 5440 ) via2_280_280 + NEW met2 10 ( 22540 5575 ) ( 22610 * ) + NEW met2 10 ( 22140 5575 ) ( 22260 * ) + NEW met2 100 ( 21390 5630 ) ( 22610 * ) + NEW met3 80 ( 21800 5280 ) ( * 5600 ) + NEW met3 140 ( 21370 5280 ) ( * 5600 ) + NEW met3 5 ( 21300 5277.5 ) ( 22700 * ) + NEW met3 140 ( 22630 5280 ) ( * 5600 ) + NEW met3 80 ( 22200 5280 ) ( * 5600 ) + NEW met3 320 ( 22000 5440 ) via3_320_320 + NEW met4 320 ( 22400 5440 ) via3_320_320 + NEW met3 5 ( 21300 5602.5 ) ( 22700 * ) + NEW met3 320 ( 21600 5440 ) via3_320_320 + NEW met4 140 ( 1630 10720 ) ( * 11040 ) + NEW met4 80 ( 1200 10720 ) ( * 11040 ) + NEW met4 80 ( 300 11080 ) ( 1700 * ) + NEW met3 5 ( 300 11042.5 ) ( 1700 * ) + NEW met3 320 ( 600 10880 ) via3_320_320 + NEW met4 320 ( 1400 10880 ) via3_320_320 ; +END SPECIALNETS + +NETS 13 ; + - VGND + + ROUTED met4 ( 24950 2520 ) ( 26050 * ) + NEW met4 ( 26210 2720 ) ( 26050 * ) + NEW met4 ( 25810 2720 ) ( 25590 * ) + NEW met4 ( 25410 2720 ) ( 25190 * ) + NEW met4 ( 24950 2720 ) ( 24790 * ) + NEW met4 ( 24950 5135 ) ( 26050 * ) + NEW met4 ( 26240 7980 ) ( 26050 * ) + NEW met4 ( 24950 7980 ) ( 25500 * ) via4_1180_1180 + via4_1180_1180 via4_1180_1180 + NEW met5 ( 19890 7980 ) ( 24110 * ) + NEW met5 ( 12890 7980 ) ( 17110 * ) + NEW met5 ( 5890 7980 ) ( 10110 * ) + NEW met5 ( 800 7980 ) ( 3110 * ) + NEW met5 ( 800 7285 ) ( 29100 * ) + NEW met5 ( 26890 7980 ) ( 29100 * ) + NEW met5 ( 800 8675 ) ( 29100 * ) + NEW met4 ( 3950 9845 ) ( 5050 * ) + NEW met4 ( 3950 7980 ) ( 3760 * ) + NEW met4 ( 3950 5135 ) ( 5050 * ) + NEW met4 ( 3950 2720 ) ( 3790 * ) + NEW met4 ( 3950 2520 ) ( 5050 * ) + NEW met4 ( 5210 2720 ) ( 5050 * ) + NEW met4 ( 4810 2720 ) ( 4590 * ) + NEW met4 ( 4410 2720 ) ( 4190 * ) + NEW met3 ( 3950 2882.5 ) ( 5050 * ) + NEW met3 ( 3950 2720 ) ( 3790 * ) + NEW met3 ( 3950 2557.5 ) ( 5050 * ) + NEW met3 ( 5210 2720 ) ( 5050 * ) + NEW met3 ( 4810 2720 ) ( 4590 * ) + NEW met3 ( 4410 2720 ) ( 4190 * ) + NEW met3 ( 4100 2720 ) via3_320_320 + NEW met2 ( 3960 2910 ) ( 5040 * ) + NEW met2 ( 3960 2855 ) ( 3890 * ) + NEW met2 ( 3960 2585 ) ( 3890 * ) + NEW met2 ( 3960 2530 ) ( 5040 * ) + NEW met2 ( 5110 2585 ) ( 5040 * ) + NEW met2 ( 4710 2585 ) ( 4690 * ) + NEW met2 ( 4310 2585 ) ( 4290 * ) + NEW met2 ( 4100 2720 ) via2_280_280 via2_280_280 + NEW met2 ( 4310 2855 ) ( 4500 * ) ( * 2720 ) via2_280_280 + via2_280_280 + NEW met2 ( 4900 2720 ) via2_280_280 via2_280_280 ( * 2855 ) + ( 5040 * ) + NEW met2 ( 4710 2855 ) ( 4690 * ) + NEW met4 ( 4500 2720 ) via3_320_320 + NEW met4 ( 4900 2720 ) via3_320_320 + NEW met1 ( 70 2905 ) ( 29830 * ) + NEW met1 ( 70 2720 ) ( 3820 * ) + NEW met1 ( 70 2535 ) ( 29830 * ) + NEW met1 ( 26180 2720 ) ( 29830 * ) + NEW met1 ( 25980 2720 ) via_260_260 + NEW met1 ( 25860 2720 ) ( 25780 * ) + NEW met1 ( 25660 2720 ) via_260_260 + NEW met1 ( 25540 2720 ) ( 25460 * ) + NEW met1 ( 25340 2720 ) via_260_260 + NEW met1 ( 25220 2720 ) ( 25140 * ) + NEW met1 ( 25020 2720 ) via_260_260 + NEW met1 ( 19180 2720 ) ( 24820 * ) + NEW met1 ( 18980 2720 ) via_260_260 + NEW met1 ( 18860 2720 ) ( 18780 * ) + NEW met1 ( 18660 2720 ) via_260_260 + NEW met1 ( 18540 2720 ) ( 18460 * ) + NEW met1 ( 18340 2720 ) via_260_260 + NEW met1 ( 18220 2720 ) ( 18140 * ) + NEW met1 ( 18020 2720 ) via_260_260 + NEW met1 ( 12180 2720 ) ( 17820 * ) + NEW met1 ( 11980 2720 ) via_260_260 + NEW met1 ( 11860 2720 ) ( 11780 * ) + NEW met1 ( 11660 2720 ) via_260_260 + NEW met1 ( 11540 2720 ) ( 11460 * ) + NEW met1 ( 11340 2720 ) via_260_260 + NEW met1 ( 11220 2720 ) ( 11140 * ) + NEW met1 ( 11020 2720 ) via_260_260 + NEW met1 ( 5180 2720 ) ( 10820 * ) + NEW met1 ( 4980 2720 ) via_260_260 + NEW met1 ( 4860 2720 ) ( 4780 * ) + NEW met1 ( 4660 2720 ) via_260_260 + NEW met1 ( 4540 2720 ) ( 4460 * ) + NEW met1 ( 4340 2720 ) via_260_260 + NEW met1 ( 4220 2720 ) ( 4140 * ) + NEW met1 ( 4020 2720 ) via_260_260 + NEW met2 ( 10960 2855 ) ( 10890 * ) + NEW met2 ( 10960 2585 ) ( 10890 * ) + NEW met2 ( 10960 2530 ) ( 12040 * ) + NEW met2 ( 12110 2585 ) ( 12040 * ) + NEW met2 ( 11710 2585 ) ( 11690 * ) + NEW met2 ( 11310 2585 ) ( 11290 * ) + NEW met2 ( 11100 2720 ) via2_280_280 via2_280_280 + NEW met2 ( 11310 2855 ) ( 11500 * ) ( * 2720 ) via2_280_280 + via2_280_280 + NEW met2 ( 11900 2720 ) via2_280_280 via2_280_280 ( * 2855 ) + ( 12040 * ) + NEW met2 ( 11710 2855 ) ( 11690 * ) + NEW met2 ( 10960 2910 ) ( 12040 * ) + NEW met3 ( 11410 2720 ) ( 11190 * ) + NEW met3 ( 10950 2720 ) ( 10790 * ) + NEW met3 ( 10950 2557.5 ) ( 12050 * ) + NEW met3 ( 12210 2720 ) ( 12050 * ) + NEW met3 ( 11810 2720 ) ( 11590 * ) + NEW met3 ( 11500 2720 ) via3_320_320 + NEW met4 ( 11410 2720 ) ( 11190 * ) + NEW met4 ( 10950 2720 ) ( 10790 * ) + NEW met4 ( 10950 2520 ) ( 12050 * ) + NEW met4 ( 12210 2720 ) ( 12050 * ) + NEW met4 ( 11810 2720 ) ( 11590 * ) + NEW met4 ( 10950 5135 ) ( 12050 * ) + NEW met4 ( 12240 7980 ) ( 12050 * ) + NEW met4 ( 10950 7980 ) ( 11500 * ) via4_1180_1180 + via4_1180_1180 via4_1180_1180 + NEW met3 ( 10950 8322.5 ) ( 12050 * ) + NEW met3 ( 10950 8160 ) ( 10790 * ) + NEW met3 ( 10950 7997.5 ) ( 12050 * ) + NEW met3 ( 12210 8160 ) ( 12050 * ) + NEW met3 ( 11810 8160 ) ( 11590 * ) + NEW met3 ( 11410 8160 ) ( 11190 * ) + NEW met3 ( 11100 8160 ) via3_320_320 + NEW met2 ( 10960 8350 ) ( 12040 * ) + NEW met2 ( 10960 8295 ) ( 10890 * ) + NEW met2 ( 10960 8025 ) ( 10890 * ) + NEW met2 ( 10960 7970 ) ( 12040 * ) + NEW met2 ( 12110 8025 ) ( 12040 * ) + NEW met2 ( 11710 8025 ) ( 11690 * ) + NEW met2 ( 11310 8025 ) ( 11290 * ) + NEW met2 ( 11100 8160 ) via2_280_280 via2_280_280 + NEW met2 ( 11310 8295 ) ( 11500 * ) ( * 8160 ) via2_280_280 + via2_280_280 + NEW met2 ( 11900 8160 ) via2_280_280 via2_280_280 ( * 8295 ) + ( 12040 * ) + NEW met2 ( 11710 8295 ) ( 11690 * ) + NEW met4 ( 11500 8160 ) via3_320_320 + NEW met4 ( 11900 8160 ) via3_320_320 + NEW met1 ( 70 8345 ) ( 29830 * ) + NEW met1 ( 70 8160 ) ( 3820 * ) + NEW met1 ( 70 7975 ) ( 29830 * ) + NEW met1 ( 26180 8160 ) ( 29830 * ) + NEW met1 ( 25980 8160 ) via_260_260 + NEW met1 ( 25860 8160 ) ( 25780 * ) + NEW met1 ( 25660 8160 ) via_260_260 + NEW met1 ( 25540 8160 ) ( 25460 * ) + NEW met1 ( 25340 8160 ) via_260_260 + NEW met1 ( 25220 8160 ) ( 25140 * ) + NEW met1 ( 25020 8160 ) via_260_260 + NEW met1 ( 19180 8160 ) ( 24820 * ) + NEW met1 ( 18980 8160 ) via_260_260 + NEW met1 ( 18860 8160 ) ( 18780 * ) + NEW met1 ( 18660 8160 ) via_260_260 + NEW met1 ( 18540 8160 ) ( 18460 * ) + NEW met1 ( 18340 8160 ) via_260_260 + NEW met1 ( 18220 8160 ) ( 18140 * ) + NEW met1 ( 18020 8160 ) via_260_260 + NEW met1 ( 12180 8160 ) ( 17820 * ) + NEW met1 ( 11980 8160 ) via_260_260 + NEW met1 ( 11860 8160 ) ( 11780 * ) + NEW met1 ( 11660 8160 ) via_260_260 + NEW met1 ( 11540 8160 ) ( 11460 * ) + NEW met1 ( 11340 8160 ) via_260_260 + NEW met1 ( 11220 8160 ) ( 11140 * ) + NEW met1 ( 11020 8160 ) via_260_260 + NEW met1 ( 5180 8160 ) ( 10820 * ) + NEW met1 ( 4980 8160 ) via_260_260 + NEW met1 ( 4860 8160 ) ( 4780 * ) + NEW met1 ( 4660 8160 ) via_260_260 + NEW met1 ( 4540 8160 ) ( 4460 * ) + NEW met1 ( 4340 8160 ) via_260_260 + NEW met1 ( 4220 8160 ) ( 4140 * ) + NEW met1 ( 4020 8160 ) via_260_260 ( * 8295 ) ( 3890 * ) + NEW met2 ( 3960 8025 ) ( 3890 * ) + NEW met2 ( 3960 7970 ) ( 5040 * ) + NEW met2 ( 5110 8025 ) ( 5040 * ) + NEW met2 ( 4710 8025 ) ( 4690 * ) + NEW met2 ( 4310 8025 ) ( 4290 * ) + NEW met2 ( 4100 8160 ) via2_280_280 via2_280_280 + NEW met2 ( 4310 8295 ) ( 4500 * ) ( * 8160 ) via2_280_280 + via2_280_280 + NEW met2 ( 4900 8160 ) via2_280_280 via2_280_280 ( * 8295 ) + ( 5040 * ) + NEW met2 ( 4710 8295 ) ( 4690 * ) + NEW met2 ( 3960 8350 ) ( 5040 * ) + NEW met3 ( 4410 8160 ) ( 4190 * ) + NEW met3 ( 3950 8160 ) ( 3790 * ) + NEW met3 ( 3950 7997.5 ) ( 5050 * ) + NEW met3 ( 5210 8160 ) ( 5050 * ) + NEW met3 ( 4810 8160 ) ( 4590 * ) + NEW met3 ( 4500 8160 ) via3_320_320 via4_1180_1180 + NEW met4 ( 5240 7980 ) ( 5050 * ) + NEW met4 ( 4500 7980 ) via4_1180_1180 via4_1180_1180 + NEW met3 ( 3950 8322.5 ) ( 5050 * ) + NEW met3 ( 4100 8160 ) via3_320_320 + NEW met4 ( 4900 8160 ) via3_320_320 + NEW met2 ( 17960 8295 ) ( 17890 * ) + NEW met2 ( 17960 8025 ) ( 17890 * ) + NEW met2 ( 17960 7970 ) ( 19040 * ) + NEW met2 ( 19110 8025 ) ( 19040 * ) + NEW met2 ( 18710 8025 ) ( 18690 * ) + NEW met2 ( 18310 8025 ) ( 18290 * ) + NEW met2 ( 18100 8160 ) via2_280_280 via2_280_280 + NEW met2 ( 18310 8295 ) ( 18500 * ) ( * 8160 ) via2_280_280 + via2_280_280 + NEW met2 ( 18900 8160 ) via2_280_280 via2_280_280 ( * 8295 ) + ( 19040 * ) + NEW met2 ( 18710 8295 ) ( 18690 * ) + NEW met2 ( 17960 8350 ) ( 19040 * ) + NEW met3 ( 18410 8160 ) ( 18190 * ) + NEW met3 ( 17950 8160 ) ( 17790 * ) + NEW met3 ( 17950 7997.5 ) ( 19050 * ) + NEW met3 ( 19210 8160 ) ( 19050 * ) + NEW met3 ( 18810 8160 ) ( 18590 * ) + NEW met3 ( 18500 8160 ) via3_320_320 via4_1180_1180 + NEW met4 ( 17950 7980 ) ( 17760 * ) + NEW met4 ( 17950 5135 ) ( 19050 * ) + NEW met4 ( 17950 2720 ) ( 17790 * ) + NEW met4 ( 17950 2520 ) ( 19050 * ) + NEW met4 ( 19210 2720 ) ( 19050 * ) + NEW met4 ( 18810 2720 ) ( 18590 * ) + NEW met4 ( 18410 2720 ) ( 18190 * ) + NEW met3 ( 17950 2882.5 ) ( 19050 * ) + NEW met3 ( 17950 2720 ) ( 17790 * ) + NEW met3 ( 17950 2557.5 ) ( 19050 * ) + NEW met3 ( 19210 2720 ) ( 19050 * ) + NEW met3 ( 18810 2720 ) ( 18590 * ) + NEW met3 ( 18410 2720 ) ( 18190 * ) + NEW met3 ( 18100 2720 ) via3_320_320 + NEW met2 ( 17960 2910 ) ( 19040 * ) + NEW met2 ( 17960 2855 ) ( 17890 * ) + NEW met2 ( 17960 2585 ) ( 17890 * ) + NEW met2 ( 17960 2530 ) ( 19040 * ) + NEW met2 ( 19110 2585 ) ( 19040 * ) + NEW met2 ( 18710 2585 ) ( 18690 * ) + NEW met2 ( 18310 2585 ) ( 18290 * ) + NEW met2 ( 18100 2720 ) via2_280_280 via2_280_280 + NEW met2 ( 18310 2855 ) ( 18500 * ) ( * 2720 ) via2_280_280 + via2_280_280 + NEW met2 ( 18900 2720 ) via2_280_280 via2_280_280 ( * 2855 ) + ( 19040 * ) + NEW met2 ( 18710 2855 ) ( 18690 * ) + NEW met4 ( 18500 2720 ) via3_320_320 + NEW met4 ( 18900 2720 ) via3_320_320 + NEW met4 ( 19240 7980 ) ( 19050 * ) + NEW met4 ( 18500 7980 ) via4_1180_1180 via4_1180_1180 + NEW met4 ( 17950 9845 ) ( 19050 * ) + NEW met3 ( 17950 8322.5 ) ( 19050 * ) + NEW met3 ( 18100 8160 ) via3_320_320 + NEW met4 ( 18900 8160 ) via3_320_320 + NEW met2 ( 24960 8295 ) ( 24890 * ) + NEW met2 ( 24960 8025 ) ( 24890 * ) + NEW met2 ( 24960 7970 ) ( 26040 * ) + NEW met2 ( 26110 8025 ) ( 26040 * ) + NEW met2 ( 25710 8025 ) ( 25690 * ) + NEW met2 ( 25310 8025 ) ( 25290 * ) + NEW met2 ( 25100 8160 ) via2_280_280 via2_280_280 + NEW met2 ( 25310 8295 ) ( 25500 * ) ( * 8160 ) via2_280_280 + via2_280_280 + NEW met2 ( 25900 8160 ) via2_280_280 via2_280_280 ( * 8295 ) + ( 26040 * ) + NEW met2 ( 25710 8295 ) ( 25690 * ) + NEW met2 ( 24960 8350 ) ( 26040 * ) + NEW met3 ( 25410 8160 ) ( 25190 * ) + NEW met3 ( 24950 8160 ) ( 24790 * ) + NEW met3 ( 24950 7997.5 ) ( 26050 * ) + NEW met3 ( 26210 8160 ) ( 26050 * ) + NEW met3 ( 25810 8160 ) ( 25590 * ) + NEW met3 ( 25500 8160 ) via3_320_320 + NEW met4 ( 25900 8160 ) via3_320_320 + NEW met3 ( 24950 8322.5 ) ( 26050 * ) + NEW met3 ( 25100 8160 ) via3_320_320 + NEW met4 ( 10950 9845 ) ( 12050 * ) + NEW met3 ( 10950 2882.5 ) ( 12050 * ) + NEW met3 ( 11100 2720 ) via3_320_320 + NEW met4 ( 11900 2720 ) via3_320_320 + NEW met2 ( 24960 2855 ) ( 24890 * ) + NEW met2 ( 24960 2585 ) ( 24890 * ) + NEW met2 ( 24960 2530 ) ( 26040 * ) + NEW met2 ( 26110 2585 ) ( 26040 * ) + NEW met2 ( 25710 2585 ) ( 25690 * ) + NEW met2 ( 25310 2585 ) ( 25290 * ) + NEW met2 ( 25100 2720 ) via2_280_280 via2_280_280 + NEW met2 ( 25310 2855 ) ( 25500 * ) ( * 2720 ) via2_280_280 + via2_280_280 + NEW met2 ( 25900 2720 ) via2_280_280 via2_280_280 ( * 2855 ) + ( 26040 * ) + NEW met2 ( 25710 2855 ) ( 25690 * ) + NEW met2 ( 24960 2910 ) ( 26040 * ) + NEW met3 ( 25410 2720 ) ( 25190 * ) + NEW met3 ( 24950 2720 ) ( 24790 * ) + NEW met3 ( 24950 2557.5 ) ( 26050 * ) + NEW met3 ( 26210 2720 ) ( 26050 * ) + NEW met3 ( 25810 2720 ) ( 25590 * ) + NEW met3 ( 25500 2720 ) via3_320_320 + NEW met4 ( 25900 2720 ) via3_320_320 + NEW met3 ( 24950 2882.5 ) ( 26050 * ) + NEW met3 ( 25100 2720 ) via3_320_320 + NEW met4 ( 24950 9845 ) ( 26050 * ) ; + - VPWR + + ROUTED met4 ( 21450 3185 ) ( 22550 * ) + NEW met4 ( 22740 4480 ) ( 22550 * ) + NEW met4 ( 22000 4480 ) via4_1180_1180 + NEW met4 ( 21450 4480 ) ( 21260 * ) + NEW met4 ( 21450 5175 ) ( 22550 * ) + NEW met4 ( 22710 5440 ) ( 22550 * ) + NEW met4 ( 22310 5440 ) ( 22090 * ) + NEW met4 ( 21910 5440 ) ( 21690 * ) + NEW met4 ( 21450 5440 ) ( 21290 * ) + NEW met4 ( 21450 8160 ) ( 22550 * ) + NEW met4 ( 22710 10880 ) ( 22550 * ) + NEW met4 ( 22310 10880 ) ( 22090 * ) + NEW met4 ( 21910 10880 ) ( 21690 * ) + NEW met4 ( 21450 10880 ) ( 21290 * ) + NEW met4 ( 21450 11080 ) ( 22550 * ) + NEW met3 ( 21450 11042.5 ) ( 22550 * ) + NEW met3 ( 21450 10880 ) ( 21290 * ) + NEW met3 ( 21450 10717.5 ) ( 22550 * ) + NEW met3 ( 22710 10880 ) ( 22550 * ) + NEW met3 ( 22310 10880 ) ( 22090 * ) + NEW met3 ( 21910 10880 ) ( 21690 * ) + NEW met3 ( 21600 10880 ) via3_320_320 + NEW met2 ( 21460 11070 ) ( 22540 * ) + NEW met2 ( 21460 11015 ) ( 21390 * ) + NEW met2 ( 21460 10745 ) ( 21390 * ) + NEW met2 ( 21460 10690 ) ( 22540 * ) + NEW met2 ( 22610 10745 ) ( 22540 * ) + NEW met2 ( 22210 10745 ) ( 22190 * ) + NEW met2 ( 21810 10745 ) ( 21790 * ) + NEW met2 ( 21600 10880 ) via2_280_280 via2_280_280 + NEW met2 ( 21810 11015 ) ( 22000 * ) ( * 10880 ) via2_280_280 + via2_280_280 + NEW met2 ( 22400 10880 ) via2_280_280 via2_280_280 + ( * 11015 ) ( 22540 * ) + NEW met2 ( 22210 11015 ) ( 22190 * ) + NEW met4 ( 22000 10880 ) via3_320_320 + NEW met4 ( 22400 10880 ) via3_320_320 + NEW met1 ( 70 11065 ) ( 29830 * ) + NEW met1 ( 70 10880 ) ( 320 * ) + NEW met1 ( 70 10695 ) ( 29830 * ) + NEW met1 ( 22680 10880 ) ( 29830 * ) + NEW met1 ( 22480 10880 ) via_260_260 + NEW met1 ( 22360 10880 ) ( 22280 * ) + NEW met1 ( 22160 10880 ) via_260_260 + NEW met1 ( 22040 10880 ) ( 21960 * ) + NEW met1 ( 21840 10880 ) via_260_260 + NEW met1 ( 21720 10880 ) ( 21640 * ) + NEW met1 ( 21520 10880 ) via_260_260 + NEW met1 ( 15680 10880 ) ( 21320 * ) + NEW met1 ( 15480 10880 ) via_260_260 + NEW met1 ( 15360 10880 ) ( 15280 * ) + NEW met1 ( 15160 10880 ) via_260_260 + NEW met1 ( 15040 10880 ) ( 14960 * ) + NEW met1 ( 14840 10880 ) via_260_260 + NEW met1 ( 14720 10880 ) ( 14640 * ) + NEW met1 ( 14520 10880 ) via_260_260 + NEW met1 ( 8680 10880 ) ( 14320 * ) + NEW met1 ( 8480 10880 ) via_260_260 + NEW met1 ( 8360 10880 ) ( 8280 * ) + NEW met1 ( 8160 10880 ) via_260_260 + NEW met1 ( 8040 10880 ) ( 7960 * ) + NEW met1 ( 7840 10880 ) via_260_260 + NEW met1 ( 7720 10880 ) ( 7640 * ) + NEW met1 ( 7520 10880 ) via_260_260 + NEW met1 ( 1680 10880 ) ( 7320 * ) + NEW met1 ( 1480 10880 ) via_260_260 + NEW met1 ( 1360 10880 ) ( 1280 * ) + NEW met1 ( 1160 10880 ) via_260_260 + NEW met1 ( 1040 10880 ) ( 960 * ) + NEW met1 ( 840 10880 ) via_260_260 + NEW met1 ( 720 10880 ) ( 640 * ) + NEW met1 ( 520 10880 ) via_260_260 ( * 11015 ) ( 390 * ) + NEW met2 ( 460 10745 ) ( 390 * ) + NEW met2 ( 460 10690 ) ( 1540 * ) + NEW met2 ( 1610 10745 ) ( 1540 * ) + NEW met2 ( 1210 10745 ) ( 1190 * ) + NEW met2 ( 810 10745 ) ( 790 * ) + NEW met2 ( 600 10880 ) via2_280_280 via2_280_280 + NEW met2 ( 810 11015 ) ( 1000 * ) ( * 10880 ) via2_280_280 + via2_280_280 + NEW met2 ( 1400 10880 ) via2_280_280 via2_280_280 ( * 11015 ) + ( 1540 * ) + NEW met2 ( 1210 11015 ) ( 1190 * ) + NEW met2 ( 460 11070 ) ( 1540 * ) + NEW met3 ( 910 10880 ) ( 690 * ) + NEW met3 ( 450 10880 ) ( 290 * ) + NEW met3 ( 450 10717.5 ) ( 1550 * ) + NEW met3 ( 1710 10880 ) ( 1550 * ) + NEW met3 ( 1310 10880 ) ( 1090 * ) + NEW met3 ( 1000 10880 ) via3_320_320 + NEW met4 ( 910 10880 ) ( 690 * ) + NEW met4 ( 450 10880 ) ( 290 * ) + NEW met4 ( 450 8160 ) ( 1550 * ) + NEW met4 ( 450 5440 ) ( 290 * ) + NEW met4 ( 450 5175 ) ( 1550 * ) + NEW met4 ( 450 4480 ) ( 260 * ) + NEW met4 ( 450 3185 ) ( 1550 * ) + NEW met4 ( 1740 4480 ) ( 1550 * ) + NEW met4 ( 1000 4480 ) via4_1180_1180 + NEW met5 ( 800 5175 ) ( 29100 * ) + NEW met5 ( 800 4480 ) ( -390 * ) + NEW met5 ( 800 3785 ) ( 29100 * ) + NEW met5 ( 23390 4480 ) ( 29100 * ) + NEW met5 ( 16390 4480 ) ( 20610 * ) + NEW met5 ( 9390 4480 ) ( 13610 * ) + NEW met5 ( 2390 4480 ) ( 6610 * ) + NEW met4 ( 7450 5175 ) ( 8550 * ) + NEW met4 ( 7450 4480 ) ( 7260 * ) + NEW met4 ( 7450 3185 ) ( 8550 * ) + NEW met4 ( 8740 4480 ) ( 8550 * ) + NEW met4 ( 8000 4480 ) via4_1180_1180 + NEW met4 ( 8710 5440 ) ( 8550 * ) + NEW met4 ( 8310 5440 ) ( 8090 * ) + NEW met4 ( 7910 5440 ) ( 7690 * ) + NEW met4 ( 7450 5440 ) ( 7290 * ) + NEW met4 ( 7450 8160 ) ( 8550 * ) + NEW met4 ( 8710 10880 ) ( 8550 * ) + NEW met4 ( 8310 10880 ) ( 8090 * ) + NEW met4 ( 7910 10880 ) ( 7690 * ) + NEW met4 ( 7450 10880 ) ( 7290 * ) + NEW met4 ( 7450 11080 ) ( 8550 * ) + NEW met3 ( 7450 11042.5 ) ( 8550 * ) + NEW met3 ( 7450 10880 ) ( 7290 * ) + NEW met3 ( 7450 10717.5 ) ( 8550 * ) + NEW met3 ( 8710 10880 ) ( 8550 * ) + NEW met3 ( 8310 10880 ) ( 8090 * ) + NEW met3 ( 7910 10880 ) ( 7690 * ) + NEW met3 ( 7600 10880 ) via3_320_320 + NEW met2 ( 7460 11070 ) ( 8540 * ) + NEW met2 ( 7460 11015 ) ( 7390 * ) + NEW met2 ( 7460 10745 ) ( 7390 * ) + NEW met2 ( 7460 10690 ) ( 8540 * ) + NEW met2 ( 8610 10745 ) ( 8540 * ) + NEW met2 ( 8210 10745 ) ( 8190 * ) + NEW met2 ( 7810 10745 ) ( 7790 * ) + NEW met2 ( 7600 10880 ) via2_280_280 via2_280_280 + NEW met2 ( 7810 11015 ) ( 8000 * ) ( * 10880 ) via2_280_280 + via2_280_280 + NEW met2 ( 8400 10880 ) via2_280_280 via2_280_280 ( * 11015 ) + ( 8540 * ) + NEW met2 ( 8210 11015 ) ( 8190 * ) + NEW met4 ( 8000 10880 ) via3_320_320 + NEW met4 ( 8400 10880 ) via3_320_320 + NEW met3 ( 7450 5602.5 ) ( 8550 * ) + NEW met3 ( 7450 5440 ) ( 7290 * ) + NEW met3 ( 7450 5277.5 ) ( 8550 * ) + NEW met3 ( 8710 5440 ) ( 8550 * ) + NEW met3 ( 8310 5440 ) ( 8090 * ) + NEW met3 ( 7910 5440 ) ( 7690 * ) + NEW met3 ( 7600 5440 ) via3_320_320 + NEW met2 ( 7460 5630 ) ( 8540 * ) + NEW met2 ( 7460 5575 ) ( 7390 * ) + NEW met2 ( 7460 5305 ) ( 7390 * ) + NEW met2 ( 7460 5250 ) ( 8540 * ) + NEW met2 ( 8610 5305 ) ( 8540 * ) + NEW met2 ( 8210 5305 ) ( 8190 * ) + NEW met2 ( 7810 5305 ) ( 7790 * ) + NEW met2 ( 7600 5440 ) via2_280_280 via2_280_280 + NEW met2 ( 7810 5575 ) ( 8000 * ) ( * 5440 ) via2_280_280 + via2_280_280 + NEW met2 ( 8400 5440 ) via2_280_280 via2_280_280 ( * 5575 ) + ( 8540 * ) + NEW met2 ( 8210 5575 ) ( 8190 * ) + NEW met4 ( 8000 5440 ) via3_320_320 + NEW met4 ( 8400 5440 ) via3_320_320 + NEW met1 ( 70 5625 ) ( 29830 * ) + NEW met1 ( 70 5440 ) ( 320 * ) + NEW met1 ( 70 5255 ) ( 29830 * ) + NEW met1 ( 22680 5440 ) ( 29830 * ) + NEW met1 ( 22480 5440 ) via_260_260 + NEW met1 ( 22360 5440 ) ( 22280 * ) + NEW met1 ( 22160 5440 ) via_260_260 + NEW met1 ( 22040 5440 ) ( 21960 * ) + NEW met1 ( 21840 5440 ) via_260_260 + NEW met1 ( 21720 5440 ) ( 21640 * ) + NEW met1 ( 21520 5440 ) via_260_260 + NEW met1 ( 15680 5440 ) ( 21320 * ) + NEW met1 ( 15480 5440 ) via_260_260 + NEW met1 ( 15360 5440 ) ( 15280 * ) + NEW met1 ( 15160 5440 ) via_260_260 + NEW met1 ( 15040 5440 ) ( 14960 * ) + NEW met1 ( 14840 5440 ) via_260_260 + NEW met1 ( 14720 5440 ) ( 14640 * ) + NEW met1 ( 14520 5440 ) via_260_260 + NEW met1 ( 8680 5440 ) ( 14320 * ) + NEW met1 ( 8480 5440 ) via_260_260 + NEW met1 ( 8360 5440 ) ( 8280 * ) + NEW met1 ( 8160 5440 ) via_260_260 + NEW met1 ( 8040 5440 ) ( 7960 * ) + NEW met1 ( 7840 5440 ) via_260_260 + NEW met1 ( 7720 5440 ) ( 7640 * ) + NEW met1 ( 7520 5440 ) via_260_260 + NEW met1 ( 1680 5440 ) ( 7320 * ) + NEW met1 ( 1480 5440 ) via_260_260 + NEW met1 ( 1360 5440 ) ( 1280 * ) + NEW met1 ( 1160 5440 ) via_260_260 + NEW met1 ( 1040 5440 ) ( 960 * ) + NEW met1 ( 840 5440 ) via_260_260 + NEW met1 ( 720 5440 ) ( 640 * ) + NEW met1 ( 520 5440 ) via_260_260 ( * 5575 ) ( 390 * ) + NEW met2 ( 460 5305 ) ( 390 * ) + NEW met2 ( 460 5250 ) ( 1540 * ) + NEW met2 ( 1610 5305 ) ( 1540 * ) + NEW met2 ( 1210 5305 ) ( 1190 * ) + NEW met2 ( 810 5305 ) ( 790 * ) + NEW met2 ( 600 5440 ) via2_280_280 via2_280_280 + NEW met2 ( 810 5575 ) ( 1000 * ) ( * 5440 ) via2_280_280 + via2_280_280 + NEW met2 ( 1400 5440 ) via2_280_280 via2_280_280 ( * 5575 ) + ( 1540 * ) + NEW met2 ( 1210 5575 ) ( 1190 * ) + NEW met2 ( 460 5630 ) ( 1540 * ) + NEW met3 ( 910 5440 ) ( 690 * ) + NEW met3 ( 450 5440 ) ( 290 * ) + NEW met3 ( 450 5277.5 ) ( 1550 * ) + NEW met3 ( 1710 5440 ) ( 1550 * ) + NEW met3 ( 1310 5440 ) ( 1090 * ) + NEW met3 ( 1000 5440 ) via3_320_320 + NEW met4 ( 910 5440 ) ( 690 * ) + NEW met3 ( 450 5602.5 ) ( 1550 * ) + NEW met3 ( 600 5440 ) via3_320_320 + NEW met4 ( 1400 5440 ) via3_320_320 + NEW met4 ( 1310 5440 ) ( 1090 * ) + NEW met4 ( 1710 5440 ) ( 1550 * ) + NEW met2 ( 14460 5575 ) ( 14390 * ) + NEW met2 ( 14460 5305 ) ( 14390 * ) + NEW met2 ( 14460 5250 ) ( 15540 * ) + NEW met2 ( 15610 5305 ) ( 15540 * ) + NEW met2 ( 15210 5305 ) ( 15190 * ) + NEW met2 ( 14810 5305 ) ( 14790 * ) + NEW met2 ( 14600 5440 ) via2_280_280 via2_280_280 + NEW met2 ( 14810 5575 ) ( 15000 * ) ( * 5440 ) via2_280_280 + via2_280_280 + NEW met2 ( 15400 5440 ) via2_280_280 via2_280_280 ( * 5575 ) + ( 15540 * ) + NEW met2 ( 15210 5575 ) ( 15190 * ) + NEW met2 ( 14460 5630 ) ( 15540 * ) + NEW met3 ( 14910 5440 ) ( 14690 * ) + NEW met3 ( 14450 5440 ) ( 14290 * ) + NEW met3 ( 14450 5277.5 ) ( 15550 * ) + NEW met3 ( 15710 5440 ) ( 15550 * ) + NEW met3 ( 15310 5440 ) ( 15090 * ) + NEW met3 ( 15000 5440 ) via3_320_320 + NEW met4 ( 14910 5440 ) ( 14690 * ) + NEW met4 ( 14450 5440 ) ( 14290 * ) + NEW met4 ( 14450 5175 ) ( 15550 * ) + NEW met4 ( 14450 4480 ) ( 14260 * ) + NEW met4 ( 14450 3185 ) ( 15550 * ) + NEW met4 ( 15740 4480 ) ( 15550 * ) + NEW met4 ( 15000 4480 ) via4_1180_1180 + NEW met4 ( 15710 5440 ) ( 15550 * ) + NEW met4 ( 15310 5440 ) ( 15090 * ) + NEW met4 ( 14450 8160 ) ( 15550 * ) + NEW met4 ( 15710 10880 ) ( 15550 * ) + NEW met4 ( 15310 10880 ) ( 15090 * ) + NEW met4 ( 14910 10880 ) ( 14690 * ) + NEW met4 ( 14450 10880 ) ( 14290 * ) + NEW met4 ( 14450 11080 ) ( 15550 * ) + NEW met3 ( 14450 11042.5 ) ( 15550 * ) + NEW met3 ( 14450 10880 ) ( 14290 * ) + NEW met3 ( 14450 10717.5 ) ( 15550 * ) + NEW met3 ( 15710 10880 ) ( 15550 * ) + NEW met3 ( 15310 10880 ) ( 15090 * ) + NEW met3 ( 14910 10880 ) ( 14690 * ) + NEW met3 ( 14600 10880 ) via3_320_320 + NEW met2 ( 14460 11070 ) ( 15540 * ) + NEW met2 ( 14460 11015 ) ( 14390 * ) + NEW met2 ( 14460 10745 ) ( 14390 * ) + NEW met2 ( 14460 10690 ) ( 15540 * ) + NEW met2 ( 15610 10745 ) ( 15540 * ) + NEW met2 ( 15210 10745 ) ( 15190 * ) + NEW met2 ( 14810 10745 ) ( 14790 * ) + NEW met2 ( 14600 10880 ) via2_280_280 via2_280_280 + NEW met2 ( 14810 11015 ) ( 15000 * ) ( * 10880 ) via2_280_280 + via2_280_280 + NEW met2 ( 15400 10880 ) via2_280_280 via2_280_280 + ( * 11015 ) ( 15540 * ) + NEW met2 ( 15210 11015 ) ( 15190 * ) + NEW met4 ( 15000 10880 ) via3_320_320 + NEW met4 ( 15400 10880 ) via3_320_320 + NEW met3 ( 14450 5602.5 ) ( 15550 * ) + NEW met3 ( 14600 5440 ) via3_320_320 + NEW met4 ( 15400 5440 ) via3_320_320 + NEW met2 ( 21460 5575 ) ( 21390 * ) + NEW met2 ( 21460 5305 ) ( 21390 * ) + NEW met2 ( 21460 5250 ) ( 22540 * ) + NEW met2 ( 22610 5305 ) ( 22540 * ) + NEW met2 ( 22210 5305 ) ( 22190 * ) + NEW met2 ( 21810 5305 ) ( 21790 * ) + NEW met2 ( 21600 5440 ) via2_280_280 via2_280_280 + NEW met2 ( 21810 5575 ) ( 22000 * ) ( * 5440 ) via2_280_280 + via2_280_280 + NEW met2 ( 22400 5440 ) via2_280_280 via2_280_280 ( * 5575 ) + ( 22540 * ) + NEW met2 ( 22210 5575 ) ( 22190 * ) + NEW met2 ( 21460 5630 ) ( 22540 * ) + NEW met3 ( 21910 5440 ) ( 21690 * ) + NEW met3 ( 21450 5440 ) ( 21290 * ) + NEW met3 ( 21450 5277.5 ) ( 22550 * ) + NEW met3 ( 22710 5440 ) ( 22550 * ) + NEW met3 ( 22310 5440 ) ( 22090 * ) + NEW met3 ( 22000 5440 ) via3_320_320 + NEW met4 ( 22400 5440 ) via3_320_320 + NEW met3 ( 21450 5602.5 ) ( 22550 * ) + NEW met3 ( 21600 5440 ) via3_320_320 + NEW met4 ( 1710 10880 ) ( 1550 * ) + NEW met4 ( 1310 10880 ) ( 1090 * ) + NEW met4 ( 450 11080 ) ( 1550 * ) + NEW met3 ( 450 11042.5 ) ( 1550 * ) + NEW met3 ( 600 10880 ) via3_320_320 + NEW met4 ( 1400 10880 ) via3_320_320 ; + - gpio_defaults[11] + + ROUTED met2 ( 26380 1000 ) ( 26520 * ) + NEW met2 ( 26450 2070 ) ( * 3680 ) + NEW met2 ( 26390 3765 ) ( 26510 * ) + NEW met2 ( 26390 4055 ) ( 26510 * ) + NEW met1 ( 26360 4010 ) ( 26250 * ) + NEW met1 ( 26165 3910 ) ( 26250 * ) + NEW met1 ( 26360 3810 ) ( 26450 * ) ( * 3910 ) via_260_260 + NEW met1 ( 26650 4010 ) ( 26540 * ) + NEW met1 ( 26650 3910 ) ( 26985 * ) + NEW met1 ( 26650 3810 ) ( 26540 * ) + NEW met1 ( 27050 3832.5 ) ( 26985 * ) + NEW met1 ( 27050 3802.5 ) ( 27230 * ) + NEW met1 ( 27295 3910 ) ( 27230 * ) + NEW met1 ( 27050 3987.5 ) ( 26985 * ) + NEW met1 ( 27050 4017.5 ) ( 27230 * ) + NEW met1 ( 27140 3910 ) mcon_170_170 ; + - gpio_defaults[10] + + ROUTED met2 ( 24080 1000 ) ( 24220 * ) + NEW met2 ( 24150 2070 ) ( * 3680 ) + NEW met2 ( 24090 3765 ) ( 24210 * ) + NEW met2 ( 24090 4055 ) ( 24210 * ) + NEW met1 ( 24060 4010 ) ( 23950 * ) + NEW met1 ( 23865 3910 ) ( 23950 * ) + NEW met1 ( 24060 3810 ) ( 23950 * ) + NEW met1 ( 24350 3810 ) ( 24240 * ) + NEW met1 ( 24150 3910 ) via_260_260 + NEW met1 ( 24350 4010 ) ( 24240 * ) + NEW met1 ( 24350 3910 ) ( 24930 * ) + NEW met1 ( 24750 3810 ) ( 24930 * ) + NEW met1 ( 24750 4010 ) ( 24930 * ) + NEW met1 ( 24150 3910 ) mcon_170_170 ; + - gpio_defaults[9] + + ROUTED met2 ( 21780 1000 ) ( 21920 * ) + NEW met2 ( 21850 2070 ) ( * 3680 ) + NEW met2 ( 21790 3765 ) ( 21910 * ) + NEW met2 ( 21790 4055 ) ( 21910 * ) + NEW met1 ( 21760 4010 ) ( 21650 * ) + NEW met1 ( 20875 3910 ) ( 21650 * ) + NEW met1 ( 21070 3810 ) ( 21250 * ) + NEW met1 ( 21760 3810 ) ( 21650 * ) + NEW met1 ( 22050 3910 ) ( 21940 * ) + NEW met1 ( 21850 3910 ) via_260_260 + NEW met1 ( 21850 3910 ) mcon_170_170 + NEW met1 ( 21070 4010 ) ( 21250 * ) ; + - gpio_defaults[8] + + ROUTED met2 ( 19480 1000 ) ( 19620 * ) + NEW met2 ( 19550 2070 ) ( * 3680 ) + NEW met2 ( 19490 3765 ) ( 19610 * ) + NEW met2 ( 19490 4055 ) ( 19610 * ) + NEW met1 ( 19460 4010 ) ( 19350 * ) + NEW met1 ( 18575 3910 ) ( 19350 * ) + NEW met1 ( 18770 3810 ) ( 18950 * ) + NEW met1 ( 19460 3810 ) ( 19350 * ) + NEW met1 ( 19750 3910 ) ( 19640 * ) + NEW met1 ( 19550 3910 ) via_260_260 + NEW met1 ( 19550 3910 ) mcon_170_170 + NEW met1 ( 18770 4010 ) ( 18950 * ) ; + - gpio_defaults[7] + + ROUTED met2 ( 17180 1000 ) ( 17320 * ) + NEW met2 ( 17250 2070 ) ( * 3680 ) + NEW met2 ( 17190 3765 ) ( 17310 * ) + NEW met2 ( 17190 4055 ) ( 17310 * ) + NEW met1 ( 17160 4010 ) ( 17050 * ) + NEW met1 ( 16405 3910 ) ( 17050 * ) + NEW met1 ( 16470 3810 ) ( 16650 * ) + NEW met1 ( 17160 3810 ) ( 17050 * ) + NEW met1 ( 17450 3910 ) ( 17340 * ) + NEW met1 ( 17250 3910 ) via_260_260 + NEW met1 ( 17250 3910 ) mcon_170_170 + NEW met1 ( 16470 4010 ) ( 16650 * ) ; + - gpio_defaults[6] + + ROUTED met2 ( 14880 1000 ) ( 15020 * ) + NEW met2 ( 14950 2070 ) ( * 3680 ) + NEW met2 ( 14890 3765 ) ( 15010 * ) + NEW met2 ( 14890 4055 ) ( 15010 * ) + NEW met1 ( 14860 4010 ) ( 14750 * ) + NEW met1 ( 14115 3910 ) ( 14750 * ) + NEW met1 ( 14170 3810 ) ( 14350 * ) + NEW met1 ( 14860 3810 ) ( 14750 * ) + NEW met1 ( 15150 3910 ) ( 15040 * ) + NEW met1 ( 14950 3910 ) via_260_260 + NEW met1 ( 14950 3910 ) mcon_170_170 + NEW met1 ( 14170 4010 ) ( 14350 * ) ; + - gpio_defaults[5] + + ROUTED met2 ( 12580 1000 ) ( 12720 * ) + NEW met2 ( 12650 2070 ) ( * 3680 ) + NEW met2 ( 12590 3765 ) ( 12710 * ) + NEW met2 ( 12590 4055 ) ( 12710 * ) + NEW met1 ( 12560 4010 ) ( 12450 * ) + NEW met1 ( 11860 3910 ) ( 12450 * ) + NEW met1 ( 11870 3810 ) ( 12050 * ) + NEW met1 ( 12560 3810 ) ( 12450 * ) + NEW met1 ( 12850 3910 ) ( 12740 * ) + NEW met1 ( 12650 3910 ) via_260_260 + NEW met1 ( 12650 3910 ) mcon_170_170 + NEW met1 ( 11870 4010 ) ( 12050 * ) ; + - gpio_defaults[4] + + ROUTED met2 ( 10280 1000 ) ( 10420 * ) + NEW met2 ( 10350 2070 ) ( * 3680 ) + NEW met2 ( 10290 3765 ) ( 10410 * ) + NEW met2 ( 10290 4055 ) ( 10410 * ) + NEW met1 ( 10260 4010 ) ( 10150 * ) + NEW met1 ( 10245 3910 ) ( 10150 * ) + NEW met1 ( 10260 3810 ) ( 10350 * ) ( * 3910 ) via_260_260 + NEW met1 ( 10550 4010 ) ( 10440 * ) + NEW met1 ( 10550 3910 ) ( 10885 * ) + NEW met1 ( 10550 3810 ) ( 10440 * ) + NEW met1 ( 10950 3832.5 ) ( 10885 * ) + NEW met1 ( 10950 3802.5 ) ( 11130 * ) + NEW met1 ( 11195 3910 ) ( 11130 * ) + NEW met1 ( 10950 3987.5 ) ( 10885 * ) + NEW met1 ( 10950 4017.5 ) ( 11130 * ) + NEW met1 ( 11040 3910 ) mcon_170_170 ; + - gpio_defaults[3] + + ROUTED met2 ( 7980 1000 ) ( 8120 * ) + NEW met2 ( 8050 2070 ) ( * 3480 ) + NEW met2 ( 7990 3565 ) ( 8110 * ) + NEW met2 ( 7990 3855 ) ( 8110 * ) + NEW met1 ( 7960 3710 ) ( 8050 * ) via_260_260 + NEW met1 ( 8250 3810 ) ( 8140 * ) + NEW met1 ( 8250 3710 ) ( 8540 * ) + NEW met1 ( 8250 3610 ) ( 8140 * ) + NEW met1 ( 8540 3850 ) ( * 3770 ) + NEW met1 ( 8540 3910 ) ( 9505 * ) + NEW met1 ( 8895 3817.5 ) ( 9045 * ) + NEW met1 ( 9585 3832.5 ) ( 9505 * ) + NEW met1 ( 9585 3810 ) ( 9735 * ) + NEW met1 ( 9815 3910 ) ( 9735 * ) + NEW met1 ( 9585 3987.5 ) ( 9505 * ) + NEW met1 ( 9585 4010 ) ( 9735 * ) + NEW met1 ( 9660 3910 ) mcon_170_170 + NEW met1 ( 8895 4002.5 ) ( 9045 * ) ; + - gpio_defaults[1] + + ROUTED met2 ( 3380 1000 ) ( 3520 * ) + NEW met2 ( 3450 2070 ) ( * 3340 ) + NEW met2 ( 3390 3425 ) ( 3510 * ) + NEW met2 ( 3390 3715 ) ( 3510 * ) + NEW met1 ( 3360 3570 ) ( 3450 * ) via_260_260 + NEW met1 ( 3650 3670 ) ( 3540 * ) + NEW met1 ( 3650 3570 ) ( 5260 * ) + NEW met1 ( 3650 3470 ) ( 3540 * ) + NEW met1 ( 5260 3710 ) ( * 3770 ) + NEW met1 ( 5260 3910 ) ( 6055 * ) + NEW met1 ( 6135 3832.5 ) ( 6055 * ) + NEW met1 ( 6135 3810 ) ( 6285 * ) + NEW met1 ( 6365 3832.5 ) ( 6285 * ) + NEW met1 ( 6135 3987.5 ) ( 6055 * ) + NEW met1 ( 6135 4010 ) ( 6285 * ) + NEW met1 ( 6365 3987.5 ) ( 6285 * ) + NEW met1 ( 6365 3910 ) ( 6975 * ) + NEW met1 ( 6825 3817.5 ) ( 6975 * ) + NEW met1 ( 6825 4002.5 ) ( 6975 * ) + NEW met1 ( 6210 3910 ) mcon_170_170 ; + - gpio_defaults[12] + + ROUTED met2 ( 28680 1000 ) ( 28820 * ) + NEW met2 ( 28750 2070 ) ( * 4020 ) + NEW met2 ( 28690 4105 ) ( 28810 * ) + NEW met2 ( 28690 4395 ) ( 28810 * ) + NEW met1 ( 28660 4350 ) ( 28550 * ) + NEW met1 ( 23845 4250 ) ( 28550 * ) + NEW met1 ( 23615 4172.5 ) ( 23535 * ) + NEW met1 ( 23615 4150 ) ( 23765 * ) + NEW met1 ( 23845 4172.5 ) ( 23765 * ) + NEW met1 ( 22925 4250 ) ( 23535 * ) + NEW met1 ( 22925 4157.5 ) ( 23075 * ) + NEW met1 ( 23615 4327.5 ) ( 23535 * ) + NEW met1 ( 23615 4350 ) ( 23765 * ) + NEW met1 ( 23845 4327.5 ) ( 23765 * ) + NEW met1 ( 22925 4342.5 ) ( 23075 * ) + NEW met1 ( 23690 4250 ) mcon_170_170 + NEW met1 ( 28660 4150 ) ( 28750 * ) ( * 4250 ) via_260_260 + NEW met1 ( 28950 4250 ) ( 28840 * ) ; + - gpio_defaults[2] + + ROUTED met2 ( 5680 1000 ) ( 5820 * ) + NEW met2 ( 5750 2070 ) ( * 4310 ) + NEW met2 ( 5750 4450 ) ( 6240 * ) + NEW met2 ( 6380 4305 ) ( 6500 * ) + NEW met2 ( 6380 4595 ) ( 6500 * ) + NEW met1 ( 6350 4450 ) ( 6440 * ) via_260_260 + NEW met1 ( 6640 4550 ) ( 6530 * ) + NEW met1 ( 6640 4450 ) ( 7180 * ) + NEW met1 ( 6640 4350 ) ( 6530 * ) + NEW met1 ( 7180 4390 ) ( * 4310 ) + NEW met1 ( 7180 4250 ) ( 8125 * ) + NEW met1 ( 7515 4157.5 ) ( 7665 * ) + NEW met1 ( 8205 4172.5 ) ( 8125 * ) + NEW met1 ( 8205 4150 ) ( 8355 * ) + NEW met1 ( 8435 4250 ) ( 8355 * ) + NEW met1 ( 8205 4327.5 ) ( 8125 * ) + NEW met1 ( 8205 4350 ) ( 8355 * ) + NEW met1 ( 8280 4250 ) mcon_170_170 + NEW met1 ( 7515 4342.5 ) ( 7665 * ) ; + - gpio_defaults[0] + + ROUTED met2 ( 1080 1000 ) ( 1220 * ) + NEW met2 ( 1150 2070 ) ( * 4020 ) + NEW met2 ( 1090 4105 ) ( 1210 * ) + NEW met2 ( 1090 4395 ) ( 1210 * ) + NEW met1 ( 1060 4250 ) ( 1150 * ) via_260_260 + NEW met1 ( 1350 4350 ) ( 1240 * ) + NEW met1 ( 1350 4250 ) ( 4675 * ) + NEW met1 ( 1350 4150 ) ( 1240 * ) + NEW met1 ( 4755 4172.5 ) ( 4675 * ) + NEW met1 ( 4755 4150 ) ( 4905 * ) + NEW met1 ( 4985 4172.5 ) ( 4905 * ) + NEW met1 ( 4755 4327.5 ) ( 4675 * ) + NEW met1 ( 4755 4350 ) ( 4905 * ) + NEW met1 ( 4985 4327.5 ) ( 4905 * ) + NEW met1 ( 4985 4250 ) ( 5595 * ) + NEW met1 ( 5445 4157.5 ) ( 5595 * ) + NEW met1 ( 5445 4342.5 ) ( 5595 * ) + NEW met1 ( 4830 4250 ) mcon_170_170 ; +END NETS + +END DESIGN + diff --git a/def/gpio_defaults_block_1803.def b/def/gpio_defaults_block_1803.def new file mode 100644 index 00000000..a3b9c6c8 --- /dev/null +++ b/def/gpio_defaults_block_1803.def @@ -0,0 +1,1739 @@ +VERSION 5.7 ; + NAMESCASESENSITIVE ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "()" ; + DESIGN gpio_defaults_block_1803 ; + TECHNOLOGY sky130A ; + UNITS DISTANCE MICRONS 1000 ; + DIEAREA ( -190 0 ) ( 30090 11120 ) ; + +VIAS 7 ; + - via3_320_320 + + RECT met3 ( -160 -160 ) ( 160 160 ) + + RECT met4 ( -160 -160 ) ( 160 160 ) ; + - via_150_260 + + RECT via ( -75 -130 ) ( 75 130 ) + + RECT via2 ( -75 -130 ) ( 75 130 ) ; + - mcon_170_170 + + RECT li1 ( -85 -85 ) ( 85 85 ) + + RECT met1 ( -85 -85 ) ( 85 85 ) ; + - via_230_260 + + RECT via ( -115 -130 ) ( 115 130 ) + + RECT via2 ( -115 -130 ) ( 115 130 ) ; + - via4_1180_1180 + + RECT met4 ( -590 -590 ) ( 590 590 ) + + RECT met5 ( -590 -590 ) ( 590 590 ) ; + - via_260_260 + + RECT met1 ( -130 -130 ) ( 130 130 ) + + RECT met2 ( -130 -130 ) ( 130 130 ) ; + - via2_280_280 + + RECT via2 ( -140 -140 ) ( 140 140 ) + + RECT via3 ( -140 -140 ) ( 140 140 ) ; +END VIAS + +COMPONENTS 49 ; + - gpio_default_value\[0\] sky130_fd_sc_hd__conb_1 + + PLACED ( 4600 2720 ) N ; + - FILLER_0_3 sky130_fd_sc_hd__decap_6 + + PLACED ( 1380 2720 ) N ; + - FILLER_0_9 sky130_fd_sc_hd__fill_1 + + PLACED ( 4140 2720 ) N ; + - FILLER_1_3 sky130_fd_sc_hd__decap_12 + + PLACED ( 1380 5440 ) FS ; + - PHY_0 sky130_fd_sc_hd__decap_3 + + PLACED ( 0 2720 ) N ; + - PHY_2 sky130_fd_sc_hd__decap_3 + + PLACED ( 0 5440 ) FS ; + - gpio_default_value\[2\] sky130_fd_sc_hd__conb_1 + + PLACED ( 7360 2720 ) N ; + - gpio_default_value\[3\] sky130_fd_sc_hd__conb_1 + + PLACED ( 8740 2720 ) N ; + - gpio_default_value\[4\] sky130_fd_sc_hd__conb_1 + + PLACED ( 10120 2720 ) N ; + - gpio_default_value\[1\] sky130_fd_sc_hd__conb_1 + + PLACED ( 5980 2720 ) N ; + - gpio_default_value\[5\] sky130_fd_sc_hd__conb_1 + + PLACED ( 11500 2720 ) N ; + - FILLER_1_15 sky130_fd_sc_hd__decap_12 + + PLACED ( 6900 5440 ) FS ; + - gpio_default_value\[6\] sky130_fd_sc_hd__conb_1 + + PLACED ( 13800 2720 ) N ; + - gpio_default_value\[7\] sky130_fd_sc_hd__conb_1 + + PLACED ( 16100 2720 ) N ; + - FILLER_0_29 sky130_fd_sc_hd__fill_1 + + PLACED ( 13340 2720 ) N ; + - FILLER_1_27 sky130_fd_sc_hd__decap_12 + + PLACED ( 12420 5440 ) FS ; + - FILLER_0_33 sky130_fd_sc_hd__fill_2 + + PLACED ( 15180 2720 ) N ; + - FILLER_0_38 sky130_fd_sc_hd__fill_2 + + PLACED ( 17480 2720 ) N ; + - TAP_6 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 12880 2720 ) N ; + - gpio_default_value\[12\] sky130_fd_sc_hd__conb_1 + + PLACED ( 22540 2720 ) N ; + - gpio_default_value\[8\] sky130_fd_sc_hd__conb_1 + + PLACED ( 18400 2720 ) N ; + - gpio_default_value\[9\] sky130_fd_sc_hd__conb_1 + + PLACED ( 20700 2720 ) N ; + - FILLER_0_48 sky130_fd_sc_hd__fill_1 + + PLACED ( 22080 2720 ) N ; + - FILLER_1_39 sky130_fd_sc_hd__decap_12 + + PLACED ( 17940 5440 ) FS ; + - FILLER_0_43 sky130_fd_sc_hd__fill_2 + + PLACED ( 19780 2720 ) N ; + - FILLER_1_51 sky130_fd_sc_hd__decap_4 + + PLACED ( 23460 5440 ) FS ; + - gpio_default_value\[10\] sky130_fd_sc_hd__conb_1 + + PLACED ( 23920 2720 ) N ; + - FILLER_1_55 sky130_fd_sc_hd__fill_1 + + PLACED ( 25300 5440 ) FS ; + - FILLER_0_55 sky130_fd_sc_hd__fill_1 + + PLACED ( 25300 2720 ) N ; + - TAP_8 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 25760 5440 ) FS ; + - TAP_7 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 25760 2720 ) N ; + - gpio_default_value\[11\] sky130_fd_sc_hd__conb_1 + + PLACED ( 26220 2720 ) N ; + - FILLER_1_61 sky130_fd_sc_hd__fill_1 + + PLACED ( 28060 5440 ) FS ; + - FILLER_0_60 sky130_fd_sc_hd__fill_2 + + PLACED ( 27600 2720 ) N ; + - FILLER_1_57 sky130_fd_sc_hd__decap_4 + + PLACED ( 26220 5440 ) FS ; + - PHY_3 sky130_fd_sc_hd__decap_3 + + PLACED ( 28520 5440 ) S ; + - PHY_1 sky130_fd_sc_hd__decap_3 + + PLACED ( 28520 2720 ) FN ; + - FILLER_2_3 sky130_fd_sc_hd__decap_12 + + PLACED ( 1380 8160 ) N ; + - PHY_4 sky130_fd_sc_hd__decap_3 + + PLACED ( 0 8160 ) N ; + - FILLER_2_15 sky130_fd_sc_hd__decap_12 + + PLACED ( 6900 8160 ) N ; + - FILLER_2_27 sky130_fd_sc_hd__fill_1 + + PLACED ( 12420 8160 ) N ; + - FILLER_2_29 sky130_fd_sc_hd__decap_12 + + PLACED ( 13340 8160 ) N ; + - TAP_9 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 12880 8160 ) N ; + - FILLER_2_41 sky130_fd_sc_hd__decap_12 + + PLACED ( 18860 8160 ) N ; + - FILLER_2_61 sky130_fd_sc_hd__fill_1 + + PLACED ( 28060 8160 ) N ; + - FILLER_2_53 sky130_fd_sc_hd__decap_3 + + PLACED ( 24380 8160 ) N ; + - PHY_5 sky130_fd_sc_hd__decap_3 + + PLACED ( 28520 8160 ) FN ; + - TAP_10 sky130_fd_sc_hd__tapvpwrvgnd_1 + + PLACED ( 25760 8160 ) N ; + - FILLER_2_57 sky130_fd_sc_hd__decap_4 + + PLACED ( 26220 8160 ) N ; +END COMPONENTS + +PINS 23 ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER metal5 ( -14950 -800 ) ( 14950 800 ) + PLACED ( 14950 7980 ) N ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER metal4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 4500 6800 ) N ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER metal4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 11500 6800 ) N ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER metal4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 18500 6800 ) N ; + - VGND + NET VGND + + DIRECTION INPUT + + USE GROUND + + PORT + + LAYER metal4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 25500 6800 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER metal5 ( -14950 -800 ) ( 14950 800 ) + PLACED ( 14950 4480 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER metal4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 1000 6800 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER metal4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 8000 6800 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER metal4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 15000 6800 ) N ; + - VPWR + NET VPWR + + DIRECTION INPUT + + USE POWER + + PORT + + LAYER metal4 ( -700 -4320 ) ( 700 4320 ) + PLACED ( 22000 6800 ) N ; + - gpio_defaults[0] + NET gpio_defaults[0] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 1150 1000 ) N ; + - gpio_defaults[10] + NET gpio_defaults[10] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 24150 1000 ) N ; + - gpio_defaults[11] + NET gpio_defaults[11] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 26450 1000 ) N ; + - gpio_defaults[12] + NET gpio_defaults[12] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 28750 1000 ) N ; + - gpio_defaults[1] + NET gpio_defaults[1] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 3450 1000 ) N ; + - gpio_defaults[2] + NET gpio_defaults[2] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 5750 1000 ) N ; + - gpio_defaults[3] + NET gpio_defaults[3] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 8050 1000 ) N ; + - gpio_defaults[4] + NET gpio_defaults[4] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 10350 1000 ) N ; + - gpio_defaults[5] + NET gpio_defaults[5] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 12650 1000 ) N ; + - gpio_defaults[6] + NET gpio_defaults[6] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 14950 1000 ) N ; + - gpio_defaults[7] + NET gpio_defaults[7] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 17250 1000 ) N ; + - gpio_defaults[8] + NET gpio_defaults[8] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 19550 1000 ) N ; + - gpio_defaults[9] + NET gpio_defaults[9] + + DIRECTION INOUT + + USE SIGNAL + + PORT + + LAYER metal2 ( -140 -1000 ) ( 140 1000 ) + PLACED ( 21850 1000 ) N ; +END PINS + +SPECIALNETS 2 ; + - VGND + + ROUTED met4 80 ( 24800 2520 ) ( 26200 * ) + NEW met4 140 ( 26130 2560 ) ( * 2880 ) + NEW met4 80 ( 25700 2560 ) ( * 2880 ) + NEW met4 80 ( 25300 2560 ) ( * 2880 ) + NEW met4 140 ( 24870 2560 ) ( * 2880 ) + NEW met4 1400 ( 25500 2880 ) ( * 7390 ) + NEW met4 110 ( 26145 7390 ) ( * 8570 ) + NEW met4 110 ( 24855 7390 ) ( * 8570 ) + NEW met4 1180 ( 25500 7980 ) via4_1180_1180 + NEW met5 1180 ( 25500 7980 ) via4_1180_1180 + NEW met4 1180 ( 25500 7980 ) via4_1180_1180 + NEW met5 1180 ( 19090 7980 ) ( 24910 * ) + NEW met5 1180 ( 12090 7980 ) ( 17910 * ) + NEW met5 1180 ( 5090 7980 ) ( 10910 * ) + NEW met5 1180 ( 0 7980 ) ( 3910 * ) + NEW met5 210 ( 0 7285 ) ( 29900 * ) + NEW met5 1180 ( 26090 7980 ) ( 29900 * ) + NEW met5 210 ( 0 8675 ) ( 29900 * ) + NEW met4 1400 ( 4500 8570 ) ( * 11120 ) + NEW met4 110 ( 3855 7390 ) ( * 8570 ) + NEW met4 1400 ( 4500 2880 ) ( * 7390 ) + NEW met4 140 ( 3870 2560 ) ( * 2880 ) + NEW met4 80 ( 3800 2520 ) ( 5200 * ) + NEW met4 140 ( 5130 2560 ) ( * 2880 ) + NEW met4 80 ( 4700 2560 ) ( * 2880 ) + NEW met4 80 ( 4300 2560 ) ( * 2880 ) + NEW met3 5 ( 3800 2882.5 ) ( 5200 * ) + NEW met3 140 ( 3870 2560 ) ( * 2880 ) + NEW met3 5 ( 3800 2557.5 ) ( 5200 * ) + NEW met3 140 ( 5130 2560 ) ( * 2880 ) + NEW met3 80 ( 4700 2560 ) ( * 2880 ) + NEW met3 80 ( 4300 2560 ) ( * 2880 ) + NEW met3 320 ( 4100 2720 ) via3_320_320 + NEW met2 100 ( 3890 2910 ) ( 5110 * ) + NEW met2 10 ( 3890 2855 ) ( 3960 * ) + NEW met2 10 ( 3890 2585 ) ( 3960 * ) + NEW met2 100 ( 3890 2530 ) ( 5110 * ) + NEW met2 10 ( 5040 2585 ) ( 5110 * ) + NEW met2 10 ( 4640 2585 ) ( 4760 * ) + NEW met2 10 ( 4240 2585 ) ( 4360 * ) + NEW met2 280 ( 4100 2720 ) via2_280_280 + NEW met3 280 ( 4100 2720 ) via2_280_280 + NEW met2 10 ( 4240 2855 ) ( 4360 * ) + NEW met2 280 ( 4500 2720 ) via2_280_280 + NEW met3 280 ( 4500 2720 ) via2_280_280 + NEW met2 280 ( 4900 2720 ) via2_280_280 + NEW met3 280 ( 4900 2720 ) via2_280_280 + NEW met2 10 ( 5040 2855 ) ( 5110 * ) + NEW met2 10 ( 4640 2855 ) ( 4760 * ) + NEW met4 320 ( 4500 2720 ) via3_320_320 + NEW met4 320 ( 4900 2720 ) via3_320_320 + NEW met1 110 ( 0 2905 ) ( 29900 * ) + NEW met1 260 ( 0 2720 ) ( 3890 * ) + NEW met1 110 ( 0 2535 ) ( 29900 * ) + NEW met1 260 ( 26110 2720 ) ( 29900 * ) + NEW met1 260 ( 25980 2720 ) via_260_260 + NEW met1 60 ( 25820 2590 ) ( * 2850 ) + NEW met1 260 ( 25660 2720 ) via_260_260 + NEW met1 60 ( 25500 2590 ) ( * 2850 ) + NEW met1 260 ( 25340 2720 ) via_260_260 + NEW met1 60 ( 25180 2590 ) ( * 2850 ) + NEW met1 260 ( 25020 2720 ) via_260_260 + NEW met1 260 ( 19110 2720 ) ( 24890 * ) + NEW met1 260 ( 18980 2720 ) via_260_260 + NEW met1 60 ( 18820 2590 ) ( * 2850 ) + NEW met1 260 ( 18660 2720 ) via_260_260 + NEW met1 60 ( 18500 2590 ) ( * 2850 ) + NEW met1 260 ( 18340 2720 ) via_260_260 + NEW met1 60 ( 18180 2590 ) ( * 2850 ) + NEW met1 260 ( 18020 2720 ) via_260_260 + NEW met1 260 ( 12110 2720 ) ( 17890 * ) + NEW met1 260 ( 11980 2720 ) via_260_260 + NEW met1 60 ( 11820 2590 ) ( * 2850 ) + NEW met1 260 ( 11660 2720 ) via_260_260 + NEW met1 60 ( 11500 2590 ) ( * 2850 ) + NEW met1 260 ( 11340 2720 ) via_260_260 + NEW met1 60 ( 11180 2590 ) ( * 2850 ) + NEW met1 260 ( 11020 2720 ) via_260_260 + NEW met1 260 ( 5110 2720 ) ( 10890 * ) + NEW met1 260 ( 4980 2720 ) via_260_260 + NEW met1 60 ( 4820 2590 ) ( * 2850 ) + NEW met1 260 ( 4660 2720 ) via_260_260 + NEW met1 60 ( 4500 2590 ) ( * 2850 ) + NEW met1 260 ( 4340 2720 ) via_260_260 + NEW met1 60 ( 4180 2590 ) ( * 2850 ) + NEW met1 260 ( 4020 2720 ) via_260_260 + NEW met2 10 ( 10890 2855 ) ( 10960 * ) + NEW met2 10 ( 10890 2585 ) ( 10960 * ) + NEW met2 100 ( 10890 2530 ) ( 12110 * ) + NEW met2 10 ( 12040 2585 ) ( 12110 * ) + NEW met2 10 ( 11640 2585 ) ( 11760 * ) + NEW met2 10 ( 11240 2585 ) ( 11360 * ) + NEW met2 280 ( 11100 2720 ) via2_280_280 + NEW met3 280 ( 11100 2720 ) via2_280_280 + NEW met2 10 ( 11240 2855 ) ( 11360 * ) + NEW met2 280 ( 11500 2720 ) via2_280_280 + NEW met3 280 ( 11500 2720 ) via2_280_280 + NEW met2 280 ( 11900 2720 ) via2_280_280 + NEW met3 280 ( 11900 2720 ) via2_280_280 + NEW met2 10 ( 12040 2855 ) ( 12110 * ) + NEW met2 10 ( 11640 2855 ) ( 11760 * ) + NEW met2 100 ( 10890 2910 ) ( 12110 * ) + NEW met3 80 ( 11300 2560 ) ( * 2880 ) + NEW met3 140 ( 10870 2560 ) ( * 2880 ) + NEW met3 5 ( 10800 2557.5 ) ( 12200 * ) + NEW met3 140 ( 12130 2560 ) ( * 2880 ) + NEW met3 80 ( 11700 2560 ) ( * 2880 ) + NEW met3 320 ( 11500 2720 ) via3_320_320 + NEW met4 80 ( 11300 2560 ) ( * 2880 ) + NEW met4 140 ( 10870 2560 ) ( * 2880 ) + NEW met4 80 ( 10800 2520 ) ( 12200 * ) + NEW met4 140 ( 12130 2560 ) ( * 2880 ) + NEW met4 80 ( 11700 2560 ) ( * 2880 ) + NEW met4 1400 ( 11500 2880 ) ( * 7390 ) + NEW met4 110 ( 12145 7390 ) ( * 8570 ) + NEW met4 110 ( 10855 7390 ) ( * 8570 ) + NEW met4 1180 ( 11500 7980 ) via4_1180_1180 + NEW met5 1180 ( 11500 7980 ) via4_1180_1180 + NEW met4 1180 ( 11500 7980 ) via4_1180_1180 + NEW met3 5 ( 10800 8322.5 ) ( 12200 * ) + NEW met3 140 ( 10870 8000 ) ( * 8320 ) + NEW met3 5 ( 10800 7997.5 ) ( 12200 * ) + NEW met3 140 ( 12130 8000 ) ( * 8320 ) + NEW met3 80 ( 11700 8000 ) ( * 8320 ) + NEW met3 80 ( 11300 8000 ) ( * 8320 ) + NEW met3 320 ( 11100 8160 ) via3_320_320 + NEW met2 100 ( 10890 8350 ) ( 12110 * ) + NEW met2 10 ( 10890 8295 ) ( 10960 * ) + NEW met2 10 ( 10890 8025 ) ( 10960 * ) + NEW met2 100 ( 10890 7970 ) ( 12110 * ) + NEW met2 10 ( 12040 8025 ) ( 12110 * ) + NEW met2 10 ( 11640 8025 ) ( 11760 * ) + NEW met2 10 ( 11240 8025 ) ( 11360 * ) + NEW met2 280 ( 11100 8160 ) via2_280_280 + NEW met3 280 ( 11100 8160 ) via2_280_280 + NEW met2 10 ( 11240 8295 ) ( 11360 * ) + NEW met2 280 ( 11500 8160 ) via2_280_280 + NEW met3 280 ( 11500 8160 ) via2_280_280 + NEW met2 280 ( 11900 8160 ) via2_280_280 + NEW met3 280 ( 11900 8160 ) via2_280_280 + NEW met2 10 ( 12040 8295 ) ( 12110 * ) + NEW met2 10 ( 11640 8295 ) ( 11760 * ) + NEW met4 320 ( 11500 8160 ) via3_320_320 + NEW met4 320 ( 11900 8160 ) via3_320_320 + NEW met1 110 ( 0 8345 ) ( 29900 * ) + NEW met1 260 ( 0 8160 ) ( 3890 * ) + NEW met1 110 ( 0 7975 ) ( 29900 * ) + NEW met1 260 ( 26110 8160 ) ( 29900 * ) + NEW met1 260 ( 25980 8160 ) via_260_260 + NEW met1 60 ( 25820 8030 ) ( * 8290 ) + NEW met1 260 ( 25660 8160 ) via_260_260 + NEW met1 60 ( 25500 8030 ) ( * 8290 ) + NEW met1 260 ( 25340 8160 ) via_260_260 + NEW met1 60 ( 25180 8030 ) ( * 8290 ) + NEW met1 260 ( 25020 8160 ) via_260_260 + NEW met1 260 ( 19110 8160 ) ( 24890 * ) + NEW met1 260 ( 18980 8160 ) via_260_260 + NEW met1 60 ( 18820 8030 ) ( * 8290 ) + NEW met1 260 ( 18660 8160 ) via_260_260 + NEW met1 60 ( 18500 8030 ) ( * 8290 ) + NEW met1 260 ( 18340 8160 ) via_260_260 + NEW met1 60 ( 18180 8030 ) ( * 8290 ) + NEW met1 260 ( 18020 8160 ) via_260_260 + NEW met1 260 ( 12110 8160 ) ( 17890 * ) + NEW met1 260 ( 11980 8160 ) via_260_260 + NEW met1 60 ( 11820 8030 ) ( * 8290 ) + NEW met1 260 ( 11660 8160 ) via_260_260 + NEW met1 60 ( 11500 8030 ) ( * 8290 ) + NEW met1 260 ( 11340 8160 ) via_260_260 + NEW met1 60 ( 11180 8030 ) ( * 8290 ) + NEW met1 260 ( 11020 8160 ) via_260_260 + NEW met1 260 ( 5110 8160 ) ( 10890 * ) + NEW met1 260 ( 4980 8160 ) via_260_260 + NEW met1 60 ( 4820 8030 ) ( * 8290 ) + NEW met1 260 ( 4660 8160 ) via_260_260 + NEW met1 60 ( 4500 8030 ) ( * 8290 ) + NEW met1 260 ( 4340 8160 ) via_260_260 + NEW met1 60 ( 4180 8030 ) ( * 8290 ) + NEW met1 260 ( 4020 8160 ) via_260_260 + NEW met2 10 ( 3890 8295 ) ( 3960 * ) + NEW met2 10 ( 3890 8025 ) ( 3960 * ) + NEW met2 100 ( 3890 7970 ) ( 5110 * ) + NEW met2 10 ( 5040 8025 ) ( 5110 * ) + NEW met2 10 ( 4640 8025 ) ( 4760 * ) + NEW met2 10 ( 4240 8025 ) ( 4360 * ) + NEW met2 280 ( 4100 8160 ) via2_280_280 + NEW met3 280 ( 4100 8160 ) via2_280_280 + NEW met2 10 ( 4240 8295 ) ( 4360 * ) + NEW met2 280 ( 4500 8160 ) via2_280_280 + NEW met3 280 ( 4500 8160 ) via2_280_280 + NEW met2 280 ( 4900 8160 ) via2_280_280 + NEW met3 280 ( 4900 8160 ) via2_280_280 + NEW met2 10 ( 5040 8295 ) ( 5110 * ) + NEW met2 10 ( 4640 8295 ) ( 4760 * ) + NEW met2 100 ( 3890 8350 ) ( 5110 * ) + NEW met3 80 ( 4300 8000 ) ( * 8320 ) + NEW met3 140 ( 3870 8000 ) ( * 8320 ) + NEW met3 5 ( 3800 7997.5 ) ( 5200 * ) + NEW met3 140 ( 5130 8000 ) ( * 8320 ) + NEW met3 80 ( 4700 8000 ) ( * 8320 ) + NEW met3 320 ( 4500 8160 ) via3_320_320 + NEW met4 1180 ( 4500 7980 ) via4_1180_1180 + NEW met4 110 ( 5145 7390 ) ( * 8570 ) + NEW met4 1180 ( 4500 7980 ) via4_1180_1180 + NEW met5 1180 ( 4500 7980 ) via4_1180_1180 + NEW met3 5 ( 3800 8322.5 ) ( 5200 * ) + NEW met3 320 ( 4100 8160 ) via3_320_320 + NEW met4 320 ( 4900 8160 ) via3_320_320 + NEW met2 10 ( 17890 8295 ) ( 17960 * ) + NEW met2 10 ( 17890 8025 ) ( 17960 * ) + NEW met2 100 ( 17890 7970 ) ( 19110 * ) + NEW met2 10 ( 19040 8025 ) ( 19110 * ) + NEW met2 10 ( 18640 8025 ) ( 18760 * ) + NEW met2 10 ( 18240 8025 ) ( 18360 * ) + NEW met2 280 ( 18100 8160 ) via2_280_280 + NEW met3 280 ( 18100 8160 ) via2_280_280 + NEW met2 10 ( 18240 8295 ) ( 18360 * ) + NEW met2 280 ( 18500 8160 ) via2_280_280 + NEW met3 280 ( 18500 8160 ) via2_280_280 + NEW met2 280 ( 18900 8160 ) via2_280_280 + NEW met3 280 ( 18900 8160 ) via2_280_280 + NEW met2 10 ( 19040 8295 ) ( 19110 * ) + NEW met2 10 ( 18640 8295 ) ( 18760 * ) + NEW met2 100 ( 17890 8350 ) ( 19110 * ) + NEW met3 80 ( 18300 8000 ) ( * 8320 ) + NEW met3 140 ( 17870 8000 ) ( * 8320 ) + NEW met3 5 ( 17800 7997.5 ) ( 19200 * ) + NEW met3 140 ( 19130 8000 ) ( * 8320 ) + NEW met3 80 ( 18700 8000 ) ( * 8320 ) + NEW met3 320 ( 18500 8160 ) via3_320_320 + NEW met4 1180 ( 18500 7980 ) via4_1180_1180 + NEW met4 110 ( 17855 7390 ) ( * 8570 ) + NEW met4 1400 ( 18500 2880 ) ( * 7390 ) + NEW met4 140 ( 17870 2560 ) ( * 2880 ) + NEW met4 80 ( 17800 2520 ) ( 19200 * ) + NEW met4 140 ( 19130 2560 ) ( * 2880 ) + NEW met4 80 ( 18700 2560 ) ( * 2880 ) + NEW met4 80 ( 18300 2560 ) ( * 2880 ) + NEW met3 5 ( 17800 2882.5 ) ( 19200 * ) + NEW met3 140 ( 17870 2560 ) ( * 2880 ) + NEW met3 5 ( 17800 2557.5 ) ( 19200 * ) + NEW met3 140 ( 19130 2560 ) ( * 2880 ) + NEW met3 80 ( 18700 2560 ) ( * 2880 ) + NEW met3 80 ( 18300 2560 ) ( * 2880 ) + NEW met3 320 ( 18100 2720 ) via3_320_320 + NEW met2 100 ( 17890 2910 ) ( 19110 * ) + NEW met2 10 ( 17890 2855 ) ( 17960 * ) + NEW met2 10 ( 17890 2585 ) ( 17960 * ) + NEW met2 100 ( 17890 2530 ) ( 19110 * ) + NEW met2 10 ( 19040 2585 ) ( 19110 * ) + NEW met2 10 ( 18640 2585 ) ( 18760 * ) + NEW met2 10 ( 18240 2585 ) ( 18360 * ) + NEW met2 280 ( 18100 2720 ) via2_280_280 + NEW met3 280 ( 18100 2720 ) via2_280_280 + NEW met2 10 ( 18240 2855 ) ( 18360 * ) + NEW met2 280 ( 18500 2720 ) via2_280_280 + NEW met3 280 ( 18500 2720 ) via2_280_280 + NEW met2 280 ( 18900 2720 ) via2_280_280 + NEW met3 280 ( 18900 2720 ) via2_280_280 + NEW met2 10 ( 19040 2855 ) ( 19110 * ) + NEW met2 10 ( 18640 2855 ) ( 18760 * ) + NEW met4 320 ( 18500 2720 ) via3_320_320 + NEW met4 320 ( 18900 2720 ) via3_320_320 + NEW met4 110 ( 19145 7390 ) ( * 8570 ) + NEW met4 1180 ( 18500 7980 ) via4_1180_1180 + NEW met5 1180 ( 18500 7980 ) via4_1180_1180 + NEW met4 1400 ( 18500 8570 ) ( * 11120 ) + NEW met3 5 ( 17800 8322.5 ) ( 19200 * ) + NEW met3 320 ( 18100 8160 ) via3_320_320 + NEW met4 320 ( 18900 8160 ) via3_320_320 + NEW met2 10 ( 24890 8295 ) ( 24960 * ) + NEW met2 10 ( 24890 8025 ) ( 24960 * ) + NEW met2 100 ( 24890 7970 ) ( 26110 * ) + NEW met2 10 ( 26040 8025 ) ( 26110 * ) + NEW met2 10 ( 25640 8025 ) ( 25760 * ) + NEW met2 10 ( 25240 8025 ) ( 25360 * ) + NEW met2 280 ( 25100 8160 ) via2_280_280 + NEW met3 280 ( 25100 8160 ) via2_280_280 + NEW met2 10 ( 25240 8295 ) ( 25360 * ) + NEW met2 280 ( 25500 8160 ) via2_280_280 + NEW met3 280 ( 25500 8160 ) via2_280_280 + NEW met2 280 ( 25900 8160 ) via2_280_280 + NEW met3 280 ( 25900 8160 ) via2_280_280 + NEW met2 10 ( 26040 8295 ) ( 26110 * ) + NEW met2 10 ( 25640 8295 ) ( 25760 * ) + NEW met2 100 ( 24890 8350 ) ( 26110 * ) + NEW met3 80 ( 25300 8000 ) ( * 8320 ) + NEW met3 140 ( 24870 8000 ) ( * 8320 ) + NEW met3 5 ( 24800 7997.5 ) ( 26200 * ) + NEW met3 140 ( 26130 8000 ) ( * 8320 ) + NEW met3 80 ( 25700 8000 ) ( * 8320 ) + NEW met3 320 ( 25500 8160 ) via3_320_320 + NEW met4 320 ( 25900 8160 ) via3_320_320 + NEW met3 5 ( 24800 8322.5 ) ( 26200 * ) + NEW met3 320 ( 25100 8160 ) via3_320_320 + NEW met4 1400 ( 11500 8570 ) ( * 11120 ) + NEW met3 5 ( 10800 2882.5 ) ( 12200 * ) + NEW met3 320 ( 11100 2720 ) via3_320_320 + NEW met4 320 ( 11900 2720 ) via3_320_320 + NEW met2 10 ( 24890 2855 ) ( 24960 * ) + NEW met2 10 ( 24890 2585 ) ( 24960 * ) + NEW met2 100 ( 24890 2530 ) ( 26110 * ) + NEW met2 10 ( 26040 2585 ) ( 26110 * ) + NEW met2 10 ( 25640 2585 ) ( 25760 * ) + NEW met2 10 ( 25240 2585 ) ( 25360 * ) + NEW met2 280 ( 25100 2720 ) via2_280_280 + NEW met3 280 ( 25100 2720 ) via2_280_280 + NEW met2 10 ( 25240 2855 ) ( 25360 * ) + NEW met2 280 ( 25500 2720 ) via2_280_280 + NEW met3 280 ( 25500 2720 ) via2_280_280 + NEW met2 280 ( 25900 2720 ) via2_280_280 + NEW met3 280 ( 25900 2720 ) via2_280_280 + NEW met2 10 ( 26040 2855 ) ( 26110 * ) + NEW met2 10 ( 25640 2855 ) ( 25760 * ) + NEW met2 100 ( 24890 2910 ) ( 26110 * ) + NEW met3 80 ( 25300 2560 ) ( * 2880 ) + NEW met3 140 ( 24870 2560 ) ( * 2880 ) + NEW met3 5 ( 24800 2557.5 ) ( 26200 * ) + NEW met3 140 ( 26130 2560 ) ( * 2880 ) + NEW met3 80 ( 25700 2560 ) ( * 2880 ) + NEW met3 320 ( 25500 2720 ) via3_320_320 + NEW met4 320 ( 25900 2720 ) via3_320_320 + NEW met3 5 ( 24800 2882.5 ) ( 26200 * ) + NEW met3 320 ( 25100 2720 ) via3_320_320 + NEW met4 1400 ( 25500 8570 ) ( * 11120 ) ; + - VPWR + + ROUTED met4 1400 ( 22000 2480 ) ( * 3890 ) + NEW met4 110 ( 22645 3890 ) ( * 5070 ) + NEW met4 1180 ( 22000 4480 ) via4_1180_1180 + NEW met4 110 ( 21355 3890 ) ( * 5070 ) + NEW met4 210 ( 21300 5175 ) ( 22700 * ) + NEW met4 140 ( 22630 5280 ) ( * 5600 ) + NEW met4 80 ( 22200 5280 ) ( * 5600 ) + NEW met4 80 ( 21800 5280 ) ( * 5600 ) + NEW met4 140 ( 21370 5280 ) ( * 5600 ) + NEW met4 1400 ( 22000 5600 ) ( * 10720 ) + NEW met4 140 ( 22630 10720 ) ( * 11040 ) + NEW met4 80 ( 22200 10720 ) ( * 11040 ) + NEW met4 80 ( 21800 10720 ) ( * 11040 ) + NEW met4 140 ( 21370 10720 ) ( * 11040 ) + NEW met4 80 ( 21300 11080 ) ( 22700 * ) + NEW met3 5 ( 21300 11042.5 ) ( 22700 * ) + NEW met3 140 ( 21370 10720 ) ( * 11040 ) + NEW met3 5 ( 21300 10717.5 ) ( 22700 * ) + NEW met3 140 ( 22630 10720 ) ( * 11040 ) + NEW met3 80 ( 22200 10720 ) ( * 11040 ) + NEW met3 80 ( 21800 10720 ) ( * 11040 ) + NEW met3 320 ( 21600 10880 ) via3_320_320 + NEW met2 100 ( 21390 11070 ) ( 22610 * ) + NEW met2 10 ( 21390 11015 ) ( 21460 * ) + NEW met2 10 ( 21390 10745 ) ( 21460 * ) + NEW met2 100 ( 21390 10690 ) ( 22610 * ) + NEW met2 10 ( 22540 10745 ) ( 22610 * ) + NEW met2 10 ( 22140 10745 ) ( 22260 * ) + NEW met2 10 ( 21740 10745 ) ( 21860 * ) + NEW met2 280 ( 21600 10880 ) via2_280_280 + NEW met3 280 ( 21600 10880 ) via2_280_280 + NEW met2 10 ( 21740 11015 ) ( 21860 * ) + NEW met2 280 ( 22000 10880 ) via2_280_280 + NEW met3 280 ( 22000 10880 ) via2_280_280 + NEW met2 280 ( 22400 10880 ) via2_280_280 + NEW met3 280 ( 22400 10880 ) via2_280_280 + NEW met2 10 ( 22540 11015 ) ( 22610 * ) + NEW met2 10 ( 22140 11015 ) ( 22260 * ) + NEW met4 320 ( 22000 10880 ) via3_320_320 + NEW met4 320 ( 22400 10880 ) via3_320_320 + NEW met1 110 ( 0 11065 ) ( 29900 * ) + NEW met1 260 ( 0 10880 ) ( 390 * ) + NEW met1 110 ( 0 10695 ) ( 29900 * ) + NEW met1 260 ( 22610 10880 ) ( 29900 * ) + NEW met1 260 ( 22480 10880 ) via_260_260 + NEW met1 60 ( 22320 10750 ) ( * 11010 ) + NEW met1 260 ( 22160 10880 ) via_260_260 + NEW met1 60 ( 22000 10750 ) ( * 11010 ) + NEW met1 260 ( 21840 10880 ) via_260_260 + NEW met1 60 ( 21680 10750 ) ( * 11010 ) + NEW met1 260 ( 21520 10880 ) via_260_260 + NEW met1 260 ( 15610 10880 ) ( 21390 * ) + NEW met1 260 ( 15480 10880 ) via_260_260 + NEW met1 60 ( 15320 10750 ) ( * 11010 ) + NEW met1 260 ( 15160 10880 ) via_260_260 + NEW met1 60 ( 15000 10750 ) ( * 11010 ) + NEW met1 260 ( 14840 10880 ) via_260_260 + NEW met1 60 ( 14680 10750 ) ( * 11010 ) + NEW met1 260 ( 14520 10880 ) via_260_260 + NEW met1 260 ( 8610 10880 ) ( 14390 * ) + NEW met1 260 ( 8480 10880 ) via_260_260 + NEW met1 60 ( 8320 10750 ) ( * 11010 ) + NEW met1 260 ( 8160 10880 ) via_260_260 + NEW met1 60 ( 8000 10750 ) ( * 11010 ) + NEW met1 260 ( 7840 10880 ) via_260_260 + NEW met1 60 ( 7680 10750 ) ( * 11010 ) + NEW met1 260 ( 7520 10880 ) via_260_260 + NEW met1 260 ( 1610 10880 ) ( 7390 * ) + NEW met1 260 ( 1480 10880 ) via_260_260 + NEW met1 60 ( 1320 10750 ) ( * 11010 ) + NEW met1 260 ( 1160 10880 ) via_260_260 + NEW met1 60 ( 1000 10750 ) ( * 11010 ) + NEW met1 260 ( 840 10880 ) via_260_260 + NEW met1 60 ( 680 10750 ) ( * 11010 ) + NEW met1 260 ( 520 10880 ) via_260_260 + NEW met2 10 ( 390 11015 ) ( 460 * ) + NEW met2 10 ( 390 10745 ) ( 460 * ) + NEW met2 100 ( 390 10690 ) ( 1610 * ) + NEW met2 10 ( 1540 10745 ) ( 1610 * ) + NEW met2 10 ( 1140 10745 ) ( 1260 * ) + NEW met2 10 ( 740 10745 ) ( 860 * ) + NEW met2 280 ( 600 10880 ) via2_280_280 + NEW met3 280 ( 600 10880 ) via2_280_280 + NEW met2 10 ( 740 11015 ) ( 860 * ) + NEW met2 280 ( 1000 10880 ) via2_280_280 + NEW met3 280 ( 1000 10880 ) via2_280_280 + NEW met2 280 ( 1400 10880 ) via2_280_280 + NEW met3 280 ( 1400 10880 ) via2_280_280 + NEW met2 10 ( 1540 11015 ) ( 1610 * ) + NEW met2 10 ( 1140 11015 ) ( 1260 * ) + NEW met2 100 ( 390 11070 ) ( 1610 * ) + NEW met3 80 ( 800 10720 ) ( * 11040 ) + NEW met3 140 ( 370 10720 ) ( * 11040 ) + NEW met3 5 ( 300 10717.5 ) ( 1700 * ) + NEW met3 140 ( 1630 10720 ) ( * 11040 ) + NEW met3 80 ( 1200 10720 ) ( * 11040 ) + NEW met3 320 ( 1000 10880 ) via3_320_320 + NEW met4 80 ( 800 10720 ) ( * 11040 ) + NEW met4 140 ( 370 10720 ) ( * 11040 ) + NEW met4 1400 ( 1000 5600 ) ( * 10720 ) + NEW met4 140 ( 370 5280 ) ( * 5600 ) + NEW met4 210 ( 300 5175 ) ( 1700 * ) + NEW met4 110 ( 355 3890 ) ( * 5070 ) + NEW met4 1400 ( 1000 2480 ) ( * 3890 ) + NEW met4 110 ( 1645 3890 ) ( * 5070 ) + NEW met4 1180 ( 1000 4480 ) via4_1180_1180 + NEW met5 210 ( 0 5175 ) ( 29900 * ) + NEW met5 410 ( 205 3890 ) ( * 5070 ) + NEW met5 210 ( 0 3785 ) ( 29900 * ) + NEW met5 1180 ( 22590 4480 ) ( 29900 * ) + NEW met5 1180 ( 15590 4480 ) ( 21410 * ) + NEW met5 1180 ( 8590 4480 ) ( 14410 * ) + NEW met5 1180 ( 1590 4480 ) ( 7410 * ) + NEW met4 210 ( 7300 5175 ) ( 8700 * ) + NEW met4 110 ( 7355 3890 ) ( * 5070 ) + NEW met4 1400 ( 8000 2480 ) ( * 3890 ) + NEW met4 110 ( 8645 3890 ) ( * 5070 ) + NEW met4 1180 ( 8000 4480 ) via4_1180_1180 + NEW met4 140 ( 8630 5280 ) ( * 5600 ) + NEW met4 80 ( 8200 5280 ) ( * 5600 ) + NEW met4 80 ( 7800 5280 ) ( * 5600 ) + NEW met4 140 ( 7370 5280 ) ( * 5600 ) + NEW met4 1400 ( 8000 5600 ) ( * 10720 ) + NEW met4 140 ( 8630 10720 ) ( * 11040 ) + NEW met4 80 ( 8200 10720 ) ( * 11040 ) + NEW met4 80 ( 7800 10720 ) ( * 11040 ) + NEW met4 140 ( 7370 10720 ) ( * 11040 ) + NEW met4 80 ( 7300 11080 ) ( 8700 * ) + NEW met3 5 ( 7300 11042.5 ) ( 8700 * ) + NEW met3 140 ( 7370 10720 ) ( * 11040 ) + NEW met3 5 ( 7300 10717.5 ) ( 8700 * ) + NEW met3 140 ( 8630 10720 ) ( * 11040 ) + NEW met3 80 ( 8200 10720 ) ( * 11040 ) + NEW met3 80 ( 7800 10720 ) ( * 11040 ) + NEW met3 320 ( 7600 10880 ) via3_320_320 + NEW met2 100 ( 7390 11070 ) ( 8610 * ) + NEW met2 10 ( 7390 11015 ) ( 7460 * ) + NEW met2 10 ( 7390 10745 ) ( 7460 * ) + NEW met2 100 ( 7390 10690 ) ( 8610 * ) + NEW met2 10 ( 8540 10745 ) ( 8610 * ) + NEW met2 10 ( 8140 10745 ) ( 8260 * ) + NEW met2 10 ( 7740 10745 ) ( 7860 * ) + NEW met2 280 ( 7600 10880 ) via2_280_280 + NEW met3 280 ( 7600 10880 ) via2_280_280 + NEW met2 10 ( 7740 11015 ) ( 7860 * ) + NEW met2 280 ( 8000 10880 ) via2_280_280 + NEW met3 280 ( 8000 10880 ) via2_280_280 + NEW met2 280 ( 8400 10880 ) via2_280_280 + NEW met3 280 ( 8400 10880 ) via2_280_280 + NEW met2 10 ( 8540 11015 ) ( 8610 * ) + NEW met2 10 ( 8140 11015 ) ( 8260 * ) + NEW met4 320 ( 8000 10880 ) via3_320_320 + NEW met4 320 ( 8400 10880 ) via3_320_320 + NEW met3 5 ( 7300 5602.5 ) ( 8700 * ) + NEW met3 140 ( 7370 5280 ) ( * 5600 ) + NEW met3 5 ( 7300 5277.5 ) ( 8700 * ) + NEW met3 140 ( 8630 5280 ) ( * 5600 ) + NEW met3 80 ( 8200 5280 ) ( * 5600 ) + NEW met3 80 ( 7800 5280 ) ( * 5600 ) + NEW met3 320 ( 7600 5440 ) via3_320_320 + NEW met2 100 ( 7390 5630 ) ( 8610 * ) + NEW met2 10 ( 7390 5575 ) ( 7460 * ) + NEW met2 10 ( 7390 5305 ) ( 7460 * ) + NEW met2 100 ( 7390 5250 ) ( 8610 * ) + NEW met2 10 ( 8540 5305 ) ( 8610 * ) + NEW met2 10 ( 8140 5305 ) ( 8260 * ) + NEW met2 10 ( 7740 5305 ) ( 7860 * ) + NEW met2 280 ( 7600 5440 ) via2_280_280 + NEW met3 280 ( 7600 5440 ) via2_280_280 + NEW met2 10 ( 7740 5575 ) ( 7860 * ) + NEW met2 280 ( 8000 5440 ) via2_280_280 + NEW met3 280 ( 8000 5440 ) via2_280_280 + NEW met2 280 ( 8400 5440 ) via2_280_280 + NEW met3 280 ( 8400 5440 ) via2_280_280 + NEW met2 10 ( 8540 5575 ) ( 8610 * ) + NEW met2 10 ( 8140 5575 ) ( 8260 * ) + NEW met4 320 ( 8000 5440 ) via3_320_320 + NEW met4 320 ( 8400 5440 ) via3_320_320 + NEW met1 110 ( 0 5625 ) ( 29900 * ) + NEW met1 260 ( 0 5440 ) ( 390 * ) + NEW met1 110 ( 0 5255 ) ( 29900 * ) + NEW met1 260 ( 22610 5440 ) ( 29900 * ) + NEW met1 260 ( 22480 5440 ) via_260_260 + NEW met1 60 ( 22320 5310 ) ( * 5570 ) + NEW met1 260 ( 22160 5440 ) via_260_260 + NEW met1 60 ( 22000 5310 ) ( * 5570 ) + NEW met1 260 ( 21840 5440 ) via_260_260 + NEW met1 60 ( 21680 5310 ) ( * 5570 ) + NEW met1 260 ( 21520 5440 ) via_260_260 + NEW met1 260 ( 15610 5440 ) ( 21390 * ) + NEW met1 260 ( 15480 5440 ) via_260_260 + NEW met1 60 ( 15320 5310 ) ( * 5570 ) + NEW met1 260 ( 15160 5440 ) via_260_260 + NEW met1 60 ( 15000 5310 ) ( * 5570 ) + NEW met1 260 ( 14840 5440 ) via_260_260 + NEW met1 60 ( 14680 5310 ) ( * 5570 ) + NEW met1 260 ( 14520 5440 ) via_260_260 + NEW met1 260 ( 8610 5440 ) ( 14390 * ) + NEW met1 260 ( 8480 5440 ) via_260_260 + NEW met1 60 ( 8320 5310 ) ( * 5570 ) + NEW met1 260 ( 8160 5440 ) via_260_260 + NEW met1 60 ( 8000 5310 ) ( * 5570 ) + NEW met1 260 ( 7840 5440 ) via_260_260 + NEW met1 60 ( 7680 5310 ) ( * 5570 ) + NEW met1 260 ( 7520 5440 ) via_260_260 + NEW met1 260 ( 1610 5440 ) ( 7390 * ) + NEW met1 260 ( 1480 5440 ) via_260_260 + NEW met1 60 ( 1320 5310 ) ( * 5570 ) + NEW met1 260 ( 1160 5440 ) via_260_260 + NEW met1 60 ( 1000 5310 ) ( * 5570 ) + NEW met1 260 ( 840 5440 ) via_260_260 + NEW met1 60 ( 680 5310 ) ( * 5570 ) + NEW met1 260 ( 520 5440 ) via_260_260 + NEW met2 10 ( 390 5575 ) ( 460 * ) + NEW met2 10 ( 390 5305 ) ( 460 * ) + NEW met2 100 ( 390 5250 ) ( 1610 * ) + NEW met2 10 ( 1540 5305 ) ( 1610 * ) + NEW met2 10 ( 1140 5305 ) ( 1260 * ) + NEW met2 10 ( 740 5305 ) ( 860 * ) + NEW met2 280 ( 600 5440 ) via2_280_280 + NEW met3 280 ( 600 5440 ) via2_280_280 + NEW met2 10 ( 740 5575 ) ( 860 * ) + NEW met2 280 ( 1000 5440 ) via2_280_280 + NEW met3 280 ( 1000 5440 ) via2_280_280 + NEW met2 280 ( 1400 5440 ) via2_280_280 + NEW met3 280 ( 1400 5440 ) via2_280_280 + NEW met2 10 ( 1540 5575 ) ( 1610 * ) + NEW met2 10 ( 1140 5575 ) ( 1260 * ) + NEW met2 100 ( 390 5630 ) ( 1610 * ) + NEW met3 80 ( 800 5280 ) ( * 5600 ) + NEW met3 140 ( 370 5280 ) ( * 5600 ) + NEW met3 5 ( 300 5277.5 ) ( 1700 * ) + NEW met3 140 ( 1630 5280 ) ( * 5600 ) + NEW met3 80 ( 1200 5280 ) ( * 5600 ) + NEW met3 320 ( 1000 5440 ) via3_320_320 + NEW met4 80 ( 800 5280 ) ( * 5600 ) + NEW met3 5 ( 300 5602.5 ) ( 1700 * ) + NEW met3 320 ( 600 5440 ) via3_320_320 + NEW met4 320 ( 1400 5440 ) via3_320_320 + NEW met4 80 ( 1200 5280 ) ( * 5600 ) + NEW met4 140 ( 1630 5280 ) ( * 5600 ) + NEW met2 10 ( 14390 5575 ) ( 14460 * ) + NEW met2 10 ( 14390 5305 ) ( 14460 * ) + NEW met2 100 ( 14390 5250 ) ( 15610 * ) + NEW met2 10 ( 15540 5305 ) ( 15610 * ) + NEW met2 10 ( 15140 5305 ) ( 15260 * ) + NEW met2 10 ( 14740 5305 ) ( 14860 * ) + NEW met2 280 ( 14600 5440 ) via2_280_280 + NEW met3 280 ( 14600 5440 ) via2_280_280 + NEW met2 10 ( 14740 5575 ) ( 14860 * ) + NEW met2 280 ( 15000 5440 ) via2_280_280 + NEW met3 280 ( 15000 5440 ) via2_280_280 + NEW met2 280 ( 15400 5440 ) via2_280_280 + NEW met3 280 ( 15400 5440 ) via2_280_280 + NEW met2 10 ( 15540 5575 ) ( 15610 * ) + NEW met2 10 ( 15140 5575 ) ( 15260 * ) + NEW met2 100 ( 14390 5630 ) ( 15610 * ) + NEW met3 80 ( 14800 5280 ) ( * 5600 ) + NEW met3 140 ( 14370 5280 ) ( * 5600 ) + NEW met3 5 ( 14300 5277.5 ) ( 15700 * ) + NEW met3 140 ( 15630 5280 ) ( * 5600 ) + NEW met3 80 ( 15200 5280 ) ( * 5600 ) + NEW met3 320 ( 15000 5440 ) via3_320_320 + NEW met4 80 ( 14800 5280 ) ( * 5600 ) + NEW met4 140 ( 14370 5280 ) ( * 5600 ) + NEW met4 210 ( 14300 5175 ) ( 15700 * ) + NEW met4 110 ( 14355 3890 ) ( * 5070 ) + NEW met4 1400 ( 15000 2480 ) ( * 3890 ) + NEW met4 110 ( 15645 3890 ) ( * 5070 ) + NEW met4 1180 ( 15000 4480 ) via4_1180_1180 + NEW met4 140 ( 15630 5280 ) ( * 5600 ) + NEW met4 80 ( 15200 5280 ) ( * 5600 ) + NEW met4 1400 ( 15000 5600 ) ( * 10720 ) + NEW met4 140 ( 15630 10720 ) ( * 11040 ) + NEW met4 80 ( 15200 10720 ) ( * 11040 ) + NEW met4 80 ( 14800 10720 ) ( * 11040 ) + NEW met4 140 ( 14370 10720 ) ( * 11040 ) + NEW met4 80 ( 14300 11080 ) ( 15700 * ) + NEW met3 5 ( 14300 11042.5 ) ( 15700 * ) + NEW met3 140 ( 14370 10720 ) ( * 11040 ) + NEW met3 5 ( 14300 10717.5 ) ( 15700 * ) + NEW met3 140 ( 15630 10720 ) ( * 11040 ) + NEW met3 80 ( 15200 10720 ) ( * 11040 ) + NEW met3 80 ( 14800 10720 ) ( * 11040 ) + NEW met3 320 ( 14600 10880 ) via3_320_320 + NEW met2 100 ( 14390 11070 ) ( 15610 * ) + NEW met2 10 ( 14390 11015 ) ( 14460 * ) + NEW met2 10 ( 14390 10745 ) ( 14460 * ) + NEW met2 100 ( 14390 10690 ) ( 15610 * ) + NEW met2 10 ( 15540 10745 ) ( 15610 * ) + NEW met2 10 ( 15140 10745 ) ( 15260 * ) + NEW met2 10 ( 14740 10745 ) ( 14860 * ) + NEW met2 280 ( 14600 10880 ) via2_280_280 + NEW met3 280 ( 14600 10880 ) via2_280_280 + NEW met2 10 ( 14740 11015 ) ( 14860 * ) + NEW met2 280 ( 15000 10880 ) via2_280_280 + NEW met3 280 ( 15000 10880 ) via2_280_280 + NEW met2 280 ( 15400 10880 ) via2_280_280 + NEW met3 280 ( 15400 10880 ) via2_280_280 + NEW met2 10 ( 15540 11015 ) ( 15610 * ) + NEW met2 10 ( 15140 11015 ) ( 15260 * ) + NEW met4 320 ( 15000 10880 ) via3_320_320 + NEW met4 320 ( 15400 10880 ) via3_320_320 + NEW met3 5 ( 14300 5602.5 ) ( 15700 * ) + NEW met3 320 ( 14600 5440 ) via3_320_320 + NEW met4 320 ( 15400 5440 ) via3_320_320 + NEW met2 10 ( 21390 5575 ) ( 21460 * ) + NEW met2 10 ( 21390 5305 ) ( 21460 * ) + NEW met2 100 ( 21390 5250 ) ( 22610 * ) + NEW met2 10 ( 22540 5305 ) ( 22610 * ) + NEW met2 10 ( 22140 5305 ) ( 22260 * ) + NEW met2 10 ( 21740 5305 ) ( 21860 * ) + NEW met2 280 ( 21600 5440 ) via2_280_280 + NEW met3 280 ( 21600 5440 ) via2_280_280 + NEW met2 10 ( 21740 5575 ) ( 21860 * ) + NEW met2 280 ( 22000 5440 ) via2_280_280 + NEW met3 280 ( 22000 5440 ) via2_280_280 + NEW met2 280 ( 22400 5440 ) via2_280_280 + NEW met3 280 ( 22400 5440 ) via2_280_280 + NEW met2 10 ( 22540 5575 ) ( 22610 * ) + NEW met2 10 ( 22140 5575 ) ( 22260 * ) + NEW met2 100 ( 21390 5630 ) ( 22610 * ) + NEW met3 80 ( 21800 5280 ) ( * 5600 ) + NEW met3 140 ( 21370 5280 ) ( * 5600 ) + NEW met3 5 ( 21300 5277.5 ) ( 22700 * ) + NEW met3 140 ( 22630 5280 ) ( * 5600 ) + NEW met3 80 ( 22200 5280 ) ( * 5600 ) + NEW met3 320 ( 22000 5440 ) via3_320_320 + NEW met4 320 ( 22400 5440 ) via3_320_320 + NEW met3 5 ( 21300 5602.5 ) ( 22700 * ) + NEW met3 320 ( 21600 5440 ) via3_320_320 + NEW met4 140 ( 1630 10720 ) ( * 11040 ) + NEW met4 80 ( 1200 10720 ) ( * 11040 ) + NEW met4 80 ( 300 11080 ) ( 1700 * ) + NEW met3 5 ( 300 11042.5 ) ( 1700 * ) + NEW met3 320 ( 600 10880 ) via3_320_320 + NEW met4 320 ( 1400 10880 ) via3_320_320 ; +END SPECIALNETS + +NETS 13 ; + - VGND + + ROUTED met4 ( 24950 2520 ) ( 26050 * ) + NEW met4 ( 26210 2720 ) ( 26050 * ) + NEW met4 ( 25810 2720 ) ( 25590 * ) + NEW met4 ( 25410 2720 ) ( 25190 * ) + NEW met4 ( 24950 2720 ) ( 24790 * ) + NEW met4 ( 24950 5135 ) ( 26050 * ) + NEW met4 ( 26240 7980 ) ( 26050 * ) + NEW met4 ( 24950 7980 ) ( 25500 * ) via4_1180_1180 + via4_1180_1180 via4_1180_1180 + NEW met5 ( 19890 7980 ) ( 24110 * ) + NEW met5 ( 12890 7980 ) ( 17110 * ) + NEW met5 ( 5890 7980 ) ( 10110 * ) + NEW met5 ( 800 7980 ) ( 3110 * ) + NEW met5 ( 800 7285 ) ( 29100 * ) + NEW met5 ( 26890 7980 ) ( 29100 * ) + NEW met5 ( 800 8675 ) ( 29100 * ) + NEW met4 ( 3950 9845 ) ( 5050 * ) + NEW met4 ( 3950 7980 ) ( 3760 * ) + NEW met4 ( 3950 5135 ) ( 5050 * ) + NEW met4 ( 3950 2720 ) ( 3790 * ) + NEW met4 ( 3950 2520 ) ( 5050 * ) + NEW met4 ( 5210 2720 ) ( 5050 * ) + NEW met4 ( 4810 2720 ) ( 4590 * ) + NEW met4 ( 4410 2720 ) ( 4190 * ) + NEW met3 ( 3950 2882.5 ) ( 5050 * ) + NEW met3 ( 3950 2720 ) ( 3790 * ) + NEW met3 ( 3950 2557.5 ) ( 5050 * ) + NEW met3 ( 5210 2720 ) ( 5050 * ) + NEW met3 ( 4810 2720 ) ( 4590 * ) + NEW met3 ( 4410 2720 ) ( 4190 * ) + NEW met3 ( 4100 2720 ) via3_320_320 + NEW met2 ( 3960 2910 ) ( 5040 * ) + NEW met2 ( 3960 2855 ) ( 3890 * ) + NEW met2 ( 3960 2585 ) ( 3890 * ) + NEW met2 ( 3960 2530 ) ( 5040 * ) + NEW met2 ( 5110 2585 ) ( 5040 * ) + NEW met2 ( 4710 2585 ) ( 4690 * ) + NEW met2 ( 4310 2585 ) ( 4290 * ) + NEW met2 ( 4100 2720 ) via2_280_280 via2_280_280 + NEW met2 ( 4310 2855 ) ( 4500 * ) ( * 2720 ) via2_280_280 + via2_280_280 + NEW met2 ( 4900 2720 ) via2_280_280 via2_280_280 ( * 2855 ) + ( 5040 * ) + NEW met2 ( 4710 2855 ) ( 4690 * ) + NEW met4 ( 4500 2720 ) via3_320_320 + NEW met4 ( 4900 2720 ) via3_320_320 + NEW met1 ( 70 2905 ) ( 29830 * ) + NEW met1 ( 70 2720 ) ( 3820 * ) + NEW met1 ( 70 2535 ) ( 29830 * ) + NEW met1 ( 26180 2720 ) ( 29830 * ) + NEW met1 ( 25980 2720 ) via_260_260 + NEW met1 ( 25860 2720 ) ( 25780 * ) + NEW met1 ( 25660 2720 ) via_260_260 + NEW met1 ( 25540 2720 ) ( 25460 * ) + NEW met1 ( 25340 2720 ) via_260_260 + NEW met1 ( 25220 2720 ) ( 25140 * ) + NEW met1 ( 25020 2720 ) via_260_260 + NEW met1 ( 19180 2720 ) ( 24820 * ) + NEW met1 ( 18980 2720 ) via_260_260 + NEW met1 ( 18860 2720 ) ( 18780 * ) + NEW met1 ( 18660 2720 ) via_260_260 + NEW met1 ( 18540 2720 ) ( 18460 * ) + NEW met1 ( 18340 2720 ) via_260_260 + NEW met1 ( 18220 2720 ) ( 18140 * ) + NEW met1 ( 18020 2720 ) via_260_260 + NEW met1 ( 12180 2720 ) ( 17820 * ) + NEW met1 ( 11980 2720 ) via_260_260 + NEW met1 ( 11860 2720 ) ( 11780 * ) + NEW met1 ( 11660 2720 ) via_260_260 + NEW met1 ( 11540 2720 ) ( 11460 * ) + NEW met1 ( 11340 2720 ) via_260_260 + NEW met1 ( 11220 2720 ) ( 11140 * ) + NEW met1 ( 11020 2720 ) via_260_260 + NEW met1 ( 5180 2720 ) ( 10820 * ) + NEW met1 ( 4980 2720 ) via_260_260 + NEW met1 ( 4860 2720 ) ( 4780 * ) + NEW met1 ( 4660 2720 ) via_260_260 + NEW met1 ( 4540 2720 ) ( 4460 * ) + NEW met1 ( 4340 2720 ) via_260_260 + NEW met1 ( 4220 2720 ) ( 4140 * ) + NEW met1 ( 4020 2720 ) via_260_260 + NEW met2 ( 10960 2855 ) ( 10890 * ) + NEW met2 ( 10960 2585 ) ( 10890 * ) + NEW met2 ( 10960 2530 ) ( 12040 * ) + NEW met2 ( 12110 2585 ) ( 12040 * ) + NEW met2 ( 11710 2585 ) ( 11690 * ) + NEW met2 ( 11310 2585 ) ( 11290 * ) + NEW met2 ( 11100 2720 ) via2_280_280 via2_280_280 + NEW met2 ( 11310 2855 ) ( 11500 * ) ( * 2720 ) via2_280_280 + via2_280_280 + NEW met2 ( 11900 2720 ) via2_280_280 via2_280_280 ( * 2855 ) + ( 12040 * ) + NEW met2 ( 11710 2855 ) ( 11690 * ) + NEW met2 ( 10960 2910 ) ( 12040 * ) + NEW met3 ( 11410 2720 ) ( 11190 * ) + NEW met3 ( 10950 2720 ) ( 10790 * ) + NEW met3 ( 10950 2557.5 ) ( 12050 * ) + NEW met3 ( 12210 2720 ) ( 12050 * ) + NEW met3 ( 11810 2720 ) ( 11590 * ) + NEW met3 ( 11500 2720 ) via3_320_320 + NEW met4 ( 11410 2720 ) ( 11190 * ) + NEW met4 ( 10950 2720 ) ( 10790 * ) + NEW met4 ( 10950 2520 ) ( 12050 * ) + NEW met4 ( 12210 2720 ) ( 12050 * ) + NEW met4 ( 11810 2720 ) ( 11590 * ) + NEW met4 ( 10950 5135 ) ( 12050 * ) + NEW met4 ( 12240 7980 ) ( 12050 * ) + NEW met4 ( 10950 7980 ) ( 11500 * ) via4_1180_1180 + via4_1180_1180 via4_1180_1180 + NEW met3 ( 10950 8322.5 ) ( 12050 * ) + NEW met3 ( 10950 8160 ) ( 10790 * ) + NEW met3 ( 10950 7997.5 ) ( 12050 * ) + NEW met3 ( 12210 8160 ) ( 12050 * ) + NEW met3 ( 11810 8160 ) ( 11590 * ) + NEW met3 ( 11410 8160 ) ( 11190 * ) + NEW met3 ( 11100 8160 ) via3_320_320 + NEW met2 ( 10960 8350 ) ( 12040 * ) + NEW met2 ( 10960 8295 ) ( 10890 * ) + NEW met2 ( 10960 8025 ) ( 10890 * ) + NEW met2 ( 10960 7970 ) ( 12040 * ) + NEW met2 ( 12110 8025 ) ( 12040 * ) + NEW met2 ( 11710 8025 ) ( 11690 * ) + NEW met2 ( 11310 8025 ) ( 11290 * ) + NEW met2 ( 11100 8160 ) via2_280_280 via2_280_280 + NEW met2 ( 11310 8295 ) ( 11500 * ) ( * 8160 ) via2_280_280 + via2_280_280 + NEW met2 ( 11900 8160 ) via2_280_280 via2_280_280 ( * 8295 ) + ( 12040 * ) + NEW met2 ( 11710 8295 ) ( 11690 * ) + NEW met4 ( 11500 8160 ) via3_320_320 + NEW met4 ( 11900 8160 ) via3_320_320 + NEW met1 ( 70 8345 ) ( 29830 * ) + NEW met1 ( 70 8160 ) ( 3820 * ) + NEW met1 ( 70 7975 ) ( 29830 * ) + NEW met1 ( 26180 8160 ) ( 29830 * ) + NEW met1 ( 25980 8160 ) via_260_260 + NEW met1 ( 25860 8160 ) ( 25780 * ) + NEW met1 ( 25660 8160 ) via_260_260 + NEW met1 ( 25540 8160 ) ( 25460 * ) + NEW met1 ( 25340 8160 ) via_260_260 + NEW met1 ( 25220 8160 ) ( 25140 * ) + NEW met1 ( 25020 8160 ) via_260_260 + NEW met1 ( 19180 8160 ) ( 24820 * ) + NEW met1 ( 18980 8160 ) via_260_260 + NEW met1 ( 18860 8160 ) ( 18780 * ) + NEW met1 ( 18660 8160 ) via_260_260 + NEW met1 ( 18540 8160 ) ( 18460 * ) + NEW met1 ( 18340 8160 ) via_260_260 + NEW met1 ( 18220 8160 ) ( 18140 * ) + NEW met1 ( 18020 8160 ) via_260_260 + NEW met1 ( 12180 8160 ) ( 17820 * ) + NEW met1 ( 11980 8160 ) via_260_260 + NEW met1 ( 11860 8160 ) ( 11780 * ) + NEW met1 ( 11660 8160 ) via_260_260 + NEW met1 ( 11540 8160 ) ( 11460 * ) + NEW met1 ( 11340 8160 ) via_260_260 + NEW met1 ( 11220 8160 ) ( 11140 * ) + NEW met1 ( 11020 8160 ) via_260_260 + NEW met1 ( 5180 8160 ) ( 10820 * ) + NEW met1 ( 4980 8160 ) via_260_260 + NEW met1 ( 4860 8160 ) ( 4780 * ) + NEW met1 ( 4660 8160 ) via_260_260 + NEW met1 ( 4540 8160 ) ( 4460 * ) + NEW met1 ( 4340 8160 ) via_260_260 + NEW met1 ( 4220 8160 ) ( 4140 * ) + NEW met1 ( 4020 8160 ) via_260_260 ( * 8295 ) ( 3890 * ) + NEW met2 ( 3960 8025 ) ( 3890 * ) + NEW met2 ( 3960 7970 ) ( 5040 * ) + NEW met2 ( 5110 8025 ) ( 5040 * ) + NEW met2 ( 4710 8025 ) ( 4690 * ) + NEW met2 ( 4310 8025 ) ( 4290 * ) + NEW met2 ( 4100 8160 ) via2_280_280 via2_280_280 + NEW met2 ( 4310 8295 ) ( 4500 * ) ( * 8160 ) via2_280_280 + via2_280_280 + NEW met2 ( 4900 8160 ) via2_280_280 via2_280_280 ( * 8295 ) + ( 5040 * ) + NEW met2 ( 4710 8295 ) ( 4690 * ) + NEW met2 ( 3960 8350 ) ( 5040 * ) + NEW met3 ( 4410 8160 ) ( 4190 * ) + NEW met3 ( 3950 8160 ) ( 3790 * ) + NEW met3 ( 3950 7997.5 ) ( 5050 * ) + NEW met3 ( 5210 8160 ) ( 5050 * ) + NEW met3 ( 4810 8160 ) ( 4590 * ) + NEW met3 ( 4500 8160 ) via3_320_320 via4_1180_1180 + NEW met4 ( 5240 7980 ) ( 5050 * ) + NEW met4 ( 4500 7980 ) via4_1180_1180 via4_1180_1180 + NEW met3 ( 3950 8322.5 ) ( 5050 * ) + NEW met3 ( 4100 8160 ) via3_320_320 + NEW met4 ( 4900 8160 ) via3_320_320 + NEW met2 ( 17960 8295 ) ( 17890 * ) + NEW met2 ( 17960 8025 ) ( 17890 * ) + NEW met2 ( 17960 7970 ) ( 19040 * ) + NEW met2 ( 19110 8025 ) ( 19040 * ) + NEW met2 ( 18710 8025 ) ( 18690 * ) + NEW met2 ( 18310 8025 ) ( 18290 * ) + NEW met2 ( 18100 8160 ) via2_280_280 via2_280_280 + NEW met2 ( 18310 8295 ) ( 18500 * ) ( * 8160 ) via2_280_280 + via2_280_280 + NEW met2 ( 18900 8160 ) via2_280_280 via2_280_280 ( * 8295 ) + ( 19040 * ) + NEW met2 ( 18710 8295 ) ( 18690 * ) + NEW met2 ( 17960 8350 ) ( 19040 * ) + NEW met3 ( 18410 8160 ) ( 18190 * ) + NEW met3 ( 17950 8160 ) ( 17790 * ) + NEW met3 ( 17950 7997.5 ) ( 19050 * ) + NEW met3 ( 19210 8160 ) ( 19050 * ) + NEW met3 ( 18810 8160 ) ( 18590 * ) + NEW met3 ( 18500 8160 ) via3_320_320 via4_1180_1180 + NEW met4 ( 17950 7980 ) ( 17760 * ) + NEW met4 ( 17950 5135 ) ( 19050 * ) + NEW met4 ( 17950 2720 ) ( 17790 * ) + NEW met4 ( 17950 2520 ) ( 19050 * ) + NEW met4 ( 19210 2720 ) ( 19050 * ) + NEW met4 ( 18810 2720 ) ( 18590 * ) + NEW met4 ( 18410 2720 ) ( 18190 * ) + NEW met3 ( 17950 2882.5 ) ( 19050 * ) + NEW met3 ( 17950 2720 ) ( 17790 * ) + NEW met3 ( 17950 2557.5 ) ( 19050 * ) + NEW met3 ( 19210 2720 ) ( 19050 * ) + NEW met3 ( 18810 2720 ) ( 18590 * ) + NEW met3 ( 18410 2720 ) ( 18190 * ) + NEW met3 ( 18100 2720 ) via3_320_320 + NEW met2 ( 17960 2910 ) ( 19040 * ) + NEW met2 ( 17960 2855 ) ( 17890 * ) + NEW met2 ( 17960 2585 ) ( 17890 * ) + NEW met2 ( 17960 2530 ) ( 19040 * ) + NEW met2 ( 19110 2585 ) ( 19040 * ) + NEW met2 ( 18710 2585 ) ( 18690 * ) + NEW met2 ( 18310 2585 ) ( 18290 * ) + NEW met2 ( 18100 2720 ) via2_280_280 via2_280_280 + NEW met2 ( 18310 2855 ) ( 18500 * ) ( * 2720 ) via2_280_280 + via2_280_280 + NEW met2 ( 18900 2720 ) via2_280_280 via2_280_280 ( * 2855 ) + ( 19040 * ) + NEW met2 ( 18710 2855 ) ( 18690 * ) + NEW met4 ( 18500 2720 ) via3_320_320 + NEW met4 ( 18900 2720 ) via3_320_320 + NEW met4 ( 19240 7980 ) ( 19050 * ) + NEW met4 ( 18500 7980 ) via4_1180_1180 via4_1180_1180 + NEW met4 ( 17950 9845 ) ( 19050 * ) + NEW met3 ( 17950 8322.5 ) ( 19050 * ) + NEW met3 ( 18100 8160 ) via3_320_320 + NEW met4 ( 18900 8160 ) via3_320_320 + NEW met2 ( 24960 8295 ) ( 24890 * ) + NEW met2 ( 24960 8025 ) ( 24890 * ) + NEW met2 ( 24960 7970 ) ( 26040 * ) + NEW met2 ( 26110 8025 ) ( 26040 * ) + NEW met2 ( 25710 8025 ) ( 25690 * ) + NEW met2 ( 25310 8025 ) ( 25290 * ) + NEW met2 ( 25100 8160 ) via2_280_280 via2_280_280 + NEW met2 ( 25310 8295 ) ( 25500 * ) ( * 8160 ) via2_280_280 + via2_280_280 + NEW met2 ( 25900 8160 ) via2_280_280 via2_280_280 ( * 8295 ) + ( 26040 * ) + NEW met2 ( 25710 8295 ) ( 25690 * ) + NEW met2 ( 24960 8350 ) ( 26040 * ) + NEW met3 ( 25410 8160 ) ( 25190 * ) + NEW met3 ( 24950 8160 ) ( 24790 * ) + NEW met3 ( 24950 7997.5 ) ( 26050 * ) + NEW met3 ( 26210 8160 ) ( 26050 * ) + NEW met3 ( 25810 8160 ) ( 25590 * ) + NEW met3 ( 25500 8160 ) via3_320_320 + NEW met4 ( 25900 8160 ) via3_320_320 + NEW met3 ( 24950 8322.5 ) ( 26050 * ) + NEW met3 ( 25100 8160 ) via3_320_320 + NEW met4 ( 10950 9845 ) ( 12050 * ) + NEW met3 ( 10950 2882.5 ) ( 12050 * ) + NEW met3 ( 11100 2720 ) via3_320_320 + NEW met4 ( 11900 2720 ) via3_320_320 + NEW met2 ( 24960 2855 ) ( 24890 * ) + NEW met2 ( 24960 2585 ) ( 24890 * ) + NEW met2 ( 24960 2530 ) ( 26040 * ) + NEW met2 ( 26110 2585 ) ( 26040 * ) + NEW met2 ( 25710 2585 ) ( 25690 * ) + NEW met2 ( 25310 2585 ) ( 25290 * ) + NEW met2 ( 25100 2720 ) via2_280_280 via2_280_280 + NEW met2 ( 25310 2855 ) ( 25500 * ) ( * 2720 ) via2_280_280 + via2_280_280 + NEW met2 ( 25900 2720 ) via2_280_280 via2_280_280 ( * 2855 ) + ( 26040 * ) + NEW met2 ( 25710 2855 ) ( 25690 * ) + NEW met2 ( 24960 2910 ) ( 26040 * ) + NEW met3 ( 25410 2720 ) ( 25190 * ) + NEW met3 ( 24950 2720 ) ( 24790 * ) + NEW met3 ( 24950 2557.5 ) ( 26050 * ) + NEW met3 ( 26210 2720 ) ( 26050 * ) + NEW met3 ( 25810 2720 ) ( 25590 * ) + NEW met3 ( 25500 2720 ) via3_320_320 + NEW met4 ( 25900 2720 ) via3_320_320 + NEW met3 ( 24950 2882.5 ) ( 26050 * ) + NEW met3 ( 25100 2720 ) via3_320_320 + NEW met4 ( 24950 9845 ) ( 26050 * ) ; + - VPWR + + ROUTED met4 ( 21450 3185 ) ( 22550 * ) + NEW met4 ( 22740 4480 ) ( 22550 * ) + NEW met4 ( 22000 4480 ) via4_1180_1180 + NEW met4 ( 21450 4480 ) ( 21260 * ) + NEW met4 ( 21450 5175 ) ( 22550 * ) + NEW met4 ( 22710 5440 ) ( 22550 * ) + NEW met4 ( 22310 5440 ) ( 22090 * ) + NEW met4 ( 21910 5440 ) ( 21690 * ) + NEW met4 ( 21450 5440 ) ( 21290 * ) + NEW met4 ( 21450 8160 ) ( 22550 * ) + NEW met4 ( 22710 10880 ) ( 22550 * ) + NEW met4 ( 22310 10880 ) ( 22090 * ) + NEW met4 ( 21910 10880 ) ( 21690 * ) + NEW met4 ( 21450 10880 ) ( 21290 * ) + NEW met4 ( 21450 11080 ) ( 22550 * ) + NEW met3 ( 21450 11042.5 ) ( 22550 * ) + NEW met3 ( 21450 10880 ) ( 21290 * ) + NEW met3 ( 21450 10717.5 ) ( 22550 * ) + NEW met3 ( 22710 10880 ) ( 22550 * ) + NEW met3 ( 22310 10880 ) ( 22090 * ) + NEW met3 ( 21910 10880 ) ( 21690 * ) + NEW met3 ( 21600 10880 ) via3_320_320 + NEW met2 ( 21460 11070 ) ( 22540 * ) + NEW met2 ( 21460 11015 ) ( 21390 * ) + NEW met2 ( 21460 10745 ) ( 21390 * ) + NEW met2 ( 21460 10690 ) ( 22540 * ) + NEW met2 ( 22610 10745 ) ( 22540 * ) + NEW met2 ( 22210 10745 ) ( 22190 * ) + NEW met2 ( 21810 10745 ) ( 21790 * ) + NEW met2 ( 21600 10880 ) via2_280_280 via2_280_280 + NEW met2 ( 21810 11015 ) ( 22000 * ) ( * 10880 ) via2_280_280 + via2_280_280 + NEW met2 ( 22400 10880 ) via2_280_280 via2_280_280 + ( * 11015 ) ( 22540 * ) + NEW met2 ( 22210 11015 ) ( 22190 * ) + NEW met4 ( 22000 10880 ) via3_320_320 + NEW met4 ( 22400 10880 ) via3_320_320 + NEW met1 ( 70 11065 ) ( 29830 * ) + NEW met1 ( 70 10880 ) ( 320 * ) + NEW met1 ( 70 10695 ) ( 29830 * ) + NEW met1 ( 22680 10880 ) ( 29830 * ) + NEW met1 ( 22480 10880 ) via_260_260 + NEW met1 ( 22360 10880 ) ( 22280 * ) + NEW met1 ( 22160 10880 ) via_260_260 + NEW met1 ( 22040 10880 ) ( 21960 * ) + NEW met1 ( 21840 10880 ) via_260_260 + NEW met1 ( 21720 10880 ) ( 21640 * ) + NEW met1 ( 21520 10880 ) via_260_260 + NEW met1 ( 15680 10880 ) ( 21320 * ) + NEW met1 ( 15480 10880 ) via_260_260 + NEW met1 ( 15360 10880 ) ( 15280 * ) + NEW met1 ( 15160 10880 ) via_260_260 + NEW met1 ( 15040 10880 ) ( 14960 * ) + NEW met1 ( 14840 10880 ) via_260_260 + NEW met1 ( 14720 10880 ) ( 14640 * ) + NEW met1 ( 14520 10880 ) via_260_260 + NEW met1 ( 8680 10880 ) ( 14320 * ) + NEW met1 ( 8480 10880 ) via_260_260 + NEW met1 ( 8360 10880 ) ( 8280 * ) + NEW met1 ( 8160 10880 ) via_260_260 + NEW met1 ( 8040 10880 ) ( 7960 * ) + NEW met1 ( 7840 10880 ) via_260_260 + NEW met1 ( 7720 10880 ) ( 7640 * ) + NEW met1 ( 7520 10880 ) via_260_260 + NEW met1 ( 1680 10880 ) ( 7320 * ) + NEW met1 ( 1480 10880 ) via_260_260 + NEW met1 ( 1360 10880 ) ( 1280 * ) + NEW met1 ( 1160 10880 ) via_260_260 + NEW met1 ( 1040 10880 ) ( 960 * ) + NEW met1 ( 840 10880 ) via_260_260 + NEW met1 ( 720 10880 ) ( 640 * ) + NEW met1 ( 520 10880 ) via_260_260 ( * 11015 ) ( 390 * ) + NEW met2 ( 460 10745 ) ( 390 * ) + NEW met2 ( 460 10690 ) ( 1540 * ) + NEW met2 ( 1610 10745 ) ( 1540 * ) + NEW met2 ( 1210 10745 ) ( 1190 * ) + NEW met2 ( 810 10745 ) ( 790 * ) + NEW met2 ( 600 10880 ) via2_280_280 via2_280_280 + NEW met2 ( 810 11015 ) ( 1000 * ) ( * 10880 ) via2_280_280 + via2_280_280 + NEW met2 ( 1400 10880 ) via2_280_280 via2_280_280 ( * 11015 ) + ( 1540 * ) + NEW met2 ( 1210 11015 ) ( 1190 * ) + NEW met2 ( 460 11070 ) ( 1540 * ) + NEW met3 ( 910 10880 ) ( 690 * ) + NEW met3 ( 450 10880 ) ( 290 * ) + NEW met3 ( 450 10717.5 ) ( 1550 * ) + NEW met3 ( 1710 10880 ) ( 1550 * ) + NEW met3 ( 1310 10880 ) ( 1090 * ) + NEW met3 ( 1000 10880 ) via3_320_320 + NEW met4 ( 910 10880 ) ( 690 * ) + NEW met4 ( 450 10880 ) ( 290 * ) + NEW met4 ( 450 8160 ) ( 1550 * ) + NEW met4 ( 450 5440 ) ( 290 * ) + NEW met4 ( 450 5175 ) ( 1550 * ) + NEW met4 ( 450 4480 ) ( 260 * ) + NEW met4 ( 450 3185 ) ( 1550 * ) + NEW met4 ( 1740 4480 ) ( 1550 * ) + NEW met4 ( 1000 4480 ) via4_1180_1180 + NEW met5 ( 800 5175 ) ( 29100 * ) + NEW met5 ( 800 4480 ) ( -390 * ) + NEW met5 ( 800 3785 ) ( 29100 * ) + NEW met5 ( 23390 4480 ) ( 29100 * ) + NEW met5 ( 16390 4480 ) ( 20610 * ) + NEW met5 ( 9390 4480 ) ( 13610 * ) + NEW met5 ( 2390 4480 ) ( 6610 * ) + NEW met4 ( 7450 5175 ) ( 8550 * ) + NEW met4 ( 7450 4480 ) ( 7260 * ) + NEW met4 ( 7450 3185 ) ( 8550 * ) + NEW met4 ( 8740 4480 ) ( 8550 * ) + NEW met4 ( 8000 4480 ) via4_1180_1180 + NEW met4 ( 8710 5440 ) ( 8550 * ) + NEW met4 ( 8310 5440 ) ( 8090 * ) + NEW met4 ( 7910 5440 ) ( 7690 * ) + NEW met4 ( 7450 5440 ) ( 7290 * ) + NEW met4 ( 7450 8160 ) ( 8550 * ) + NEW met4 ( 8710 10880 ) ( 8550 * ) + NEW met4 ( 8310 10880 ) ( 8090 * ) + NEW met4 ( 7910 10880 ) ( 7690 * ) + NEW met4 ( 7450 10880 ) ( 7290 * ) + NEW met4 ( 7450 11080 ) ( 8550 * ) + NEW met3 ( 7450 11042.5 ) ( 8550 * ) + NEW met3 ( 7450 10880 ) ( 7290 * ) + NEW met3 ( 7450 10717.5 ) ( 8550 * ) + NEW met3 ( 8710 10880 ) ( 8550 * ) + NEW met3 ( 8310 10880 ) ( 8090 * ) + NEW met3 ( 7910 10880 ) ( 7690 * ) + NEW met3 ( 7600 10880 ) via3_320_320 + NEW met2 ( 7460 11070 ) ( 8540 * ) + NEW met2 ( 7460 11015 ) ( 7390 * ) + NEW met2 ( 7460 10745 ) ( 7390 * ) + NEW met2 ( 7460 10690 ) ( 8540 * ) + NEW met2 ( 8610 10745 ) ( 8540 * ) + NEW met2 ( 8210 10745 ) ( 8190 * ) + NEW met2 ( 7810 10745 ) ( 7790 * ) + NEW met2 ( 7600 10880 ) via2_280_280 via2_280_280 + NEW met2 ( 7810 11015 ) ( 8000 * ) ( * 10880 ) via2_280_280 + via2_280_280 + NEW met2 ( 8400 10880 ) via2_280_280 via2_280_280 ( * 11015 ) + ( 8540 * ) + NEW met2 ( 8210 11015 ) ( 8190 * ) + NEW met4 ( 8000 10880 ) via3_320_320 + NEW met4 ( 8400 10880 ) via3_320_320 + NEW met3 ( 7450 5602.5 ) ( 8550 * ) + NEW met3 ( 7450 5440 ) ( 7290 * ) + NEW met3 ( 7450 5277.5 ) ( 8550 * ) + NEW met3 ( 8710 5440 ) ( 8550 * ) + NEW met3 ( 8310 5440 ) ( 8090 * ) + NEW met3 ( 7910 5440 ) ( 7690 * ) + NEW met3 ( 7600 5440 ) via3_320_320 + NEW met2 ( 7460 5630 ) ( 8540 * ) + NEW met2 ( 7460 5575 ) ( 7390 * ) + NEW met2 ( 7460 5305 ) ( 7390 * ) + NEW met2 ( 7460 5250 ) ( 8540 * ) + NEW met2 ( 8610 5305 ) ( 8540 * ) + NEW met2 ( 8210 5305 ) ( 8190 * ) + NEW met2 ( 7810 5305 ) ( 7790 * ) + NEW met2 ( 7600 5440 ) via2_280_280 via2_280_280 + NEW met2 ( 7810 5575 ) ( 8000 * ) ( * 5440 ) via2_280_280 + via2_280_280 + NEW met2 ( 8400 5440 ) via2_280_280 via2_280_280 ( * 5575 ) + ( 8540 * ) + NEW met2 ( 8210 5575 ) ( 8190 * ) + NEW met4 ( 8000 5440 ) via3_320_320 + NEW met4 ( 8400 5440 ) via3_320_320 + NEW met1 ( 70 5625 ) ( 29830 * ) + NEW met1 ( 70 5440 ) ( 320 * ) + NEW met1 ( 70 5255 ) ( 29830 * ) + NEW met1 ( 22680 5440 ) ( 29830 * ) + NEW met1 ( 22480 5440 ) via_260_260 + NEW met1 ( 22360 5440 ) ( 22280 * ) + NEW met1 ( 22160 5440 ) via_260_260 + NEW met1 ( 22040 5440 ) ( 21960 * ) + NEW met1 ( 21840 5440 ) via_260_260 + NEW met1 ( 21720 5440 ) ( 21640 * ) + NEW met1 ( 21520 5440 ) via_260_260 + NEW met1 ( 15680 5440 ) ( 21320 * ) + NEW met1 ( 15480 5440 ) via_260_260 + NEW met1 ( 15360 5440 ) ( 15280 * ) + NEW met1 ( 15160 5440 ) via_260_260 + NEW met1 ( 15040 5440 ) ( 14960 * ) + NEW met1 ( 14840 5440 ) via_260_260 + NEW met1 ( 14720 5440 ) ( 14640 * ) + NEW met1 ( 14520 5440 ) via_260_260 + NEW met1 ( 8680 5440 ) ( 14320 * ) + NEW met1 ( 8480 5440 ) via_260_260 + NEW met1 ( 8360 5440 ) ( 8280 * ) + NEW met1 ( 8160 5440 ) via_260_260 + NEW met1 ( 8040 5440 ) ( 7960 * ) + NEW met1 ( 7840 5440 ) via_260_260 + NEW met1 ( 7720 5440 ) ( 7640 * ) + NEW met1 ( 7520 5440 ) via_260_260 + NEW met1 ( 1680 5440 ) ( 7320 * ) + NEW met1 ( 1480 5440 ) via_260_260 + NEW met1 ( 1360 5440 ) ( 1280 * ) + NEW met1 ( 1160 5440 ) via_260_260 + NEW met1 ( 1040 5440 ) ( 960 * ) + NEW met1 ( 840 5440 ) via_260_260 + NEW met1 ( 720 5440 ) ( 640 * ) + NEW met1 ( 520 5440 ) via_260_260 ( * 5575 ) ( 390 * ) + NEW met2 ( 460 5305 ) ( 390 * ) + NEW met2 ( 460 5250 ) ( 1540 * ) + NEW met2 ( 1610 5305 ) ( 1540 * ) + NEW met2 ( 1210 5305 ) ( 1190 * ) + NEW met2 ( 810 5305 ) ( 790 * ) + NEW met2 ( 600 5440 ) via2_280_280 via2_280_280 + NEW met2 ( 810 5575 ) ( 1000 * ) ( * 5440 ) via2_280_280 + via2_280_280 + NEW met2 ( 1400 5440 ) via2_280_280 via2_280_280 ( * 5575 ) + ( 1540 * ) + NEW met2 ( 1210 5575 ) ( 1190 * ) + NEW met2 ( 460 5630 ) ( 1540 * ) + NEW met3 ( 910 5440 ) ( 690 * ) + NEW met3 ( 450 5440 ) ( 290 * ) + NEW met3 ( 450 5277.5 ) ( 1550 * ) + NEW met3 ( 1710 5440 ) ( 1550 * ) + NEW met3 ( 1310 5440 ) ( 1090 * ) + NEW met3 ( 1000 5440 ) via3_320_320 + NEW met4 ( 910 5440 ) ( 690 * ) + NEW met3 ( 450 5602.5 ) ( 1550 * ) + NEW met3 ( 600 5440 ) via3_320_320 + NEW met4 ( 1400 5440 ) via3_320_320 + NEW met4 ( 1310 5440 ) ( 1090 * ) + NEW met4 ( 1710 5440 ) ( 1550 * ) + NEW met2 ( 14460 5575 ) ( 14390 * ) + NEW met2 ( 14460 5305 ) ( 14390 * ) + NEW met2 ( 14460 5250 ) ( 15540 * ) + NEW met2 ( 15610 5305 ) ( 15540 * ) + NEW met2 ( 15210 5305 ) ( 15190 * ) + NEW met2 ( 14810 5305 ) ( 14790 * ) + NEW met2 ( 14600 5440 ) via2_280_280 via2_280_280 + NEW met2 ( 14810 5575 ) ( 15000 * ) ( * 5440 ) via2_280_280 + via2_280_280 + NEW met2 ( 15400 5440 ) via2_280_280 via2_280_280 ( * 5575 ) + ( 15540 * ) + NEW met2 ( 15210 5575 ) ( 15190 * ) + NEW met2 ( 14460 5630 ) ( 15540 * ) + NEW met3 ( 14910 5440 ) ( 14690 * ) + NEW met3 ( 14450 5440 ) ( 14290 * ) + NEW met3 ( 14450 5277.5 ) ( 15550 * ) + NEW met3 ( 15710 5440 ) ( 15550 * ) + NEW met3 ( 15310 5440 ) ( 15090 * ) + NEW met3 ( 15000 5440 ) via3_320_320 + NEW met4 ( 14910 5440 ) ( 14690 * ) + NEW met4 ( 14450 5440 ) ( 14290 * ) + NEW met4 ( 14450 5175 ) ( 15550 * ) + NEW met4 ( 14450 4480 ) ( 14260 * ) + NEW met4 ( 14450 3185 ) ( 15550 * ) + NEW met4 ( 15740 4480 ) ( 15550 * ) + NEW met4 ( 15000 4480 ) via4_1180_1180 + NEW met4 ( 15710 5440 ) ( 15550 * ) + NEW met4 ( 15310 5440 ) ( 15090 * ) + NEW met4 ( 14450 8160 ) ( 15550 * ) + NEW met4 ( 15710 10880 ) ( 15550 * ) + NEW met4 ( 15310 10880 ) ( 15090 * ) + NEW met4 ( 14910 10880 ) ( 14690 * ) + NEW met4 ( 14450 10880 ) ( 14290 * ) + NEW met4 ( 14450 11080 ) ( 15550 * ) + NEW met3 ( 14450 11042.5 ) ( 15550 * ) + NEW met3 ( 14450 10880 ) ( 14290 * ) + NEW met3 ( 14450 10717.5 ) ( 15550 * ) + NEW met3 ( 15710 10880 ) ( 15550 * ) + NEW met3 ( 15310 10880 ) ( 15090 * ) + NEW met3 ( 14910 10880 ) ( 14690 * ) + NEW met3 ( 14600 10880 ) via3_320_320 + NEW met2 ( 14460 11070 ) ( 15540 * ) + NEW met2 ( 14460 11015 ) ( 14390 * ) + NEW met2 ( 14460 10745 ) ( 14390 * ) + NEW met2 ( 14460 10690 ) ( 15540 * ) + NEW met2 ( 15610 10745 ) ( 15540 * ) + NEW met2 ( 15210 10745 ) ( 15190 * ) + NEW met2 ( 14810 10745 ) ( 14790 * ) + NEW met2 ( 14600 10880 ) via2_280_280 via2_280_280 + NEW met2 ( 14810 11015 ) ( 15000 * ) ( * 10880 ) via2_280_280 + via2_280_280 + NEW met2 ( 15400 10880 ) via2_280_280 via2_280_280 + ( * 11015 ) ( 15540 * ) + NEW met2 ( 15210 11015 ) ( 15190 * ) + NEW met4 ( 15000 10880 ) via3_320_320 + NEW met4 ( 15400 10880 ) via3_320_320 + NEW met3 ( 14450 5602.5 ) ( 15550 * ) + NEW met3 ( 14600 5440 ) via3_320_320 + NEW met4 ( 15400 5440 ) via3_320_320 + NEW met2 ( 21460 5575 ) ( 21390 * ) + NEW met2 ( 21460 5305 ) ( 21390 * ) + NEW met2 ( 21460 5250 ) ( 22540 * ) + NEW met2 ( 22610 5305 ) ( 22540 * ) + NEW met2 ( 22210 5305 ) ( 22190 * ) + NEW met2 ( 21810 5305 ) ( 21790 * ) + NEW met2 ( 21600 5440 ) via2_280_280 via2_280_280 + NEW met2 ( 21810 5575 ) ( 22000 * ) ( * 5440 ) via2_280_280 + via2_280_280 + NEW met2 ( 22400 5440 ) via2_280_280 via2_280_280 ( * 5575 ) + ( 22540 * ) + NEW met2 ( 22210 5575 ) ( 22190 * ) + NEW met2 ( 21460 5630 ) ( 22540 * ) + NEW met3 ( 21910 5440 ) ( 21690 * ) + NEW met3 ( 21450 5440 ) ( 21290 * ) + NEW met3 ( 21450 5277.5 ) ( 22550 * ) + NEW met3 ( 22710 5440 ) ( 22550 * ) + NEW met3 ( 22310 5440 ) ( 22090 * ) + NEW met3 ( 22000 5440 ) via3_320_320 + NEW met4 ( 22400 5440 ) via3_320_320 + NEW met3 ( 21450 5602.5 ) ( 22550 * ) + NEW met3 ( 21600 5440 ) via3_320_320 + NEW met4 ( 1710 10880 ) ( 1550 * ) + NEW met4 ( 1310 10880 ) ( 1090 * ) + NEW met4 ( 450 11080 ) ( 1550 * ) + NEW met3 ( 450 11042.5 ) ( 1550 * ) + NEW met3 ( 600 10880 ) via3_320_320 + NEW met4 ( 1400 10880 ) via3_320_320 ; + - gpio_defaults[11] + + ROUTED met2 ( 26380 1000 ) ( 26520 * ) + NEW met2 ( 26450 2070 ) ( * 3680 ) + NEW met2 ( 26390 3765 ) ( 26510 * ) + NEW met2 ( 26390 4055 ) ( 26510 * ) + NEW met1 ( 26360 4010 ) ( 26250 * ) + NEW met1 ( 26165 3910 ) ( 26250 * ) + NEW met1 ( 26360 3810 ) ( 26250 * ) + NEW met1 ( 26650 3810 ) ( 26540 * ) + NEW met1 ( 26450 3910 ) via_260_260 + NEW met1 ( 26650 4010 ) ( 26540 * ) + NEW met1 ( 26650 3910 ) ( 27230 * ) + NEW met1 ( 27050 3810 ) ( 27230 * ) + NEW met1 ( 27050 4010 ) ( 27230 * ) + NEW met1 ( 26450 3910 ) mcon_170_170 ; + - gpio_defaults[10] + + ROUTED met2 ( 24080 1000 ) ( 24220 * ) + NEW met2 ( 24150 2070 ) ( * 3680 ) + NEW met2 ( 24090 3765 ) ( 24210 * ) + NEW met2 ( 24090 4055 ) ( 24210 * ) + NEW met1 ( 24060 4010 ) ( 23950 * ) + NEW met1 ( 23865 3910 ) ( 23950 * ) + NEW met1 ( 24060 3810 ) ( 24150 * ) ( * 3910 ) via_260_260 + NEW met1 ( 24350 4010 ) ( 24240 * ) + NEW met1 ( 24350 3910 ) ( 24685 * ) + NEW met1 ( 24350 3810 ) ( 24240 * ) + NEW met1 ( 24750 3832.5 ) ( 24685 * ) + NEW met1 ( 24750 3802.5 ) ( 24930 * ) + NEW met1 ( 24995 3910 ) ( 24930 * ) + NEW met1 ( 24750 3987.5 ) ( 24685 * ) + NEW met1 ( 24750 4017.5 ) ( 24930 * ) + NEW met1 ( 24840 3910 ) mcon_170_170 ; + - gpio_defaults[9] + + ROUTED met2 ( 21780 1000 ) ( 21920 * ) + NEW met2 ( 21850 2070 ) ( * 3680 ) + NEW met2 ( 21790 3765 ) ( 21910 * ) + NEW met2 ( 21790 4055 ) ( 21910 * ) + NEW met1 ( 21760 4010 ) ( 21650 * ) + NEW met1 ( 20875 3910 ) ( 21650 * ) + NEW met1 ( 21070 3810 ) ( 21250 * ) + NEW met1 ( 21760 3810 ) ( 21650 * ) + NEW met1 ( 22050 3910 ) ( 21940 * ) + NEW met1 ( 21850 3910 ) via_260_260 + NEW met1 ( 21850 3910 ) mcon_170_170 + NEW met1 ( 21070 4010 ) ( 21250 * ) ; + - gpio_defaults[8] + + ROUTED met2 ( 19480 1000 ) ( 19620 * ) + NEW met2 ( 19550 2070 ) ( * 3680 ) + NEW met2 ( 19490 3765 ) ( 19610 * ) + NEW met2 ( 19490 4055 ) ( 19610 * ) + NEW met1 ( 19460 4010 ) ( 19350 * ) + NEW met1 ( 18575 3910 ) ( 19350 * ) + NEW met1 ( 18770 3810 ) ( 18950 * ) + NEW met1 ( 19460 3810 ) ( 19350 * ) + NEW met1 ( 19750 3910 ) ( 19640 * ) + NEW met1 ( 19550 3910 ) via_260_260 + NEW met1 ( 19550 3910 ) mcon_170_170 + NEW met1 ( 18770 4010 ) ( 18950 * ) ; + - gpio_defaults[7] + + ROUTED met2 ( 17180 1000 ) ( 17320 * ) + NEW met2 ( 17250 2070 ) ( * 3680 ) + NEW met2 ( 17190 3765 ) ( 17310 * ) + NEW met2 ( 17190 4055 ) ( 17310 * ) + NEW met1 ( 17160 4010 ) ( 17050 * ) + NEW met1 ( 16405 3910 ) ( 17050 * ) + NEW met1 ( 16470 3810 ) ( 16650 * ) + NEW met1 ( 17160 3810 ) ( 17050 * ) + NEW met1 ( 17450 3910 ) ( 17340 * ) + NEW met1 ( 17250 3910 ) via_260_260 + NEW met1 ( 17250 3910 ) mcon_170_170 + NEW met1 ( 16470 4010 ) ( 16650 * ) ; + - gpio_defaults[6] + + ROUTED met2 ( 14880 1000 ) ( 15020 * ) + NEW met2 ( 14950 2070 ) ( * 3680 ) + NEW met2 ( 14890 3765 ) ( 15010 * ) + NEW met2 ( 14890 4055 ) ( 15010 * ) + NEW met1 ( 14860 4010 ) ( 14750 * ) + NEW met1 ( 14115 3910 ) ( 14750 * ) + NEW met1 ( 14170 3810 ) ( 14350 * ) + NEW met1 ( 14860 3810 ) ( 14750 * ) + NEW met1 ( 15150 3910 ) ( 15040 * ) + NEW met1 ( 14950 3910 ) via_260_260 + NEW met1 ( 14950 3910 ) mcon_170_170 + NEW met1 ( 14170 4010 ) ( 14350 * ) ; + - gpio_defaults[5] + + ROUTED met2 ( 12580 1000 ) ( 12720 * ) + NEW met2 ( 12650 2070 ) ( * 3680 ) + NEW met2 ( 12590 3765 ) ( 12710 * ) + NEW met2 ( 12590 4055 ) ( 12710 * ) + NEW met1 ( 12560 4010 ) ( 12450 * ) + NEW met1 ( 11860 3910 ) ( 12450 * ) + NEW met1 ( 11870 3810 ) ( 12050 * ) + NEW met1 ( 12560 3810 ) ( 12450 * ) + NEW met1 ( 12850 3910 ) ( 12740 * ) + NEW met1 ( 12650 3910 ) via_260_260 + NEW met1 ( 12650 3910 ) mcon_170_170 + NEW met1 ( 11870 4010 ) ( 12050 * ) ; + - gpio_defaults[4] + + ROUTED met2 ( 10280 1000 ) ( 10420 * ) + NEW met2 ( 10350 2070 ) ( * 3680 ) + NEW met2 ( 10290 3765 ) ( 10410 * ) + NEW met2 ( 10290 4055 ) ( 10410 * ) + NEW met1 ( 10260 4010 ) ( 10150 * ) + NEW met1 ( 10245 3910 ) ( 10150 * ) + NEW met1 ( 10260 3810 ) ( 10350 * ) ( * 3910 ) via_260_260 + NEW met1 ( 10550 4010 ) ( 10440 * ) + NEW met1 ( 10550 3910 ) ( 10885 * ) + NEW met1 ( 10550 3810 ) ( 10440 * ) + NEW met1 ( 10950 3832.5 ) ( 10885 * ) + NEW met1 ( 10950 3802.5 ) ( 11130 * ) + NEW met1 ( 11195 3910 ) ( 11130 * ) + NEW met1 ( 10950 3987.5 ) ( 10885 * ) + NEW met1 ( 10950 4017.5 ) ( 11130 * ) + NEW met1 ( 11040 3910 ) mcon_170_170 ; + - gpio_defaults[3] + + ROUTED met2 ( 7980 1000 ) ( 8120 * ) + NEW met2 ( 8050 2070 ) ( * 3480 ) + NEW met2 ( 7990 3565 ) ( 8110 * ) + NEW met2 ( 7990 3855 ) ( 8110 * ) + NEW met1 ( 7960 3710 ) ( 8050 * ) via_260_260 + NEW met1 ( 8250 3810 ) ( 8140 * ) + NEW met1 ( 8250 3710 ) ( 8540 * ) + NEW met1 ( 8250 3610 ) ( 8140 * ) + NEW met1 ( 8540 3850 ) ( * 3770 ) + NEW met1 ( 8540 3910 ) ( 9505 * ) + NEW met1 ( 8895 3817.5 ) ( 9045 * ) + NEW met1 ( 9585 3832.5 ) ( 9505 * ) + NEW met1 ( 9585 3810 ) ( 9735 * ) + NEW met1 ( 9815 3910 ) ( 9735 * ) + NEW met1 ( 9585 3987.5 ) ( 9505 * ) + NEW met1 ( 9585 4010 ) ( 9735 * ) + NEW met1 ( 9660 3910 ) mcon_170_170 + NEW met1 ( 8895 4002.5 ) ( 9045 * ) ; + - gpio_defaults[1] + + ROUTED met2 ( 3380 1000 ) ( 3520 * ) + NEW met2 ( 3450 2070 ) ( * 3340 ) + NEW met2 ( 3390 3425 ) ( 3510 * ) + NEW met2 ( 3390 3715 ) ( 3510 * ) + NEW met1 ( 3360 3570 ) ( 3450 * ) via_260_260 + NEW met1 ( 3650 3670 ) ( 3540 * ) + NEW met1 ( 3650 3570 ) ( 5260 * ) + NEW met1 ( 3650 3470 ) ( 3540 * ) + NEW met1 ( 5260 3710 ) ( * 3770 ) + NEW met1 ( 5260 3910 ) ( 6055 * ) + NEW met1 ( 6135 3832.5 ) ( 6055 * ) + NEW met1 ( 6135 3810 ) ( 6285 * ) + NEW met1 ( 6365 3832.5 ) ( 6285 * ) + NEW met1 ( 6135 3987.5 ) ( 6055 * ) + NEW met1 ( 6135 4010 ) ( 6285 * ) + NEW met1 ( 6365 3987.5 ) ( 6285 * ) + NEW met1 ( 6365 3910 ) ( 6975 * ) + NEW met1 ( 6825 3817.5 ) ( 6975 * ) + NEW met1 ( 6825 4002.5 ) ( 6975 * ) + NEW met1 ( 6210 3910 ) mcon_170_170 ; + - gpio_defaults[12] + + ROUTED met2 ( 28680 1000 ) ( 28820 * ) + NEW met2 ( 28750 2070 ) ( * 4020 ) + NEW met2 ( 28690 4105 ) ( 28810 * ) + NEW met2 ( 28690 4395 ) ( 28810 * ) + NEW met1 ( 28660 4350 ) ( 28550 * ) + NEW met1 ( 23155 4250 ) ( 28550 * ) + NEW met1 ( 22925 4250 ) ( 22845 * ) + NEW met1 ( 22925 4150 ) ( 23075 * ) + NEW met1 ( 23155 4172.5 ) ( 23075 * ) + NEW met1 ( 22925 4350 ) ( 23075 * ) + NEW met1 ( 23155 4327.5 ) ( 23075 * ) + NEW met1 ( 23000 4250 ) mcon_170_170 + NEW met1 ( 23615 4157.5 ) ( 23765 * ) + NEW met1 ( 28660 4150 ) ( 28750 * ) ( * 4250 ) via_260_260 + NEW met1 ( 28950 4250 ) ( 28840 * ) + NEW met1 ( 23615 4342.5 ) ( 23765 * ) ; + - gpio_defaults[2] + + ROUTED met2 ( 5680 1000 ) ( 5820 * ) + NEW met2 ( 5750 2070 ) ( * 4310 ) + NEW met2 ( 5750 4450 ) ( 6240 * ) + NEW met2 ( 6380 4305 ) ( 6500 * ) + NEW met2 ( 6380 4595 ) ( 6500 * ) + NEW met1 ( 6350 4450 ) ( 6440 * ) via_260_260 + NEW met1 ( 6640 4550 ) ( 6530 * ) + NEW met1 ( 6640 4450 ) ( 7180 * ) + NEW met1 ( 6640 4350 ) ( 6530 * ) + NEW met1 ( 7180 4390 ) ( * 4310 ) + NEW met1 ( 7180 4250 ) ( 8125 * ) + NEW met1 ( 7515 4157.5 ) ( 7665 * ) + NEW met1 ( 8205 4172.5 ) ( 8125 * ) + NEW met1 ( 8205 4150 ) ( 8355 * ) + NEW met1 ( 8435 4250 ) ( 8355 * ) + NEW met1 ( 8205 4327.5 ) ( 8125 * ) + NEW met1 ( 8205 4350 ) ( 8355 * ) + NEW met1 ( 8280 4250 ) mcon_170_170 + NEW met1 ( 7515 4342.5 ) ( 7665 * ) ; + - gpio_defaults[0] + + ROUTED met2 ( 1080 1000 ) ( 1220 * ) + NEW met2 ( 1150 2070 ) ( * 4020 ) + NEW met2 ( 1090 4105 ) ( 1210 * ) + NEW met2 ( 1090 4395 ) ( 1210 * ) + NEW met1 ( 1060 4250 ) ( 1150 * ) via_260_260 + NEW met1 ( 1350 4350 ) ( 1240 * ) + NEW met1 ( 1350 4250 ) ( 4675 * ) + NEW met1 ( 1350 4150 ) ( 1240 * ) + NEW met1 ( 4755 4172.5 ) ( 4675 * ) + NEW met1 ( 4755 4150 ) ( 4905 * ) + NEW met1 ( 4985 4172.5 ) ( 4905 * ) + NEW met1 ( 4755 4327.5 ) ( 4675 * ) + NEW met1 ( 4755 4350 ) ( 4905 * ) + NEW met1 ( 4985 4327.5 ) ( 4905 * ) + NEW met1 ( 4985 4250 ) ( 5595 * ) + NEW met1 ( 5445 4157.5 ) ( 5595 * ) + NEW met1 ( 5445 4342.5 ) ( 5595 * ) + NEW met1 ( 4830 4250 ) mcon_170_170 ; +END NETS + +END DESIGN + diff --git a/def/mgmt_protect_hv.def b/def/mgmt_protect_hv.def new file mode 100644 index 00000000..784be131 --- /dev/null +++ b/def/mgmt_protect_hv.def @@ -0,0 +1,344 @@ +VERSION 5.8 ; +DIVIDERCHAR "/" ; +BUSBITCHARS "[]" ; +DESIGN mgmt_protect_hv ; +UNITS DISTANCE MICRONS 1000 ; + +DIEAREA ( 0 0 ) ( 150000 20000 ) ; + +ROW ROW_0 unithv 4800 4070 FS DO 302 BY 1 STEP 480 0 + ; +ROW ROW_1 unithv 4800 8140 N DO 302 BY 1 STEP 480 0 + ; +ROW ROW_2 unithv 4800 12210 FS DO 302 BY 1 STEP 480 0 + ; +TRACKS X 240 DO 313 STEP 480 LAYER li1 ; +TRACKS Y 240 DO 42 STEP 480 LAYER li1 ; +TRACKS X 185 DO 405 STEP 370 LAYER met1 ; +TRACKS Y 185 DO 54 STEP 370 LAYER met1 ; +TRACKS X 240 DO 313 STEP 480 LAYER met2 ; +TRACKS Y 240 DO 42 STEP 480 LAYER met2 ; +TRACKS X 370 DO 203 STEP 740 LAYER met3 ; +TRACKS Y 370 DO 27 STEP 740 LAYER met3 ; +TRACKS X 480 DO 156 STEP 960 LAYER met4 ; +TRACKS Y 480 DO 21 STEP 960 LAYER met4 ; +TRACKS X 1665 DO 45 STEP 3330 LAYER met5 ; +TRACKS Y 1665 DO 6 STEP 3330 LAYER met5 ; + +VIAS 4 ; +- via2_FR ++ RECT met2 ( -140 -185 ) ( 140 185 ) ++ RECT via2 ( -100 -100 ) ( 100 100 ) ++ RECT met3 ( -165 -165 ) ( 165 165 ) + + ; +- via4_FR ++ RECT met4 ( -590 -590 ) ( 590 590 ) ++ RECT via4 ( -400 -400 ) ( 400 400 ) ++ RECT met5 ( -710 -710 ) ( 710 710 ) + + ; +- via2_300x300 + ++ VIARULE M2M3_PR + + CUTSIZE 200 200 + + LAYERS met2 via2 met3 + + CUTSPACING 200 200 + + ENCLOSURE 50 85 65 65 + ; +- via_300x510 + ++ VIARULE M1M2_PR + + CUTSIZE 150 150 + + LAYERS met1 via met2 + + CUTSPACING 170 170 + + ENCLOSURE 75 180 75 180 + ; +END VIAS + +COMPONENTS 116 ; +- mprj2_logic_high_hvl sky130_fd_sc_hvl__conb_1 + PLACED ( 50400 8140 ) N ; +- mprj2_logic_high_lv sky130_fd_sc_hvl__lsbufhv2lv_1 + PLACED ( 87360 8140 ) N ; +- mprj_logic_high_hvl sky130_fd_sc_hvl__conb_1 + PLACED ( 84960 8140 ) N ; +- mprj_logic_high_lv sky130_fd_sc_hvl__lsbufhv2lv_1 + PLACED ( 52800 8140 ) N ; +- FILLER_0_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 4800 4070 ) FS ; +- FILLER_0_8 sky130_fd_sc_hvl__decap_8 + PLACED ( 8640 4070 ) FS ; +- FILLER_0_16 sky130_fd_sc_hvl__decap_8 + PLACED ( 12480 4070 ) FS ; +- FILLER_0_24 sky130_fd_sc_hvl__decap_8 + PLACED ( 16320 4070 ) FS ; +- FILLER_0_32 sky130_fd_sc_hvl__decap_8 + PLACED ( 20160 4070 ) FS ; +- FILLER_0_40 sky130_fd_sc_hvl__decap_8 + PLACED ( 24000 4070 ) FS ; +- FILLER_0_48 sky130_fd_sc_hvl__decap_8 + PLACED ( 27840 4070 ) FS ; +- FILLER_0_56 sky130_fd_sc_hvl__decap_8 + PLACED ( 31680 4070 ) FS ; +- FILLER_0_64 sky130_fd_sc_hvl__decap_8 + PLACED ( 35520 4070 ) FS ; +- FILLER_0_72 sky130_fd_sc_hvl__decap_8 + PLACED ( 39360 4070 ) FS ; +- FILLER_0_80 sky130_fd_sc_hvl__decap_8 + PLACED ( 43200 4070 ) FS ; +- FILLER_0_88 sky130_fd_sc_hvl__decap_8 + PLACED ( 47040 4070 ) FS ; +- FILLER_0_96 sky130_fd_sc_hvl__decap_8 + PLACED ( 50880 4070 ) FS ; +- FILLER_0_104 sky130_fd_sc_hvl__decap_8 + PLACED ( 54720 4070 ) FS ; +- FILLER_0_112 sky130_fd_sc_hvl__decap_8 + PLACED ( 58560 4070 ) FS ; +- FILLER_0_120 sky130_fd_sc_hvl__decap_8 + PLACED ( 62400 4070 ) FS ; +- FILLER_0_128 sky130_fd_sc_hvl__decap_8 + PLACED ( 66240 4070 ) FS ; +- FILLER_0_136 sky130_fd_sc_hvl__decap_8 + PLACED ( 70080 4070 ) FS ; +- FILLER_0_144 sky130_fd_sc_hvl__decap_8 + PLACED ( 73920 4070 ) FS ; +- FILLER_0_152 sky130_fd_sc_hvl__decap_8 + PLACED ( 77760 4070 ) FS ; +- FILLER_0_160 sky130_fd_sc_hvl__decap_8 + PLACED ( 81600 4070 ) FS ; +- FILLER_0_168 sky130_fd_sc_hvl__decap_8 + PLACED ( 85440 4070 ) FS ; +- FILLER_0_176 sky130_fd_sc_hvl__decap_8 + PLACED ( 89280 4070 ) FS ; +- FILLER_0_184 sky130_fd_sc_hvl__decap_8 + PLACED ( 93120 4070 ) FS ; +- FILLER_0_192 sky130_fd_sc_hvl__decap_8 + PLACED ( 96960 4070 ) FS ; +- FILLER_0_200 sky130_fd_sc_hvl__decap_8 + PLACED ( 100800 4070 ) FS ; +- FILLER_0_208 sky130_fd_sc_hvl__decap_8 + PLACED ( 104640 4070 ) FS ; +- FILLER_0_216 sky130_fd_sc_hvl__decap_8 + PLACED ( 108480 4070 ) FS ; +- FILLER_0_224 sky130_fd_sc_hvl__decap_8 + PLACED ( 112320 4070 ) FS ; +- FILLER_0_232 sky130_fd_sc_hvl__decap_8 + PLACED ( 116160 4070 ) FS ; +- FILLER_0_240 sky130_fd_sc_hvl__decap_8 + PLACED ( 120000 4070 ) FS ; +- FILLER_0_248 sky130_fd_sc_hvl__decap_8 + PLACED ( 123840 4070 ) FS ; +- FILLER_0_256 sky130_fd_sc_hvl__decap_8 + PLACED ( 127680 4070 ) FS ; +- FILLER_0_264 sky130_fd_sc_hvl__decap_8 + PLACED ( 131520 4070 ) FS ; +- FILLER_0_272 sky130_fd_sc_hvl__decap_8 + PLACED ( 135360 4070 ) FS ; +- FILLER_0_280 sky130_fd_sc_hvl__decap_8 + PLACED ( 139200 4070 ) FS ; +- FILLER_0_288 sky130_fd_sc_hvl__decap_8 + PLACED ( 143040 4070 ) FS ; +- FILLER_0_296 sky130_fd_sc_hvl__decap_4 + PLACED ( 146880 4070 ) FS ; +- FILLER_0_300 sky130_fd_sc_hvl__fill_2 + PLACED ( 148800 4070 ) FS ; +- FILLER_1_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 4800 8140 ) N ; +- FILLER_1_8 sky130_fd_sc_hvl__decap_8 + PLACED ( 8640 8140 ) N ; +- FILLER_1_16 sky130_fd_sc_hvl__decap_8 + PLACED ( 12480 8140 ) N ; +- FILLER_1_24 sky130_fd_sc_hvl__decap_8 + PLACED ( 16320 8140 ) N ; +- FILLER_1_32 sky130_fd_sc_hvl__decap_8 + PLACED ( 20160 8140 ) N ; +- FILLER_1_40 sky130_fd_sc_hvl__decap_8 + PLACED ( 24000 8140 ) N ; +- FILLER_1_48 sky130_fd_sc_hvl__decap_8 + PLACED ( 27840 8140 ) N ; +- FILLER_1_56 sky130_fd_sc_hvl__decap_8 + PLACED ( 31680 8140 ) N ; +- FILLER_1_64 sky130_fd_sc_hvl__decap_8 + PLACED ( 35520 8140 ) N ; +- FILLER_1_72 sky130_fd_sc_hvl__decap_8 + PLACED ( 39360 8140 ) N ; +- FILLER_1_80 sky130_fd_sc_hvl__decap_8 + PLACED ( 43200 8140 ) N ; +- FILLER_1_88 sky130_fd_sc_hvl__decap_4 + PLACED ( 47040 8140 ) N ; +- FILLER_1_92 sky130_fd_sc_hvl__fill_2 + PLACED ( 48960 8140 ) N ; +- FILLER_1_94 sky130_fd_sc_hvl__fill_1 + PLACED ( 49920 8140 ) N ; +- FILLER_1_117 sky130_fd_sc_hvl__decap_8 + PLACED ( 60960 8140 ) N ; +- FILLER_1_125 sky130_fd_sc_hvl__decap_8 + PLACED ( 64800 8140 ) N ; +- FILLER_1_133 sky130_fd_sc_hvl__decap_8 + PLACED ( 68640 8140 ) N ; +- FILLER_1_141 sky130_fd_sc_hvl__decap_8 + PLACED ( 72480 8140 ) N ; +- FILLER_1_149 sky130_fd_sc_hvl__decap_8 + PLACED ( 76320 8140 ) N ; +- FILLER_1_157 sky130_fd_sc_hvl__decap_8 + PLACED ( 80160 8140 ) N ; +- FILLER_1_165 sky130_fd_sc_hvl__fill_2 + PLACED ( 84000 8140 ) N ; +- FILLER_1_189 sky130_fd_sc_hvl__decap_8 + PLACED ( 95520 8140 ) N ; +- FILLER_1_197 sky130_fd_sc_hvl__decap_8 + PLACED ( 99360 8140 ) N ; +- FILLER_1_205 sky130_fd_sc_hvl__decap_8 + PLACED ( 103200 8140 ) N ; +- FILLER_1_213 sky130_fd_sc_hvl__decap_8 + PLACED ( 107040 8140 ) N ; +- FILLER_1_221 sky130_fd_sc_hvl__decap_8 + PLACED ( 110880 8140 ) N ; +- FILLER_1_229 sky130_fd_sc_hvl__decap_8 + PLACED ( 114720 8140 ) N ; +- FILLER_1_237 sky130_fd_sc_hvl__decap_8 + PLACED ( 118560 8140 ) N ; +- FILLER_1_245 sky130_fd_sc_hvl__decap_8 + PLACED ( 122400 8140 ) N ; +- FILLER_1_253 sky130_fd_sc_hvl__decap_8 + PLACED ( 126240 8140 ) N ; +- FILLER_1_261 sky130_fd_sc_hvl__decap_8 + PLACED ( 130080 8140 ) N ; +- FILLER_1_269 sky130_fd_sc_hvl__decap_8 + PLACED ( 133920 8140 ) N ; +- FILLER_1_277 sky130_fd_sc_hvl__decap_8 + PLACED ( 137760 8140 ) N ; +- FILLER_1_285 sky130_fd_sc_hvl__decap_8 + PLACED ( 141600 8140 ) N ; +- FILLER_1_293 sky130_fd_sc_hvl__decap_8 + PLACED ( 145440 8140 ) N ; +- FILLER_1_301 sky130_fd_sc_hvl__fill_1 + PLACED ( 149280 8140 ) N ; +- FILLER_2_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 4800 12210 ) FS ; +- FILLER_2_8 sky130_fd_sc_hvl__decap_8 + PLACED ( 8640 12210 ) FS ; +- FILLER_2_16 sky130_fd_sc_hvl__decap_8 + PLACED ( 12480 12210 ) FS ; +- FILLER_2_24 sky130_fd_sc_hvl__decap_8 + PLACED ( 16320 12210 ) FS ; +- FILLER_2_32 sky130_fd_sc_hvl__decap_8 + PLACED ( 20160 12210 ) FS ; +- FILLER_2_40 sky130_fd_sc_hvl__decap_8 + PLACED ( 24000 12210 ) FS ; +- FILLER_2_48 sky130_fd_sc_hvl__decap_8 + PLACED ( 27840 12210 ) FS ; +- FILLER_2_56 sky130_fd_sc_hvl__decap_8 + PLACED ( 31680 12210 ) FS ; +- FILLER_2_64 sky130_fd_sc_hvl__decap_8 + PLACED ( 35520 12210 ) FS ; +- FILLER_2_72 sky130_fd_sc_hvl__decap_8 + PLACED ( 39360 12210 ) FS ; +- FILLER_2_80 sky130_fd_sc_hvl__decap_8 + PLACED ( 43200 12210 ) FS ; +- FILLER_2_88 sky130_fd_sc_hvl__decap_8 + PLACED ( 47040 12210 ) FS ; +- FILLER_2_96 sky130_fd_sc_hvl__decap_4 + PLACED ( 50880 12210 ) FS ; +- FILLER_2_117 sky130_fd_sc_hvl__decap_8 + PLACED ( 60960 12210 ) FS ; +- FILLER_2_125 sky130_fd_sc_hvl__decap_8 + PLACED ( 64800 12210 ) FS ; +- FILLER_2_133 sky130_fd_sc_hvl__decap_8 + PLACED ( 68640 12210 ) FS ; +- FILLER_2_141 sky130_fd_sc_hvl__decap_8 + PLACED ( 72480 12210 ) FS ; +- FILLER_2_149 sky130_fd_sc_hvl__decap_8 + PLACED ( 76320 12210 ) FS ; +- FILLER_2_157 sky130_fd_sc_hvl__decap_8 + PLACED ( 80160 12210 ) FS ; +- FILLER_2_165 sky130_fd_sc_hvl__decap_4 + PLACED ( 84000 12210 ) FS ; +- FILLER_2_169 sky130_fd_sc_hvl__fill_2 + PLACED ( 85920 12210 ) FS ; +- FILLER_2_171 sky130_fd_sc_hvl__fill_1 + PLACED ( 86880 12210 ) FS ; +- FILLER_2_189 sky130_fd_sc_hvl__decap_8 + PLACED ( 95520 12210 ) FS ; +- FILLER_2_197 sky130_fd_sc_hvl__decap_8 + PLACED ( 99360 12210 ) FS ; +- FILLER_2_205 sky130_fd_sc_hvl__decap_8 + PLACED ( 103200 12210 ) FS ; +- FILLER_2_213 sky130_fd_sc_hvl__decap_8 + PLACED ( 107040 12210 ) FS ; +- FILLER_2_221 sky130_fd_sc_hvl__decap_8 + PLACED ( 110880 12210 ) FS ; +- FILLER_2_229 sky130_fd_sc_hvl__decap_8 + PLACED ( 114720 12210 ) FS ; +- FILLER_2_237 sky130_fd_sc_hvl__decap_8 + PLACED ( 118560 12210 ) FS ; +- FILLER_2_245 sky130_fd_sc_hvl__decap_8 + PLACED ( 122400 12210 ) FS ; +- FILLER_2_253 sky130_fd_sc_hvl__decap_8 + PLACED ( 126240 12210 ) FS ; +- FILLER_2_261 sky130_fd_sc_hvl__decap_8 + PLACED ( 130080 12210 ) FS ; +- FILLER_2_269 sky130_fd_sc_hvl__decap_8 + PLACED ( 133920 12210 ) FS ; +- FILLER_2_277 sky130_fd_sc_hvl__decap_8 + PLACED ( 137760 12210 ) FS ; +- FILLER_2_285 sky130_fd_sc_hvl__decap_8 + PLACED ( 141600 12210 ) FS ; +- FILLER_2_293 sky130_fd_sc_hvl__decap_8 + PLACED ( 145440 12210 ) FS ; +- FILLER_2_301 sky130_fd_sc_hvl__fill_1 + PLACED ( 149280 12210 ) FS ; +END COMPONENTS + +PINS 21 ; +- mprj2_vdd_logic1 + NET mprj2_vdd_logic1 + DIRECTION OUTPUT + USE SIGNAL + + LAYER met3 ( -2000 -300 ) ( 2000 300 ) + + PLACED ( 2000 4810 ) N ; +- mprj_vdd_logic1 + NET mprj_vdd_logic1 + DIRECTION OUTPUT + USE SIGNAL + + LAYER met3 ( -2000 -300 ) ( 2000 300 ) + + PLACED ( 2000 14430 ) N ; +- vccd + NET vccd + DIRECTION INOUT + USE POWER + + LAYER met2 ( -150 -6360 ) ( 150 6360 ) + + FIXED ( 94800 10175 ) N + SPECIAL ; +- vccd + NET vccd + DIRECTION INOUT + USE POWER + + LAYER met2 ( -150 -6360 ) ( 150 6360 ) + + FIXED ( 14800 10175 ) N + SPECIAL ; +- vccd + NET vccd + DIRECTION INOUT + USE POWER + + LAYER met3 ( -72480 -150 ) ( 72480 150 ) + + FIXED ( 77280 15615 ) N + SPECIAL ; +- vccd + NET vccd + DIRECTION INOUT + USE POWER + + LAYER met3 ( -72480 -150 ) ( 72480 150 ) + + FIXED ( 77280 4815 ) N + SPECIAL ; +- vssd + NET vssd + DIRECTION INOUT + USE GROUND + + LAYER met2 ( -150 -6360 ) ( 150 6360 ) + + FIXED ( 134800 10175 ) N + SPECIAL ; +- vssd + NET vssd + DIRECTION INOUT + USE GROUND + + LAYER met2 ( -150 -6360 ) ( 150 6360 ) + + FIXED ( 54800 10175 ) N + SPECIAL ; +- vssd + NET vssd + DIRECTION INOUT + USE GROUND + + LAYER met3 ( -72480 -150 ) ( 72480 150 ) + + FIXED ( 77280 10215 ) N + SPECIAL ; +- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER + + LAYER met2 ( -150 -6105 ) ( 150 6105 ) + + FIXED ( 96800 10175 ) N + SPECIAL ; +- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER + + LAYER met2 ( -150 -6105 ) ( 150 6105 ) + + FIXED ( 16800 10175 ) N + SPECIAL ; +- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER + + LAYER met3 ( -72480 -150 ) ( 72480 150 ) + + FIXED ( 77280 7070 ) N + SPECIAL ; +- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND + + LAYER met2 ( -150 -6105 ) ( 150 6105 ) + + FIXED ( 136800 10175 ) N + SPECIAL ; +- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND + + LAYER met2 ( -150 -6105 ) ( 150 6105 ) + + FIXED ( 56800 10175 ) N + SPECIAL ; +- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND + + LAYER met3 ( -72480 -150 ) ( 72480 150 ) + + FIXED ( 77280 12470 ) N + SPECIAL ; +- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER + + LAYER met2 ( -150 -6105 ) ( 150 6105 ) + + FIXED ( 98800 10175 ) N + SPECIAL ; +- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER + + LAYER met2 ( -150 -6105 ) ( 150 6105 ) + + FIXED ( 18800 10175 ) N + SPECIAL ; +- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER + + LAYER met3 ( -72480 -150 ) ( 72480 150 ) + + FIXED ( 77280 9070 ) N + SPECIAL ; +- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND + + LAYER met2 ( -150 -6105 ) ( 150 6105 ) + + FIXED ( 138800 10175 ) N + SPECIAL ; +- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND + + LAYER met2 ( -150 -6105 ) ( 150 6105 ) + + FIXED ( 58800 10175 ) N + SPECIAL ; +- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND + + LAYER met3 ( -72480 -150 ) ( 72480 150 ) + + FIXED ( 77280 14470 ) N + SPECIAL ; +END PINS + +SPECIALNETS 6 ; +- vccd ( PIN vccd ) + + ROUTED met1 0 + SHAPE STRIPE ( 94800 12210 ) via_300x510 + NEW met1 0 + SHAPE STRIPE ( 14800 12210 ) via_300x510 + NEW met1 0 + SHAPE STRIPE ( 94800 4070 ) via_300x510 + NEW met1 0 + SHAPE STRIPE ( 14800 4070 ) via_300x510 + NEW met2 0 + SHAPE STRIPE ( 94800 15615 ) via2_300x300 + NEW met2 0 + SHAPE STRIPE ( 14800 15615 ) via2_300x300 + NEW met2 0 + SHAPE STRIPE ( 94800 4815 ) via2_300x300 + NEW met2 0 + SHAPE STRIPE ( 14800 4815 ) via2_300x300 + NEW met3 300 + SHAPE STRIPE ( 4800 15615 ) ( 149760 15615 ) + NEW met3 300 + SHAPE STRIPE ( 4800 4815 ) ( 149760 4815 ) + NEW met2 300 + SHAPE STRIPE ( 94800 3815 ) ( 94800 16535 ) + NEW met2 300 + SHAPE STRIPE ( 14800 3815 ) ( 14800 16535 ) + NEW met1 510 + SHAPE FOLLOWPIN ( 4800 12210 ) ( 149760 12210 ) + NEW met1 510 + SHAPE FOLLOWPIN ( 4800 4070 ) ( 149760 4070 ) + + USE POWER ; +- vssd ( PIN vssd ) + + ROUTED met1 0 + SHAPE STRIPE ( 134800 16280 ) via_300x510 + NEW met1 0 + SHAPE STRIPE ( 54800 16280 ) via_300x510 + NEW met1 0 + SHAPE STRIPE ( 134800 8140 ) via_300x510 + NEW met1 0 + SHAPE STRIPE ( 54800 8140 ) via_300x510 + NEW met2 0 + SHAPE STRIPE ( 134800 10215 ) via2_300x300 + NEW met2 0 + SHAPE STRIPE ( 54800 10215 ) via2_300x300 + NEW met3 300 + SHAPE STRIPE ( 4800 10215 ) ( 149760 10215 ) + NEW met2 300 + SHAPE STRIPE ( 134800 3815 ) ( 134800 16535 ) + NEW met2 300 + SHAPE STRIPE ( 54800 3815 ) ( 54800 16535 ) + NEW met1 510 + SHAPE FOLLOWPIN ( 4800 16280 ) ( 149760 16280 ) + NEW met1 510 + SHAPE FOLLOWPIN ( 4800 8140 ) ( 149760 8140 ) + + USE GROUND ; +- vdda1 ( PIN vdda1 ) + + ROUTED met2 0 + SHAPE STRIPE ( 96800 7070 ) via2_300x300 + NEW met2 0 + SHAPE STRIPE ( 16800 7070 ) via2_300x300 + NEW met3 300 + SHAPE STRIPE ( 4800 7070 ) ( 149760 7070 ) + NEW met2 300 + SHAPE STRIPE ( 96800 4070 ) ( 96800 16280 ) + NEW met2 300 + SHAPE STRIPE ( 16800 4070 ) ( 16800 16280 ) + + USE POWER ; +- vssa1 ( PIN vssa1 ) + + ROUTED met2 0 + SHAPE STRIPE ( 136800 12470 ) via2_300x300 + NEW met2 0 + SHAPE STRIPE ( 56800 12470 ) via2_300x300 + NEW met3 300 + SHAPE STRIPE ( 4800 12470 ) ( 149760 12470 ) + NEW met2 300 + SHAPE STRIPE ( 136800 4070 ) ( 136800 16280 ) + NEW met2 300 + SHAPE STRIPE ( 56800 4070 ) ( 56800 16280 ) + + USE GROUND ; +- vdda2 ( PIN vdda2 ) + + ROUTED met2 0 + SHAPE STRIPE ( 98800 9070 ) via2_300x300 + NEW met2 0 + SHAPE STRIPE ( 18800 9070 ) via2_300x300 + NEW met3 300 + SHAPE STRIPE ( 4800 9070 ) ( 149760 9070 ) + NEW met2 300 + SHAPE STRIPE ( 98800 4070 ) ( 98800 16280 ) + NEW met2 300 + SHAPE STRIPE ( 18800 4070 ) ( 18800 16280 ) + + USE POWER ; +- vssa2 ( PIN vssa2 ) + + ROUTED met2 0 + SHAPE STRIPE ( 138800 14470 ) via2_300x300 + NEW met2 0 + SHAPE STRIPE ( 58800 14470 ) via2_300x300 + NEW met3 300 + SHAPE STRIPE ( 4800 14470 ) ( 149760 14470 ) + NEW met2 300 + SHAPE STRIPE ( 138800 4070 ) ( 138800 16280 ) + NEW met2 300 + SHAPE STRIPE ( 58800 4070 ) ( 58800 16280 ) + + USE GROUND ; +END SPECIALNETS + +NETS 4 ; +- mprj2_vdd_logic1 ( PIN mprj2_vdd_logic1 ) ( mprj2_logic_high_lv X ) + + ROUTED met2 ( 90960 6845 ) ( 90960 9065 ) + NEW met3 ( 3360 4810 0 ) ( 4080 4810 ) + NEW met2 ( 4080 4810 ) ( 4080 6845 ) + NEW met1 ( 4080 6845 ) ( 90960 6845 ) + NEW met1 ( 90960 6845 ) M1M2_PR + NEW li1 ( 90960 9065 ) L1M1_PR_MR + NEW met1 ( 90960 9065 ) M1M2_PR + NEW met2 ( 4080 4810 ) via2_FR + NEW met1 ( 4080 6845 ) M1M2_PR + NEW met1 ( 90960 9065 ) RECT ( -355 -70 0 70 ) ++ USE SIGNAL ; +- mprj_vdd_logic1 ( PIN mprj_vdd_logic1 ) ( mprj_logic_high_lv X ) + + ROUTED met3 ( 3360 14430 0 ) ( 4080 14430 ) + NEW met2 ( 4080 9435 ) ( 4080 14430 ) + NEW met1 ( 4080 9435 ) ( 56400 9435 ) + NEW li1 ( 56400 9435 ) L1M1_PR_MR + NEW met2 ( 4080 14430 ) via2_FR + NEW met1 ( 4080 9435 ) M1M2_PR ++ USE SIGNAL ; +- mprj2_vdd_logic1_h ( mprj2_logic_high_lv A ) ( mprj2_logic_high_hvl HI ) + + ROUTED met2 ( 87120 10915 ) ( 87120 13135 ) + NEW met1 ( 87120 13135 ) ( 88080 13135 ) + NEW met1 ( 51600 10915 ) ( 87120 10915 ) + NEW met1 ( 87120 10915 ) M1M2_PR + NEW met1 ( 87120 13135 ) M1M2_PR + NEW li1 ( 88080 13135 ) L1M1_PR_MR + NEW li1 ( 51600 10915 ) L1M1_PR_MR ++ USE SIGNAL ; +- mprj_vdd_logic1_h ( mprj_logic_high_lv A ) ( mprj_logic_high_hvl HI ) + + ROUTED met2 ( 86160 11285 ) ( 86160 13135 ) + NEW met1 ( 54000 13135 ) ( 86160 13135 ) + NEW li1 ( 86160 11285 ) L1M1_PR_MR + NEW met1 ( 86160 11285 ) M1M2_PR + NEW met1 ( 86160 13135 ) M1M2_PR + NEW li1 ( 54000 13135 ) L1M1_PR_MR + NEW met1 ( 86160 11285 ) RECT ( -355 -70 0 70 ) ++ USE SIGNAL ; +END NETS +END DESIGN \ No newline at end of file diff --git a/def/xres_buf.def b/def/xres_buf.def new file mode 100644 index 00000000..f381d7ca --- /dev/null +++ b/def/xres_buf.def @@ -0,0 +1,159 @@ +VERSION 5.8 ; +DIVIDERCHAR "/" ; +BUSBITCHARS "[]" ; +DESIGN xres_buf ; +UNITS DISTANCE MICRONS 1000 ; + +DIEAREA ( 0 0 ) ( 20000 17000 ) ; + +ROW ROW_0 unithv 2400 4070 FS DO 31 BY 1 STEP 480 0 + ; +ROW ROW_1 unithv 2400 8140 N DO 31 BY 1 STEP 480 0 + ; +ROW ROW_2 unithv 2400 12210 FS DO 31 BY 1 STEP 480 0 + ; +TRACKS X 240 DO 42 STEP 480 LAYER li1 ; +TRACKS Y 240 DO 35 STEP 480 LAYER li1 ; +TRACKS X 185 DO 54 STEP 370 LAYER met1 ; +TRACKS Y 185 DO 46 STEP 370 LAYER met1 ; +TRACKS X 240 DO 42 STEP 480 LAYER met2 ; +TRACKS Y 240 DO 35 STEP 480 LAYER met2 ; +TRACKS X 370 DO 27 STEP 740 LAYER met3 ; +TRACKS Y 370 DO 23 STEP 740 LAYER met3 ; +TRACKS X 480 DO 21 STEP 960 LAYER met4 ; +TRACKS Y 480 DO 18 STEP 960 LAYER met4 ; +TRACKS X 1665 DO 6 STEP 3330 LAYER met5 ; +TRACKS Y 1665 DO 5 STEP 3330 LAYER met5 ; + +VIAS 5 ; +- via2_FR ++ RECT met2 ( -140 -185 ) ( 140 185 ) ++ RECT via2 ( -100 -100 ) ( 100 100 ) ++ RECT met3 ( -165 -165 ) ( 165 165 ) + + ; +- via4_FR ++ RECT met4 ( -590 -590 ) ( 590 590 ) ++ RECT via4 ( -400 -400 ) ( 400 400 ) ++ RECT met5 ( -710 -710 ) ( 710 710 ) + + ; +- via_900x510 + ++ VIARULE M1M2_PR + + CUTSIZE 150 150 + + LAYERS met1 via met2 + + CUTSPACING 170 170 + + ENCLOSURE 215 180 55 180 + + ROWCOL 1 2 + ; +- via2_900x510 + ++ VIARULE M2M3_PR + + CUTSIZE 200 200 + + LAYERS met2 via2 met3 + + CUTSPACING 200 200 + + ENCLOSURE 40 155 150 65 + + ROWCOL 1 2 + ; +- via3_900x510 + ++ VIARULE M3M4_PR + + CUTSIZE 200 200 + + LAYERS met3 via3 met4 + + CUTSPACING 200 200 + + ENCLOSURE 150 60 150 155 + + ROWCOL 1 2 + ; +END VIAS + +COMPONENTS 16 ; +- lvlshiftdown sky130_fd_sc_hvl__lsbufhv2lv_1 + PLACED ( 8640 8140 ) N ; +- ANTENNA_lvlshiftdown_A sky130_fd_sc_hvl__diode_2 + PLACED ( 7680 12210 ) FS ; +- FILLER_0_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 2400 4070 ) FS ; +- FILLER_0_8 sky130_fd_sc_hvl__decap_8 + PLACED ( 6240 4070 ) FS ; +- FILLER_0_16 sky130_fd_sc_hvl__decap_8 + PLACED ( 10080 4070 ) FS ; +- FILLER_0_24 sky130_fd_sc_hvl__decap_4 + PLACED ( 13920 4070 ) FS ; +- FILLER_0_28 sky130_fd_sc_hvl__fill_2 + PLACED ( 15840 4070 ) FS ; +- FILLER_0_30 sky130_fd_sc_hvl__fill_1 + PLACED ( 16800 4070 ) FS ; +- FILLER_1_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 2400 8140 ) N ; +- FILLER_1_8 sky130_fd_sc_hvl__decap_4 + PLACED ( 6240 8140 ) N ; +- FILLER_1_12 sky130_fd_sc_hvl__fill_1 + PLACED ( 8160 8140 ) N ; +- FILLER_1_30 sky130_fd_sc_hvl__fill_1 + PLACED ( 16800 8140 ) N ; +- FILLER_2_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 2400 12210 ) FS ; +- FILLER_2_8 sky130_fd_sc_hvl__fill_2 + PLACED ( 6240 12210 ) FS ; +- FILLER_2_10 sky130_fd_sc_hvl__fill_1 + PLACED ( 7200 12210 ) FS ; +- FILLER_2_30 sky130_fd_sc_hvl__fill_1 + PLACED ( 16800 12210 ) FS ; +END COMPONENTS + +PINS 6 ; +- A + NET A + DIRECTION INPUT + USE SIGNAL + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 16560 16000 ) N ; +- X + NET X + DIRECTION OUTPUT + USE SIGNAL + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 3120 1000 ) N ; +- VPWR + NET VPWR + DIRECTION INOUT + USE POWER + + LAYER met4 ( -450 -6360 ) ( 450 6360 ) + + FIXED ( 4400 10175 ) N + SPECIAL ; +- VGND + NET VGND + DIRECTION INOUT + USE GROUND + + LAYER met4 ( -450 -6360 ) ( 450 6360 ) + + FIXED ( 10900 10175 ) N + SPECIAL ; +- LVPWR + NET LVPWR + DIRECTION INOUT + USE POWER + + LAYER met4 ( -450 -6105 ) ( 450 6105 ) + + FIXED ( 8800 10175 ) N + SPECIAL ; +- LVGND + NET LVGND + DIRECTION INOUT + USE GROUND + + LAYER met4 ( -450 -6105 ) ( 450 6105 ) + + FIXED ( 15300 10175 ) N + SPECIAL ; +END PINS + +SPECIALNETS 4 ; +- VPWR ( PIN VPWR ) + + ROUTED met3 0 + SHAPE STRIPE ( 4400 12210 ) via3_900x510 + NEW met2 0 + SHAPE STRIPE ( 4400 12210 ) via2_900x510 + NEW met1 0 + SHAPE STRIPE ( 4400 12210 ) via_900x510 + NEW met3 0 + SHAPE STRIPE ( 4400 4070 ) via3_900x510 + NEW met2 0 + SHAPE STRIPE ( 4400 4070 ) via2_900x510 + NEW met1 0 + SHAPE STRIPE ( 4400 4070 ) via_900x510 + NEW met4 900 + SHAPE STRIPE ( 4400 3815 ) ( 4400 16535 ) + NEW met1 510 + SHAPE FOLLOWPIN ( 2400 12210 ) ( 17280 12210 ) + NEW met1 510 + SHAPE FOLLOWPIN ( 2400 4070 ) ( 17280 4070 ) + + USE POWER ; +- VGND ( PIN VGND ) + + ROUTED met3 0 + SHAPE STRIPE ( 10900 16280 ) via3_900x510 + NEW met2 0 + SHAPE STRIPE ( 10900 16280 ) via2_900x510 + NEW met1 0 + SHAPE STRIPE ( 10900 16280 ) via_900x510 + NEW met3 0 + SHAPE STRIPE ( 10900 8140 ) via3_900x510 + NEW met2 0 + SHAPE STRIPE ( 10900 8140 ) via2_900x510 + NEW met1 0 + SHAPE STRIPE ( 10900 8140 ) via_900x510 + NEW met4 900 + SHAPE STRIPE ( 10900 3815 ) ( 10900 16535 ) + NEW met1 510 + SHAPE FOLLOWPIN ( 2400 16280 ) ( 17280 16280 ) + NEW met1 510 + SHAPE FOLLOWPIN ( 2400 8140 ) ( 17280 8140 ) + + USE GROUND ; +- LVPWR ( PIN LVPWR ) + + ROUTED met4 900 + SHAPE STRIPE ( 8800 4070 ) ( 8800 16280 ) + + USE POWER ; +- LVGND ( PIN LVGND ) + + ROUTED met4 900 + SHAPE STRIPE ( 15300 4070 ) ( 15300 16280 ) + + USE GROUND ; +END SPECIALNETS + +NETS 2 ; +- A ( PIN A ) ( ANTENNA_lvlshiftdown_A DIODE ) ( lvlshiftdown A ) + + ROUTED met1 ( 9840 13135 ) ( 16080 13135 ) + NEW met2 ( 16080 12950 ) ( 16080 13135 ) + NEW met2 ( 16080 12950 ) ( 16560 12950 ) + NEW met2 ( 16560 12950 ) ( 16560 13690 0 ) + NEW met1 ( 8400 13135 ) ( 9840 13135 ) + NEW li1 ( 9840 13135 ) L1M1_PR_MR + NEW met1 ( 16080 13135 ) M1M2_PR + NEW li1 ( 8400 13135 ) L1M1_PR_MR ++ USE SIGNAL ; +- X ( PIN X ) ( lvlshiftdown X ) + + ROUTED met2 ( 3120 3330 0 ) ( 3120 9805 ) + NEW met1 ( 3120 9805 ) ( 12240 9805 ) + NEW met1 ( 3120 9805 ) M1M2_PR + NEW li1 ( 12240 9805 ) L1M1_PR_MR ++ USE SIGNAL ; +END NETS +END DESIGN \ No newline at end of file diff --git a/sdc/caravel.sdc b/sdc/caravel.sdc index 99b149c6..b52c740a 100644 --- a/sdc/caravel.sdc +++ b/sdc/caravel.sdc @@ -1,15 +1,13 @@ set ::env(IO_PCT) "0.2" -set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_1" -set ::env(SYNTH_DRIVING_CELL_PIN) "Y" set ::env(SYNTH_MAX_FANOUT) "5" -set ::env(SYNTH_CAP_LOAD) "33.442" +set ::env(SYNTH_CAP_LOAD) "1" set ::env(SYNTH_TIMING_DERATE) 0.05 set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 set ::env(SYNTH_CLOCK_TRANSITION) 0.15 ## MASTER CLOCKS create_clock [get_ports {"clock"} ] -name "clock" -period 25 -create_clock -name __VIRTUAL_CLK__ -period 25 +set_propagated_clock [get_clocks {"clock"}] ## INPUT/OUTPUT DELAYS set input_delay_value 1 @@ -57,7 +55,6 @@ set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] - set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] @@ -65,8 +62,16 @@ set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [ge set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] +## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled +set_case_analysis 0 [get_pins housekeeping/_4449_/S] +set_case_analysis 0 [get_pins housekeeping/_4450_/S] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports mprj_io[*]] +set_false_path -from [get_ports gpio] + # TODO set this as parameter -set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] puts "\[INFO\]: Setting load to: $cap_load" set_load $cap_load [all_outputs] diff --git a/sdc/chip_io.sdc b/sdc/chip_io.sdc new file mode 100644 index 00000000..a073d2b9 --- /dev/null +++ b/sdc/chip_io.sdc @@ -0,0 +1,10 @@ +############################################################################### +# Created by write_sdc +# Fri Nov 5 09:51:10 2021 +############################################################################### +current_design chip_io +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ \ No newline at end of file diff --git a/sdc/gpio_defaults_block_0403.sdc b/sdc/gpio_defaults_block_0403.sdc new file mode 100644 index 00000000..d0d5345c --- /dev/null +++ b/sdc/gpio_defaults_block_0403.sdc @@ -0,0 +1,10 @@ +############################################################################### +# Created by write_sdc +# Fri Nov 5 09:51:10 2021 +############################################################################### +current_design gpio_defaults_block_0403 +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ \ No newline at end of file diff --git a/sdc/gpio_defaults_block_1803.sdc b/sdc/gpio_defaults_block_1803.sdc new file mode 100644 index 00000000..d0d5345c --- /dev/null +++ b/sdc/gpio_defaults_block_1803.sdc @@ -0,0 +1,10 @@ +############################################################################### +# Created by write_sdc +# Fri Nov 5 09:51:10 2021 +############################################################################### +current_design gpio_defaults_block_0403 +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ \ No newline at end of file diff --git a/sdc/mgmt_protect_hv.sdc b/sdc/mgmt_protect_hv.sdc new file mode 100644 index 00000000..3e0cc1f2 --- /dev/null +++ b/sdc/mgmt_protect_hv.sdc @@ -0,0 +1,6 @@ +current_design mgmt_protect_hv +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 8.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ \ No newline at end of file diff --git a/sdc/simple_por.sdc b/sdc/simple_por.sdc new file mode 100644 index 00000000..22eb7d56 --- /dev/null +++ b/sdc/simple_por.sdc @@ -0,0 +1,19 @@ +############################################################################### +# Created by write_sdc +# Fri Nov 5 09:51:10 2021 +############################################################################### +current_design simple_por +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +############################################################################### +# Environment +############################################################################### +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/sdc/xres_buf.sdc b/sdc/xres_buf.sdc new file mode 100644 index 00000000..0f7c4fb0 --- /dev/null +++ b/sdc/xres_buf.sdc @@ -0,0 +1,17 @@ +current_design xres_buf +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {X}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {X}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/sdf/chip_io.sdf b/sdf/chip_io.sdf new file mode 100644 index 00000000..6f8f4e7f --- /dev/null +++ b/sdf/chip_io.sdf @@ -0,0 +1,21 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "chip_io") + (DATE "Wed Dec 1 18:01:36 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "chip_io") + (INSTANCE) + (DELAY + (ABSOLUTE + ) + ) + ) +) diff --git a/sdf/gpio_control_block.sdf b/sdf/gpio_control_block.sdf index cf754573..3d80ff1f 100644 --- a/sdf/gpio_control_block.sdf +++ b/sdf/gpio_control_block.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "gpio_control_block") - (DATE "Sun Nov 28 13:24:12 2021") + (DATE "Tue Nov 30 18:39:57 2021") (VENDOR "Parallax") (PROGRAM "STA") (VERSION "2.3.0") @@ -15,62 +15,52 @@ (INSTANCE) (DELAY (ABSOLUTE - (INTERCONNECT gpio_defaults[0] input1/A (0.156::0.156) (0.091::0.091)) - (INTERCONNECT gpio_defaults[0] ANTENNA_20/DIODE (0.156::0.156) (0.091::0.091)) - (INTERCONNECT gpio_defaults[10] input2/A (0.104::0.104) (0.061::0.061)) - (INTERCONNECT gpio_defaults[10] ANTENNA_0/DIODE (0.104::0.104) (0.061::0.061)) - (INTERCONNECT gpio_defaults[11] input3/A (0.096::0.096) (0.056::0.056)) - (INTERCONNECT gpio_defaults[11] ANTENNA_1/DIODE (0.096::0.096) (0.056::0.056)) - (INTERCONNECT gpio_defaults[12] input4/A (0.090::0.090) (0.053::0.053)) - (INTERCONNECT gpio_defaults[12] ANTENNA_2/DIODE (0.090::0.090) (0.052::0.052)) - (INTERCONNECT gpio_defaults[1] input5/A (0.092::0.092) (0.054::0.054)) - (INTERCONNECT gpio_defaults[1] ANTENNA_3/DIODE (0.092::0.092) (0.053::0.053)) - (INTERCONNECT gpio_defaults[2] input6/A (0.156::0.156) (0.091::0.091)) - (INTERCONNECT gpio_defaults[2] ANTENNA_4/DIODE (0.156::0.156) (0.091::0.091)) - (INTERCONNECT gpio_defaults[3] input7/A (0.110::0.110) (0.065::0.065)) - (INTERCONNECT gpio_defaults[3] ANTENNA_5/DIODE (0.110::0.110) (0.065::0.065)) - (INTERCONNECT gpio_defaults[4] input8/A (0.102::0.102) (0.059::0.059)) - (INTERCONNECT gpio_defaults[4] ANTENNA_6/DIODE (0.102::0.102) (0.059::0.059)) - (INTERCONNECT gpio_defaults[5] input9/A (0.117::0.117) (0.068::0.068)) - (INTERCONNECT gpio_defaults[5] ANTENNA_7/DIODE (0.117::0.117) (0.068::0.068)) - (INTERCONNECT gpio_defaults[6] input10/A (0.096::0.096) (0.056::0.056)) - (INTERCONNECT gpio_defaults[6] ANTENNA_8/DIODE (0.096::0.096) (0.056::0.056)) - (INTERCONNECT gpio_defaults[7] input11/A (0.136::0.136) (0.079::0.079)) - (INTERCONNECT gpio_defaults[7] ANTENNA_9/DIODE (0.136::0.136) (0.079::0.079)) - (INTERCONNECT gpio_defaults[8] input12/A (0.118::0.118) (0.068::0.068)) - (INTERCONNECT gpio_defaults[8] ANTENNA_10/DIODE (0.118::0.118) (0.068::0.068)) - (INTERCONNECT gpio_defaults[9] input13/A (0.115::0.115) (0.067::0.067)) - (INTERCONNECT gpio_defaults[9] ANTENNA_11/DIODE (0.115::0.115) (0.067::0.067)) - (INTERCONNECT mgmt_gpio_oeb input14/A (0.088::0.088) (0.051::0.051)) - (INTERCONNECT mgmt_gpio_oeb ANTENNA_12/DIODE (0.088::0.088) (0.051::0.051)) - (INTERCONNECT mgmt_gpio_out input15/A (0.095::0.095) (0.055::0.055)) - (INTERCONNECT mgmt_gpio_out ANTENNA_13/DIODE (0.095::0.095) (0.055::0.055)) - (INTERCONNECT pad_gpio_in input16/A (0.100::0.100) (0.058::0.058)) - (INTERCONNECT pad_gpio_in ANTENNA_16/DIODE (0.100::0.100) (0.058::0.058)) - (INTERCONNECT resetn input17/A (0.101::0.101) (0.057::0.057)) - (INTERCONNECT serial_clock clkbuf_0_serial_clock/A (0.108::0.108) (0.060::0.060)) - (INTERCONNECT serial_data_in input18/A (0.072::0.072) (0.042::0.042)) - (INTERCONNECT serial_data_in ANTENNA_17/DIODE (0.072::0.072) (0.042::0.042)) - (INTERCONNECT serial_load input19/A (0.069::0.069) (0.040::0.040)) - (INTERCONNECT serial_load ANTENNA_21/DIODE (0.069::0.069) (0.040::0.040)) - (INTERCONNECT user_gpio_oeb input20/A (0.066::0.066) (0.038::0.038)) - (INTERCONNECT user_gpio_oeb ANTENNA_18/DIODE (0.066::0.066) (0.038::0.038)) - (INTERCONNECT user_gpio_out input21/A (0.092::0.092) (0.053::0.053)) - (INTERCONNECT user_gpio_out ANTENNA_19/DIODE (0.092::0.092) (0.053::0.053)) + (INTERCONNECT gpio_defaults[0] input1/A (0.043::0.043) (0.025::0.025)) + (INTERCONNECT gpio_defaults[10] input2/A (0.063::0.063) (0.037::0.037)) + (INTERCONNECT gpio_defaults[11] input3/A (0.072::0.072) (0.042::0.042)) + (INTERCONNECT gpio_defaults[12] input4/A (0.073::0.073) (0.043::0.043)) + (INTERCONNECT gpio_defaults[1] input5/A (0.073::0.073) (0.043::0.043)) + (INTERCONNECT gpio_defaults[2] input6/A (0.076::0.076) (0.044::0.044)) + (INTERCONNECT gpio_defaults[3] input7/A (0.070::0.070) (0.041::0.041)) + (INTERCONNECT gpio_defaults[4] input8/A (0.097::0.097) (0.057::0.057)) + (INTERCONNECT gpio_defaults[5] input9/A (0.081::0.081) (0.047::0.047)) + (INTERCONNECT gpio_defaults[6] input10/A (0.053::0.053) (0.031::0.031)) + (INTERCONNECT gpio_defaults[7] input11/A (0.059::0.059) (0.035::0.035)) + (INTERCONNECT gpio_defaults[8] input12/A (0.070::0.070) (0.041::0.041)) + (INTERCONNECT gpio_defaults[9] input13/A (0.065::0.065) (0.038::0.038)) + (INTERCONNECT mgmt_gpio_oeb input14/A (0.044::0.044) (0.025::0.025)) + (INTERCONNECT mgmt_gpio_out input15/A (0.059::0.059) (0.034::0.034)) + (INTERCONNECT mgmt_gpio_out ANTENNA_5/DIODE (0.059::0.059) (0.034::0.034)) + (INTERCONNECT pad_gpio_in input16/A (0.073::0.073) (0.042::0.042)) + (INTERCONNECT pad_gpio_in ANTENNA_2/DIODE (0.073::0.073) (0.042::0.042)) + (INTERCONNECT resetn input17/A (0.085::0.085) (0.047::0.047)) + (INTERCONNECT serial_clock clkbuf_0_serial_clock/A (0.086::0.086) (0.048::0.048)) + (INTERCONNECT serial_data_in input18/A (0.126::0.126) (0.074::0.074)) + (INTERCONNECT serial_data_in ANTENNA_3/DIODE (0.126::0.126) (0.074::0.074)) + (INTERCONNECT serial_load _192_/A (0.235::0.235) (0.132::0.132)) + (INTERCONNECT serial_load _106__1/A (0.236::0.236) (0.132::0.132)) + (INTERCONNECT serial_load _106__2/A (0.237::0.237) (0.133::0.133)) + (INTERCONNECT serial_load _106__3/A (0.237::0.237) (0.134::0.134)) + (INTERCONNECT serial_load _106__4/A (0.237::0.237) (0.134::0.134)) + (INTERCONNECT serial_load _106__5/A (0.237::0.237) (0.134::0.134)) + (INTERCONNECT user_gpio_oeb input19/A (0.105::0.105) (0.061::0.061)) + (INTERCONNECT user_gpio_oeb ANTENNA_4/DIODE (0.105::0.105) (0.061::0.061)) + (INTERCONNECT user_gpio_out input20/A (0.117::0.117) (0.068::0.068)) + (INTERCONNECT user_gpio_out ANTENNA_6/DIODE (0.117::0.117) (0.068::0.068)) (INTERCONNECT _102_/X _103_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _103_/X _210_/SET_B (0.000::0.000) (0.000::0.000)) (INTERCONNECT _104_/X _105_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _105_/X _210_/RESET_B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _106_/Y _107_/A (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _106_/Y _136_/A (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _106_/Y _167_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _106_/Y _173_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _106_/Y _188_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _107_/X _112_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _106__1/Y _188_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _106__2/Y _173_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _106__3/Y _167_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _106__4/Y _136_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _106__5/Y _107_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _107_/X _112_/A (0.001::0.001) (0.001::0.001)) (INTERCONNECT _107_/X _118_/A (0.001::0.001) (0.001::0.001)) (INTERCONNECT _107_/X _124_/A (0.001::0.001) (0.001::0.001)) (INTERCONNECT _107_/X _130_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _107_/X _209_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _107_/X _209_/CLK_N (0.001::0.001) (0.001::0.001)) (INTERCONNECT _108_/X _109_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _109_/X _209_/SET_B (0.000::0.000) (0.000::0.000)) (INTERCONNECT _110_/X _111_/A (0.000::0.000) (0.000::0.000)) @@ -99,11 +89,11 @@ (INTERCONNECT _133_/X _205_/SET_B (0.000::0.000) (0.000::0.000)) (INTERCONNECT _134_/X _135_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _135_/X _205_/RESET_B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _136_/X _137_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _136_/X _143_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _136_/X _137_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _136_/X _143_/A (0.001::0.001) (0.001::0.001)) (INTERCONNECT _136_/X _149_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _136_/X _155_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _136_/X _161_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _136_/X _155_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _136_/X _161_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _137_/X _138_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _138_/X _204_/CLK_N (0.000::0.000) (0.000::0.000)) (INTERCONNECT _139_/X _140_/A (0.000::0.000) (0.000::0.000)) @@ -125,209 +115,229 @@ (INTERCONNECT _155_/X _156_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _156_/X _201_/CLK_N (0.000::0.000) (0.000::0.000)) (INTERCONNECT _157_/X _158_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _158_/X _201_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _158_/X _201_/SET_B (0.001::0.001) (0.001::0.001)) (INTERCONNECT _159_/X _160_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _160_/X _201_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _160_/X _201_/RESET_B (0.001::0.001) (0.001::0.001)) (INTERCONNECT _161_/X _162_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _162_/X _200_/CLK_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _163_/X _164_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _164_/X _200_/SET_B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _165_/X _166_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _166_/X _200_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _163_/X _164_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _164_/X _200_/SET_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _165_/X _166_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _166_/X _200_/RESET_B (0.001::0.001) (0.001::0.001)) (INTERCONNECT _167_/X _168_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _168_/X _199_/CLK_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _169_/X _170_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _169_/X _170_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _170_/X _199_/SET_B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _171_/X _172_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _171_/X _172_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _172_/X _199_/RESET_B (0.000::0.000) (0.000::0.000)) (INTERCONNECT _173_/X _174_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _174_/X _198_/CLK_N (0.000::0.000) (0.000::0.000)) (INTERCONNECT _175_/X _176_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _176_/X _198_/SET_B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _177_/X _178_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _176_/X _198_/SET_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _177_/X _178_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _178_/X _198_/RESET_B (0.000::0.000) (0.000::0.000)) (INTERCONNECT _179_/X _180_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _180_/X _197_/TE_B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _181_/X _182_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _182_/X _193_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _180_/X _197_/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _181_/X _182_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _182_/X _193_/A1 (0.000::0.000) (0.000::0.000)) (INTERCONNECT _183_/X _184_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _184_/X _194_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _185_/Y gpio_in_buf/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _185_/Y gpio_in_buf/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _186_/X _187_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _187_/X output38/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _187_/X output37/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _188_/X _189_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _189_/X _210_/CLK_N (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _190_/X output36/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _191_/X output37/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _192_/X output39/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _193_/X output33/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _189_/X _210_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _190_/X output35/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _191_/X output36/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _192_/X output38/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _193_/X output32/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _194_/X _195_/A1 (0.000::0.000) (0.000::0.000)) (INTERCONNECT _195_/X _196_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _196_/X output32/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _196_/X output31/A (0.001::0.001) (0.001::0.001)) (INTERCONNECT _197_/Z mgmt_gpio_in (0.002::0.002) (0.002::0.002)) (INTERCONNECT _198_/Q _193_/S (0.000::0.000) (0.000::0.000)) (INTERCONNECT _198_/Q _196_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _199_/Q output29/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _200_/Q output34/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _201_/Q output35/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _199_/Q output28/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _200_/Q output33/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _201_/Q output34/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _202_/Q _179_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _202_/Q output31/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _203_/Q output30/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _204_/Q _179_/B_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _204_/Q _181_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _205_/Q output26/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _202_/Q output30/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _203_/Q output29/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _204_/Q _179_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _204_/Q _181_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _205_/Q output25/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _205_/Q_N _194_/A0 (0.000::0.000) (0.000::0.000)) (INTERCONNECT _206_/Q _183_/B_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _206_/Q output27/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _206_/Q output26/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _207_/Q _183_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _207_/Q output28/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _208_/Q output23/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _209_/Q output25/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _210_/Q output24/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _211_/Q _198_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _207_/Q output27/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _208_/Q output22/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _209_/Q output24/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _210_/Q output23/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _211_/Q _212_/D (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _212_/Q _204_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _211_/Q hold11/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _212_/Q _213_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _213_/Q _199_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _212_/Q hold5/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _213_/Q _214_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _214_/Q _202_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _213_/Q hold12/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _214_/Q _215_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _215_/Q _203_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _214_/Q hold17/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _215_/Q _216_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _216_/Q _208_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _215_/Q hold13/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _216_/Q _217_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _217_/Q _209_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _216_/Q hold21/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _217_/Q _218_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _218_/Q _210_/D (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _218_/Q _219_/D (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _219_/Q _200_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _217_/Q hold15/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _218_/Q _219_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _218_/Q hold10/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _219_/Q _220_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _220_/Q _201_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _219_/Q hold16/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _220_/Q _221_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _221_/Q _205_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _221_/Q _222_/D (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _222_/Q _206_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _220_/Q hold14/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _221_/Q _222_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _221_/Q hold22/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _222_/Q _223_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _222_/Q hold20/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT _223_/Q _186_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _223_/Q _207_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _223_/Q hold6/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT clkbuf_0_serial_clock/X clkbuf_1_0_0_serial_clock/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT clkbuf_0_serial_clock/X clkbuf_1_1_0_serial_clock/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_1_0_0_serial_clock/X _223_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_1_0_0_serial_clock/X _222_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _222_/CLK (0.001::0.001) (0.001::0.001)) (INTERCONNECT clkbuf_1_0_0_serial_clock/X _218_/CLK (0.001::0.001) (0.001::0.001)) (INTERCONNECT clkbuf_1_0_0_serial_clock/X _217_/CLK (0.001::0.001) (0.001::0.001)) (INTERCONNECT clkbuf_1_0_0_serial_clock/X _216_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_0_0_serial_clock/X _215_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_serial_clock/X _221_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _215_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _214_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _213_/CLK (0.001::0.001) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _212_/CLK (0.001::0.001) (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _223_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _221_/CLK (0.001::0.001) (0.001::0.001)) (INTERCONNECT clkbuf_1_1_0_serial_clock/X _220_/CLK (0.001::0.001) (0.001::0.001)) (INTERCONNECT clkbuf_1_1_0_serial_clock/X _219_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_serial_clock/X _214_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_serial_clock/X _213_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_serial_clock/X _212_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_serial_clock/X _211_/CLK (0.001::0.001) (0.000::0.000)) - (INTERCONNECT clkbuf_1_1_0_serial_clock/X _191_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _211_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _191_/A (0.001::0.001) (0.000::0.000)) (INTERCONNECT const_source/HI one (0.000::0.000)) (INTERCONNECT const_source/HI _186_/A (0.000::0.000)) - (INTERCONNECT const_source/HI ANTENNA_14/DIODE (0.000::0.000)) - (INTERCONNECT const_source/HI ANTENNA_15/DIODE (0.000::0.000)) + (INTERCONNECT const_source/HI ANTENNA_0/DIODE (0.000::0.000)) + (INTERCONNECT const_source/HI ANTENNA_1/DIODE (0.000::0.000)) (INTERCONNECT const_source/LO zero (0.000::0.000)) - (INTERCONNECT gpio_in_buf/Z output40/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT gpio_in_buf/Z output39/A (0.001::0.001) (0.001::0.001)) (INTERCONNECT gpio_logic_high/gpio_logic1 gpio_in_buf/TE (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input1/X _177_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT hold1/X _201_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold10/X _210_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold11/X _198_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold12/X _199_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold13/X hold2/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold14/X hold1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold15/X _209_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold16/X hold3/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold17/X hold4/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold18/X _204_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold19/X _207_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold2/X _203_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold20/X hold7/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold21/X hold8/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold22/X hold9/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold3/X _200_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold4/X _202_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold5/X hold18/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold6/X hold19/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold7/X _206_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold8/X _208_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold9/X _205_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input1/X _177_/A (0.001::0.001) (0.001::0.001)) (INTERCONNECT input1/X _175_/B_N (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input10/X _110_/B (0.006::0.006) (0.006::0.006)) - (INTERCONNECT input10/X _108_/B_N (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input10/X _110_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input10/X _108_/B_N (0.000::0.000) (0.000::0.000)) (INTERCONNECT input11/X _104_/B (0.001::0.001) (0.001::0.001)) (INTERCONNECT input11/X _102_/B_N (0.001::0.001) (0.001::0.001)) (INTERCONNECT input12/X _165_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input12/X _163_/B_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input13/X _159_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input13/X _157_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input12/X _163_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input13/X _159_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input13/X _157_/B_N (0.001::0.001) (0.001::0.001)) (INTERCONNECT input14/X _195_/S (0.001::0.001) (0.001::0.001)) (INTERCONNECT input14/X _181_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input15/X _195_/A0 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input15/X _194_/A1 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input16/X _197_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input15/X _195_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input15/X _194_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input16/X _197_/A (0.000::0.000) (0.000::0.000)) (INTERCONNECT input16/X _185_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input17/X _223_/RESET_B (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input17/X _222_/RESET_B (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input17/X _221_/RESET_B (0.005::0.005) (0.005::0.005)) - (INTERCONNECT input17/X _220_/RESET_B (0.007::0.007) (0.007::0.007)) - (INTERCONNECT input17/X _219_/RESET_B (0.007::0.007) (0.007::0.007)) - (INTERCONNECT input17/X _218_/RESET_B (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _217_/RESET_B (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _216_/RESET_B (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _215_/RESET_B (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input17/X _214_/RESET_B (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input17/X _213_/RESET_B (0.007::0.007) (0.007::0.007)) - (INTERCONNECT input17/X _212_/RESET_B (0.008::0.008) (0.007::0.007)) - (INTERCONNECT input17/X _211_/RESET_B (0.003::0.003) (0.003::0.003)) - (INTERCONNECT input17/X _190_/A (0.007::0.007) (0.007::0.007)) - (INTERCONNECT input17/X _177_/B (0.005::0.005) (0.005::0.005)) - (INTERCONNECT input17/X _175_/A (0.004::0.004) (0.004::0.004)) - (INTERCONNECT input17/X _171_/A (0.007::0.007) (0.007::0.007)) - (INTERCONNECT input17/X _169_/A (0.008::0.008) (0.007::0.007)) - (INTERCONNECT input17/X _165_/A (0.007::0.007) (0.007::0.007)) - (INTERCONNECT input17/X _163_/A (0.007::0.007) (0.007::0.007)) - (INTERCONNECT input17/X _159_/A (0.007::0.007) (0.006::0.006)) - (INTERCONNECT input17/X _157_/A (0.007::0.007) (0.006::0.006)) - (INTERCONNECT input17/X _153_/A (0.009::0.009) (0.008::0.008)) - (INTERCONNECT input17/X _151_/A (0.009::0.009) (0.008::0.008)) - (INTERCONNECT input17/X _147_/A (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _145_/A (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _141_/A (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input17/X _139_/A (0.008::0.008) (0.007::0.007)) - (INTERCONNECT input17/X _134_/A (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input17/X _132_/A (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input17/X _128_/A (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input17/X _126_/A (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input17/X _122_/A (0.009::0.009) (0.008::0.008)) - (INTERCONNECT input17/X _120_/A (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _116_/A (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _114_/A (0.009::0.009) (0.008::0.008)) - (INTERCONNECT input17/X _110_/A (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _108_/A (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _104_/A (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input17/X _102_/A (0.009::0.009) (0.009::0.009)) - (INTERCONNECT input18/X _211_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input19/X _192_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input19/X _106_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input17/X _223_/RESET_B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input17/X _222_/RESET_B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input17/X _221_/RESET_B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input17/X _220_/RESET_B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _219_/RESET_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input17/X _218_/RESET_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input17/X _217_/RESET_B (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input17/X _216_/RESET_B (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input17/X _215_/RESET_B (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input17/X _214_/RESET_B (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input17/X _213_/RESET_B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _212_/RESET_B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _211_/RESET_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input17/X _190_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input17/X _177_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _175_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _171_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _169_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _165_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input17/X _163_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _159_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _157_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input17/X _153_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _151_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input17/X _147_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input17/X _145_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input17/X _141_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _139_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _134_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input17/X _132_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input17/X _128_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input17/X _126_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input17/X _122_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input17/X _120_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input17/X _116_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input17/X _114_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input17/X _110_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input17/X _108_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input17/X _104_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _102_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input18/X _211_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input19/X _193_/A0 (0.001::0.001) (0.001::0.001)) (INTERCONNECT input2/X _134_/B (0.000::0.000) (0.000::0.000)) (INTERCONNECT input2/X _132_/B_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input20/X _193_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input21/X _196_/A0 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input3/X _128_/B (0.005::0.005) (0.005::0.005)) - (INTERCONNECT input3/X _126_/B_N (0.005::0.005) (0.005::0.005)) - (INTERCONNECT input4/X _122_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input20/X _196_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input3/X _128_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input3/X _126_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input4/X _122_/B (0.001::0.001) (0.001::0.001)) (INTERCONNECT input4/X _120_/B_N (0.001::0.001) (0.001::0.001)) (INTERCONNECT input5/X _141_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input5/X _139_/B_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input6/X _171_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input6/X _169_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input5/X _139_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input6/X _171_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input6/X _169_/B_N (0.001::0.001) (0.001::0.001)) (INTERCONNECT input7/X _153_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input7/X _151_/B_N (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input8/X _147_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT input8/X _145_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input7/X _151_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input8/X _147_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input8/X _145_/B_N (0.000::0.000) (0.000::0.000)) (INTERCONNECT input9/X _116_/B (0.001::0.001) (0.001::0.001)) (INTERCONNECT input9/X _114_/B_N (0.001::0.001) (0.001::0.001)) - (INTERCONNECT output23/X pad_gpio_ana_en (0.001::0.001) (0.001::0.001)) - (INTERCONNECT output24/X pad_gpio_ana_pol (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output25/X pad_gpio_ana_sel (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output26/X pad_gpio_dm[0] (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output27/X pad_gpio_dm[1] (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output28/X pad_gpio_dm[2] (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output29/X pad_gpio_holdover (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output30/X pad_gpio_ib_mode_sel (0.001::0.001) (0.001::0.001)) - (INTERCONNECT output31/X pad_gpio_inenb (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output32/X pad_gpio_out (0.001::0.001) (0.001::0.001)) - (INTERCONNECT output33/X pad_gpio_outenb (0.012::0.012) (0.012::0.012)) - (INTERCONNECT output34/X pad_gpio_slow_sel (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output35/X pad_gpio_vtrip_sel (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output36/X resetn_out (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output37/X serial_clock_out (0.002::0.002) (0.002::0.002)) - (INTERCONNECT output38/X serial_data_out (0.001::0.001) (0.001::0.001)) - (INTERCONNECT output39/X serial_load_out (0.004::0.004) (0.004::0.004)) - (INTERCONNECT output40/X user_gpio_in (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output22/X pad_gpio_ana_en (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output23/X pad_gpio_ana_pol (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output24/X pad_gpio_ana_sel (0.004::0.004) (0.004::0.004)) + (INTERCONNECT output25/X pad_gpio_dm[0] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output26/X pad_gpio_dm[1] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output27/X pad_gpio_dm[2] (0.004::0.004) (0.004::0.004)) + (INTERCONNECT output28/X pad_gpio_holdover (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output29/X pad_gpio_ib_mode_sel (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output30/X pad_gpio_inenb (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output31/X pad_gpio_out (0.005::0.005) (0.005::0.005)) + (INTERCONNECT output32/X pad_gpio_outenb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output33/X pad_gpio_slow_sel (0.004::0.004) (0.004::0.004)) + (INTERCONNECT output34/X pad_gpio_vtrip_sel (0.003::0.003) (0.003::0.003)) + (INTERCONNECT output35/X resetn_out (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output36/X serial_clock_out (0.004::0.004) (0.004::0.004)) + (INTERCONNECT output37/X serial_data_out (0.003::0.003) (0.003::0.003)) + (INTERCONNECT output38/X serial_load_out (0.006::0.006) (0.006::0.006)) + (INTERCONNECT output39/X user_gpio_in (0.001::0.001) (0.001::0.001)) ) ) ) @@ -336,8 +346,8 @@ (INSTANCE _102_) (DELAY (ABSOLUTE - (IOPATH A X (0.117::0.117) (0.223::0.223)) - (IOPATH B_N X (0.161::0.161) (0.240::0.240)) + (IOPATH A X (0.131::0.131) (0.236::0.236)) + (IOPATH B_N X (0.167::0.167) (0.249::0.249)) ) ) ) @@ -346,7 +356,7 @@ (INSTANCE _103_) (DELAY (ABSOLUTE - (IOPATH A X (0.098::0.098) (0.098::0.098)) + (IOPATH A X (0.105::0.105) (0.103::0.103)) ) ) ) @@ -355,8 +365,8 @@ (INSTANCE _104_) (DELAY (ABSOLUTE - (IOPATH A X (0.124::0.124) (0.231::0.231)) - (IOPATH B X (0.124::0.124) (0.216::0.216)) + (IOPATH A X (0.134::0.134) (0.241::0.241)) + (IOPATH B X (0.127::0.127) (0.220::0.220)) ) ) ) @@ -365,25 +375,61 @@ (INSTANCE _105_) (DELAY (ABSOLUTE - (IOPATH A X (0.081::0.082) (0.089::0.089)) + (IOPATH A X (0.078::0.078) (0.087::0.087)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _106_) + (INSTANCE _106__1) (DELAY (ABSOLUTE - (IOPATH A Y (0.131::0.131) (0.102::0.102)) + (IOPATH A Y (0.122::0.122) (0.091::0.091)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _106__2) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _106__3) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.087::0.087) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _106__4) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _106__5) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _107_) (DELAY (ABSOLUTE - (IOPATH A X (0.234::0.234) (0.210::0.210)) + (IOPATH A X (0.224::0.224) (0.168::0.168)) ) ) ) @@ -392,8 +438,8 @@ (INSTANCE _108_) (DELAY (ABSOLUTE - (IOPATH A X (0.119::0.119) (0.224::0.224)) - (IOPATH B_N X (0.161::0.161) (0.240::0.240)) + (IOPATH A X (0.123::0.123) (0.229::0.229)) + (IOPATH B_N X (0.146::0.146) (0.228::0.228)) ) ) ) @@ -402,7 +448,7 @@ (INSTANCE _109_) (DELAY (ABSOLUTE - (IOPATH A X (0.090::0.090) (0.093::0.093)) + (IOPATH A X (0.096::0.097) (0.097::0.098)) ) ) ) @@ -411,8 +457,8 @@ (INSTANCE _110_) (DELAY (ABSOLUTE - (IOPATH A X (0.129::0.129) (0.236::0.236)) - (IOPATH B X (0.127::0.127) (0.221::0.221)) + (IOPATH A X (0.128::0.128) (0.234::0.234)) + (IOPATH B X (0.101::0.101) (0.202::0.202)) ) ) ) @@ -421,25 +467,25 @@ (INSTANCE _111_) (DELAY (ABSOLUTE - (IOPATH A X (0.084::0.084) (0.091::0.091)) + (IOPATH A X (0.078::0.078) (0.087::0.087)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _112_) (DELAY (ABSOLUTE - (IOPATH A X (0.127::0.127) (0.120::0.120)) + (IOPATH A X (0.127::0.127) (0.123::0.123)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _113_) (DELAY (ABSOLUTE - (IOPATH A X (0.095::0.095) (0.087::0.087)) + (IOPATH A X (0.079::0.079) (0.077::0.077)) ) ) ) @@ -448,8 +494,8 @@ (INSTANCE _114_) (DELAY (ABSOLUTE - (IOPATH A X (0.128::0.128) (0.235::0.235)) - (IOPATH B_N X (0.167::0.167) (0.249::0.249)) + (IOPATH A X (0.124::0.124) (0.230::0.230)) + (IOPATH B_N X (0.157::0.157) (0.240::0.240)) ) ) ) @@ -458,7 +504,7 @@ (INSTANCE _115_) (DELAY (ABSOLUTE - (IOPATH A X (0.102::0.102) (0.101::0.101)) + (IOPATH A X (0.090::0.091) (0.094::0.094)) ) ) ) @@ -467,8 +513,8 @@ (INSTANCE _116_) (DELAY (ABSOLUTE - (IOPATH A X (0.146::0.146) (0.252::0.252)) - (IOPATH B X (0.142::0.142) (0.233::0.233)) + (IOPATH A X (0.135::0.135) (0.241::0.241)) + (IOPATH B X (0.125::0.125) (0.217::0.217)) ) ) ) @@ -477,25 +523,25 @@ (INSTANCE _117_) (DELAY (ABSOLUTE - (IOPATH A X (0.102::0.102) (0.103::0.103)) + (IOPATH A X (0.085::0.085) (0.092::0.092)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _118_) (DELAY (ABSOLUTE - (IOPATH A X (0.134::0.134) (0.125::0.125)) + (IOPATH A X (0.120::0.120) (0.119::0.119)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _119_) (DELAY (ABSOLUTE - (IOPATH A X (0.092::0.092) (0.087::0.087)) + (IOPATH A X (0.114::0.114) (0.098::0.098)) ) ) ) @@ -504,8 +550,8 @@ (INSTANCE _120_) (DELAY (ABSOLUTE - (IOPATH A X (0.123::0.123) (0.229::0.229)) - (IOPATH B_N X (0.167::0.167) (0.247::0.247)) + (IOPATH A X (0.139::0.139) (0.243::0.243)) + (IOPATH B_N X (0.182::0.182) (0.261::0.261)) ) ) ) @@ -514,7 +560,7 @@ (INSTANCE _121_) (DELAY (ABSOLUTE - (IOPATH A X (0.089::0.089) (0.093::0.094)) + (IOPATH A X (0.098::0.099) (0.100::0.100)) ) ) ) @@ -523,8 +569,8 @@ (INSTANCE _122_) (DELAY (ABSOLUTE - (IOPATH A X (0.121::0.121) (0.228::0.228)) - (IOPATH B X (0.122::0.122) (0.214::0.214)) + (IOPATH A X (0.133::0.133) (0.239::0.239)) + (IOPATH B X (0.132::0.132) (0.225::0.225)) ) ) ) @@ -533,25 +579,25 @@ (INSTANCE _123_) (DELAY (ABSOLUTE - (IOPATH A X (0.080::0.080) (0.088::0.088)) + (IOPATH A X (0.107::0.107) (0.104::0.105)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _124_) (DELAY (ABSOLUTE - (IOPATH A X (0.143::0.143) (0.129::0.129)) + (IOPATH A X (0.120::0.120) (0.119::0.119)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _125_) (DELAY (ABSOLUTE - (IOPATH A X (0.106::0.106) (0.095::0.095)) + (IOPATH A X (0.096::0.096) (0.087::0.087)) ) ) ) @@ -560,8 +606,8 @@ (INSTANCE _126_) (DELAY (ABSOLUTE - (IOPATH A X (0.132::0.132) (0.238::0.238)) - (IOPATH B_N X (0.165::0.165) (0.248::0.248)) + (IOPATH A X (0.149::0.149) (0.253::0.253)) + (IOPATH B_N X (0.195::0.195) (0.272::0.272)) ) ) ) @@ -570,7 +616,7 @@ (INSTANCE _127_) (DELAY (ABSOLUTE - (IOPATH A X (0.104::0.104) (0.102::0.103)) + (IOPATH A X (0.137::0.137) (0.123::0.123)) ) ) ) @@ -579,8 +625,8 @@ (INSTANCE _128_) (DELAY (ABSOLUTE - (IOPATH A X (0.132::0.132) (0.239::0.239)) - (IOPATH B X (0.122::0.122) (0.215::0.215)) + (IOPATH A X (0.162::0.162) (0.265::0.265)) + (IOPATH B X (0.163::0.163) (0.253::0.253)) ) ) ) @@ -589,25 +635,25 @@ (INSTANCE _129_) (DELAY (ABSOLUTE - (IOPATH A X (0.096::0.096) (0.098::0.098)) + (IOPATH A X (0.109::0.109) (0.108::0.109)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _130_) (DELAY (ABSOLUTE - (IOPATH A X (0.118::0.118) (0.115::0.115)) + (IOPATH A X (0.115::0.115) (0.116::0.116)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _131_) (DELAY (ABSOLUTE - (IOPATH A X (0.104::0.104) (0.092::0.092)) + (IOPATH A X (0.079::0.079) (0.077::0.077)) ) ) ) @@ -616,8 +662,8 @@ (INSTANCE _132_) (DELAY (ABSOLUTE - (IOPATH A X (0.125::0.125) (0.232::0.232)) - (IOPATH B_N X (0.162::0.162) (0.245::0.245)) + (IOPATH A X (0.124::0.124) (0.229::0.229)) + (IOPATH B_N X (0.149::0.149) (0.231::0.231)) ) ) ) @@ -626,7 +672,7 @@ (INSTANCE _133_) (DELAY (ABSOLUTE - (IOPATH A X (0.113::0.113) (0.107::0.108)) + (IOPATH A X (0.097::0.097) (0.098::0.098)) ) ) ) @@ -635,8 +681,8 @@ (INSTANCE _134_) (DELAY (ABSOLUTE - (IOPATH A X (0.166::0.166) (0.270::0.270)) - (IOPATH B X (0.160::0.160) (0.249::0.249)) + (IOPATH A X (0.134::0.134) (0.241::0.241)) + (IOPATH B X (0.112::0.112) (0.211::0.211)) ) ) ) @@ -645,34 +691,34 @@ (INSTANCE _135_) (DELAY (ABSOLUTE - (IOPATH A X (0.151::0.151) (0.133::0.133)) + (IOPATH A X (0.092::0.092) (0.096::0.096)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _136_) (DELAY (ABSOLUTE - (IOPATH A X (0.240::0.240) (0.214::0.214)) + (IOPATH A X (0.216::0.216) (0.163::0.163)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _137_) (DELAY (ABSOLUTE - (IOPATH A X (0.115::0.115) (0.114::0.114)) + (IOPATH A X (0.117::0.117) (0.115::0.115)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _138_) (DELAY (ABSOLUTE - (IOPATH A X (0.083::0.083) (0.080::0.080)) + (IOPATH A X (0.079::0.079) (0.077::0.077)) ) ) ) @@ -681,8 +727,8 @@ (INSTANCE _139_) (DELAY (ABSOLUTE - (IOPATH A X (0.129::0.129) (0.236::0.236)) - (IOPATH B_N X (0.162::0.162) (0.246::0.246)) + (IOPATH A X (0.129::0.129) (0.234::0.234)) + (IOPATH B_N X (0.165::0.165) (0.247::0.247)) ) ) ) @@ -691,7 +737,7 @@ (INSTANCE _140_) (DELAY (ABSOLUTE - (IOPATH A X (0.103::0.103) (0.102::0.102)) + (IOPATH A X (0.096::0.097) (0.098::0.098)) ) ) ) @@ -700,8 +746,8 @@ (INSTANCE _141_) (DELAY (ABSOLUTE - (IOPATH A X (0.154::0.154) (0.259::0.259)) - (IOPATH B X (0.145::0.145) (0.236::0.236)) + (IOPATH A X (0.139::0.139) (0.245::0.245)) + (IOPATH B X (0.132::0.132) (0.225::0.225)) ) ) ) @@ -710,25 +756,25 @@ (INSTANCE _142_) (DELAY (ABSOLUTE - (IOPATH A X (0.105::0.105) (0.106::0.106)) + (IOPATH A X (0.097::0.097) (0.099::0.099)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _143_) (DELAY (ABSOLUTE - (IOPATH A X (0.132::0.132) (0.124::0.124)) + (IOPATH A X (0.129::0.129) (0.123::0.123)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _144_) (DELAY (ABSOLUTE - (IOPATH A X (0.124::0.124) (0.105::0.105)) + (IOPATH A X (0.096::0.096) (0.088::0.088)) ) ) ) @@ -737,8 +783,8 @@ (INSTANCE _145_) (DELAY (ABSOLUTE - (IOPATH A X (0.127::0.127) (0.234::0.234)) - (IOPATH B_N X (0.162::0.162) (0.245::0.245)) + (IOPATH A X (0.136::0.136) (0.241::0.241)) + (IOPATH B_N X (0.165::0.165) (0.248::0.248)) ) ) ) @@ -747,7 +793,7 @@ (INSTANCE _146_) (DELAY (ABSOLUTE - (IOPATH A X (0.100::0.100) (0.100::0.100)) + (IOPATH A X (0.120::0.120) (0.112::0.112)) ) ) ) @@ -756,8 +802,8 @@ (INSTANCE _147_) (DELAY (ABSOLUTE - (IOPATH A X (0.124::0.124) (0.231::0.231)) - (IOPATH B X (0.116::0.116) (0.208::0.208)) + (IOPATH A X (0.158::0.158) (0.262::0.262)) + (IOPATH B X (0.143::0.143) (0.236::0.236)) ) ) ) @@ -766,25 +812,25 @@ (INSTANCE _148_) (DELAY (ABSOLUTE - (IOPATH A X (0.093::0.093) (0.096::0.096)) + (IOPATH A X (0.110::0.110) (0.109::0.110)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _149_) (DELAY (ABSOLUTE - (IOPATH A X (0.112::0.112) (0.112::0.112)) + (IOPATH A X (0.131::0.131) (0.124::0.124)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _150_) (DELAY (ABSOLUTE - (IOPATH A X (0.094::0.094) (0.086::0.086)) + (IOPATH A X (0.097::0.097) (0.089::0.089)) ) ) ) @@ -793,8 +839,8 @@ (INSTANCE _151_) (DELAY (ABSOLUTE - (IOPATH A X (0.143::0.143) (0.249::0.249)) - (IOPATH B_N X (0.183::0.183) (0.263::0.263)) + (IOPATH A X (0.144::0.144) (0.248::0.248)) + (IOPATH B_N X (0.176::0.176) (0.259::0.259)) ) ) ) @@ -803,7 +849,7 @@ (INSTANCE _152_) (DELAY (ABSOLUTE - (IOPATH A X (0.123::0.123) (0.115::0.115)) + (IOPATH A X (0.117::0.117) (0.111::0.111)) ) ) ) @@ -812,8 +858,8 @@ (INSTANCE _153_) (DELAY (ABSOLUTE - (IOPATH A X (0.159::0.159) (0.264::0.264)) - (IOPATH B X (0.156::0.156) (0.246::0.246)) + (IOPATH A X (0.137::0.137) (0.243::0.243)) + (IOPATH B X (0.126::0.126) (0.219::0.219)) ) ) ) @@ -822,25 +868,25 @@ (INSTANCE _154_) (DELAY (ABSOLUTE - (IOPATH A X (0.123::0.123) (0.117::0.117)) + (IOPATH A X (0.101::0.102) (0.102::0.102)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _155_) (DELAY (ABSOLUTE - (IOPATH A X (0.120::0.120) (0.117::0.117)) + (IOPATH A X (0.159::0.159) (0.140::0.140)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _156_) (DELAY (ABSOLUTE - (IOPATH A X (0.090::0.090) (0.084::0.084)) + (IOPATH A X (0.138::0.138) (0.115::0.115)) ) ) ) @@ -849,8 +895,8 @@ (INSTANCE _157_) (DELAY (ABSOLUTE - (IOPATH A X (0.135::0.135) (0.241::0.241)) - (IOPATH B_N X (0.166::0.166) (0.249::0.249)) + (IOPATH A X (0.155::0.155) (0.258::0.258)) + (IOPATH B_N X (0.201::0.201) (0.277::0.277)) ) ) ) @@ -859,7 +905,7 @@ (INSTANCE _158_) (DELAY (ABSOLUTE - (IOPATH A X (0.115::0.116) (0.109::0.110)) + (IOPATH A X (0.165::0.165) (0.139::0.139)) ) ) ) @@ -868,8 +914,8 @@ (INSTANCE _159_) (DELAY (ABSOLUTE - (IOPATH A X (0.128::0.128) (0.235::0.235)) - (IOPATH B X (0.116::0.116) (0.210::0.210)) + (IOPATH A X (0.138::0.138) (0.244::0.244)) + (IOPATH B X (0.140::0.140) (0.232::0.232)) ) ) ) @@ -878,25 +924,25 @@ (INSTANCE _160_) (DELAY (ABSOLUTE - (IOPATH A X (0.096::0.097) (0.098::0.098)) + (IOPATH A X (0.157::0.157) (0.132::0.132)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _161_) (DELAY (ABSOLUTE - (IOPATH A X (0.119::0.119) (0.117::0.117)) + (IOPATH A X (0.117::0.117) (0.115::0.115)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _162_) (DELAY (ABSOLUTE - (IOPATH A X (0.077::0.077) (0.075::0.075)) + (IOPATH A X (0.113::0.113) (0.098::0.098)) ) ) ) @@ -905,8 +951,8 @@ (INSTANCE _163_) (DELAY (ABSOLUTE - (IOPATH A X (0.132::0.132) (0.238::0.238)) - (IOPATH B_N X (0.162::0.162) (0.246::0.246)) + (IOPATH A X (0.157::0.157) (0.260::0.260)) + (IOPATH B_N X (0.201::0.201) (0.278::0.278)) ) ) ) @@ -915,7 +961,7 @@ (INSTANCE _164_) (DELAY (ABSOLUTE - (IOPATH A X (0.107::0.107) (0.104::0.104)) + (IOPATH A X (0.194::0.194) (0.154::0.155)) ) ) ) @@ -924,8 +970,8 @@ (INSTANCE _165_) (DELAY (ABSOLUTE - (IOPATH A X (0.137::0.137) (0.244::0.244)) - (IOPATH B X (0.124::0.124) (0.218::0.218)) + (IOPATH A X (0.172::0.172) (0.273::0.273)) + (IOPATH B X (0.173::0.173) (0.260::0.260)) ) ) ) @@ -934,25 +980,25 @@ (INSTANCE _166_) (DELAY (ABSOLUTE - (IOPATH A X (0.083::0.083) (0.090::0.091)) + (IOPATH A X (0.159::0.159) (0.137::0.137)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _167_) (DELAY (ABSOLUTE - (IOPATH A X (0.100::0.100) (0.100::0.100)) + (IOPATH A X (0.092::0.092) (0.097::0.097)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _168_) (DELAY (ABSOLUTE - (IOPATH A X (0.091::0.091) (0.085::0.085)) + (IOPATH A X (0.092::0.092) (0.086::0.086)) ) ) ) @@ -961,8 +1007,8 @@ (INSTANCE _169_) (DELAY (ABSOLUTE - (IOPATH A X (0.159::0.159) (0.263::0.263)) - (IOPATH B_N X (0.189::0.189) (0.270::0.270)) + (IOPATH A X (0.130::0.130) (0.236::0.236)) + (IOPATH B_N X (0.166::0.166) (0.248::0.248)) ) ) ) @@ -971,7 +1017,7 @@ (INSTANCE _170_) (DELAY (ABSOLUTE - (IOPATH A X (0.146::0.146) (0.129::0.130)) + (IOPATH A X (0.115::0.115) (0.109::0.109)) ) ) ) @@ -980,8 +1026,8 @@ (INSTANCE _171_) (DELAY (ABSOLUTE - (IOPATH A X (0.193::0.193) (0.288::0.288)) - (IOPATH B X (0.180::0.180) (0.262::0.262)) + (IOPATH A X (0.143::0.143) (0.248::0.248)) + (IOPATH B X (0.135::0.135) (0.227::0.227)) ) ) ) @@ -990,25 +1036,25 @@ (INSTANCE _172_) (DELAY (ABSOLUTE - (IOPATH A X (0.150::0.150) (0.135::0.136)) + (IOPATH A X (0.112::0.112) (0.108::0.109)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _173_) (DELAY (ABSOLUTE - (IOPATH A X (0.108::0.108) (0.105::0.105)) + (IOPATH A X (0.082::0.082) (0.091::0.091)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _174_) (DELAY (ABSOLUTE - (IOPATH A X (0.098::0.098) (0.089::0.089)) + (IOPATH A X (0.123::0.123) (0.103::0.103)) ) ) ) @@ -1017,8 +1063,8 @@ (INSTANCE _175_) (DELAY (ABSOLUTE - (IOPATH A X (0.160::0.160) (0.264::0.264)) - (IOPATH B_N X (0.202::0.202) (0.280::0.280)) + (IOPATH A X (0.155::0.155) (0.258::0.258)) + (IOPATH B_N X (0.193::0.193) (0.272::0.272)) ) ) ) @@ -1027,7 +1073,7 @@ (INSTANCE _176_) (DELAY (ABSOLUTE - (IOPATH A X (0.138::0.138) (0.125::0.125)) + (IOPATH A X (0.156::0.156) (0.135::0.135)) ) ) ) @@ -1036,8 +1082,8 @@ (INSTANCE _177_) (DELAY (ABSOLUTE - (IOPATH A X (0.175::0.175) (0.277::0.277)) - (IOPATH B X (0.172::0.172) (0.257::0.257)) + (IOPATH A X (0.159::0.159) (0.264::0.264)) + (IOPATH B X (0.157::0.157) (0.246::0.246)) ) ) ) @@ -1046,7 +1092,7 @@ (INSTANCE _178_) (DELAY (ABSOLUTE - (IOPATH A X (0.156::0.156) (0.136::0.136)) + (IOPATH A X (0.145::0.145) (0.129::0.129)) ) ) ) @@ -1055,8 +1101,8 @@ (INSTANCE _179_) (DELAY (ABSOLUTE - (IOPATH A X (0.150::0.150) (0.259::0.259)) - (IOPATH B_N X (0.183::0.183) (0.261::0.261)) + (IOPATH A X (0.128::0.128) (0.237::0.237)) + (IOPATH B_N X (0.170::0.170) (0.248::0.248)) ) ) ) @@ -1065,7 +1111,7 @@ (INSTANCE _180_) (DELAY (ABSOLUTE - (IOPATH A X (0.198::0.198) (0.156::0.156)) + (IOPATH A X (0.119::0.120) (0.110::0.110)) ) ) ) @@ -1074,8 +1120,8 @@ (INSTANCE _181_) (DELAY (ABSOLUTE - (IOPATH A X (0.175::0.175) (0.166::0.166)) - (IOPATH B X (0.211::0.211) (0.211::0.211)) + (IOPATH A X (0.195::0.195) (0.181::0.181)) + (IOPATH B X (0.197::0.197) (0.200::0.200)) ) ) ) @@ -1084,7 +1130,7 @@ (INSTANCE _182_) (DELAY (ABSOLUTE - (IOPATH A X (0.165::0.165) (0.133::0.133)) + (IOPATH A X (0.138::0.138) (0.117::0.118)) ) ) ) @@ -1093,8 +1139,8 @@ (INSTANCE _183_) (DELAY (ABSOLUTE - (IOPATH A X (0.112::0.112) (0.227::0.227)) - (IOPATH B_N X (0.155::0.155) (0.235::0.235)) + (IOPATH A X (0.100::0.100) (0.215::0.215)) + (IOPATH B_N X (0.144::0.144) (0.224::0.224)) ) ) ) @@ -1103,7 +1149,7 @@ (INSTANCE _184_) (DELAY (ABSOLUTE - (IOPATH A X (0.110::0.110) (0.105::0.106)) + (IOPATH A X (0.105::0.105) (0.102::0.102)) ) ) ) @@ -1112,7 +1158,7 @@ (INSTANCE _185_) (DELAY (ABSOLUTE - (IOPATH A Y (0.098::0.098) (0.082::0.082)) + (IOPATH A Y (0.064::0.064) (0.052::0.052)) ) ) ) @@ -1122,7 +1168,7 @@ (DELAY (ABSOLUTE (IOPATH A X (0.000::0.000)) - (IOPATH B X (0.187::0.187) (0.193::0.193)) + (IOPATH B X (0.195::0.195) (0.198::0.198)) ) ) ) @@ -1131,25 +1177,25 @@ (INSTANCE _187_) (DELAY (ABSOLUTE - (IOPATH A X (0.111::0.111) (0.104::0.104)) + (IOPATH A X (0.151::0.151) (0.128::0.128)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _188_) (DELAY (ABSOLUTE - (IOPATH A X (0.106::0.106) (0.104::0.104)) + (IOPATH A X (0.111::0.111) (0.115::0.115)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_1") (INSTANCE _189_) (DELAY (ABSOLUTE - (IOPATH A X (0.139::0.139) (0.112::0.112)) + (IOPATH A X (0.119::0.119) (0.102::0.102)) ) ) ) @@ -1158,7 +1204,7 @@ (INSTANCE _190_) (DELAY (ABSOLUTE - (IOPATH A X (0.133::0.133) (0.116::0.116)) + (IOPATH A X (0.166::0.166) (0.134::0.134)) ) ) ) @@ -1167,16 +1213,16 @@ (INSTANCE _191_) (DELAY (ABSOLUTE - (IOPATH A X (0.159::0.159) (0.165::0.165)) + (IOPATH A X (0.160::0.160) (0.162::0.162)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__buf_2") (INSTANCE _192_) (DELAY (ABSOLUTE - (IOPATH A X (0.133::0.133) (0.116::0.116)) + (IOPATH A X (0.214::0.214) (0.205::0.205)) ) ) ) @@ -1185,10 +1231,10 @@ (INSTANCE _193_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.148::0.148) (0.289::0.289)) - (IOPATH A1 X (0.151::0.151) (0.299::0.299)) - (IOPATH S X (0.194::0.194) (0.324::0.324)) - (IOPATH S X (0.139::0.139) (0.309::0.309)) + (IOPATH A0 X (0.168::0.168) (0.307::0.307)) + (IOPATH A1 X (0.154::0.154) (0.308::0.308)) + (IOPATH S X (0.214::0.214) (0.345::0.345)) + (IOPATH S X (0.160::0.160) (0.328::0.328)) ) ) ) @@ -1197,10 +1243,10 @@ (INSTANCE _194_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.116::0.116) (0.277::0.277)) - (IOPATH A1 X (0.160::0.160) (0.303::0.303)) - (IOPATH S X (0.189::0.189) (0.319::0.319)) - (IOPATH S X (0.135::0.135) (0.303::0.303)) + (IOPATH A0 X (0.123::0.123) (0.284::0.284)) + (IOPATH A1 X (0.141::0.141) (0.295::0.295)) + (IOPATH S X (0.194::0.194) (0.325::0.325)) + (IOPATH S X (0.140::0.140) (0.309::0.309)) ) ) ) @@ -1209,10 +1255,10 @@ (INSTANCE _195_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.164::0.164) (0.301::0.301)) - (IOPATH A1 X (0.124::0.126) (0.298::0.298)) - (IOPATH S X (0.220::0.220) (0.348::0.348)) - (IOPATH S X (0.171::0.171) (0.330::0.330)) + (IOPATH A0 X (0.148::0.148) (0.294::0.294)) + (IOPATH A1 X (0.134::0.135) (0.308::0.308)) + (IOPATH S X (0.223::0.223) (0.353::0.353)) + (IOPATH S X (0.173::0.173) (0.334::0.334)) ) ) ) @@ -1221,10 +1267,10 @@ (INSTANCE _196_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.148::0.148) (0.287::0.287)) - (IOPATH A1 X (0.119::0.121) (0.291::0.292)) - (IOPATH S X (0.190::0.190) (0.319::0.319)) - (IOPATH S X (0.135::0.135) (0.304::0.304)) + (IOPATH A0 X (0.192::0.192) (0.331::0.331)) + (IOPATH A1 X (0.166::0.167) (0.338::0.338)) + (IOPATH S X (0.238::0.238) (0.371::0.371)) + (IOPATH S X (0.184::0.184) (0.353::0.353)) ) ) ) @@ -1233,8 +1279,8 @@ (INSTANCE _197_) (DELAY (ABSOLUTE - (IOPATH A Z (0.693::0.693) (0.336::0.336)) - (IOPATH TE_B Z (0.638::0.638) (0.308::0.308)) + (IOPATH A Z (0.691::0.691) (0.326::0.326)) + (IOPATH TE_B Z (0.632::0.632) (0.293::0.293)) ) ) ) @@ -1247,19 +1293,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.467::0.467) (0.355::0.355)) - (IOPATH CLK_N Q (0.480::0.480) (0.561::0.561)) + (IOPATH CLK_N Q_N (0.475::0.475) (0.363::0.363)) + (IOPATH CLK_N Q (0.508::0.508) (0.581::0.581)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.059::0.059)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.052::-0.052)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.054::0.054)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.089::0.089)) - (HOLD (posedge D) (negedge CLK_N) (0.032::0.032)) - (HOLD (negedge D) (negedge CLK_N) (-0.149::-0.149)) - (SETUP (posedge D) (negedge CLK_N) (0.093::0.093)) - (SETUP (negedge D) (negedge CLK_N) (0.168::0.168)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.061::0.061)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.053::-0.053)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.063::0.063)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.079::0.079)) + (HOLD (posedge D) (negedge CLK_N) (0.054::0.054)) + (HOLD (negedge D) (negedge CLK_N) (-0.128::-0.128)) + (SETUP (posedge D) (negedge CLK_N) (0.070::0.070)) + (SETUP (negedge D) (negedge CLK_N) (0.147::0.147)) ) ) (CELL @@ -1271,19 +1317,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.466::0.466) (0.354::0.354)) - (IOPATH CLK_N Q (0.449::0.449) (0.542::0.542)) + (IOPATH CLK_N Q_N (0.465::0.465) (0.353::0.353)) + (IOPATH CLK_N Q (0.485::0.485) (0.565::0.565)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.056::0.056)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.049::-0.049)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.057::0.057)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.085::0.085)) - (HOLD (posedge D) (negedge CLK_N) (0.038::0.038)) - (HOLD (negedge D) (negedge CLK_N) (-0.143::-0.143)) - (SETUP (posedge D) (negedge CLK_N) (0.088::0.088)) - (SETUP (negedge D) (negedge CLK_N) (0.162::0.162)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.060::0.060)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.053::-0.053)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.064::0.064)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.079::0.079)) + (HOLD (posedge D) (negedge CLK_N) (0.044::0.044)) + (HOLD (negedge D) (negedge CLK_N) (-0.136::-0.136)) + (SETUP (posedge D) (negedge CLK_N) (0.081::0.081)) + (SETUP (negedge D) (negedge CLK_N) (0.155::0.155)) ) ) (CELL @@ -1295,19 +1341,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.462::0.462) (0.350::0.350)) - (IOPATH CLK_N Q (0.455::0.455) (0.544::0.544)) + (IOPATH CLK_N Q_N (0.472::0.472) (0.360::0.360)) + (IOPATH CLK_N Q (0.470::0.470) (0.558::0.558)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.059::0.059)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.052::-0.052)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.070::0.070)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.073::0.073)) - (HOLD (posedge D) (negedge CLK_N) (0.038::0.038)) - (HOLD (negedge D) (negedge CLK_N) (-0.140::-0.140)) - (SETUP (posedge D) (negedge CLK_N) (0.088::0.088)) - (SETUP (negedge D) (negedge CLK_N) (0.159::0.159)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.048::0.048)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.041::-0.041)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.057::0.057)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.085::0.085)) + (HOLD (posedge D) (negedge CLK_N) (0.049::0.049)) + (HOLD (negedge D) (negedge CLK_N) (-0.133::-0.133)) + (SETUP (posedge D) (negedge CLK_N) (0.075::0.075)) + (SETUP (negedge D) (negedge CLK_N) (0.152::0.152)) ) ) (CELL @@ -1319,19 +1365,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.465::0.465) (0.353::0.353)) - (IOPATH CLK_N Q (0.452::0.452) (0.544::0.544)) + (IOPATH CLK_N Q_N (0.475::0.475) (0.362::0.362)) + (IOPATH CLK_N Q (0.476::0.476) (0.563::0.563)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.061::0.061)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.054::-0.054)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.067::0.067)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075::0.075)) - (HOLD (posedge D) (negedge CLK_N) (0.037::0.037)) - (HOLD (negedge D) (negedge CLK_N) (-0.144::-0.144)) - (SETUP (posedge D) (negedge CLK_N) (0.089::0.089)) - (SETUP (negedge D) (negedge CLK_N) (0.162::0.162)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.058::0.058)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.051::-0.051)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.056::0.056)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.086::0.086)) + (HOLD (posedge D) (negedge CLK_N) (0.048::0.048)) + (HOLD (negedge D) (negedge CLK_N) (-0.136::-0.136)) + (SETUP (posedge D) (negedge CLK_N) (0.076::0.076)) + (SETUP (negedge D) (negedge CLK_N) (0.155::0.155)) ) ) (CELL @@ -1343,19 +1389,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.467::0.467) (0.355::0.355)) - (IOPATH CLK_N Q (0.502::0.502) (0.575::0.575)) + (IOPATH CLK_N Q_N (0.466::0.466) (0.354::0.354)) + (IOPATH CLK_N Q (0.534::0.534) (0.592::0.592)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.061::0.061)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.054::-0.054)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.064::0.064)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.079::0.079)) - (HOLD (posedge D) (negedge CLK_N) (0.041::0.041)) - (HOLD (negedge D) (negedge CLK_N) (-0.139::-0.139)) - (SETUP (posedge D) (negedge CLK_N) (0.084::0.084)) - (SETUP (negedge D) (negedge CLK_N) (0.158::0.158)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062::0.062)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055::-0.055)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.067::0.067)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075::0.075)) + (HOLD (posedge D) (negedge CLK_N) (0.047::0.047)) + (HOLD (negedge D) (negedge CLK_N) (-0.132::-0.132)) + (SETUP (posedge D) (negedge CLK_N) (0.078::0.078)) + (SETUP (negedge D) (negedge CLK_N) (0.151::0.151)) ) ) (CELL @@ -1367,19 +1413,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.474::0.474) (0.361::0.361)) - (IOPATH CLK_N Q (0.474::0.474) (0.561::0.561)) + (IOPATH CLK_N Q_N (0.466::0.466) (0.354::0.354)) + (IOPATH CLK_N Q (0.443::0.443) (0.537::0.537)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.071::0.071)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.065::-0.065)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.076::0.076)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.066::0.066)) - (HOLD (posedge D) (negedge CLK_N) (0.046::0.046)) - (HOLD (negedge D) (negedge CLK_N) (-0.136::-0.136)) - (SETUP (posedge D) (negedge CLK_N) (0.078::0.078)) - (SETUP (negedge D) (negedge CLK_N) (0.155::0.155)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.060::0.060)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.053::-0.053)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.067::0.067)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.076::0.076)) + (HOLD (posedge D) (negedge CLK_N) (0.045::0.045)) + (HOLD (negedge D) (negedge CLK_N) (-0.135::-0.135)) + (SETUP (posedge D) (negedge CLK_N) (0.080::0.080)) + (SETUP (negedge D) (negedge CLK_N) (0.154::0.154)) ) ) (CELL @@ -1391,19 +1437,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.464::0.464) (0.352::0.352)) - (IOPATH CLK_N Q (0.463::0.463) (0.551::0.551)) + (IOPATH CLK_N Q_N (0.463::0.463) (0.351::0.351)) + (IOPATH CLK_N Q (0.528::0.528) (0.588::0.588)) ) ) (TIMINGCHECK (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062::0.062)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055::-0.055)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056::-0.056)) (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.066::0.066)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.076::0.076)) - (HOLD (posedge D) (negedge CLK_N) (0.037::0.037)) - (HOLD (negedge D) (negedge CLK_N) (-0.142::-0.142)) - (SETUP (posedge D) (negedge CLK_N) (0.088::0.088)) - (SETUP (negedge D) (negedge CLK_N) (0.161::0.161)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.077::0.077)) + (HOLD (posedge D) (negedge CLK_N) (0.043::0.043)) + (HOLD (negedge D) (negedge CLK_N) (-0.135::-0.135)) + (SETUP (posedge D) (negedge CLK_N) (0.082::0.082)) + (SETUP (negedge D) (negedge CLK_N) (0.154::0.154)) ) ) (CELL @@ -1415,19 +1461,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.489::0.489) (0.376::0.376)) - (IOPATH CLK_N Q (0.450::0.450) (0.544::0.544)) + (IOPATH CLK_N Q_N (0.486::0.486) (0.373::0.373)) + (IOPATH CLK_N Q (0.444::0.444) (0.537::0.537)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064::0.064)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057::-0.057)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.056::0.056)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.086::0.086)) - (HOLD (posedge D) (negedge CLK_N) (0.037::0.037)) - (HOLD (negedge D) (negedge CLK_N) (-0.145::-0.145)) - (SETUP (posedge D) (negedge CLK_N) (0.088::0.088)) - (SETUP (negedge D) (negedge CLK_N) (0.163::0.163)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062::0.062)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055::-0.055)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.068::0.068)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075::0.075)) + (HOLD (posedge D) (negedge CLK_N) (0.044::0.044)) + (HOLD (negedge D) (negedge CLK_N) (-0.135::-0.135)) + (SETUP (posedge D) (negedge CLK_N) (0.082::0.082)) + (SETUP (negedge D) (negedge CLK_N) (0.154::0.154)) ) ) (CELL @@ -1440,18 +1486,18 @@ (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) (IOPATH CLK_N Q_N (0.467::0.467) (0.355::0.355)) - (IOPATH CLK_N Q (0.471::0.471) (0.557::0.557)) + (IOPATH CLK_N Q (0.470::0.470) (0.556::0.556)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065::0.065)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058::-0.058)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.070::0.070)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.073::0.073)) - (HOLD (posedge D) (negedge CLK_N) (0.042::0.042)) - (HOLD (negedge D) (negedge CLK_N) (-0.138::-0.138)) - (SETUP (posedge D) (negedge CLK_N) (0.083::0.083)) - (SETUP (negedge D) (negedge CLK_N) (0.157::0.157)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.058::0.058)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.051::-0.051)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.069::0.069)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.074::0.074)) + (HOLD (posedge D) (negedge CLK_N) (0.046::0.046)) + (HOLD (negedge D) (negedge CLK_N) (-0.134::-0.134)) + (SETUP (posedge D) (negedge CLK_N) (0.079::0.079)) + (SETUP (negedge D) (negedge CLK_N) (0.153::0.153)) ) ) (CELL @@ -1463,19 +1509,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.464::0.464) (0.352::0.352)) - (IOPATH CLK_N Q (0.480::0.480) (0.561::0.561)) + (IOPATH CLK_N Q_N (0.473::0.473) (0.360::0.360)) + (IOPATH CLK_N Q (0.485::0.485) (0.567::0.567)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065::0.065)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058::-0.058)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.072::0.072)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.065::-0.065)) (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.071::0.071)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.072::0.072)) - (HOLD (posedge D) (negedge CLK_N) (0.039::0.039)) - (HOLD (negedge D) (negedge CLK_N) (-0.140::-0.140)) - (SETUP (posedge D) (negedge CLK_N) (0.086::0.086)) - (SETUP (negedge D) (negedge CLK_N) (0.158::0.158)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.071::0.071)) + (HOLD (posedge D) (negedge CLK_N) (0.047::0.047)) + (HOLD (negedge D) (negedge CLK_N) (-0.136::-0.136)) + (SETUP (posedge D) (negedge CLK_N) (0.077::0.077)) + (SETUP (negedge D) (negedge CLK_N) (0.155::0.155)) ) ) (CELL @@ -1487,19 +1533,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.466::0.466) (0.353::0.353)) - (IOPATH CLK_N Q (0.466::0.466) (0.553::0.553)) + (IOPATH CLK_N Q_N (0.462::0.462) (0.350::0.350)) + (IOPATH CLK_N Q (0.487::0.487) (0.565::0.565)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064::0.064)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057::-0.057)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.068::0.068)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075::0.075)) - (HOLD (posedge D) (negedge CLK_N) (0.041::0.041)) - (HOLD (negedge D) (negedge CLK_N) (-0.139::-0.139)) - (SETUP (posedge D) (negedge CLK_N) (0.084::0.084)) - (SETUP (negedge D) (negedge CLK_N) (0.157::0.157)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.063::0.063)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056::-0.056)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.069::0.069)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.074::0.074)) + (HOLD (posedge D) (negedge CLK_N) (0.037::0.037)) + (HOLD (negedge D) (negedge CLK_N) (-0.144::-0.144)) + (SETUP (posedge D) (negedge CLK_N) (0.089::0.089)) + (SETUP (negedge D) (negedge CLK_N) (0.162::0.162)) ) ) (CELL @@ -1511,19 +1557,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.498::0.498) (0.385::0.385)) - (IOPATH CLK_N Q (0.490::0.490) (0.580::0.580)) + (IOPATH CLK_N Q_N (0.503::0.503) (0.390::0.390)) + (IOPATH CLK_N Q (0.492::0.492) (0.583::0.583)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.093::0.093)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.087::-0.087)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.101::0.101)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.038::0.038)) - (HOLD (posedge D) (negedge CLK_N) (0.069::0.069)) - (HOLD (negedge D) (negedge CLK_N) (-0.119::-0.119)) - (SETUP (posedge D) (negedge CLK_N) (0.053::0.053)) - (SETUP (negedge D) (negedge CLK_N) (0.138::0.138)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.096::0.096)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.090::-0.090)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.108::0.108)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.031::0.031)) + (HOLD (posedge D) (negedge CLK_N) (0.075::0.075)) + (HOLD (negedge D) (negedge CLK_N) (-0.116::-0.116)) + (SETUP (posedge D) (negedge CLK_N) (0.047::0.047)) + (SETUP (negedge D) (negedge CLK_N) (0.135::0.135)) ) ) (CELL @@ -1535,19 +1581,19 @@ (IOPATH RESET_B Q () (0.000::0.000)) (IOPATH SET_B Q_N () (0.000::0.000)) (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK_N Q_N (0.479::0.479) (0.367::0.367)) - (IOPATH CLK_N Q (0.477::0.477) (0.565::0.565)) + (IOPATH CLK_N Q_N (0.472::0.472) (0.359::0.359)) + (IOPATH CLK_N Q (0.479::0.479) (0.563::0.563)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.075::0.075)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.069::-0.069)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.085::0.085)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.056::0.056)) - (HOLD (posedge D) (negedge CLK_N) (0.034::0.034)) - (HOLD (negedge D) (negedge CLK_N) (-0.150::-0.150)) - (SETUP (posedge D) (negedge CLK_N) (0.090::0.090)) - (SETUP (negedge D) (negedge CLK_N) (0.169::0.169)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.069::0.069)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.062::-0.062)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.081::0.081)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.061::0.061)) + (HOLD (posedge D) (negedge CLK_N) (0.051::0.051)) + (HOLD (negedge D) (negedge CLK_N) (-0.131::-0.131)) + (SETUP (posedge D) (negedge CLK_N) (0.074::0.074)) + (SETUP (negedge D) (negedge CLK_N) (0.150::0.150)) ) ) (CELL @@ -1556,16 +1602,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.414::0.414) (0.439::0.439)) + (IOPATH CLK Q (0.401::0.401) (0.426::0.426)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.017::-0.017)) - (SETUP (posedge D) (posedge CLK) (0.050::0.050)) - (SETUP (negedge D) (posedge CLK) (0.086::0.086)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.377::0.377)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.229::-0.229)) + (HOLD (posedge D) (posedge CLK) (-0.040::-0.040)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.066::0.066)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) ) ) (CELL @@ -1574,16 +1620,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.383::0.383) (0.415::0.415)) + (IOPATH CLK Q (0.385::0.385) (0.414::0.414)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.031::-0.031)) - (HOLD (negedge D) (posedge CLK) (-0.029::-0.029)) - (SETUP (posedge D) (posedge CLK) (0.055::0.055)) - (SETUP (negedge D) (posedge CLK) (0.098::0.098)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.378::0.378)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.230::-0.230)) + (HOLD (posedge D) (posedge CLK) (-0.035::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.059::0.059)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) ) ) (CELL @@ -1592,16 +1638,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.390::0.390) (0.421::0.421)) + (IOPATH CLK Q (0.382::0.382) (0.412::0.412)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.023::-0.023)) - (HOLD (negedge D) (posedge CLK) (-0.021::-0.021)) - (SETUP (posedge D) (posedge CLK) (0.047::0.047)) - (SETUP (negedge D) (posedge CLK) (0.089::0.089)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.378::0.378)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.230::-0.230)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) ) ) (CELL @@ -1610,16 +1656,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.380::0.380) (0.413::0.413)) + (IOPATH CLK Q (0.384::0.384) (0.414::0.414)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.025::-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023::-0.023)) - (SETUP (posedge D) (posedge CLK) (0.049::0.049)) - (SETUP (negedge D) (posedge CLK) (0.092::0.092)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.378::0.378)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.230::-0.230)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.099::0.099)) ) ) (CELL @@ -1628,16 +1674,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.366::0.366) (0.398::0.398)) + (IOPATH CLK Q (0.375::0.375) (0.407::0.407)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.378::0.378)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.230::-0.230)) (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) - (SETUP (posedge D) (posedge CLK) (0.054::0.054)) - (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) ) ) (CELL @@ -1646,16 +1692,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.358::0.358) (0.392::0.392)) + (IOPATH CLK Q (0.383::0.383) (0.413::0.413)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) - (SETUP (posedge D) (posedge CLK) (0.055::0.055)) - (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.378::0.378)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.230::-0.230)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.052::0.052)) + (SETUP (negedge D) (posedge CLK) (0.097::0.097)) ) ) (CELL @@ -1664,16 +1710,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.359::0.359) (0.393::0.393)) + (IOPATH CLK Q (0.387::0.387) (0.417::0.417)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.378::0.378)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.230::-0.230)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) - (SETUP (posedge D) (posedge CLK) (0.053::0.053)) - (SETUP (negedge D) (posedge CLK) (0.099::0.099)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) ) ) (CELL @@ -1682,16 +1728,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.425::0.425) (0.441::0.441)) + (IOPATH CLK Q (0.367::0.367) (0.401::0.401)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) - (SETUP (posedge D) (posedge CLK) (0.053::0.053)) - (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.378::0.378)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.230::-0.230)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) ) ) (CELL @@ -1700,16 +1746,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.373::0.373) (0.407::0.407)) + (IOPATH CLK Q (0.375::0.375) (0.407::0.407)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.039::-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.037::-0.037)) - (SETUP (posedge D) (posedge CLK) (0.064::0.064)) - (SETUP (negedge D) (posedge CLK) (0.107::0.107)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.377::0.377)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.229::-0.229)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.051::0.051)) + (SETUP (negedge D) (posedge CLK) (0.096::0.096)) ) ) (CELL @@ -1718,16 +1764,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.390::0.390) (0.421::0.421)) + (IOPATH CLK Q (0.381::0.381) (0.411::0.411)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.021::-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.018::-0.018)) - (SETUP (posedge D) (posedge CLK) (0.044::0.044)) - (SETUP (negedge D) (posedge CLK) (0.086::0.086)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.377::0.377)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.229::-0.229)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.053::0.053)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) ) ) (CELL @@ -1736,16 +1782,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.403::0.403) (0.432::0.432)) + (IOPATH CLK Q (0.409::0.409) (0.432::0.432)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) - (HOLD (posedge D) (posedge CLK) (-0.025::-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.023::-0.023)) - (SETUP (posedge D) (posedge CLK) (0.049::0.049)) - (SETUP (negedge D) (posedge CLK) (0.092::0.092)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.377::0.377)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.229::-0.229)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) ) ) (CELL @@ -1754,16 +1800,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.359::0.359) (0.393::0.393)) + (IOPATH CLK Q (0.374::0.374) (0.406::0.406)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.035::-0.035)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.378::0.378)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.230::-0.230)) + (HOLD (posedge D) (posedge CLK) (-0.037::-0.037)) (HOLD (negedge D) (posedge CLK) (-0.038::-0.038)) - (SETUP (posedge D) (posedge CLK) (0.060::0.060)) - (SETUP (negedge D) (posedge CLK) (0.108::0.108)) + (SETUP (posedge D) (posedge CLK) (0.062::0.062)) + (SETUP (negedge D) (posedge CLK) (0.107::0.107)) ) ) (CELL @@ -1772,16 +1818,16 @@ (DELAY (ABSOLUTE (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.359::0.359) (0.393::0.393)) + (IOPATH CLK Q (0.370::0.370) (0.402::0.402)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) - (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.377::0.377)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.229::-0.229)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.029)) (SETUP (posedge D) (posedge CLK) (0.053::0.053)) - (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) ) ) (CELL @@ -1789,7 +1835,7 @@ (INSTANCE clkbuf_0_serial_clock) (DELAY (ABSOLUTE - (IOPATH A X (0.155::0.155) (0.156::0.156)) + (IOPATH A X (0.149::0.149) (0.151::0.151)) ) ) ) @@ -1798,7 +1844,7 @@ (INSTANCE clkbuf_1_0_0_serial_clock) (DELAY (ABSOLUTE - (IOPATH A X (0.153::0.153) (0.141::0.141)) + (IOPATH A X (0.169::0.169) (0.153::0.153)) ) ) ) @@ -1807,7 +1853,7 @@ (INSTANCE clkbuf_1_1_0_serial_clock) (DELAY (ABSOLUTE - (IOPATH A X (0.191::0.191) (0.169::0.169)) + (IOPATH A X (0.166::0.166) (0.151::0.151)) ) ) ) @@ -1816,8 +1862,206 @@ (INSTANCE gpio_in_buf) (DELAY (ABSOLUTE - (IOPATH A Z (0.096::0.096) (0.053::0.053)) - (IOPATH TE Z (0.128::0.128) (0.033::0.033)) + (IOPATH A Z (0.127::0.127) (0.061::0.061)) + (IOPATH TE Z (0.165::0.165) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.267::0.267) (0.260::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold10) + (DELAY + (ABSOLUTE + (IOPATH A X (0.252::0.252) (0.244::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold11) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.265) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold12) + (DELAY + (ABSOLUTE + (IOPATH A X (0.259::0.259) (0.250::0.250)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold13) + (DELAY + (ABSOLUTE + (IOPATH A X (0.261::0.261) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold14) + (DELAY + (ABSOLUTE + (IOPATH A X (0.269::0.269) (0.258::0.258)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold15) + (DELAY + (ABSOLUTE + (IOPATH A X (0.270::0.270) (0.260::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold16) + (DELAY + (ABSOLUTE + (IOPATH A X (0.260::0.260) (0.251::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold17) + (DELAY + (ABSOLUTE + (IOPATH A X (0.263::0.263) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold18) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold19) + (DELAY + (ABSOLUTE + (IOPATH A X (0.257::0.257) (0.250::0.250)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold2) + (DELAY + (ABSOLUTE + (IOPATH A X (0.249::0.249) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold20) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.265) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold21) + (DELAY + (ABSOLUTE + (IOPATH A X (0.264::0.264) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold22) + (DELAY + (ABSOLUTE + (IOPATH A X (0.285::0.285) (0.270::0.270)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold3) + (DELAY + (ABSOLUTE + (IOPATH A X (0.253::0.253) (0.248::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold4) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.236::0.236)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold5) + (DELAY + (ABSOLUTE + (IOPATH A X (0.270::0.270) (0.259::0.259)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold6) + (DELAY + (ABSOLUTE + (IOPATH A X (0.248::0.248) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold7) + (DELAY + (ABSOLUTE + (IOPATH A X (0.251::0.251) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold8) + (DELAY + (ABSOLUTE + (IOPATH A X (0.263::0.263) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold9) + (DELAY + (ABSOLUTE + (IOPATH A X (0.248::0.248) (0.242::0.242)) ) ) ) @@ -1826,7 +2070,7 @@ (INSTANCE input1) (DELAY (ABSOLUTE - (IOPATH A X (0.197::0.197) (0.167::0.167)) + (IOPATH A X (0.164::0.164) (0.126::0.126)) ) ) ) @@ -1835,7 +2079,7 @@ (INSTANCE input10) (DELAY (ABSOLUTE - (IOPATH A X (0.186::0.186) (0.144::0.144)) + (IOPATH A X (0.114::0.114) (0.099::0.099)) ) ) ) @@ -1844,7 +2088,7 @@ (INSTANCE input11) (DELAY (ABSOLUTE - (IOPATH A X (0.205::0.205) (0.166::0.166)) + (IOPATH A X (0.162::0.162) (0.127::0.127)) ) ) ) @@ -1853,7 +2097,7 @@ (INSTANCE input12) (DELAY (ABSOLUTE - (IOPATH A X (0.157::0.157) (0.136::0.136)) + (IOPATH A X (0.191::0.191) (0.144::0.144)) ) ) ) @@ -1862,7 +2106,7 @@ (INSTANCE input13) (DELAY (ABSOLUTE - (IOPATH A X (0.159::0.159) (0.137::0.137)) + (IOPATH A X (0.196::0.196) (0.146::0.146)) ) ) ) @@ -1871,7 +2115,7 @@ (INSTANCE input14) (DELAY (ABSOLUTE - (IOPATH A X (0.210::0.210) (0.156::0.156)) + (IOPATH A X (0.175::0.175) (0.131::0.131)) ) ) ) @@ -1880,7 +2124,7 @@ (INSTANCE input15) (DELAY (ABSOLUTE - (IOPATH A X (0.201::0.201) (0.154::0.154)) + (IOPATH A X (0.130::0.130) (0.109::0.109)) ) ) ) @@ -1889,7 +2133,7 @@ (INSTANCE input16) (DELAY (ABSOLUTE - (IOPATH A X (0.221::0.221) (0.164::0.164)) + (IOPATH A X (0.166::0.166) (0.130::0.130)) ) ) ) @@ -1898,7 +2142,7 @@ (INSTANCE input17) (DELAY (ABSOLUTE - (IOPATH A X (0.210::0.210) (0.182::0.182)) + (IOPATH A X (0.211::0.211) (0.181::0.181)) ) ) ) @@ -1907,7 +2151,7 @@ (INSTANCE input18) (DELAY (ABSOLUTE - (IOPATH A X (0.127::0.127) (0.110::0.110)) + (IOPATH A X (0.172::0.172) (0.147::0.147)) ) ) ) @@ -1916,7 +2160,7 @@ (INSTANCE input19) (DELAY (ABSOLUTE - (IOPATH A X (0.178::0.178) (0.136::0.136)) + (IOPATH A X (0.184::0.184) (0.148::0.148)) ) ) ) @@ -1925,7 +2169,7 @@ (INSTANCE input2) (DELAY (ABSOLUTE - (IOPATH A X (0.174::0.174) (0.141::0.141)) + (IOPATH A X (0.127::0.127) (0.108::0.108)) ) ) ) @@ -1934,16 +2178,7 @@ (INSTANCE input20) (DELAY (ABSOLUTE - (IOPATH A X (0.158::0.158) (0.126::0.126)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE input21) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177::0.177) (0.141::0.141)) + (IOPATH A X (0.183::0.183) (0.150::0.150)) ) ) ) @@ -1952,7 +2187,7 @@ (INSTANCE input3) (DELAY (ABSOLUTE - (IOPATH A X (0.157::0.157) (0.129::0.129)) + (IOPATH A X (0.196::0.196) (0.147::0.147)) ) ) ) @@ -1961,7 +2196,7 @@ (INSTANCE input4) (DELAY (ABSOLUTE - (IOPATH A X (0.198::0.198) (0.151::0.151)) + (IOPATH A X (0.191::0.191) (0.144::0.144)) ) ) ) @@ -1970,7 +2205,7 @@ (INSTANCE input5) (DELAY (ABSOLUTE - (IOPATH A X (0.160::0.160) (0.131::0.131)) + (IOPATH A X (0.169::0.169) (0.132::0.132)) ) ) ) @@ -1979,7 +2214,7 @@ (INSTANCE input6) (DELAY (ABSOLUTE - (IOPATH A X (0.163::0.163) (0.148::0.148)) + (IOPATH A X (0.166::0.166) (0.131::0.131)) ) ) ) @@ -1988,7 +2223,7 @@ (INSTANCE input7) (DELAY (ABSOLUTE - (IOPATH A X (0.184::0.184) (0.148::0.148)) + (IOPATH A X (0.155::0.155) (0.124::0.124)) ) ) ) @@ -1997,7 +2232,7 @@ (INSTANCE input8) (DELAY (ABSOLUTE - (IOPATH A X (0.168::0.168) (0.138::0.138)) + (IOPATH A X (0.152::0.152) (0.128::0.128)) ) ) ) @@ -2006,7 +2241,16 @@ (INSTANCE input9) (DELAY (ABSOLUTE - (IOPATH A X (0.184::0.184) (0.150::0.150)) + (IOPATH A X (0.160::0.160) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output22) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.192::0.192)) ) ) ) @@ -2015,7 +2259,7 @@ (INSTANCE output23) (DELAY (ABSOLUTE - (IOPATH A X (0.216::0.216) (0.183::0.183)) + (IOPATH A X (0.218::0.218) (0.184::0.184)) ) ) ) @@ -2024,7 +2268,7 @@ (INSTANCE output24) (DELAY (ABSOLUTE - (IOPATH A X (0.211::0.211) (0.180::0.180)) + (IOPATH A X (0.223::0.223) (0.185::0.185)) ) ) ) @@ -2033,7 +2277,7 @@ (INSTANCE output25) (DELAY (ABSOLUTE - (IOPATH A X (0.215::0.215) (0.183::0.183)) + (IOPATH A X (0.205::0.205) (0.177::0.177)) ) ) ) @@ -2042,7 +2286,7 @@ (INSTANCE output26) (DELAY (ABSOLUTE - (IOPATH A X (0.212::0.212) (0.181::0.181)) + (IOPATH A X (0.218::0.218) (0.184::0.184)) ) ) ) @@ -2051,7 +2295,7 @@ (INSTANCE output27) (DELAY (ABSOLUTE - (IOPATH A X (0.221::0.221) (0.186::0.186)) + (IOPATH A X (0.242::0.242) (0.196::0.196)) ) ) ) @@ -2060,7 +2304,7 @@ (INSTANCE output28) (DELAY (ABSOLUTE - (IOPATH A X (0.226::0.226) (0.189::0.189)) + (IOPATH A X (0.244::0.244) (0.198::0.198)) ) ) ) @@ -2069,7 +2313,7 @@ (INSTANCE output29) (DELAY (ABSOLUTE - (IOPATH A X (0.215::0.215) (0.182::0.182)) + (IOPATH A X (0.216::0.216) (0.182::0.182)) ) ) ) @@ -2078,7 +2322,7 @@ (INSTANCE output30) (DELAY (ABSOLUTE - (IOPATH A X (0.224::0.224) (0.187::0.187)) + (IOPATH A X (0.251::0.251) (0.206::0.206)) ) ) ) @@ -2087,7 +2331,7 @@ (INSTANCE output31) (DELAY (ABSOLUTE - (IOPATH A X (0.233::0.233) (0.193::0.193)) + (IOPATH A X (0.260::0.261) (0.219::0.220)) ) ) ) @@ -2096,7 +2340,7 @@ (INSTANCE output32) (DELAY (ABSOLUTE - (IOPATH A X (0.211::0.212) (0.192::0.192)) + (IOPATH A X (0.221::0.222) (0.199::0.199)) ) ) ) @@ -2105,7 +2349,7 @@ (INSTANCE output33) (DELAY (ABSOLUTE - (IOPATH A X (0.201::0.202) (0.183::0.183)) + (IOPATH A X (0.234::0.234) (0.192::0.192)) ) ) ) @@ -2114,7 +2358,7 @@ (INSTANCE output34) (DELAY (ABSOLUTE - (IOPATH A X (0.211::0.211) (0.180::0.180)) + (IOPATH A X (0.226::0.226) (0.188::0.188)) ) ) ) @@ -2123,34 +2367,34 @@ (INSTANCE output35) (DELAY (ABSOLUTE - (IOPATH A X (0.220::0.220) (0.185::0.185)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE output36) - (DELAY - (ABSOLUTE - (IOPATH A X (0.230::0.230) (0.189::0.189)) + (IOPATH A X (0.251::0.251) (0.201::0.201)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE output37) + (INSTANCE output36) (DELAY (ABSOLUTE - (IOPATH A X (0.445::0.445) (0.277::0.277)) + (IOPATH A X (0.446::0.446) (0.277::0.277)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output37) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") (INSTANCE output38) (DELAY (ABSOLUTE - (IOPATH A X (0.220::0.220) (0.184::0.184)) + (IOPATH A X (0.446::0.446) (0.277::0.277)) ) ) ) @@ -2159,16 +2403,7 @@ (INSTANCE output39) (DELAY (ABSOLUTE - (IOPATH A X (0.232::0.232) (0.189::0.189)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") - (INSTANCE output40) - (DELAY - (ABSOLUTE - (IOPATH A X (0.200::0.234) (0.171::0.187)) + (IOPATH A X (0.203::0.254) (0.173::0.195)) ) ) ) diff --git a/sdf/mgmt_protect.sdf b/sdf/mgmt_protect.sdf index 80a0a316..225c3a07 100644 --- a/sdf/mgmt_protect.sdf +++ b/sdf/mgmt_protect.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "mgmt_protect") - (DATE "Sun Nov 28 13:25:24 2021") + (DATE "Tue Nov 30 17:41:08 2021") (VENDOR "Parallax") (PROGRAM "STA") (VERSION "2.3.0") diff --git a/sdf/mgmt_protect_hv.sdf b/sdf/mgmt_protect_hv.sdf new file mode 100644 index 00000000..71542828 --- /dev/null +++ b/sdf/mgmt_protect_hv.sdf @@ -0,0 +1,25 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "mgmt_protect_hv") + (DATE "Tue Nov 30 17:37:28 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "mgmt_protect_hv") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT mprj2_logic_high_hvl/HI mprj2_logic_high_lv/A (0.000::0.000)) + (INTERCONNECT mprj2_logic_high_lv/X mprj2_vdd_logic1 (0.000::0.000)) + (INTERCONNECT mprj_logic_high_hvl/HI mprj_logic_high_lv/A (0.000::0.000)) + (INTERCONNECT mprj_logic_high_lv/X mprj_vdd_logic1 (0.000::0.000)) + ) + ) + ) +) diff --git a/sdf/xres_buf.sdf b/sdf/xres_buf.sdf new file mode 100644 index 00000000..4462047d --- /dev/null +++ b/sdf/xres_buf.sdf @@ -0,0 +1,24 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "xres_buf") + (DATE "Tue Nov 30 15:47:21 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "xres_buf") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT A lvlshiftdown/A (0.000::0.000)) + (INTERCONNECT A ANTENNA_lvlshiftdown_A/DIODE (0.000::0.000)) + (INTERCONNECT lvlshiftdown/X X (0.001::0.001)) + ) + ) + ) +) diff --git a/spef/chip_io.spef b/spef/chip_io.spef new file mode 100644 index 00000000..91537c14 --- /dev/null +++ b/spef/chip_io.spef @@ -0,0 +1,9721 @@ +*SPEF "ieee 1481-1999" +*DESIGN "chip_io" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 clock +*2 clock_core +*3 por +*4 flash_clk +*5 flash_clk_core +*6 flash_clk_oeb_core +*7 flash_csb +*8 flash_csb_core +*9 flash_csb_oeb_core +*10 flash_io0 +*11 flash_io0_di_core +*12 flash_io0_do_core +*13 flash_io0_ieb_core +*14 flash_io0_oeb_core +*15 flash_io1 +*16 flash_io1_di_core +*17 flash_io1_do_core +*18 flash_io1_ieb_core +*19 flash_io1_oeb_core +*20 gpio +*21 gpio_in_core +*22 gpio_inenb_core +*23 gpio_mode0_core +*24 gpio_mode1_core +*25 gpio_out_core +*26 gpio_outenb_core +*27 vccd_pad +*28 vdda_pad +*29 vddio_pad +*30 vddio_pad2 +*31 vssa_pad +*32 vssd_pad +*33 vssio_pad +*34 vssio_pad2 +*35 mprj_io[0] +*36 mprj_io_analog_en[0] +*37 mprj_io_analog_pol[0] +*38 mprj_io_analog_sel[0] +*39 mprj_io_dm[0] +*40 mprj_io_dm[1] +*41 mprj_io_dm[2] +*42 mprj_io_holdover[0] +*43 mprj_io_ib_mode_sel[0] +*44 mprj_io_inp_dis[0] +*45 mprj_io_oeb[0] +*46 mprj_io_out[0] +*47 mprj_io_slow_sel[0] +*48 mprj_io_vtrip_sel[0] +*49 mprj_io_in[0] +*50 mprj_analog_io[3] +*51 mprj_io[10] +*52 mprj_io_analog_en[10] +*53 mprj_io_analog_pol[10] +*54 mprj_io_analog_sel[10] +*55 mprj_io_dm[30] +*56 mprj_io_dm[31] +*57 mprj_io_dm[32] +*58 mprj_io_holdover[10] +*59 mprj_io_ib_mode_sel[10] +*60 mprj_io_inp_dis[10] +*61 mprj_io_oeb[10] +*62 mprj_io_out[10] +*63 mprj_io_slow_sel[10] +*64 mprj_io_vtrip_sel[10] +*65 mprj_io_in[10] +*66 mprj_analog_io[4] +*67 mprj_io[11] +*68 mprj_io_analog_en[11] +*69 mprj_io_analog_pol[11] +*70 mprj_io_analog_sel[11] +*71 mprj_io_dm[33] +*72 mprj_io_dm[34] +*73 mprj_io_dm[35] +*74 mprj_io_holdover[11] +*75 mprj_io_ib_mode_sel[11] +*76 mprj_io_inp_dis[11] +*77 mprj_io_oeb[11] +*78 mprj_io_out[11] +*79 mprj_io_slow_sel[11] +*80 mprj_io_vtrip_sel[11] +*81 mprj_io_in[11] +*82 mprj_analog_io[5] +*83 mprj_io[12] +*84 mprj_io_analog_en[12] +*85 mprj_io_analog_pol[12] +*86 mprj_io_analog_sel[12] +*87 mprj_io_dm[36] +*88 mprj_io_dm[37] +*89 mprj_io_dm[38] +*90 mprj_io_holdover[12] +*91 mprj_io_ib_mode_sel[12] +*92 mprj_io_inp_dis[12] +*93 mprj_io_oeb[12] +*94 mprj_io_out[12] +*95 mprj_io_slow_sel[12] +*96 mprj_io_vtrip_sel[12] +*97 mprj_io_in[12] +*98 mprj_analog_io[6] +*99 mprj_io[13] +*100 mprj_io_analog_en[13] +*101 mprj_io_analog_pol[13] +*102 mprj_io_analog_sel[13] +*103 mprj_io_dm[39] +*104 mprj_io_dm[40] +*105 mprj_io_dm[41] +*106 mprj_io_holdover[13] +*107 mprj_io_ib_mode_sel[13] +*108 mprj_io_inp_dis[13] +*109 mprj_io_oeb[13] +*110 mprj_io_out[13] +*111 mprj_io_slow_sel[13] +*112 mprj_io_vtrip_sel[13] +*113 mprj_io_in[13] +*114 mprj_analog_io[7] +*115 mprj_io[14] +*116 mprj_io_analog_en[14] +*117 mprj_io_analog_pol[14] +*118 mprj_io_analog_sel[14] +*119 mprj_io_dm[42] +*120 mprj_io_dm[43] +*121 mprj_io_dm[44] +*122 mprj_io_holdover[14] +*123 mprj_io_ib_mode_sel[14] +*124 mprj_io_inp_dis[14] +*125 mprj_io_oeb[14] +*126 mprj_io_out[14] +*127 mprj_io_slow_sel[14] +*128 mprj_io_vtrip_sel[14] +*129 mprj_io_in[14] +*130 mprj_analog_io[8] +*131 mprj_io[15] +*132 mprj_io_analog_en[15] +*133 mprj_io_analog_pol[15] +*134 mprj_io_analog_sel[15] +*135 mprj_io_dm[45] +*136 mprj_io_dm[46] +*137 mprj_io_dm[47] +*138 mprj_io_holdover[15] +*139 mprj_io_ib_mode_sel[15] +*140 mprj_io_inp_dis[15] +*141 mprj_io_oeb[15] +*142 mprj_io_out[15] +*143 mprj_io_slow_sel[15] +*144 mprj_io_vtrip_sel[15] +*145 mprj_io_in[15] +*146 mprj_analog_io[9] +*147 mprj_io[16] +*148 mprj_io_analog_en[16] +*149 mprj_io_analog_pol[16] +*150 mprj_io_analog_sel[16] +*151 mprj_io_dm[48] +*152 mprj_io_dm[49] +*153 mprj_io_dm[50] +*154 mprj_io_holdover[16] +*155 mprj_io_ib_mode_sel[16] +*156 mprj_io_inp_dis[16] +*157 mprj_io_oeb[16] +*158 mprj_io_out[16] +*159 mprj_io_slow_sel[16] +*160 mprj_io_vtrip_sel[16] +*161 mprj_io_in[16] +*162 mprj_analog_io[10] +*163 mprj_io[17] +*164 mprj_io_analog_en[17] +*165 mprj_io_analog_pol[17] +*166 mprj_io_analog_sel[17] +*167 mprj_io_dm[51] +*168 mprj_io_dm[52] +*169 mprj_io_dm[53] +*170 mprj_io_holdover[17] +*171 mprj_io_ib_mode_sel[17] +*172 mprj_io_inp_dis[17] +*173 mprj_io_oeb[17] +*174 mprj_io_out[17] +*175 mprj_io_slow_sel[17] +*176 mprj_io_vtrip_sel[17] +*177 mprj_io_in[17] +*178 mprj_analog_io[11] +*179 mprj_io[18] +*180 mprj_io_analog_en[18] +*181 mprj_io_analog_pol[18] +*182 mprj_io_analog_sel[18] +*183 mprj_io_dm[54] +*184 mprj_io_dm[55] +*185 mprj_io_dm[56] +*186 mprj_io_holdover[18] +*187 mprj_io_ib_mode_sel[18] +*188 mprj_io_inp_dis[18] +*189 mprj_io_oeb[18] +*190 mprj_io_out[18] +*191 mprj_io_slow_sel[18] +*192 mprj_io_vtrip_sel[18] +*193 mprj_io_in[18] +*194 mprj_io[1] +*195 mprj_io_analog_en[1] +*196 mprj_io_analog_pol[1] +*197 mprj_io_analog_sel[1] +*198 mprj_io_dm[3] +*199 mprj_io_dm[4] +*200 mprj_io_dm[5] +*201 mprj_io_holdover[1] +*202 mprj_io_ib_mode_sel[1] +*203 mprj_io_inp_dis[1] +*204 mprj_io_oeb[1] +*205 mprj_io_out[1] +*206 mprj_io_slow_sel[1] +*207 mprj_io_vtrip_sel[1] +*208 mprj_io_in[1] +*209 mprj_io[2] +*210 mprj_io_analog_en[2] +*211 mprj_io_analog_pol[2] +*212 mprj_io_analog_sel[2] +*213 mprj_io_dm[6] +*214 mprj_io_dm[7] +*215 mprj_io_dm[8] +*216 mprj_io_holdover[2] +*217 mprj_io_ib_mode_sel[2] +*218 mprj_io_inp_dis[2] +*219 mprj_io_oeb[2] +*220 mprj_io_out[2] +*221 mprj_io_slow_sel[2] +*222 mprj_io_vtrip_sel[2] +*223 mprj_io_in[2] +*224 mprj_io[3] +*225 mprj_io_analog_en[3] +*226 mprj_io_analog_pol[3] +*227 mprj_io_analog_sel[3] +*228 mprj_io_dm[10] +*229 mprj_io_dm[11] +*230 mprj_io_dm[9] +*231 mprj_io_holdover[3] +*232 mprj_io_ib_mode_sel[3] +*233 mprj_io_inp_dis[3] +*234 mprj_io_oeb[3] +*235 mprj_io_out[3] +*236 mprj_io_slow_sel[3] +*237 mprj_io_vtrip_sel[3] +*238 mprj_io_in[3] +*239 mprj_io[4] +*240 mprj_io_analog_en[4] +*241 mprj_io_analog_pol[4] +*242 mprj_io_analog_sel[4] +*243 mprj_io_dm[12] +*244 mprj_io_dm[13] +*245 mprj_io_dm[14] +*246 mprj_io_holdover[4] +*247 mprj_io_ib_mode_sel[4] +*248 mprj_io_inp_dis[4] +*249 mprj_io_oeb[4] +*250 mprj_io_out[4] +*251 mprj_io_slow_sel[4] +*252 mprj_io_vtrip_sel[4] +*253 mprj_io_in[4] +*254 mprj_io[5] +*255 mprj_io_analog_en[5] +*256 mprj_io_analog_pol[5] +*257 mprj_io_analog_sel[5] +*258 mprj_io_dm[15] +*259 mprj_io_dm[16] +*260 mprj_io_dm[17] +*261 mprj_io_holdover[5] +*262 mprj_io_ib_mode_sel[5] +*263 mprj_io_inp_dis[5] +*264 mprj_io_oeb[5] +*265 mprj_io_out[5] +*266 mprj_io_slow_sel[5] +*267 mprj_io_vtrip_sel[5] +*268 mprj_io_in[5] +*269 mprj_io[6] +*270 mprj_io_analog_en[6] +*271 mprj_io_analog_pol[6] +*272 mprj_io_analog_sel[6] +*273 mprj_io_dm[18] +*274 mprj_io_dm[19] +*275 mprj_io_dm[20] +*276 mprj_io_holdover[6] +*277 mprj_io_ib_mode_sel[6] +*278 mprj_io_inp_dis[6] +*279 mprj_io_oeb[6] +*280 mprj_io_out[6] +*281 mprj_io_slow_sel[6] +*282 mprj_io_vtrip_sel[6] +*283 mprj_io_in[6] +*284 mprj_analog_io[0] +*285 mprj_io[7] +*286 mprj_io_analog_en[7] +*287 mprj_io_analog_pol[7] +*288 mprj_io_analog_sel[7] +*289 mprj_io_dm[21] +*290 mprj_io_dm[22] +*291 mprj_io_dm[23] +*292 mprj_io_holdover[7] +*293 mprj_io_ib_mode_sel[7] +*294 mprj_io_inp_dis[7] +*295 mprj_io_oeb[7] +*296 mprj_io_out[7] +*297 mprj_io_slow_sel[7] +*298 mprj_io_vtrip_sel[7] +*299 mprj_io_in[7] +*300 mprj_analog_io[1] +*301 mprj_io[8] +*302 mprj_io_analog_en[8] +*303 mprj_io_analog_pol[8] +*304 mprj_io_analog_sel[8] +*305 mprj_io_dm[24] +*306 mprj_io_dm[25] +*307 mprj_io_dm[26] +*308 mprj_io_holdover[8] +*309 mprj_io_ib_mode_sel[8] +*310 mprj_io_inp_dis[8] +*311 mprj_io_oeb[8] +*312 mprj_io_out[8] +*313 mprj_io_slow_sel[8] +*314 mprj_io_vtrip_sel[8] +*315 mprj_io_in[8] +*316 mprj_analog_io[2] +*317 mprj_io[9] +*318 mprj_io_analog_en[9] +*319 mprj_io_analog_pol[9] +*320 mprj_io_analog_sel[9] +*321 mprj_io_dm[27] +*322 mprj_io_dm[28] +*323 mprj_io_dm[29] +*324 mprj_io_holdover[9] +*325 mprj_io_ib_mode_sel[9] +*326 mprj_io_inp_dis[9] +*327 mprj_io_oeb[9] +*328 mprj_io_out[9] +*329 mprj_io_slow_sel[9] +*330 mprj_io_vtrip_sel[9] +*331 mprj_io_in[9] +*332 mprj_analog_io[12] +*333 mprj_io[19] +*334 mprj_io_analog_en[19] +*335 mprj_io_analog_pol[19] +*336 mprj_io_analog_sel[19] +*337 mprj_io_dm[57] +*338 mprj_io_dm[58] +*339 mprj_io_dm[59] +*340 mprj_io_holdover[19] +*341 mprj_io_ib_mode_sel[19] +*342 mprj_io_inp_dis[19] +*343 mprj_io_oeb[19] +*344 mprj_io_out[19] +*345 mprj_io_slow_sel[19] +*346 mprj_io_vtrip_sel[19] +*347 mprj_io_in[19] +*348 mprj_analog_io[22] +*349 mprj_io[29] +*350 mprj_io_analog_en[29] +*351 mprj_io_analog_pol[29] +*352 mprj_io_analog_sel[29] +*353 mprj_io_dm[87] +*354 mprj_io_dm[88] +*355 mprj_io_dm[89] +*356 mprj_io_holdover[29] +*357 mprj_io_ib_mode_sel[29] +*358 mprj_io_inp_dis[29] +*359 mprj_io_oeb[29] +*360 mprj_io_out[29] +*361 mprj_io_slow_sel[29] +*362 mprj_io_vtrip_sel[29] +*363 mprj_io_in[29] +*364 mprj_analog_io[23] +*365 mprj_io[30] +*366 mprj_io_analog_en[30] +*367 mprj_io_analog_pol[30] +*368 mprj_io_analog_sel[30] +*369 mprj_io_dm[90] +*370 mprj_io_dm[91] +*371 mprj_io_dm[92] +*372 mprj_io_holdover[30] +*373 mprj_io_ib_mode_sel[30] +*374 mprj_io_inp_dis[30] +*375 mprj_io_oeb[30] +*376 mprj_io_out[30] +*377 mprj_io_slow_sel[30] +*378 mprj_io_vtrip_sel[30] +*379 mprj_io_in[30] +*380 mprj_analog_io[24] +*381 mprj_io[31] +*382 mprj_io_analog_en[31] +*383 mprj_io_analog_pol[31] +*384 mprj_io_analog_sel[31] +*385 mprj_io_dm[93] +*386 mprj_io_dm[94] +*387 mprj_io_dm[95] +*388 mprj_io_holdover[31] +*389 mprj_io_ib_mode_sel[31] +*390 mprj_io_inp_dis[31] +*391 mprj_io_oeb[31] +*392 mprj_io_out[31] +*393 mprj_io_slow_sel[31] +*394 mprj_io_vtrip_sel[31] +*395 mprj_io_in[31] +*396 mprj_analog_io[25] +*397 mprj_io[32] +*398 mprj_io_analog_en[32] +*399 mprj_io_analog_pol[32] +*400 mprj_io_analog_sel[32] +*401 mprj_io_dm[96] +*402 mprj_io_dm[97] +*403 mprj_io_dm[98] +*404 mprj_io_holdover[32] +*405 mprj_io_ib_mode_sel[32] +*406 mprj_io_inp_dis[32] +*407 mprj_io_oeb[32] +*408 mprj_io_out[32] +*409 mprj_io_slow_sel[32] +*410 mprj_io_vtrip_sel[32] +*411 mprj_io_in[32] +*412 mprj_analog_io[26] +*413 mprj_io[33] +*414 mprj_io_analog_en[33] +*415 mprj_io_analog_pol[33] +*416 mprj_io_analog_sel[33] +*417 mprj_io_dm[100] +*418 mprj_io_dm[101] +*419 mprj_io_dm[99] +*420 mprj_io_holdover[33] +*421 mprj_io_ib_mode_sel[33] +*422 mprj_io_inp_dis[33] +*423 mprj_io_oeb[33] +*424 mprj_io_out[33] +*425 mprj_io_slow_sel[33] +*426 mprj_io_vtrip_sel[33] +*427 mprj_io_in[33] +*428 mprj_analog_io[27] +*429 mprj_io[34] +*430 mprj_io_analog_en[34] +*431 mprj_io_analog_pol[34] +*432 mprj_io_analog_sel[34] +*433 mprj_io_dm[102] +*434 mprj_io_dm[103] +*435 mprj_io_dm[104] +*436 mprj_io_holdover[34] +*437 mprj_io_ib_mode_sel[34] +*438 mprj_io_inp_dis[34] +*439 mprj_io_oeb[34] +*440 mprj_io_out[34] +*441 mprj_io_slow_sel[34] +*442 mprj_io_vtrip_sel[34] +*443 mprj_io_in[34] +*444 mprj_analog_io[28] +*445 mprj_io[35] +*446 mprj_io_analog_en[35] +*447 mprj_io_analog_pol[35] +*448 mprj_io_analog_sel[35] +*449 mprj_io_dm[105] +*450 mprj_io_dm[106] +*451 mprj_io_dm[107] +*452 mprj_io_holdover[35] +*453 mprj_io_ib_mode_sel[35] +*454 mprj_io_inp_dis[35] +*455 mprj_io_oeb[35] +*456 mprj_io_out[35] +*457 mprj_io_slow_sel[35] +*458 mprj_io_vtrip_sel[35] +*459 mprj_io_in[35] +*460 mprj_io[36] +*461 mprj_io_analog_en[36] +*462 mprj_io_analog_pol[36] +*463 mprj_io_analog_sel[36] +*464 mprj_io_dm[108] +*465 mprj_io_dm[109] +*466 mprj_io_dm[110] +*467 mprj_io_holdover[36] +*468 mprj_io_ib_mode_sel[36] +*469 mprj_io_inp_dis[36] +*470 mprj_io_oeb[36] +*471 mprj_io_out[36] +*472 mprj_io_slow_sel[36] +*473 mprj_io_vtrip_sel[36] +*474 mprj_io_in[36] +*475 mprj_io[37] +*476 mprj_io_analog_en[37] +*477 mprj_io_analog_pol[37] +*478 mprj_io_analog_sel[37] +*479 mprj_io_dm[111] +*480 mprj_io_dm[112] +*481 mprj_io_dm[113] +*482 mprj_io_holdover[37] +*483 mprj_io_ib_mode_sel[37] +*484 mprj_io_inp_dis[37] +*485 mprj_io_oeb[37] +*486 mprj_io_out[37] +*487 mprj_io_slow_sel[37] +*488 mprj_io_vtrip_sel[37] +*489 mprj_io_in[37] +*490 mprj_analog_io[13] +*491 mprj_io[20] +*492 mprj_io_analog_en[20] +*493 mprj_io_analog_pol[20] +*494 mprj_io_analog_sel[20] +*495 mprj_io_dm[60] +*496 mprj_io_dm[61] +*497 mprj_io_dm[62] +*498 mprj_io_holdover[20] +*499 mprj_io_ib_mode_sel[20] +*500 mprj_io_inp_dis[20] +*501 mprj_io_oeb[20] +*502 mprj_io_out[20] +*503 mprj_io_slow_sel[20] +*504 mprj_io_vtrip_sel[20] +*505 mprj_io_in[20] +*506 mprj_analog_io[14] +*507 mprj_io[21] +*508 mprj_io_analog_en[21] +*509 mprj_io_analog_pol[21] +*510 mprj_io_analog_sel[21] +*511 mprj_io_dm[63] +*512 mprj_io_dm[64] +*513 mprj_io_dm[65] +*514 mprj_io_holdover[21] +*515 mprj_io_ib_mode_sel[21] +*516 mprj_io_inp_dis[21] +*517 mprj_io_oeb[21] +*518 mprj_io_out[21] +*519 mprj_io_slow_sel[21] +*520 mprj_io_vtrip_sel[21] +*521 mprj_io_in[21] +*522 mprj_analog_io[15] +*523 mprj_io[22] +*524 mprj_io_analog_en[22] +*525 mprj_io_analog_pol[22] +*526 mprj_io_analog_sel[22] +*527 mprj_io_dm[66] +*528 mprj_io_dm[67] +*529 mprj_io_dm[68] +*530 mprj_io_holdover[22] +*531 mprj_io_ib_mode_sel[22] +*532 mprj_io_inp_dis[22] +*533 mprj_io_oeb[22] +*534 mprj_io_out[22] +*535 mprj_io_slow_sel[22] +*536 mprj_io_vtrip_sel[22] +*537 mprj_io_in[22] +*538 mprj_analog_io[16] +*539 mprj_io[23] +*540 mprj_io_analog_en[23] +*541 mprj_io_analog_pol[23] +*542 mprj_io_analog_sel[23] +*543 mprj_io_dm[69] +*544 mprj_io_dm[70] +*545 mprj_io_dm[71] +*546 mprj_io_holdover[23] +*547 mprj_io_ib_mode_sel[23] +*548 mprj_io_inp_dis[23] +*549 mprj_io_oeb[23] +*550 mprj_io_out[23] +*551 mprj_io_slow_sel[23] +*552 mprj_io_vtrip_sel[23] +*553 mprj_io_in[23] +*554 mprj_analog_io[17] +*555 mprj_io[24] +*556 mprj_io_analog_en[24] +*557 mprj_io_analog_pol[24] +*558 mprj_io_analog_sel[24] +*559 mprj_io_dm[72] +*560 mprj_io_dm[73] +*561 mprj_io_dm[74] +*562 mprj_io_holdover[24] +*563 mprj_io_ib_mode_sel[24] +*564 mprj_io_inp_dis[24] +*565 mprj_io_oeb[24] +*566 mprj_io_out[24] +*567 mprj_io_slow_sel[24] +*568 mprj_io_vtrip_sel[24] +*569 mprj_io_in[24] +*570 mprj_analog_io[18] +*571 mprj_io[25] +*572 mprj_io_analog_en[25] +*573 mprj_io_analog_pol[25] +*574 mprj_io_analog_sel[25] +*575 mprj_io_dm[75] +*576 mprj_io_dm[76] +*577 mprj_io_dm[77] +*578 mprj_io_holdover[25] +*579 mprj_io_ib_mode_sel[25] +*580 mprj_io_inp_dis[25] +*581 mprj_io_oeb[25] +*582 mprj_io_out[25] +*583 mprj_io_slow_sel[25] +*584 mprj_io_vtrip_sel[25] +*585 mprj_io_in[25] +*586 mprj_analog_io[19] +*587 mprj_io[26] +*588 mprj_io_analog_en[26] +*589 mprj_io_analog_pol[26] +*590 mprj_io_analog_sel[26] +*591 mprj_io_dm[78] +*592 mprj_io_dm[79] +*593 mprj_io_dm[80] +*594 mprj_io_holdover[26] +*595 mprj_io_ib_mode_sel[26] +*596 mprj_io_inp_dis[26] +*597 mprj_io_oeb[26] +*598 mprj_io_out[26] +*599 mprj_io_slow_sel[26] +*600 mprj_io_vtrip_sel[26] +*601 mprj_io_in[26] +*602 mprj_analog_io[20] +*603 mprj_io[27] +*604 mprj_io_analog_en[27] +*605 mprj_io_analog_pol[27] +*606 mprj_io_analog_sel[27] +*607 mprj_io_dm[81] +*608 mprj_io_dm[82] +*609 mprj_io_dm[83] +*610 mprj_io_holdover[27] +*611 mprj_io_ib_mode_sel[27] +*612 mprj_io_inp_dis[27] +*613 mprj_io_oeb[27] +*614 mprj_io_out[27] +*615 mprj_io_slow_sel[27] +*616 mprj_io_vtrip_sel[27] +*617 mprj_io_in[27] +*618 mprj_analog_io[21] +*619 mprj_io[28] +*620 mprj_io_analog_en[28] +*621 mprj_io_analog_pol[28] +*622 mprj_io_analog_sel[28] +*623 mprj_io_dm[84] +*624 mprj_io_dm[85] +*625 mprj_io_dm[86] +*626 mprj_io_holdover[28] +*627 mprj_io_ib_mode_sel[28] +*628 mprj_io_inp_dis[28] +*629 mprj_io_oeb[28] +*630 mprj_io_out[28] +*631 mprj_io_slow_sel[28] +*632 mprj_io_vtrip_sel[28] +*633 mprj_io_in[28] +*634 resetb +*635 vdda +*636 vssa +*637 vccd1_pad +*638 vdda1_pad +*639 vdda1_pad2 +*640 vssa1_pad +*641 vssa1_pad2 +*642 vdda1 +*643 vssa1 +*644 vssd1_pad +*645 vccd2_pad +*646 vdda2_pad +*647 vssa2_pad +*648 vccd +*649 vdda2 +*650 vddio +*651 vssa2 +*652 vssd2_pad +*653 vssio +*654 vssd +*655 resetb_core_h +*656 vssd2 +*657 vccd2 +*658 vssd1 +*659 vccd1 +*660 flash_clk_ieb_core +*661 flash_csb_ieb_core +*662 mgmt_corner\[0\] +*663 FILLER_170 +*664 FILLER_374 +*665 FILLER_171 +*666 FILLER_172 +*667 FILLER_174 +*668 FILLER_173 +*669 bus_tie_3 +*670 bus_tie_2 +*671 bus_tie_1 +*672 FILLER_181 +*673 bus_tie_6 +*674 bus_tie_5 +*675 bus_tie_4 +*676 FILLER_182 +*677 FILLER_183 +*678 FILLER_185 +*679 FILLER_184 +*680 mgmt_vssa_hvclamp_pad +*681 FILLER_187 +*682 FILLER_188 +*683 FILLER_189 +*684 FILLER_191 +*685 FILLER_190 +*686 bus_tie_7 +*687 bus_tie_8 +*688 bus_tie_9 +*689 bus_tie_10 +*690 bus_tie_11 +*691 bus_tie_12 +*692 FILLER_198 +*693 FILLER_199 +*694 FILLER_200 +*695 FILLER_202 +*696 FILLER_201 +*697 resetb_pad +*698 FILLER_204 +*699 FILLER_205 +*700 FILLER_206 +*701 FILLER_208 +*702 FILLER_207 +*703 bus_tie_13 +*704 bus_tie_16 +*705 bus_tie_15 +*706 bus_tie_14 +*707 FILLER_215 +*708 FILLER_216 +*709 bus_tie_18 +*710 bus_tie_17 +*711 FILLER_217 +*712 FILLER_219 +*713 FILLER_218 +*714 clock_pad +*715 FILLER_221 +*716 FILLER_222 +*717 FILLER_223 +*718 FILLER_225 +*719 FILLER_224 +*720 bus_tie_19 +*721 bus_tie_23 +*722 bus_tie_22 +*723 bus_tie_21 +*724 bus_tie_20 +*725 FILLER_232 +*726 FILLER_233 +*727 FILLER_234 +*728 FILLER_236 +*729 FILLER_235 +*730 bus_tie_24 +*731 mgmt_vssd_lvclamp_pad +*732 FILLER_238 +*733 FILLER_239 +*734 FILLER_240 +*735 FILLER_242 +*736 FILLER_241 +*737 bus_tie_26 +*738 bus_tie_25 +*739 bus_tie_30 +*740 bus_tie_29 +*741 bus_tie_28 +*742 bus_tie_27 +*743 FILLER_249 +*744 FILLER_250 +*745 FILLER_251 +*746 FILLER_253 +*747 FILLER_252 +*748 flash_csb_pad +*749 FILLER_255 +*750 FILLER_256 +*751 FILLER_257 +*752 FILLER_259 +*753 FILLER_258 +*754 bus_tie_31 +*755 bus_tie_32 +*756 bus_tie_33 +*757 bus_tie_34 +*758 bus_tie_35 +*759 FILLER_266 +*760 FILLER_267 +*761 FILLER_268 +*762 FILLER_270 +*763 FILLER_269 +*764 bus_tie_36 +*765 flash_clk_pad +*766 FILLER_272 +*767 FILLER_273 +*768 FILLER_274 +*769 FILLER_276 +*770 FILLER_275 +*771 bus_tie_38 +*772 bus_tie_37 +*773 bus_tie_42 +*774 bus_tie_41 +*775 bus_tie_40 +*776 bus_tie_39 +*777 FILLER_283 +*778 FILLER_284 +*779 FILLER_285 +*780 FILLER_287 +*781 FILLER_286 +*782 flash_io0_pad +*783 FILLER_289 +*784 FILLER_290 +*785 FILLER_291 +*786 FILLER_293 +*787 FILLER_292 +*788 bus_tie_45 +*789 bus_tie_44 +*790 bus_tie_43 +*791 FILLER_300 +*792 bus_tie_48 +*793 bus_tie_47 +*794 bus_tie_46 +*795 FILLER_301 +*796 FILLER_302 +*797 FILLER_304 +*798 FILLER_303 +*799 flash_io1_pad +*800 FILLER_306 +*801 FILLER_307 +*802 FILLER_308 +*803 FILLER_310 +*804 FILLER_309 +*805 bus_tie_49 +*806 bus_tie_50 +*807 bus_tie_51 +*808 bus_tie_52 +*809 bus_tie_53 +*810 bus_tie_54 +*811 FILLER_317 +*812 FILLER_318 +*813 FILLER_319 +*814 FILLER_321 +*815 FILLER_320 +*816 gpio_pad +*817 FILLER_323 +*818 FILLER_324 +*819 FILLER_325 +*820 FILLER_327 +*821 FILLER_326 +*822 bus_tie_55 +*823 bus_tie_57 +*824 bus_tie_56 +*825 FILLER_334 +*826 bus_tie_60 +*827 bus_tie_59 +*828 bus_tie_58 +*829 FILLER_335 +*830 FILLER_336 +*831 FILLER_338 +*832 FILLER_337 +*833 mgmt_vssio_hvclamp_pad\[0\] +*834 FILLER_340 +*835 FILLER_341 +*836 FILLER_342 +*837 FILLER_344 +*838 FILLER_343 +*839 bus_tie_64 +*840 bus_tie_63 +*841 bus_tie_62 +*842 bus_tie_61 +*843 FILLER_351 +*844 FILLER_352 +*845 bus_tie_66 +*846 bus_tie_65 +*847 FILLER_353 +*848 FILLER_355 +*849 FILLER_354 +*850 mgmt_vdda_hvclamp_pad +*851 FILLER_357 +*852 FILLER_358 +*853 FILLER_359 +*854 FILLER_360 +*855 FILLER_361 +*856 bus_tie_67 +*857 bus_tie_68 +*858 bus_tie_69 +*859 bus_tie_70 +*860 bus_tie_71 +*861 bus_tie_72 +*862 FILLER_368 +*863 FILLER_369 +*864 mgmt_corner\[1\] +*865 FILLER_605 +*866 FILLER_370 +*867 FILLER_371 +*868 FILLER_372 +*869 FILLER_373 +*870 FILLER_378 +*871 FILLER_377 +*872 FILLER_376 +*873 FILLER_375 +*874 FILLER_379 +*875 FILLER_380 +*876 FILLER_381 +*877 FILLER_382 +*878 mgmt_vccd_lvclamp_pad +*879 FILLER_384 +*880 FILLER_606 +*881 FILLER_607 +*882 FILLER_608 +*883 FILLER_609 +*884 FILLER_610 +*885 FILLER_611 +*886 FILLER_612 +*887 FILLER_SB1 +*888 disconnect_vdda_1 +*889 FILLER_615 +*890 FILLER_616 +*891 FILLER_617 +*892 FILLER_618 +*893 FILLER_388 +*894 FILLER_387 +*895 FILLER_386 +*896 FILLER_385 +*897 FILLER_389 +*898 FILLER_390 +*899 FILLER_391 +*900 FILLER_392 +*901 mgmt_vddio_hvclamp_pad\[0\] +*902 FILLER_394 +*903 FILLER_619 +*904 FILLER_620 +*905 FILLER_621 +*906 FILLER_624 +*907 FILLER_625 +*908 FILLER_626 +*909 FILLER_622 +*910 mprj_pads\.area1_io_pad\[0\] +*911 FILLER_395 +*912 FILLER_396 +*913 FILLER_397 +*914 FILLER_398 +*915 FILLER_399 +*916 FILLER_400 +*917 FILLER_405 +*918 FILLER_401 +*919 FILLER_SB2 +*920 FILLER_402 +*921 disconnect_vdda_2 +*922 FILLER_406 +*923 FILLER_407 +*924 FILLER_408 +*925 FILLER_627 +*926 FILLER_628 +*927 FILLER_629 +*928 FILLER_630 +*929 FILLER_634 +*930 FILLER_635 +*931 FILLER_631 +*932 FILLER_632 +*933 mprj_pads\.area1_io_pad\[1\] +*934 FILLER_410 +*935 FILLER_409 +*936 FILLER_411 +*937 FILLER_412 +*938 FILLER_413 +*939 mprj_pads\.area2_io_pad\[18\] +*940 FILLER_415 +*941 FILLER_418 +*942 FILLER_417 +*943 FILLER_416 +*944 FILLER_636 +*945 FILLER_637 +*946 FILLER_638 +*947 FILLER_639 +*948 FILLER_640 +*949 FILLER_643 +*950 FILLER_644 +*951 FILLER_641 +*952 mprj_pads\.area1_io_pad\[2\] +*953 FILLER_420 +*954 FILLER_419 +*955 FILLER_421 +*956 FILLER_422 +*957 FILLER_423 +*958 mprj_pads\.area2_io_pad\[17\] +*959 FILLER_425 +*960 FILLER_428 +*961 FILLER_427 +*962 FILLER_426 +*963 FILLER_645 +*964 FILLER_646 +*965 FILLER_647 +*966 FILLER_648 +*967 FILLER_649 +*968 FILLER_653 +*969 FILLER_650 +*970 FILLER_651 +*971 mprj_pads\.area1_io_pad\[3\] +*972 FILLER_429 +*973 FILLER_430 +*974 FILLER_435 +*975 FILLER_436 +*976 FILLER_437 +*977 FILLER_431 +*978 FILLER_432 +*979 FILLER_433 +*980 mprj_pads\.area2_io_pad\[16\] +*981 FILLER_654 +*982 FILLER_655 +*983 FILLER_656 +*984 FILLER_657 +*985 FILLER_658 +*986 FILLER_659 +*987 FILLER_660 +*988 mprj_pads\.area1_io_pad\[4\] +*989 FILLER_440 +*990 FILLER_439 +*991 FILLER_438 +*992 FILLER_441 +*993 FILLER_442 +*994 FILLER_443 +*995 mprj_pads\.area2_io_pad\[15\] +*996 FILLER_445 +*997 FILLER_447 +*998 FILLER_446 +*999 FILLER_662 +*1000 FILLER_663 +*1001 FILLER_664 +*1002 FILLER_665 +*1003 FILLER_666 +*1004 FILLER_667 +*1005 FILLER_668 +*1006 FILLER_669 +*1007 mprj_pads\.area1_io_pad\[5\] +*1008 FILLER_450 +*1009 FILLER_449 +*1010 FILLER_448 +*1011 FILLER_451 +*1012 FILLER_452 +*1013 FILLER_453 +*1014 mprj_pads\.area2_io_pad\[14\] +*1015 FILLER_457 +*1016 FILLER_456 +*1017 FILLER_455 +*1018 FILLER_674 +*1019 FILLER_673 +*1020 FILLER_672 +*1021 FILLER_671 +*1022 FILLER_677 +*1023 FILLER_676 +*1024 FILLER_675 +*1025 FILLER_678 +*1026 FILLER_679 +*1027 mprj_pads\.area1_io_pad\[6\] +*1028 FILLER_460 +*1029 FILLER_459 +*1030 FILLER_458 +*1031 FILLER_461 +*1032 FILLER_462 +*1033 FILLER_463 +*1034 mprj_pads\.area2_io_pad\[13\] +*1035 FILLER_467 +*1036 FILLER_466 +*1037 FILLER_465 +*1038 FILLER_681 +*1039 FILLER_682 +*1040 FILLER_683 +*1041 FILLER_684 +*1042 FILLER_685 +*1043 FILLER_686 +*1044 FILLER_687 +*1045 FILLER_688 +*1046 user1_vssa_hvclamp_pad\[1\] +*1047 FILLER_470 +*1048 FILLER_469 +*1049 FILLER_468 +*1050 FILLER_471 +*1051 FILLER_475 +*1052 FILLER_472 +*1053 FILLER_473 +*1054 user2_vssd_lvclamp_pad +*1055 FILLER_476 +*1056 FILLER_477 +*1057 FILLER_692 +*1058 FILLER_691 +*1059 FILLER_690 +*1060 FILLER_696 +*1061 FILLER_695 +*1062 FILLER_694 +*1063 FILLER_693 +*1064 FILLER_697 +*1065 FILLER_698 +*1066 user1_vssd_lvclamp_pad +*1067 FILLER_480 +*1068 FILLER_479 +*1069 FILLER_478 +*1070 FILLER_481 +*1071 FILLER_482 +*1072 FILLER_483 +*1073 user2_vdda_hvclamp_pad +*1074 FILLER_487 +*1075 FILLER_486 +*1076 FILLER_485 +*1077 FILLER_700 +*1078 FILLER_701 +*1079 FILLER_702 +*1080 FILLER_703 +*1081 FILLER_704 +*1082 FILLER_705 +*1083 FILLER_706 +*1084 FILLER_707 +*1085 user1_vdda_hvclamp_pad\[1\] +*1086 FILLER_488 +*1087 FILLER_489 +*1088 FILLER_490 +*1089 FILLER_495 +*1090 FILLER_496 +*1091 FILLER_491 +*1092 FILLER_492 +*1093 FILLER_493 +*1094 mprj_pads\.area2_io_pad\[12\] +*1095 FILLER_709 +*1096 FILLER_710 +*1097 FILLER_711 +*1098 FILLER_712 +*1099 FILLER_713 +*1100 FILLER_714 +*1101 FILLER_715 +*1102 FILLER_716 +*1103 mprj_pads\.area1_io_pad\[7\] +*1104 FILLER_500 +*1105 FILLER_499 +*1106 FILLER_498 +*1107 FILLER_497 +*1108 FILLER_501 +*1109 FILLER_502 +*1110 FILLER_503 +*1111 mprj_pads\.area2_io_pad\[11\] +*1112 FILLER_506 +*1113 FILLER_505 +*1114 FILLER_718 +*1115 FILLER_719 +*1116 FILLER_720 +*1117 FILLER_721 +*1118 FILLER_722 +*1119 FILLER_723 +*1120 FILLER_724 +*1121 FILLER_510 +*1122 FILLER_509 +*1123 FILLER_508 +*1124 FILLER_507 +*1125 FILLER_511 +*1126 FILLER_512 +*1127 FILLER_513 +*1128 mprj_pads\.area2_io_pad\[10\] +*1129 FILLER_516 +*1130 FILLER_515 +*1131 FILLER_728 +*1132 FILLER_729 +*1133 FILLER_730 +*1134 FILLER_731 +*1135 FILLER_732 +*1136 FILLER_733 +*1137 FILLER_725 +*1138 FILLER_726 +*1139 mprj_pads\.area1_io_pad\[8\] +*1140 FILLER_520 +*1141 FILLER_519 +*1142 FILLER_518 +*1143 FILLER_517 +*1144 FILLER_521 +*1145 FILLER_522 +*1146 FILLER_523 +*1147 mprj_pads\.area2_io_pad\[9\] +*1148 FILLER_526 +*1149 FILLER_525 +*1150 FILLER_734 +*1151 FILLER_737 +*1152 FILLER_738 +*1153 FILLER_739 +*1154 FILLER_740 +*1155 FILLER_741 +*1156 FILLER_742 +*1157 FILLER_735 +*1158 mprj_pads\.area1_io_pad\[9\] +*1159 FILLER_527 +*1160 FILLER_528 +*1161 FILLER_529 +*1162 FILLER_530 +*1163 FILLER_535 +*1164 FILLER_531 +*1165 FILLER_532 +*1166 FILLER_533 +*1167 mprj_pads\.area2_io_pad\[8\] +*1168 FILLER_743 +*1169 FILLER_747 +*1170 FILLER_748 +*1171 FILLER_749 +*1172 FILLER_750 +*1173 FILLER_751 +*1174 FILLER_744 +*1175 FILLER_745 +*1176 mprj_pads\.area1_io_pad\[10\] +*1177 FILLER_539 +*1178 FILLER_538 +*1179 FILLER_537 +*1180 FILLER_536 +*1181 FILLER_540 +*1182 FILLER_541 +*1183 FILLER_542 +*1184 FILLER_543 +*1185 mprj_pads\.area2_io_pad\[7\] +*1186 FILLER_545 +*1187 FILLER_752 +*1188 FILLER_753 +*1189 FILLER_756 +*1190 FILLER_757 +*1191 FILLER_758 +*1192 FILLER_759 +*1193 FILLER_754 +*1194 mprj_pads\.area1_io_pad\[11\] +*1195 FILLER_549 +*1196 FILLER_548 +*1197 FILLER_547 +*1198 FILLER_546 +*1199 FILLER_550 +*1200 FILLER_551 +*1201 FILLER_552 +*1202 FILLER_553 +*1203 mprj_pads\.area2_io_pad\[6\] +*1204 FILLER_555 +*1205 FILLER_760 +*1206 FILLER_761 +*1207 FILLER_762 +*1208 FILLER_765 +*1209 FILLER_766 +*1210 FILLER_767 +*1211 FILLER_768 +*1212 FILLER_763 +*1213 mprj_pads\.area1_io_pad\[12\] +*1214 FILLER_559 +*1215 FILLER_558 +*1216 FILLER_557 +*1217 FILLER_556 +*1218 FILLER_560 +*1219 FILLER_561 +*1220 FILLER_562 +*1221 FILLER_563 +*1222 user2_vssa_hvclamp_pad +*1223 FILLER_565 +*1224 FILLER_769 +*1225 FILLER_770 +*1226 FILLER_771 +*1227 FILLER_775 +*1228 FILLER_776 +*1229 FILLER_777 +*1230 FILLER_772 +*1231 FILLER_773 +*1232 user1_vdda_hvclamp_pad\[0\] +*1233 FILLER_569 +*1234 FILLER_568 +*1235 FILLER_567 +*1236 FILLER_566 +*1237 FILLER_570 +*1238 FILLER_571 +*1239 FILLER_572 +*1240 FILLER_573 +*1241 mgmt_vddio_hvclamp_pad\[1\] +*1242 FILLER_575 +*1243 FILLER_778 +*1244 FILLER_779 +*1245 FILLER_780 +*1246 FILLER_781 +*1247 FILLER_784 +*1248 FILLER_785 +*1249 FILLER_782 +*1250 mprj_pads\.area1_io_pad\[13\] +*1251 FILLER_579 +*1252 FILLER_578 +*1253 FILLER_577 +*1254 FILLER_576 +*1255 FILLER_580 +*1256 FILLER_581 +*1257 FILLER_582 +*1258 FILLER_583 +*1259 user2_vccd_lvclamp_pad +*1260 FILLER_585 +*1261 FILLER_790 +*1262 FILLER_789 +*1263 FILLER_788 +*1264 FILLER_787 +*1265 FILLER_786 +*1266 FILLER_791 +*1267 FILLER_792 +*1268 user1_vccd_lvclamp_pad +*1269 FILLER_794 +*1270 FILLER_795 +*1271 FILLER_589 +*1272 FILLER_588 +*1273 FILLER_587 +*1274 FILLER_586 +*1275 FILLER_590 +*1276 FILLER_591 +*1277 FILLER_592 +*1278 FILLER_593 +*1279 mprj_pads\.area2_io_pad\[5\] +*1280 FILLER_595 +*1281 FILLER_796 +*1282 FILLER_797 +*1283 FILLER_798 +*1284 FILLER_799 +*1285 FILLER_800 +*1286 FILLER_803 +*1287 FILLER_801 +*1288 mprj_pads\.area1_io_pad\[14\] +*1289 FILLER_600 +*1290 FILLER_599 +*1291 FILLER_598 +*1292 FILLER_597 +*1293 FILLER_596 +*1294 FILLER_601 +*1295 FILLER_602 +*1296 FILLER_604 +*1297 FILLER_603 +*1298 user2_corner +*1299 FILLER_5 +*1300 FILLER_9 +*1301 FILLER_8 +*1302 FILLER_7 +*1303 FILLER_6 +*1304 FILLER_12 +*1305 FILLER_11 +*1306 FILLER_10 +*1307 FILLER_13 +*1308 FILLER_14 +*1309 FILLER_16 +*1310 FILLER_15 +*1311 mprj_pads\.area2_io_pad\[4\] +*1312 FILLER_18 +*1313 FILLER_19 +*1314 FILLER_20 +*1315 FILLER_21 +*1316 FILLER_22 +*1317 FILLER_23 +*1318 FILLER_24 +*1319 FILLER_25 +*1320 FILLER_26 +*1321 FILLER_27 +*1322 FILLER_29 +*1323 FILLER_28 +*1324 mprj_pads\.area2_io_pad\[3\] +*1325 FILLER_34 +*1326 FILLER_33 +*1327 FILLER_32 +*1328 FILLER_31 +*1329 FILLER_37 +*1330 FILLER_36 +*1331 FILLER_35 +*1332 FILLER_38 +*1333 FILLER_39 +*1334 FILLER_40 +*1335 FILLER_42 +*1336 FILLER_41 +*1337 mprj_pads\.area2_io_pad\[2\] +*1338 FILLER_45 +*1339 FILLER_44 +*1340 FILLER_47 +*1341 FILLER_46 +*1342 FILLER_51 +*1343 FILLER_50 +*1344 FILLER_49 +*1345 FILLER_48 +*1346 FILLER_52 +*1347 FILLER_53 +*1348 FILLER_55 +*1349 FILLER_54 +*1350 mprj_pads\.area2_io_pad\[1\] +*1351 FILLER_58 +*1352 FILLER_57 +*1353 FILLER_62 +*1354 FILLER_61 +*1355 FILLER_60 +*1356 FILLER_59 +*1357 FILLER_64 +*1358 FILLER_63 +*1359 FILLER_65 +*1360 FILLER_66 +*1361 FILLER_69 +*1362 FILLER_68 +*1363 FILLER_67 +*1364 mprj_pads\.area2_io_pad\[0\] +*1365 FILLER_74 +*1366 FILLER_73 +*1367 FILLER_72 +*1368 FILLER_71 +*1369 FILLER_77 +*1370 FILLER_76 +*1371 FILLER_75 +*1372 FILLER_78 +*1373 FILLER_79 +*1374 FILLER_80 +*1375 FILLER_82 +*1376 FILLER_81 +*1377 mgmt_vssio_hvclamp_pad\[1\] +*1378 FILLER_SB3 +*1379 disconnect_vdda_0 +*1380 FILLER_87 +*1381 FILLER_86 +*1382 FILLER_88 +*1383 FILLER_89 +*1384 FILLER_90 +*1385 FILLER_91 +*1386 FILLER_92 +*1387 FILLER_93 +*1388 FILLER_94 +*1389 FILLER_96 +*1390 FILLER_95 +*1391 mprj_pads\.area1_io_pad\[18\] +*1392 FILLER_101 +*1393 FILLER_100 +*1394 FILLER_99 +*1395 FILLER_98 +*1396 FILLER_103 +*1397 FILLER_102 +*1398 FILLER_104 +*1399 FILLER_105 +*1400 FILLER_106 +*1401 FILLER_107 +*1402 FILLER_108 +*1403 FILLER_109 +*1404 FILLER_110 +*1405 FILLER_111 +*1406 FILLER_112 +*1407 FILLER_113 +*1408 FILLER_114 +*1409 FILLER_115 +*1410 FILLER_118 +*1411 FILLER_119 +*1412 FILLER_120 +*1413 FILLER_121 +*1414 FILLER_122 +*1415 FILLER_116 +*1416 mprj_pads\.area1_io_pad\[17\] +*1417 FILLER_125 +*1418 FILLER_124 +*1419 FILLER_123 +*1420 FILLER_126 +*1421 FILLER_127 +*1422 FILLER_129 +*1423 FILLER_128 +*1424 mprj_pads\.area1_io_pad\[16\] +*1425 FILLER_133 +*1426 FILLER_132 +*1427 FILLER_131 +*1428 FILLER_136 +*1429 FILLER_135 +*1430 FILLER_134 +*1431 FILLER_138 +*1432 FILLER_137 +*1433 FILLER_139 +*1434 FILLER_140 +*1435 FILLER_142 +*1436 FILLER_141 +*1437 user1_vssa_hvclamp_pad\[0\] +*1438 FILLER_144 +*1439 FILLER_148 +*1440 FILLER_147 +*1441 FILLER_146 +*1442 FILLER_145 +*1443 FILLER_151 +*1444 FILLER_150 +*1445 FILLER_149 +*1446 FILLER_152 +*1447 FILLER_153 +*1448 FILLER_155 +*1449 FILLER_154 +*1450 mprj_pads\.area1_io_pad\[15\] +*1451 FILLER_157 +*1452 FILLER_158 +*1453 FILLER_159 +*1454 FILLER_160 +*1455 FILLER_161 +*1456 FILLER_162 +*1457 FILLER_163 +*1458 FILLER_164 +*1459 FILLER_165 +*1460 FILLER_808 +*1461 FILLER_807 +*1462 FILLER_806 +*1463 FILLER_805 +*1464 FILLER_804 +*1465 FILLER_809 +*1466 FILLER_810 +*1467 FILLER_811 +*1468 user1_corner +*1469 FILLER_166 +*1470 FILLER_169 +*1471 FILLER_168 +*1472 FILLER_167 + +*PORTS +clock I +clock_core I +por I +flash_clk I +flash_clk_core I +flash_clk_oeb_core I +flash_csb I +flash_csb_core I +flash_csb_oeb_core I +flash_io0 I +flash_io0_di_core I +flash_io0_do_core I +flash_io0_ieb_core I +flash_io0_oeb_core I +flash_io1 I +flash_io1_di_core I +flash_io1_do_core I +flash_io1_ieb_core I +flash_io1_oeb_core I +gpio I +gpio_in_core I +gpio_inenb_core I +gpio_mode0_core I +gpio_mode1_core I +gpio_out_core I +gpio_outenb_core I +vccd_pad I +vdda_pad I +vddio_pad I +vddio_pad2 I +vssa_pad I +vssd_pad I +vssio_pad I +vssio_pad2 I +mprj_io[0] I +mprj_io_analog_en[0] I +mprj_io_analog_pol[0] I +mprj_io_analog_sel[0] I +mprj_io_dm[0] I +mprj_io_dm[1] I +mprj_io_dm[2] I +mprj_io_holdover[0] I +mprj_io_ib_mode_sel[0] I +mprj_io_inp_dis[0] I +mprj_io_oeb[0] I +mprj_io_out[0] I +mprj_io_slow_sel[0] I +mprj_io_vtrip_sel[0] I +mprj_io_in[0] I +mprj_analog_io[3] I +mprj_io[10] I +mprj_io_analog_en[10] I +mprj_io_analog_pol[10] I +mprj_io_analog_sel[10] I +mprj_io_dm[30] I +mprj_io_dm[31] I +mprj_io_dm[32] I +mprj_io_holdover[10] I +mprj_io_ib_mode_sel[10] I +mprj_io_inp_dis[10] I +mprj_io_oeb[10] I +mprj_io_out[10] I +mprj_io_slow_sel[10] I +mprj_io_vtrip_sel[10] I +mprj_io_in[10] I +mprj_analog_io[4] I +mprj_io[11] I +mprj_io_analog_en[11] I +mprj_io_analog_pol[11] I +mprj_io_analog_sel[11] I +mprj_io_dm[33] I +mprj_io_dm[34] I +mprj_io_dm[35] I +mprj_io_holdover[11] I +mprj_io_ib_mode_sel[11] I +mprj_io_inp_dis[11] I +mprj_io_oeb[11] I +mprj_io_out[11] I +mprj_io_slow_sel[11] I +mprj_io_vtrip_sel[11] I +mprj_io_in[11] I +mprj_analog_io[5] I +mprj_io[12] I +mprj_io_analog_en[12] I +mprj_io_analog_pol[12] I +mprj_io_analog_sel[12] I +mprj_io_dm[36] I +mprj_io_dm[37] I +mprj_io_dm[38] I +mprj_io_holdover[12] I +mprj_io_ib_mode_sel[12] I +mprj_io_inp_dis[12] I +mprj_io_oeb[12] I +mprj_io_out[12] I +mprj_io_slow_sel[12] I +mprj_io_vtrip_sel[12] I +mprj_io_in[12] I +mprj_analog_io[6] I +mprj_io[13] I +mprj_io_analog_en[13] I +mprj_io_analog_pol[13] I +mprj_io_analog_sel[13] I +mprj_io_dm[39] I +mprj_io_dm[40] I +mprj_io_dm[41] I +mprj_io_holdover[13] I +mprj_io_ib_mode_sel[13] I +mprj_io_inp_dis[13] I +mprj_io_oeb[13] I +mprj_io_out[13] I +mprj_io_slow_sel[13] I +mprj_io_vtrip_sel[13] I +mprj_io_in[13] I +mprj_analog_io[7] I +mprj_io[14] I +mprj_io_analog_en[14] I +mprj_io_analog_pol[14] I +mprj_io_analog_sel[14] I +mprj_io_dm[42] I +mprj_io_dm[43] I +mprj_io_dm[44] I +mprj_io_holdover[14] I +mprj_io_ib_mode_sel[14] I +mprj_io_inp_dis[14] I +mprj_io_oeb[14] I +mprj_io_out[14] I +mprj_io_slow_sel[14] I +mprj_io_vtrip_sel[14] I +mprj_io_in[14] I +mprj_analog_io[8] I +mprj_io[15] I +mprj_io_analog_en[15] I +mprj_io_analog_pol[15] I +mprj_io_analog_sel[15] I +mprj_io_dm[45] I +mprj_io_dm[46] I +mprj_io_dm[47] I +mprj_io_holdover[15] I +mprj_io_ib_mode_sel[15] I +mprj_io_inp_dis[15] I +mprj_io_oeb[15] I +mprj_io_out[15] I +mprj_io_slow_sel[15] I +mprj_io_vtrip_sel[15] I +mprj_io_in[15] I +mprj_analog_io[9] I +mprj_io[16] I +mprj_io_analog_en[16] I +mprj_io_analog_pol[16] I +mprj_io_analog_sel[16] I +mprj_io_dm[48] I +mprj_io_dm[49] I +mprj_io_dm[50] I +mprj_io_holdover[16] I +mprj_io_ib_mode_sel[16] I +mprj_io_inp_dis[16] I +mprj_io_oeb[16] I +mprj_io_out[16] I +mprj_io_slow_sel[16] I +mprj_io_vtrip_sel[16] I +mprj_io_in[16] I +mprj_analog_io[10] I +mprj_io[17] I +mprj_io_analog_en[17] I +mprj_io_analog_pol[17] I +mprj_io_analog_sel[17] I +mprj_io_dm[51] I +mprj_io_dm[52] I +mprj_io_dm[53] I +mprj_io_holdover[17] I +mprj_io_ib_mode_sel[17] I +mprj_io_inp_dis[17] I +mprj_io_oeb[17] I +mprj_io_out[17] I +mprj_io_slow_sel[17] I +mprj_io_vtrip_sel[17] I +mprj_io_in[17] I +mprj_analog_io[11] I +mprj_io[18] I +mprj_io_analog_en[18] I +mprj_io_analog_pol[18] I +mprj_io_analog_sel[18] I +mprj_io_dm[54] I +mprj_io_dm[55] I +mprj_io_dm[56] I +mprj_io_holdover[18] I +mprj_io_ib_mode_sel[18] I +mprj_io_inp_dis[18] I +mprj_io_oeb[18] I +mprj_io_out[18] I +mprj_io_slow_sel[18] I +mprj_io_vtrip_sel[18] I +mprj_io_in[18] I +mprj_io[1] I +mprj_io_analog_en[1] I +mprj_io_analog_pol[1] I +mprj_io_analog_sel[1] I +mprj_io_dm[3] I +mprj_io_dm[4] I +mprj_io_dm[5] I +mprj_io_holdover[1] I +mprj_io_ib_mode_sel[1] I +mprj_io_inp_dis[1] I +mprj_io_oeb[1] I +mprj_io_out[1] I +mprj_io_slow_sel[1] I +mprj_io_vtrip_sel[1] I +mprj_io_in[1] I +mprj_io[2] I +mprj_io_analog_en[2] I +mprj_io_analog_pol[2] I +mprj_io_analog_sel[2] I +mprj_io_dm[6] I +mprj_io_dm[7] I +mprj_io_dm[8] I +mprj_io_holdover[2] I +mprj_io_ib_mode_sel[2] I +mprj_io_inp_dis[2] I +mprj_io_oeb[2] I +mprj_io_out[2] I +mprj_io_slow_sel[2] I +mprj_io_vtrip_sel[2] I +mprj_io_in[2] I +mprj_io[3] I +mprj_io_analog_en[3] I +mprj_io_analog_pol[3] I +mprj_io_analog_sel[3] I +mprj_io_dm[10] I +mprj_io_dm[11] I +mprj_io_dm[9] I +mprj_io_holdover[3] I +mprj_io_ib_mode_sel[3] I +mprj_io_inp_dis[3] I +mprj_io_oeb[3] I +mprj_io_out[3] I +mprj_io_slow_sel[3] I +mprj_io_vtrip_sel[3] I +mprj_io_in[3] I +mprj_io[4] I +mprj_io_analog_en[4] I +mprj_io_analog_pol[4] I +mprj_io_analog_sel[4] I +mprj_io_dm[12] I +mprj_io_dm[13] I +mprj_io_dm[14] I +mprj_io_holdover[4] I +mprj_io_ib_mode_sel[4] I +mprj_io_inp_dis[4] I +mprj_io_oeb[4] I +mprj_io_out[4] I +mprj_io_slow_sel[4] I +mprj_io_vtrip_sel[4] I +mprj_io_in[4] I +mprj_io[5] I +mprj_io_analog_en[5] I +mprj_io_analog_pol[5] I +mprj_io_analog_sel[5] I +mprj_io_dm[15] I +mprj_io_dm[16] I +mprj_io_dm[17] I +mprj_io_holdover[5] I +mprj_io_ib_mode_sel[5] I +mprj_io_inp_dis[5] I +mprj_io_oeb[5] I +mprj_io_out[5] I +mprj_io_slow_sel[5] I +mprj_io_vtrip_sel[5] I +mprj_io_in[5] I +mprj_io[6] I +mprj_io_analog_en[6] I +mprj_io_analog_pol[6] I +mprj_io_analog_sel[6] I +mprj_io_dm[18] I +mprj_io_dm[19] I +mprj_io_dm[20] I +mprj_io_holdover[6] I +mprj_io_ib_mode_sel[6] I +mprj_io_inp_dis[6] I +mprj_io_oeb[6] I +mprj_io_out[6] I +mprj_io_slow_sel[6] I +mprj_io_vtrip_sel[6] I +mprj_io_in[6] I +mprj_analog_io[0] I +mprj_io[7] I +mprj_io_analog_en[7] I +mprj_io_analog_pol[7] I +mprj_io_analog_sel[7] I +mprj_io_dm[21] I +mprj_io_dm[22] I +mprj_io_dm[23] I +mprj_io_holdover[7] I +mprj_io_ib_mode_sel[7] I +mprj_io_inp_dis[7] I +mprj_io_oeb[7] I +mprj_io_out[7] I +mprj_io_slow_sel[7] I +mprj_io_vtrip_sel[7] I +mprj_io_in[7] I +mprj_analog_io[1] I +mprj_io[8] I +mprj_io_analog_en[8] I +mprj_io_analog_pol[8] I +mprj_io_analog_sel[8] I +mprj_io_dm[24] I +mprj_io_dm[25] I +mprj_io_dm[26] I +mprj_io_holdover[8] I +mprj_io_ib_mode_sel[8] I +mprj_io_inp_dis[8] I +mprj_io_oeb[8] I +mprj_io_out[8] I +mprj_io_slow_sel[8] I +mprj_io_vtrip_sel[8] I +mprj_io_in[8] I +mprj_analog_io[2] I +mprj_io[9] I +mprj_io_analog_en[9] I +mprj_io_analog_pol[9] I +mprj_io_analog_sel[9] I +mprj_io_dm[27] I +mprj_io_dm[28] I +mprj_io_dm[29] I +mprj_io_holdover[9] I +mprj_io_ib_mode_sel[9] I +mprj_io_inp_dis[9] I +mprj_io_oeb[9] I +mprj_io_out[9] I +mprj_io_slow_sel[9] I +mprj_io_vtrip_sel[9] I +mprj_io_in[9] I +mprj_analog_io[12] I +mprj_io[19] I +mprj_io_analog_en[19] I +mprj_io_analog_pol[19] I +mprj_io_analog_sel[19] I +mprj_io_dm[57] I +mprj_io_dm[58] I +mprj_io_dm[59] I +mprj_io_holdover[19] I +mprj_io_ib_mode_sel[19] I +mprj_io_inp_dis[19] I +mprj_io_oeb[19] I +mprj_io_out[19] I +mprj_io_slow_sel[19] I +mprj_io_vtrip_sel[19] I +mprj_io_in[19] I +mprj_analog_io[22] I +mprj_io[29] I +mprj_io_analog_en[29] I +mprj_io_analog_pol[29] I +mprj_io_analog_sel[29] I +mprj_io_dm[87] I +mprj_io_dm[88] I +mprj_io_dm[89] I +mprj_io_holdover[29] I +mprj_io_ib_mode_sel[29] I +mprj_io_inp_dis[29] I +mprj_io_oeb[29] I +mprj_io_out[29] I +mprj_io_slow_sel[29] I +mprj_io_vtrip_sel[29] I +mprj_io_in[29] I +mprj_analog_io[23] I +mprj_io[30] I +mprj_io_analog_en[30] I +mprj_io_analog_pol[30] I +mprj_io_analog_sel[30] I +mprj_io_dm[90] I +mprj_io_dm[91] I +mprj_io_dm[92] I +mprj_io_holdover[30] I +mprj_io_ib_mode_sel[30] I +mprj_io_inp_dis[30] I +mprj_io_oeb[30] I +mprj_io_out[30] I +mprj_io_slow_sel[30] I +mprj_io_vtrip_sel[30] I +mprj_io_in[30] I +mprj_analog_io[24] I +mprj_io[31] I +mprj_io_analog_en[31] I +mprj_io_analog_pol[31] I +mprj_io_analog_sel[31] I +mprj_io_dm[93] I +mprj_io_dm[94] I +mprj_io_dm[95] I +mprj_io_holdover[31] I +mprj_io_ib_mode_sel[31] I +mprj_io_inp_dis[31] I +mprj_io_oeb[31] I +mprj_io_out[31] I +mprj_io_slow_sel[31] I +mprj_io_vtrip_sel[31] I +mprj_io_in[31] I +mprj_analog_io[25] I +mprj_io[32] I +mprj_io_analog_en[32] I +mprj_io_analog_pol[32] I +mprj_io_analog_sel[32] I +mprj_io_dm[96] I +mprj_io_dm[97] I +mprj_io_dm[98] I +mprj_io_holdover[32] I +mprj_io_ib_mode_sel[32] I +mprj_io_inp_dis[32] I +mprj_io_oeb[32] I +mprj_io_out[32] I +mprj_io_slow_sel[32] I +mprj_io_vtrip_sel[32] I +mprj_io_in[32] I +mprj_analog_io[26] I +mprj_io[33] I +mprj_io_analog_en[33] I +mprj_io_analog_pol[33] I +mprj_io_analog_sel[33] I +mprj_io_dm[100] I +mprj_io_dm[101] I +mprj_io_dm[99] I +mprj_io_holdover[33] I +mprj_io_ib_mode_sel[33] I +mprj_io_inp_dis[33] I +mprj_io_oeb[33] I +mprj_io_out[33] I +mprj_io_slow_sel[33] I +mprj_io_vtrip_sel[33] I +mprj_io_in[33] I +mprj_analog_io[27] I +mprj_io[34] I +mprj_io_analog_en[34] I +mprj_io_analog_pol[34] I +mprj_io_analog_sel[34] I +mprj_io_dm[102] I +mprj_io_dm[103] I +mprj_io_dm[104] I +mprj_io_holdover[34] I +mprj_io_ib_mode_sel[34] I +mprj_io_inp_dis[34] I +mprj_io_oeb[34] I +mprj_io_out[34] I +mprj_io_slow_sel[34] I +mprj_io_vtrip_sel[34] I +mprj_io_in[34] I +mprj_analog_io[28] I +mprj_io[35] I +mprj_io_analog_en[35] I +mprj_io_analog_pol[35] I +mprj_io_analog_sel[35] I +mprj_io_dm[105] I +mprj_io_dm[106] I +mprj_io_dm[107] I +mprj_io_holdover[35] I +mprj_io_ib_mode_sel[35] I +mprj_io_inp_dis[35] I +mprj_io_oeb[35] I +mprj_io_out[35] I +mprj_io_slow_sel[35] I +mprj_io_vtrip_sel[35] I +mprj_io_in[35] I +mprj_io[36] I +mprj_io_analog_en[36] I +mprj_io_analog_pol[36] I +mprj_io_analog_sel[36] I +mprj_io_dm[108] I +mprj_io_dm[109] I +mprj_io_dm[110] I +mprj_io_holdover[36] I +mprj_io_ib_mode_sel[36] I +mprj_io_inp_dis[36] I +mprj_io_oeb[36] I +mprj_io_out[36] I +mprj_io_slow_sel[36] I +mprj_io_vtrip_sel[36] I +mprj_io_in[36] I +mprj_io[37] I +mprj_io_analog_en[37] I +mprj_io_analog_pol[37] I +mprj_io_analog_sel[37] I +mprj_io_dm[111] I +mprj_io_dm[112] I +mprj_io_dm[113] I +mprj_io_holdover[37] I +mprj_io_ib_mode_sel[37] I +mprj_io_inp_dis[37] I +mprj_io_oeb[37] I +mprj_io_out[37] I +mprj_io_slow_sel[37] I +mprj_io_vtrip_sel[37] I +mprj_io_in[37] I +mprj_analog_io[13] I +mprj_io[20] I +mprj_io_analog_en[20] I +mprj_io_analog_pol[20] I +mprj_io_analog_sel[20] I +mprj_io_dm[60] I +mprj_io_dm[61] I +mprj_io_dm[62] I +mprj_io_holdover[20] I +mprj_io_ib_mode_sel[20] I +mprj_io_inp_dis[20] I +mprj_io_oeb[20] I +mprj_io_out[20] I +mprj_io_slow_sel[20] I +mprj_io_vtrip_sel[20] I +mprj_io_in[20] I +mprj_analog_io[14] I +mprj_io[21] I +mprj_io_analog_en[21] I +mprj_io_analog_pol[21] I +mprj_io_analog_sel[21] I +mprj_io_dm[63] I +mprj_io_dm[64] I +mprj_io_dm[65] I +mprj_io_holdover[21] I +mprj_io_ib_mode_sel[21] I +mprj_io_inp_dis[21] I +mprj_io_oeb[21] I +mprj_io_out[21] I +mprj_io_slow_sel[21] I +mprj_io_vtrip_sel[21] I +mprj_io_in[21] I +mprj_analog_io[15] I +mprj_io[22] I +mprj_io_analog_en[22] I +mprj_io_analog_pol[22] I +mprj_io_analog_sel[22] I +mprj_io_dm[66] I +mprj_io_dm[67] I +mprj_io_dm[68] I +mprj_io_holdover[22] I +mprj_io_ib_mode_sel[22] I +mprj_io_inp_dis[22] I +mprj_io_oeb[22] I +mprj_io_out[22] I +mprj_io_slow_sel[22] I +mprj_io_vtrip_sel[22] I +mprj_io_in[22] I +mprj_analog_io[16] I +mprj_io[23] I +mprj_io_analog_en[23] I +mprj_io_analog_pol[23] I +mprj_io_analog_sel[23] I +mprj_io_dm[69] I +mprj_io_dm[70] I +mprj_io_dm[71] I +mprj_io_holdover[23] I +mprj_io_ib_mode_sel[23] I +mprj_io_inp_dis[23] I +mprj_io_oeb[23] I +mprj_io_out[23] I +mprj_io_slow_sel[23] I +mprj_io_vtrip_sel[23] I +mprj_io_in[23] I +mprj_analog_io[17] I +mprj_io[24] I +mprj_io_analog_en[24] I +mprj_io_analog_pol[24] I +mprj_io_analog_sel[24] I +mprj_io_dm[72] I +mprj_io_dm[73] I +mprj_io_dm[74] I +mprj_io_holdover[24] I +mprj_io_ib_mode_sel[24] I +mprj_io_inp_dis[24] I +mprj_io_oeb[24] I +mprj_io_out[24] I +mprj_io_slow_sel[24] I +mprj_io_vtrip_sel[24] I +mprj_io_in[24] I +mprj_analog_io[18] I +mprj_io[25] I +mprj_io_analog_en[25] I +mprj_io_analog_pol[25] I +mprj_io_analog_sel[25] I +mprj_io_dm[75] I +mprj_io_dm[76] I +mprj_io_dm[77] I +mprj_io_holdover[25] I +mprj_io_ib_mode_sel[25] I +mprj_io_inp_dis[25] I +mprj_io_oeb[25] I +mprj_io_out[25] I +mprj_io_slow_sel[25] I +mprj_io_vtrip_sel[25] I +mprj_io_in[25] I +mprj_analog_io[19] I +mprj_io[26] I +mprj_io_analog_en[26] I +mprj_io_analog_pol[26] I +mprj_io_analog_sel[26] I +mprj_io_dm[78] I +mprj_io_dm[79] I +mprj_io_dm[80] I +mprj_io_holdover[26] I +mprj_io_ib_mode_sel[26] I +mprj_io_inp_dis[26] I +mprj_io_oeb[26] I +mprj_io_out[26] I +mprj_io_slow_sel[26] I +mprj_io_vtrip_sel[26] I +mprj_io_in[26] I +mprj_analog_io[20] I +mprj_io[27] I +mprj_io_analog_en[27] I +mprj_io_analog_pol[27] I +mprj_io_analog_sel[27] I +mprj_io_dm[81] I +mprj_io_dm[82] I +mprj_io_dm[83] I +mprj_io_holdover[27] I +mprj_io_ib_mode_sel[27] I +mprj_io_inp_dis[27] I +mprj_io_oeb[27] I +mprj_io_out[27] I +mprj_io_slow_sel[27] I +mprj_io_vtrip_sel[27] I +mprj_io_in[27] I +mprj_analog_io[21] I +mprj_io[28] I +mprj_io_analog_en[28] I +mprj_io_analog_pol[28] I +mprj_io_analog_sel[28] I +mprj_io_dm[84] I +mprj_io_dm[85] I +mprj_io_dm[86] I +mprj_io_holdover[28] I +mprj_io_ib_mode_sel[28] I +mprj_io_inp_dis[28] I +mprj_io_oeb[28] I +mprj_io_out[28] I +mprj_io_slow_sel[28] I +mprj_io_vtrip_sel[28] I +mprj_io_in[28] I +resetb I +vdda I +vssa I +vccd1_pad I +vdda1_pad I +vdda1_pad2 I +vssa1_pad I +vssa1_pad2 I +vdda1 I +vssa1 I +vssd1_pad I +vccd2_pad I +vdda2_pad I +vssa2_pad I +vccd I +vdda2 I +vddio I +vssa2 I +vssd2_pad I +vssio I +vssd I +resetb_core_h I +vssd2 I +vccd2 I +vssd1 I +vccd1 I +flash_clk_ieb_core I +flash_csb_ieb_core I + +*D_NET *1 0.0053061 +*CONN +*P clock I +*CAP +1 clock 0.00265305 +2 *1:4 0.00265305 +*RES +1 clock *1:4 1.1491 +*END + +*D_NET *2 2.40556e-05 +*CONN +*P clock_core I +*CAP +1 clock_core 1.20278e-05 +2 *2:4 1.20278e-05 +*RES +1 clock_core *2:4 0.341972 +*END + +*D_NET *3 2.40556e-05 +*CONN +*P por I +*CAP +1 por 1.20278e-05 +2 *3:4 1.20278e-05 +*RES +1 por *3:4 0.341972 +*END + +*D_NET *4 0.0053061 +*CONN +*P flash_clk I +*CAP +1 flash_clk 0.00265305 +2 *4:4 0.00265305 +*RES +1 flash_clk *4:4 1.1491 +*END + +*D_NET *5 2.40556e-05 +*CONN +*P flash_clk_core I +*CAP +1 flash_clk_core 1.20278e-05 +2 *5:4 1.20278e-05 +*RES +1 flash_clk_core *5:4 0.341972 +*END + +*D_NET *6 2.40556e-05 +*CONN +*P flash_clk_oeb_core I +*CAP +1 flash_clk_oeb_core 1.20278e-05 +2 *6:4 1.20278e-05 +*RES +1 flash_clk_oeb_core *6:4 0.341972 +*END + +*D_NET *7 0.0053061 +*CONN +*P flash_csb I +*CAP +1 flash_csb 0.00265305 +2 *7:4 0.00265305 +*RES +1 flash_csb *7:4 1.1491 +*END + +*D_NET *8 2.40556e-05 +*CONN +*P flash_csb_core I +*CAP +1 flash_csb_core 1.20278e-05 +2 *8:4 1.20278e-05 +*RES +1 flash_csb_core *8:4 0.341972 +*END + +*D_NET *9 2.40556e-05 +*CONN +*P flash_csb_oeb_core I +*CAP +1 flash_csb_oeb_core 1.20278e-05 +2 *9:4 1.20278e-05 +*RES +1 flash_csb_oeb_core *9:4 0.341972 +*END + +*D_NET *10 0.0053061 +*CONN +*P flash_io0 I +*CAP +1 flash_io0 0.00265305 +2 *10:4 0.00265305 +*RES +1 flash_io0 *10:4 1.1491 +*END + +*D_NET *11 2.40556e-05 +*CONN +*P flash_io0_di_core I +*CAP +1 flash_io0_di_core 1.20278e-05 +2 *11:4 1.20278e-05 +*RES +1 flash_io0_di_core *11:4 0.341972 +*END + +*D_NET *12 2.40556e-05 +*CONN +*P flash_io0_do_core I +*CAP +1 flash_io0_do_core 1.20278e-05 +2 *12:4 1.20278e-05 +*RES +1 flash_io0_do_core *12:4 0.341972 +*END + +*D_NET *13 0.00348767 +*CONN +*P flash_io0_ieb_core I +*CAP +1 flash_io0_ieb_core 1.63541e-05 +2 *13:149 9.72998e-06 +3 *13:143 5.96752e-06 +4 *13:137 1.0746e-05 +5 *13:131 5.58433e-06 +6 *13:125 0.000114215 +7 *13:119 1.16591e-05 +8 *13:114 0.000131459 +9 *13:108 1.93227e-05 +10 *13:102 0.000657657 +11 *13:96 0.000649081 +12 *13:90 6.23774e-06 +13 *13:84 9.67145e-06 +14 *13:78 9.09219e-06 +15 *13:72 0.000114215 +16 *13:66 1.36125e-05 +17 *13:61 0.00013692 +18 *13:57 2.60765e-05 +19 *13:49 4.17155e-05 +20 *13:43 0.000657393 +21 *13:37 0.00066624 +22 *13:31 1.00382e-05 +23 *13:29 3.49234e-05 +24 *13:28 2.2276e-05 +25 *13:22 6.59561e-06 +26 *13:16 5.04272e-05 +27 *13:15 3.41016e-05 +28 *13:11 7.5398e-06 +29 *13:4 8.8143e-06 +30 *13:43 *14:49 0 +31 *13:49 *14:49 0 +32 *13:84 *14:49 0 +33 *13:90 *14:49 0 +34 *13:96 *14:49 0 +35 *13:102 *14:49 0 +36 *13:102 *14:55 0 +37 *13:102 *14:84 0 +*RES +1 flash_io0_ieb_core *13:4 0.341972 +2 flash_io0_ieb_core *13:11 0.421359 +3 *13:15 *13:16 0.861038 +4 *13:16 *13:22 0.317546 +5 *13:16 *13:28 0.168792 +6 *13:28 *13:29 0.289358 +7 *13:29 *13:31 0.277302 +8 *13:29 *13:37 0.301415 +9 *13:37 *13:43 18.5431 +10 *13:43 *13:49 0.301415 +11 *13:49 *13:57 0.56666 +12 *13:49 *13:61 0.168792 +13 *13:61 *13:66 0.317546 +14 *13:61 *13:72 3.13882 +15 *13:61 *13:78 0.317546 +16 *13:49 *13:84 0.301415 +17 *13:37 *13:90 0.301415 +18 *13:28 *13:96 0.301415 +19 *13:96 *13:102 17.9884 +20 *13:102 *13:108 0.301415 +21 *13:108 *13:114 0.168792 +22 *13:114 *13:119 0.317546 +23 *13:114 *13:125 3.13882 +24 *13:114 *13:131 0.317546 +25 *13:108 *13:137 0.301415 +26 *13:102 *13:143 0.301415 +27 *13:16 *13:149 0.317546 +*END + +*D_NET *14 0.00465082 +*CONN +*P flash_io0_oeb_core I +*CAP +1 flash_io0_oeb_core 1.76204e-05 +2 *14:96 9.71403e-06 +3 *14:90 5.96752e-06 +4 *14:84 1.33677e-05 +5 *14:78 5.58433e-06 +6 *14:72 0.000230094 +7 *14:66 9.84578e-06 +8 *14:61 0.000245524 +9 *14:55 2.19443e-05 +10 *14:49 0.00185333 +11 *14:43 0.00184476 +12 *14:37 9.67145e-06 +13 *14:31 2.60765e-05 +14 *14:28 4.17155e-05 +15 *14:22 7.80013e-06 +16 *14:16 0.000153852 +17 *14:15 0.000136338 +18 *14:11 8.80606e-06 +19 *14:4 8.8143e-06 +20 *13:43 *14:49 0 +21 *13:49 *14:49 0 +22 *13:84 *14:49 0 +23 *13:90 *14:49 0 +24 *13:96 *14:49 0 +25 *13:102 *14:49 0 +26 *13:102 *14:55 0 +27 *13:102 *14:84 0 +*RES +1 flash_io0_oeb_core *14:4 0.341972 +2 flash_io0_oeb_core *14:11 0.421359 +3 *14:15 *14:16 3.7678 +4 *14:16 *14:22 0.317546 +5 *14:16 *14:28 0.168792 +6 *14:28 *14:31 0.56666 +7 *14:28 *14:37 0.301415 +8 *14:28 *14:43 0.301415 +9 *14:43 *14:49 51.8193 +10 *14:49 *14:55 0.301415 +11 *14:55 *14:61 0.168792 +12 *14:61 *14:66 0.317546 +13 *14:61 *14:72 6.04558 +14 *14:61 *14:78 0.317546 +15 *14:55 *14:84 0.301415 +16 *14:49 *14:90 0.301415 +17 *14:16 *14:96 0.317546 +*END + +*D_NET *15 0.0053061 +*CONN +*P flash_io1 I +*CAP +1 flash_io1 0.00265305 +2 *15:4 0.00265305 +*RES +1 flash_io1 *15:4 1.1491 +*END + +*D_NET *16 2.40556e-05 +*CONN +*P flash_io1_di_core I +*CAP +1 flash_io1_di_core 1.20278e-05 +2 *16:4 1.20278e-05 +*RES +1 flash_io1_di_core *16:4 0.341972 +*END + +*D_NET *17 2.40556e-05 +*CONN +*P flash_io1_do_core I +*CAP +1 flash_io1_do_core 1.20278e-05 +2 *17:4 1.20278e-05 +*RES +1 flash_io1_do_core *17:4 0.341972 +*END + +*D_NET *18 0.003047 +*CONN +*P flash_io1_ieb_core I +*CAP +1 flash_io1_ieb_core 7.62168e-05 +2 *18:171 6.0139e-06 +3 *18:164 1.29348e-05 +4 *18:157 1.12383e-05 +5 *18:151 5.37302e-06 +6 *18:145 1.0746e-05 +7 *18:139 7.40674e-06 +8 *18:133 2.70379e-05 +9 *18:127 1.34239e-05 +10 *18:121 4.46015e-05 +11 *18:116 1.15465e-05 +12 *18:110 1.64345e-05 +13 *18:104 0.000654175 +14 *18:98 0.000648486 +15 *18:92 5.37302e-06 +16 *18:86 9.67145e-06 +17 *18:80 9.60674e-06 +18 *18:74 1.49273e-05 +19 *18:68 1.06317e-05 +20 *18:62 4.09273e-05 +21 *18:57 2.4975e-05 +22 *18:53 2.24268e-05 +23 *18:45 3.74713e-05 +24 *18:39 0.00059748 +25 *18:33 0.000603169 +26 *18:27 6.26172e-06 +27 *18:25 2.82587e-05 +28 *18:24 2.16815e-05 +29 *18:18 1.5378e-05 +30 *18:11 4.62058e-05 +31 *18:4 6.92255e-06 +32 *18:27 *19:49 0 +33 *18:39 *19:49 0 +34 *18:45 *19:49 0 +35 *18:53 *19:49 0 +36 *18:86 *19:49 0 +37 *18:92 *19:49 0 +38 *18:98 *19:49 0 +39 *18:104 *19:49 0 +40 *18:104 *19:55 0 +41 *18:104 *19:90 0 +*RES +1 flash_io1_ieb_core *18:4 0.341972 +2 flash_io1_ieb_core *18:11 1.17858 +3 flash_io1_ieb_core *18:18 1.25186 +4 *18:18 *18:24 0.168792 +5 *18:24 *18:25 0.289358 +6 *18:25 *18:27 0.277302 +7 *18:25 *18:33 0.301415 +8 *18:33 *18:39 16.8792 +9 *18:39 *18:45 0.301415 +10 *18:45 *18:53 0.56666 +11 *18:45 *18:57 0.168792 +12 *18:57 *18:62 0.922104 +13 *18:62 *18:68 0.604558 +14 *18:62 *18:74 0.848824 +15 *18:57 *18:80 0.317546 +16 *18:45 *18:86 0.301415 +17 *18:33 *18:92 0.301415 +18 *18:24 *18:98 0.301415 +19 *18:98 *18:104 17.9884 +20 *18:104 *18:110 0.301415 +21 *18:110 *18:116 0.168792 +22 *18:116 *18:121 1.08088 +23 *18:121 *18:127 0.763331 +24 *18:121 *18:133 1.0076 +25 *18:116 *18:139 0.317546 +26 *18:110 *18:145 0.301415 +27 *18:104 *18:151 0.301415 +28 *18:18 *18:157 0.317546 +29 flash_io1_ieb_core *18:164 0.934317 +30 flash_io1_ieb_core *18:171 0.341972 +*END + +*D_NET *19 0.00434843 +*CONN +*P flash_io1_oeb_core I +*CAP +1 flash_io1_oeb_core 1.89091e-05 +2 *19:102 9.71403e-06 +3 *19:96 5.96752e-06 +4 *19:90 1.33677e-05 +5 *19:84 5.58433e-06 +6 *19:78 1.00948e-05 +7 *19:72 0.000155918 +8 *19:66 5.55014e-06 +9 *19:61 0.000156958 +10 *19:55 2.19443e-05 +11 *19:49 0.00185233 +12 *19:43 0.00184376 +13 *19:37 9.67145e-06 +14 *19:31 2.60765e-05 +15 *19:28 4.17155e-05 +16 *19:22 7.81018e-06 +17 *19:16 8.08362e-05 +18 *19:15 6.3312e-05 +19 *19:11 1.00948e-05 +20 *19:4 8.8143e-06 +21 *18:27 *19:49 0 +22 *18:39 *19:49 0 +23 *18:45 *19:49 0 +24 *18:53 *19:49 0 +25 *18:86 *19:49 0 +26 *18:92 *19:49 0 +27 *18:98 *19:49 0 +28 *18:104 *19:49 0 +29 *18:104 *19:55 0 +30 *18:104 *19:90 0 +*RES +1 flash_io1_oeb_core *19:4 0.341972 +2 flash_io1_oeb_core *19:11 0.457999 +3 *19:15 *19:16 1.69154 +4 *19:16 *19:22 0.317546 +5 *19:16 *19:28 0.168792 +6 *19:28 *19:31 0.56666 +7 *19:28 *19:37 0.301415 +8 *19:28 *19:43 0.301415 +9 *19:43 *19:49 51.8193 +10 *19:49 *19:55 0.301415 +11 *19:55 *19:61 0.168792 +12 *19:61 *19:66 0.317546 +13 *19:61 *19:72 3.88383 +14 *19:72 *19:78 0.287013 +15 *19:61 *19:84 0.317546 +16 *19:55 *19:90 0.301415 +17 *19:49 *19:96 0.301415 +18 *19:16 *19:102 0.317546 +*END + +*D_NET *20 0.0053061 +*CONN +*P gpio I +*CAP +1 gpio 0.00265305 +2 *20:4 0.00265305 +*RES +1 gpio *20:4 1.1491 +*END + +*D_NET *21 2.40556e-05 +*CONN +*P gpio_in_core I +*CAP +1 gpio_in_core 1.20278e-05 +2 *21:4 1.20278e-05 +*RES +1 gpio_in_core *21:4 0.341972 +*END + +*D_NET *22 2.40556e-05 +*CONN +*P gpio_inenb_core I +*CAP +1 gpio_inenb_core 1.20278e-05 +2 *22:4 1.20278e-05 +*RES +1 gpio_inenb_core *22:4 0.341972 +*END + +*D_NET *23 2.40556e-05 +*CONN +*P gpio_mode0_core I +*CAP +1 gpio_mode0_core 1.20278e-05 +2 *23:4 1.20278e-05 +*RES +1 gpio_mode0_core *23:4 0.341972 +*END + +*D_NET *24 0.00312834 +*CONN +*P gpio_mode1_core I +*CAP +1 gpio_mode1_core 1.20278e-05 +2 *24:89 9.72998e-06 +3 *24:83 5.96752e-06 +4 *24:77 1.0746e-05 +5 *24:71 5.58433e-06 +6 *24:65 0.000114215 +7 *24:59 1.16591e-05 +8 *24:54 0.000131459 +9 *24:48 1.93227e-05 +10 *24:42 0.00131847 +11 *24:36 0.00130989 +12 *24:30 9.67145e-06 +13 *24:24 2.60765e-05 +14 *24:21 4.17155e-05 +15 *24:15 5.92133e-06 +16 *24:9 4.97529e-05 +17 *24:8 3.41016e-05 +18 *24:4 1.20278e-05 +*RES +1 gpio_mode1_core *24:4 0.341972 +2 *24:8 *24:9 0.861038 +3 *24:9 *24:15 0.317546 +4 *24:9 *24:21 0.168792 +5 *24:21 *24:24 0.56666 +6 *24:21 *24:30 0.301415 +7 *24:21 *24:36 0.301415 +8 *24:36 *24:42 36.845 +9 *24:42 *24:48 0.301415 +10 *24:48 *24:54 0.168792 +11 *24:54 *24:59 0.317546 +12 *24:54 *24:65 3.13882 +13 *24:54 *24:71 0.317546 +14 *24:48 *24:77 0.301415 +15 *24:42 *24:83 0.301415 +16 *24:9 *24:89 0.317546 +*END + +*D_NET *25 2.40556e-05 +*CONN +*P gpio_out_core I +*CAP +1 gpio_out_core 1.20278e-05 +2 *25:4 1.20278e-05 +*RES +1 gpio_out_core *25:4 0.341972 +*END + +*D_NET *26 2.40556e-05 +*CONN +*P gpio_outenb_core I +*CAP +1 gpio_outenb_core 1.20278e-05 +2 *26:4 1.20278e-05 +*RES +1 gpio_outenb_core *26:4 0.341972 +*END + +*D_NET *27 0.00570109 +*CONN +*P vccd_pad I +*CAP +1 vccd_pad 0.00285055 +2 *27:4 0.00285055 +*RES +1 vccd_pad *27:4 1.23464 +*END + +*D_NET *28 0.00515692 +*CONN +*P vdda_pad I +*CAP +1 vdda_pad 0.00257846 +2 *28:4 0.00257846 +*RES +1 vdda_pad *28:4 1.1168 +*END + +*D_NET *29 0.00515522 +*CONN +*P vddio_pad I +*CAP +1 vddio_pad 0.00257761 +2 *29:4 0.00257761 +*RES +1 vddio_pad *29:4 1.11643 +*END + +*D_NET *30 0.00515522 +*CONN +*P vddio_pad2 I +*CAP +1 vddio_pad2 0.00257761 +2 *30:4 0.00257761 +*RES +1 vddio_pad2 *30:4 1.11643 +*END + +*D_NET *31 0.00515692 +*CONN +*P vssa_pad I +*CAP +1 vssa_pad 0.00257846 +2 *31:4 0.00257846 +*RES +1 vssa_pad *31:4 1.1168 +*END + +*D_NET *32 0.00461105 +*CONN +*P vssd_pad I +*CAP +1 vssd_pad 0.00230553 +2 *32:4 0.00230553 +*RES +1 vssd_pad *32:4 0.998583 +*END + +*D_NET *33 0.00515692 +*CONN +*P vssio_pad I +*CAP +1 vssio_pad 0.00257846 +2 *33:4 0.00257846 +*RES +1 vssio_pad *33:4 1.1168 +*END + +*D_NET *34 0.00515692 +*CONN +*P vssio_pad2 I +*CAP +1 vssio_pad2 0.00257846 +2 *34:4 0.00257846 +*RES +1 vssio_pad2 *34:4 1.1168 +*END + +*D_NET *35 0.00529339 +*CONN +*P mprj_io[0] I +*CAP +1 mprj_io[0] 0.00264669 +2 *35:4 0.00264669 +*RES +1 mprj_io[0] *35:4 1.14635 +*END + +*D_NET *36 0.000206191 +*CONN +*P mprj_io_analog_en[0] I +*CAP +1 mprj_io_analog_en[0] 0.000103095 +2 *36:4 0.000103095 +3 *36:4 *39:4 0 +*RES +1 mprj_io_analog_en[0] *36:4 2.93119 +*END + +*D_NET *37 0.000206191 +*CONN +*P mprj_io_analog_pol[0] I +*CAP +1 mprj_io_analog_pol[0] 0.000103095 +2 *37:4 0.000103095 +3 *37:4 *39:4 0 +4 *37:4 *44:4 0 +*RES +1 mprj_io_analog_pol[0] *37:4 2.93119 +*END + +*D_NET *38 0.000206191 +*CONN +*P mprj_io_analog_sel[0] I +*CAP +1 mprj_io_analog_sel[0] 0.000103095 +2 *38:4 0.000103095 +3 *38:4 *41:4 0 +*RES +1 mprj_io_analog_sel[0] *38:4 2.93119 +*END + +*D_NET *39 0.000206191 +*CONN +*P mprj_io_dm[0] I +*CAP +1 mprj_io_dm[0] 0.000103095 +2 *39:4 0.000103095 +3 *36:4 *39:4 0 +4 *37:4 *39:4 0 +*RES +1 mprj_io_dm[0] *39:4 2.93119 +*END + +*D_NET *40 0.000206191 +*CONN +*P mprj_io_dm[1] I +*CAP +1 mprj_io_dm[1] 0.000103095 +2 *40:4 0.000103095 +*RES +1 mprj_io_dm[1] *40:4 2.93119 +*END + +*D_NET *41 0.000206191 +*CONN +*P mprj_io_dm[2] I +*CAP +1 mprj_io_dm[2] 0.000103095 +2 *41:4 0.000103095 +3 *41:4 *42:4 0 +4 *38:4 *41:4 0 +*RES +1 mprj_io_dm[2] *41:4 2.93119 +*END + +*D_NET *42 0.000206191 +*CONN +*P mprj_io_holdover[0] I +*CAP +1 mprj_io_holdover[0] 0.000103095 +2 *42:4 0.000103095 +3 *42:4 *46:4 0 +4 *41:4 *42:4 0 +*RES +1 mprj_io_holdover[0] *42:4 2.93119 +*END + +*D_NET *43 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[0] I +*CAP +1 mprj_io_ib_mode_sel[0] 0.000103095 +2 *43:4 0.000103095 +3 *43:4 *45:4 0 +4 *43:4 *48:4 0 +*RES +1 mprj_io_ib_mode_sel[0] *43:4 2.93119 +*END + +*D_NET *44 0.000206191 +*CONN +*P mprj_io_inp_dis[0] I +*CAP +1 mprj_io_inp_dis[0] 0.000103095 +2 *44:4 0.000103095 +3 *37:4 *44:4 0 +*RES +1 mprj_io_inp_dis[0] *44:4 2.93119 +*END + +*D_NET *45 0.000206191 +*CONN +*P mprj_io_oeb[0] I +*CAP +1 mprj_io_oeb[0] 0.000103095 +2 *45:4 0.000103095 +3 *43:4 *45:4 0 +*RES +1 mprj_io_oeb[0] *45:4 2.93119 +*END + +*D_NET *46 0.000206191 +*CONN +*P mprj_io_out[0] I +*CAP +1 mprj_io_out[0] 0.000103095 +2 *46:4 0.000103095 +3 *42:4 *46:4 0 +*RES +1 mprj_io_out[0] *46:4 2.93119 +*END + +*D_NET *47 0.000206191 +*CONN +*P mprj_io_slow_sel[0] I +*CAP +1 mprj_io_slow_sel[0] 0.000103095 +2 *47:4 0.000103095 +*RES +1 mprj_io_slow_sel[0] *47:4 2.93119 +*END + +*D_NET *48 0.000206191 +*CONN +*P mprj_io_vtrip_sel[0] I +*CAP +1 mprj_io_vtrip_sel[0] 0.000103095 +2 *48:4 0.000103095 +3 *43:4 *48:4 0 +*RES +1 mprj_io_vtrip_sel[0] *48:4 2.93119 +*END + +*D_NET *49 0.000206191 +*CONN +*P mprj_io_in[0] I +*CAP +1 mprj_io_in[0] 0.000103095 +2 *49:4 0.000103095 +*RES +1 mprj_io_in[0] *49:4 2.93119 +*END + +*D_NET *50 0.000206191 +*CONN +*P mprj_analog_io[3] I +*CAP +1 mprj_analog_io[3] 0.000103095 +2 *50:4 0.000103095 +3 *50:4 *63:4 0 +*RES +1 mprj_analog_io[3] *50:4 2.93119 +*END + +*D_NET *51 0.00529339 +*CONN +*P mprj_io[10] I +*CAP +1 mprj_io[10] 0.00264669 +2 *51:4 0.00264669 +*RES +1 mprj_io[10] *51:4 1.14635 +*END + +*D_NET *52 0.000206191 +*CONN +*P mprj_io_analog_en[10] I +*CAP +1 mprj_io_analog_en[10] 0.000103095 +2 *52:4 0.000103095 +3 *52:4 *55:4 0 +*RES +1 mprj_io_analog_en[10] *52:4 2.93119 +*END + +*D_NET *53 0.000206191 +*CONN +*P mprj_io_analog_pol[10] I +*CAP +1 mprj_io_analog_pol[10] 0.000103095 +2 *53:4 0.000103095 +3 *53:4 *55:4 0 +4 *53:4 *60:4 0 +*RES +1 mprj_io_analog_pol[10] *53:4 2.93119 +*END + +*D_NET *54 0.000206191 +*CONN +*P mprj_io_analog_sel[10] I +*CAP +1 mprj_io_analog_sel[10] 0.000103095 +2 *54:4 0.000103095 +3 *54:4 *57:4 0 +*RES +1 mprj_io_analog_sel[10] *54:4 2.93119 +*END + +*D_NET *55 0.000206191 +*CONN +*P mprj_io_dm[30] I +*CAP +1 mprj_io_dm[30] 0.000103095 +2 *55:4 0.000103095 +3 *52:4 *55:4 0 +4 *53:4 *55:4 0 +*RES +1 mprj_io_dm[30] *55:4 2.93119 +*END + +*D_NET *56 0.000206191 +*CONN +*P mprj_io_dm[31] I +*CAP +1 mprj_io_dm[31] 0.000103095 +2 *56:4 0.000103095 +*RES +1 mprj_io_dm[31] *56:4 2.93119 +*END + +*D_NET *57 0.000206191 +*CONN +*P mprj_io_dm[32] I +*CAP +1 mprj_io_dm[32] 0.000103095 +2 *57:4 0.000103095 +3 *57:4 *58:4 0 +4 *54:4 *57:4 0 +*RES +1 mprj_io_dm[32] *57:4 2.93119 +*END + +*D_NET *58 0.000206191 +*CONN +*P mprj_io_holdover[10] I +*CAP +1 mprj_io_holdover[10] 0.000103095 +2 *58:4 0.000103095 +3 *58:4 *62:4 0 +4 *57:4 *58:4 0 +*RES +1 mprj_io_holdover[10] *58:4 2.93119 +*END + +*D_NET *59 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[10] I +*CAP +1 mprj_io_ib_mode_sel[10] 0.000103095 +2 *59:4 0.000103095 +3 *59:4 *61:4 0 +4 *59:4 *64:4 0 +*RES +1 mprj_io_ib_mode_sel[10] *59:4 2.93119 +*END + +*D_NET *60 0.000206191 +*CONN +*P mprj_io_inp_dis[10] I +*CAP +1 mprj_io_inp_dis[10] 0.000103095 +2 *60:4 0.000103095 +3 *53:4 *60:4 0 +*RES +1 mprj_io_inp_dis[10] *60:4 2.93119 +*END + +*D_NET *61 0.000206191 +*CONN +*P mprj_io_oeb[10] I +*CAP +1 mprj_io_oeb[10] 0.000103095 +2 *61:4 0.000103095 +3 *59:4 *61:4 0 +*RES +1 mprj_io_oeb[10] *61:4 2.93119 +*END + +*D_NET *62 0.000206191 +*CONN +*P mprj_io_out[10] I +*CAP +1 mprj_io_out[10] 0.000103095 +2 *62:4 0.000103095 +3 *58:4 *62:4 0 +*RES +1 mprj_io_out[10] *62:4 2.93119 +*END + +*D_NET *63 0.000206191 +*CONN +*P mprj_io_slow_sel[10] I +*CAP +1 mprj_io_slow_sel[10] 0.000103095 +2 *63:4 0.000103095 +3 *50:4 *63:4 0 +*RES +1 mprj_io_slow_sel[10] *63:4 2.93119 +*END + +*D_NET *64 0.000206191 +*CONN +*P mprj_io_vtrip_sel[10] I +*CAP +1 mprj_io_vtrip_sel[10] 0.000103095 +2 *64:4 0.000103095 +3 *59:4 *64:4 0 +*RES +1 mprj_io_vtrip_sel[10] *64:4 2.93119 +*END + +*D_NET *65 0.000206191 +*CONN +*P mprj_io_in[10] I +*CAP +1 mprj_io_in[10] 0.000103095 +2 *65:4 0.000103095 +*RES +1 mprj_io_in[10] *65:4 2.93119 +*END + +*D_NET *66 0.000206191 +*CONN +*P mprj_analog_io[4] I +*CAP +1 mprj_analog_io[4] 0.000103095 +2 *66:4 0.000103095 +3 *66:4 *79:4 0 +*RES +1 mprj_analog_io[4] *66:4 2.93119 +*END + +*D_NET *67 0.00529339 +*CONN +*P mprj_io[11] I +*CAP +1 mprj_io[11] 0.00264669 +2 *67:4 0.00264669 +*RES +1 mprj_io[11] *67:4 1.14635 +*END + +*D_NET *68 0.000206191 +*CONN +*P mprj_io_analog_en[11] I +*CAP +1 mprj_io_analog_en[11] 0.000103095 +2 *68:4 0.000103095 +3 *68:4 *71:4 0 +*RES +1 mprj_io_analog_en[11] *68:4 2.93119 +*END + +*D_NET *69 0.000206191 +*CONN +*P mprj_io_analog_pol[11] I +*CAP +1 mprj_io_analog_pol[11] 0.000103095 +2 *69:4 0.000103095 +3 *69:4 *71:4 0 +4 *69:4 *76:4 0 +*RES +1 mprj_io_analog_pol[11] *69:4 2.93119 +*END + +*D_NET *70 0.000206191 +*CONN +*P mprj_io_analog_sel[11] I +*CAP +1 mprj_io_analog_sel[11] 0.000103095 +2 *70:4 0.000103095 +3 *70:4 *73:4 0 +*RES +1 mprj_io_analog_sel[11] *70:4 2.93119 +*END + +*D_NET *71 0.000206191 +*CONN +*P mprj_io_dm[33] I +*CAP +1 mprj_io_dm[33] 0.000103095 +2 *71:4 0.000103095 +3 *68:4 *71:4 0 +4 *69:4 *71:4 0 +*RES +1 mprj_io_dm[33] *71:4 2.93119 +*END + +*D_NET *72 0.000206191 +*CONN +*P mprj_io_dm[34] I +*CAP +1 mprj_io_dm[34] 0.000103095 +2 *72:4 0.000103095 +*RES +1 mprj_io_dm[34] *72:4 2.93119 +*END + +*D_NET *73 0.000206191 +*CONN +*P mprj_io_dm[35] I +*CAP +1 mprj_io_dm[35] 0.000103095 +2 *73:4 0.000103095 +3 *73:4 *74:4 0 +4 *70:4 *73:4 0 +*RES +1 mprj_io_dm[35] *73:4 2.93119 +*END + +*D_NET *74 0.000206191 +*CONN +*P mprj_io_holdover[11] I +*CAP +1 mprj_io_holdover[11] 0.000103095 +2 *74:4 0.000103095 +3 *74:4 *78:4 0 +4 *73:4 *74:4 0 +*RES +1 mprj_io_holdover[11] *74:4 2.93119 +*END + +*D_NET *75 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[11] I +*CAP +1 mprj_io_ib_mode_sel[11] 0.000103095 +2 *75:4 0.000103095 +3 *75:4 *77:4 0 +4 *75:4 *80:4 0 +*RES +1 mprj_io_ib_mode_sel[11] *75:4 2.93119 +*END + +*D_NET *76 0.000206191 +*CONN +*P mprj_io_inp_dis[11] I +*CAP +1 mprj_io_inp_dis[11] 0.000103095 +2 *76:4 0.000103095 +3 *69:4 *76:4 0 +*RES +1 mprj_io_inp_dis[11] *76:4 2.93119 +*END + +*D_NET *77 0.000206191 +*CONN +*P mprj_io_oeb[11] I +*CAP +1 mprj_io_oeb[11] 0.000103095 +2 *77:4 0.000103095 +3 *75:4 *77:4 0 +*RES +1 mprj_io_oeb[11] *77:4 2.93119 +*END + +*D_NET *78 0.000206191 +*CONN +*P mprj_io_out[11] I +*CAP +1 mprj_io_out[11] 0.000103095 +2 *78:4 0.000103095 +3 *74:4 *78:4 0 +*RES +1 mprj_io_out[11] *78:4 2.93119 +*END + +*D_NET *79 0.000206191 +*CONN +*P mprj_io_slow_sel[11] I +*CAP +1 mprj_io_slow_sel[11] 0.000103095 +2 *79:4 0.000103095 +3 *66:4 *79:4 0 +*RES +1 mprj_io_slow_sel[11] *79:4 2.93119 +*END + +*D_NET *80 0.000206191 +*CONN +*P mprj_io_vtrip_sel[11] I +*CAP +1 mprj_io_vtrip_sel[11] 0.000103095 +2 *80:4 0.000103095 +3 *75:4 *80:4 0 +*RES +1 mprj_io_vtrip_sel[11] *80:4 2.93119 +*END + +*D_NET *81 0.000206191 +*CONN +*P mprj_io_in[11] I +*CAP +1 mprj_io_in[11] 0.000103095 +2 *81:4 0.000103095 +*RES +1 mprj_io_in[11] *81:4 2.93119 +*END + +*D_NET *82 0.000206191 +*CONN +*P mprj_analog_io[5] I +*CAP +1 mprj_analog_io[5] 0.000103095 +2 *82:4 0.000103095 +3 *82:4 *95:4 0 +*RES +1 mprj_analog_io[5] *82:4 2.93119 +*END + +*D_NET *83 0.00529339 +*CONN +*P mprj_io[12] I +*CAP +1 mprj_io[12] 0.00264669 +2 *83:4 0.00264669 +*RES +1 mprj_io[12] *83:4 1.14635 +*END + +*D_NET *84 0.000206191 +*CONN +*P mprj_io_analog_en[12] I +*CAP +1 mprj_io_analog_en[12] 0.000103095 +2 *84:4 0.000103095 +3 *84:4 *87:4 0 +*RES +1 mprj_io_analog_en[12] *84:4 2.93119 +*END + +*D_NET *85 0.000206191 +*CONN +*P mprj_io_analog_pol[12] I +*CAP +1 mprj_io_analog_pol[12] 0.000103095 +2 *85:4 0.000103095 +3 *85:4 *87:4 0 +4 *85:4 *92:4 0 +*RES +1 mprj_io_analog_pol[12] *85:4 2.93119 +*END + +*D_NET *86 0.000206191 +*CONN +*P mprj_io_analog_sel[12] I +*CAP +1 mprj_io_analog_sel[12] 0.000103095 +2 *86:4 0.000103095 +3 *86:4 *89:4 0 +*RES +1 mprj_io_analog_sel[12] *86:4 2.93119 +*END + +*D_NET *87 0.000206191 +*CONN +*P mprj_io_dm[36] I +*CAP +1 mprj_io_dm[36] 0.000103095 +2 *87:4 0.000103095 +3 *84:4 *87:4 0 +4 *85:4 *87:4 0 +*RES +1 mprj_io_dm[36] *87:4 2.93119 +*END + +*D_NET *88 0.000206191 +*CONN +*P mprj_io_dm[37] I +*CAP +1 mprj_io_dm[37] 0.000103095 +2 *88:4 0.000103095 +*RES +1 mprj_io_dm[37] *88:4 2.93119 +*END + +*D_NET *89 0.000206191 +*CONN +*P mprj_io_dm[38] I +*CAP +1 mprj_io_dm[38] 0.000103095 +2 *89:4 0.000103095 +3 *89:4 *90:4 0 +4 *86:4 *89:4 0 +*RES +1 mprj_io_dm[38] *89:4 2.93119 +*END + +*D_NET *90 0.000206191 +*CONN +*P mprj_io_holdover[12] I +*CAP +1 mprj_io_holdover[12] 0.000103095 +2 *90:4 0.000103095 +3 *90:4 *94:4 0 +4 *89:4 *90:4 0 +*RES +1 mprj_io_holdover[12] *90:4 2.93119 +*END + +*D_NET *91 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[12] I +*CAP +1 mprj_io_ib_mode_sel[12] 0.000103095 +2 *91:4 0.000103095 +3 *91:4 *93:4 0 +4 *91:4 *96:4 0 +*RES +1 mprj_io_ib_mode_sel[12] *91:4 2.93119 +*END + +*D_NET *92 0.000206191 +*CONN +*P mprj_io_inp_dis[12] I +*CAP +1 mprj_io_inp_dis[12] 0.000103095 +2 *92:4 0.000103095 +3 *85:4 *92:4 0 +*RES +1 mprj_io_inp_dis[12] *92:4 2.93119 +*END + +*D_NET *93 0.000206191 +*CONN +*P mprj_io_oeb[12] I +*CAP +1 mprj_io_oeb[12] 0.000103095 +2 *93:4 0.000103095 +3 *91:4 *93:4 0 +*RES +1 mprj_io_oeb[12] *93:4 2.93119 +*END + +*D_NET *94 0.000206191 +*CONN +*P mprj_io_out[12] I +*CAP +1 mprj_io_out[12] 0.000103095 +2 *94:4 0.000103095 +3 *90:4 *94:4 0 +*RES +1 mprj_io_out[12] *94:4 2.93119 +*END + +*D_NET *95 0.000206191 +*CONN +*P mprj_io_slow_sel[12] I +*CAP +1 mprj_io_slow_sel[12] 0.000103095 +2 *95:4 0.000103095 +3 *82:4 *95:4 0 +*RES +1 mprj_io_slow_sel[12] *95:4 2.93119 +*END + +*D_NET *96 0.000206191 +*CONN +*P mprj_io_vtrip_sel[12] I +*CAP +1 mprj_io_vtrip_sel[12] 0.000103095 +2 *96:4 0.000103095 +3 *91:4 *96:4 0 +*RES +1 mprj_io_vtrip_sel[12] *96:4 2.93119 +*END + +*D_NET *97 0.000206191 +*CONN +*P mprj_io_in[12] I +*CAP +1 mprj_io_in[12] 0.000103095 +2 *97:4 0.000103095 +*RES +1 mprj_io_in[12] *97:4 2.93119 +*END + +*D_NET *98 0.000206191 +*CONN +*P mprj_analog_io[6] I +*CAP +1 mprj_analog_io[6] 0.000103095 +2 *98:4 0.000103095 +3 *98:4 *111:4 0 +*RES +1 mprj_analog_io[6] *98:4 2.93119 +*END + +*D_NET *99 0.00529339 +*CONN +*P mprj_io[13] I +*CAP +1 mprj_io[13] 0.00264669 +2 *99:4 0.00264669 +*RES +1 mprj_io[13] *99:4 1.14635 +*END + +*D_NET *100 0.000206191 +*CONN +*P mprj_io_analog_en[13] I +*CAP +1 mprj_io_analog_en[13] 0.000103095 +2 *100:4 0.000103095 +3 *100:4 *103:4 0 +*RES +1 mprj_io_analog_en[13] *100:4 2.93119 +*END + +*D_NET *101 0.000206191 +*CONN +*P mprj_io_analog_pol[13] I +*CAP +1 mprj_io_analog_pol[13] 0.000103095 +2 *101:4 0.000103095 +3 *101:4 *103:4 0 +4 *101:4 *108:4 0 +*RES +1 mprj_io_analog_pol[13] *101:4 2.93119 +*END + +*D_NET *102 0.000206191 +*CONN +*P mprj_io_analog_sel[13] I +*CAP +1 mprj_io_analog_sel[13] 0.000103095 +2 *102:4 0.000103095 +3 *102:4 *105:4 0 +*RES +1 mprj_io_analog_sel[13] *102:4 2.93119 +*END + +*D_NET *103 0.000206191 +*CONN +*P mprj_io_dm[39] I +*CAP +1 mprj_io_dm[39] 0.000103095 +2 *103:4 0.000103095 +3 *100:4 *103:4 0 +4 *101:4 *103:4 0 +*RES +1 mprj_io_dm[39] *103:4 2.93119 +*END + +*D_NET *104 0.000206191 +*CONN +*P mprj_io_dm[40] I +*CAP +1 mprj_io_dm[40] 0.000103095 +2 *104:4 0.000103095 +*RES +1 mprj_io_dm[40] *104:4 2.93119 +*END + +*D_NET *105 0.000206191 +*CONN +*P mprj_io_dm[41] I +*CAP +1 mprj_io_dm[41] 0.000103095 +2 *105:4 0.000103095 +3 *105:4 *106:4 0 +4 *102:4 *105:4 0 +*RES +1 mprj_io_dm[41] *105:4 2.93119 +*END + +*D_NET *106 0.000206191 +*CONN +*P mprj_io_holdover[13] I +*CAP +1 mprj_io_holdover[13] 0.000103095 +2 *106:4 0.000103095 +3 *106:4 *110:4 0 +4 *105:4 *106:4 0 +*RES +1 mprj_io_holdover[13] *106:4 2.93119 +*END + +*D_NET *107 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[13] I +*CAP +1 mprj_io_ib_mode_sel[13] 0.000103095 +2 *107:4 0.000103095 +3 *107:4 *109:4 0 +4 *107:4 *112:4 0 +*RES +1 mprj_io_ib_mode_sel[13] *107:4 2.93119 +*END + +*D_NET *108 0.000206191 +*CONN +*P mprj_io_inp_dis[13] I +*CAP +1 mprj_io_inp_dis[13] 0.000103095 +2 *108:4 0.000103095 +3 *101:4 *108:4 0 +*RES +1 mprj_io_inp_dis[13] *108:4 2.93119 +*END + +*D_NET *109 0.000206191 +*CONN +*P mprj_io_oeb[13] I +*CAP +1 mprj_io_oeb[13] 0.000103095 +2 *109:4 0.000103095 +3 *107:4 *109:4 0 +*RES +1 mprj_io_oeb[13] *109:4 2.93119 +*END + +*D_NET *110 0.000206191 +*CONN +*P mprj_io_out[13] I +*CAP +1 mprj_io_out[13] 0.000103095 +2 *110:4 0.000103095 +3 *106:4 *110:4 0 +*RES +1 mprj_io_out[13] *110:4 2.93119 +*END + +*D_NET *111 0.000206191 +*CONN +*P mprj_io_slow_sel[13] I +*CAP +1 mprj_io_slow_sel[13] 0.000103095 +2 *111:4 0.000103095 +3 *98:4 *111:4 0 +*RES +1 mprj_io_slow_sel[13] *111:4 2.93119 +*END + +*D_NET *112 0.000206191 +*CONN +*P mprj_io_vtrip_sel[13] I +*CAP +1 mprj_io_vtrip_sel[13] 0.000103095 +2 *112:4 0.000103095 +3 *107:4 *112:4 0 +*RES +1 mprj_io_vtrip_sel[13] *112:4 2.93119 +*END + +*D_NET *113 0.000206191 +*CONN +*P mprj_io_in[13] I +*CAP +1 mprj_io_in[13] 0.000103095 +2 *113:4 0.000103095 +*RES +1 mprj_io_in[13] *113:4 2.93119 +*END + +*D_NET *114 0.000206191 +*CONN +*P mprj_analog_io[7] I +*CAP +1 mprj_analog_io[7] 0.000103095 +2 *114:4 0.000103095 +3 *114:4 *127:4 0 +*RES +1 mprj_analog_io[7] *114:4 2.93119 +*END + +*D_NET *115 0.00529339 +*CONN +*P mprj_io[14] I +*CAP +1 mprj_io[14] 0.00264669 +2 *115:4 0.00264669 +*RES +1 mprj_io[14] *115:4 1.14635 +*END + +*D_NET *116 0.000206191 +*CONN +*P mprj_io_analog_en[14] I +*CAP +1 mprj_io_analog_en[14] 0.000103095 +2 *116:4 0.000103095 +3 *116:4 *119:4 0 +*RES +1 mprj_io_analog_en[14] *116:4 2.93119 +*END + +*D_NET *117 0.000206191 +*CONN +*P mprj_io_analog_pol[14] I +*CAP +1 mprj_io_analog_pol[14] 0.000103095 +2 *117:4 0.000103095 +3 *117:4 *119:4 0 +4 *117:4 *124:4 0 +*RES +1 mprj_io_analog_pol[14] *117:4 2.93119 +*END + +*D_NET *118 0.000206191 +*CONN +*P mprj_io_analog_sel[14] I +*CAP +1 mprj_io_analog_sel[14] 0.000103095 +2 *118:4 0.000103095 +3 *118:4 *121:4 0 +*RES +1 mprj_io_analog_sel[14] *118:4 2.93119 +*END + +*D_NET *119 0.000206191 +*CONN +*P mprj_io_dm[42] I +*CAP +1 mprj_io_dm[42] 0.000103095 +2 *119:4 0.000103095 +3 *116:4 *119:4 0 +4 *117:4 *119:4 0 +*RES +1 mprj_io_dm[42] *119:4 2.93119 +*END + +*D_NET *120 0.000206191 +*CONN +*P mprj_io_dm[43] I +*CAP +1 mprj_io_dm[43] 0.000103095 +2 *120:4 0.000103095 +*RES +1 mprj_io_dm[43] *120:4 2.93119 +*END + +*D_NET *121 0.000206191 +*CONN +*P mprj_io_dm[44] I +*CAP +1 mprj_io_dm[44] 0.000103095 +2 *121:4 0.000103095 +3 *121:4 *122:4 0 +4 *118:4 *121:4 0 +*RES +1 mprj_io_dm[44] *121:4 2.93119 +*END + +*D_NET *122 0.000206191 +*CONN +*P mprj_io_holdover[14] I +*CAP +1 mprj_io_holdover[14] 0.000103095 +2 *122:4 0.000103095 +3 *122:4 *126:4 0 +4 *121:4 *122:4 0 +*RES +1 mprj_io_holdover[14] *122:4 2.93119 +*END + +*D_NET *123 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[14] I +*CAP +1 mprj_io_ib_mode_sel[14] 0.000103095 +2 *123:4 0.000103095 +3 *123:4 *125:4 0 +4 *123:4 *128:4 0 +*RES +1 mprj_io_ib_mode_sel[14] *123:4 2.93119 +*END + +*D_NET *124 0.000206191 +*CONN +*P mprj_io_inp_dis[14] I +*CAP +1 mprj_io_inp_dis[14] 0.000103095 +2 *124:4 0.000103095 +3 *117:4 *124:4 0 +*RES +1 mprj_io_inp_dis[14] *124:4 2.93119 +*END + +*D_NET *125 0.000206191 +*CONN +*P mprj_io_oeb[14] I +*CAP +1 mprj_io_oeb[14] 0.000103095 +2 *125:4 0.000103095 +3 *123:4 *125:4 0 +*RES +1 mprj_io_oeb[14] *125:4 2.93119 +*END + +*D_NET *126 0.000206191 +*CONN +*P mprj_io_out[14] I +*CAP +1 mprj_io_out[14] 0.000103095 +2 *126:4 0.000103095 +3 *122:4 *126:4 0 +*RES +1 mprj_io_out[14] *126:4 2.93119 +*END + +*D_NET *127 0.000206191 +*CONN +*P mprj_io_slow_sel[14] I +*CAP +1 mprj_io_slow_sel[14] 0.000103095 +2 *127:4 0.000103095 +3 *114:4 *127:4 0 +*RES +1 mprj_io_slow_sel[14] *127:4 2.93119 +*END + +*D_NET *128 0.000206191 +*CONN +*P mprj_io_vtrip_sel[14] I +*CAP +1 mprj_io_vtrip_sel[14] 0.000103095 +2 *128:4 0.000103095 +3 *123:4 *128:4 0 +*RES +1 mprj_io_vtrip_sel[14] *128:4 2.93119 +*END + +*D_NET *129 0.000206191 +*CONN +*P mprj_io_in[14] I +*CAP +1 mprj_io_in[14] 0.000103095 +2 *129:4 0.000103095 +*RES +1 mprj_io_in[14] *129:4 2.93119 +*END + +*D_NET *130 2.40556e-05 +*CONN +*P mprj_analog_io[8] I +*CAP +1 mprj_analog_io[8] 1.20278e-05 +2 *130:4 1.20278e-05 +*RES +1 mprj_analog_io[8] *130:4 0.341972 +*END + +*D_NET *132 2.40556e-05 +*CONN +*P mprj_io_analog_en[15] I +*CAP +1 mprj_io_analog_en[15] 1.20278e-05 +2 *132:4 1.20278e-05 +*RES +1 mprj_io_analog_en[15] *132:4 0.341972 +*END + +*D_NET *133 2.40556e-05 +*CONN +*P mprj_io_analog_pol[15] I +*CAP +1 mprj_io_analog_pol[15] 1.20278e-05 +2 *133:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[15] *133:4 0.341972 +*END + +*D_NET *134 2.40556e-05 +*CONN +*P mprj_io_analog_sel[15] I +*CAP +1 mprj_io_analog_sel[15] 1.20278e-05 +2 *134:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[15] *134:4 0.341972 +*END + +*D_NET *135 2.40556e-05 +*CONN +*P mprj_io_dm[45] I +*CAP +1 mprj_io_dm[45] 1.20278e-05 +2 *135:4 1.20278e-05 +*RES +1 mprj_io_dm[45] *135:4 0.341972 +*END + +*D_NET *136 2.40556e-05 +*CONN +*P mprj_io_dm[46] I +*CAP +1 mprj_io_dm[46] 1.20278e-05 +2 *136:4 1.20278e-05 +*RES +1 mprj_io_dm[46] *136:4 0.341972 +*END + +*D_NET *137 2.40556e-05 +*CONN +*P mprj_io_dm[47] I +*CAP +1 mprj_io_dm[47] 1.20278e-05 +2 *137:4 1.20278e-05 +*RES +1 mprj_io_dm[47] *137:4 0.341972 +*END + +*D_NET *138 2.40556e-05 +*CONN +*P mprj_io_holdover[15] I +*CAP +1 mprj_io_holdover[15] 1.20278e-05 +2 *138:4 1.20278e-05 +*RES +1 mprj_io_holdover[15] *138:4 0.341972 +*END + +*D_NET *139 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[15] I +*CAP +1 mprj_io_ib_mode_sel[15] 1.20278e-05 +2 *139:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[15] *139:4 0.341972 +*END + +*D_NET *140 2.40556e-05 +*CONN +*P mprj_io_inp_dis[15] I +*CAP +1 mprj_io_inp_dis[15] 1.20278e-05 +2 *140:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[15] *140:4 0.341972 +*END + +*D_NET *141 2.40556e-05 +*CONN +*P mprj_io_oeb[15] I +*CAP +1 mprj_io_oeb[15] 1.20278e-05 +2 *141:4 1.20278e-05 +*RES +1 mprj_io_oeb[15] *141:4 0.341972 +*END + +*D_NET *142 2.40556e-05 +*CONN +*P mprj_io_out[15] I +*CAP +1 mprj_io_out[15] 1.20278e-05 +2 *142:4 1.20278e-05 +*RES +1 mprj_io_out[15] *142:4 0.341972 +*END + +*D_NET *143 2.40556e-05 +*CONN +*P mprj_io_slow_sel[15] I +*CAP +1 mprj_io_slow_sel[15] 1.20278e-05 +2 *143:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[15] *143:4 0.341972 +*END + +*D_NET *144 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[15] I +*CAP +1 mprj_io_vtrip_sel[15] 1.20278e-05 +2 *144:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[15] *144:4 0.341972 +*END + +*D_NET *145 2.40556e-05 +*CONN +*P mprj_io_in[15] I +*CAP +1 mprj_io_in[15] 1.20278e-05 +2 *145:4 1.20278e-05 +*RES +1 mprj_io_in[15] *145:4 0.341972 +*END + +*D_NET *146 2.40556e-05 +*CONN +*P mprj_analog_io[9] I +*CAP +1 mprj_analog_io[9] 1.20278e-05 +2 *146:4 1.20278e-05 +*RES +1 mprj_analog_io[9] *146:4 0.341972 +*END + +*D_NET *148 2.40556e-05 +*CONN +*P mprj_io_analog_en[16] I +*CAP +1 mprj_io_analog_en[16] 1.20278e-05 +2 *148:4 1.20278e-05 +*RES +1 mprj_io_analog_en[16] *148:4 0.341972 +*END + +*D_NET *149 2.40556e-05 +*CONN +*P mprj_io_analog_pol[16] I +*CAP +1 mprj_io_analog_pol[16] 1.20278e-05 +2 *149:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[16] *149:4 0.341972 +*END + +*D_NET *150 2.40556e-05 +*CONN +*P mprj_io_analog_sel[16] I +*CAP +1 mprj_io_analog_sel[16] 1.20278e-05 +2 *150:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[16] *150:4 0.341972 +*END + +*D_NET *151 2.40556e-05 +*CONN +*P mprj_io_dm[48] I +*CAP +1 mprj_io_dm[48] 1.20278e-05 +2 *151:4 1.20278e-05 +*RES +1 mprj_io_dm[48] *151:4 0.341972 +*END + +*D_NET *152 2.40556e-05 +*CONN +*P mprj_io_dm[49] I +*CAP +1 mprj_io_dm[49] 1.20278e-05 +2 *152:4 1.20278e-05 +*RES +1 mprj_io_dm[49] *152:4 0.341972 +*END + +*D_NET *153 2.40556e-05 +*CONN +*P mprj_io_dm[50] I +*CAP +1 mprj_io_dm[50] 1.20278e-05 +2 *153:4 1.20278e-05 +*RES +1 mprj_io_dm[50] *153:4 0.341972 +*END + +*D_NET *154 2.40556e-05 +*CONN +*P mprj_io_holdover[16] I +*CAP +1 mprj_io_holdover[16] 1.20278e-05 +2 *154:4 1.20278e-05 +*RES +1 mprj_io_holdover[16] *154:4 0.341972 +*END + +*D_NET *155 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[16] I +*CAP +1 mprj_io_ib_mode_sel[16] 1.20278e-05 +2 *155:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[16] *155:4 0.341972 +*END + +*D_NET *156 2.40556e-05 +*CONN +*P mprj_io_inp_dis[16] I +*CAP +1 mprj_io_inp_dis[16] 1.20278e-05 +2 *156:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[16] *156:4 0.341972 +*END + +*D_NET *157 2.40556e-05 +*CONN +*P mprj_io_oeb[16] I +*CAP +1 mprj_io_oeb[16] 1.20278e-05 +2 *157:4 1.20278e-05 +*RES +1 mprj_io_oeb[16] *157:4 0.341972 +*END + +*D_NET *158 2.40556e-05 +*CONN +*P mprj_io_out[16] I +*CAP +1 mprj_io_out[16] 1.20278e-05 +2 *158:4 1.20278e-05 +*RES +1 mprj_io_out[16] *158:4 0.341972 +*END + +*D_NET *159 2.40556e-05 +*CONN +*P mprj_io_slow_sel[16] I +*CAP +1 mprj_io_slow_sel[16] 1.20278e-05 +2 *159:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[16] *159:4 0.341972 +*END + +*D_NET *160 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[16] I +*CAP +1 mprj_io_vtrip_sel[16] 1.20278e-05 +2 *160:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[16] *160:4 0.341972 +*END + +*D_NET *161 2.40556e-05 +*CONN +*P mprj_io_in[16] I +*CAP +1 mprj_io_in[16] 1.20278e-05 +2 *161:4 1.20278e-05 +*RES +1 mprj_io_in[16] *161:4 0.341972 +*END + +*D_NET *162 2.40556e-05 +*CONN +*P mprj_analog_io[10] I +*CAP +1 mprj_analog_io[10] 1.20278e-05 +2 *162:4 1.20278e-05 +*RES +1 mprj_analog_io[10] *162:4 0.341972 +*END + +*D_NET *164 2.40556e-05 +*CONN +*P mprj_io_analog_en[17] I +*CAP +1 mprj_io_analog_en[17] 1.20278e-05 +2 *164:4 1.20278e-05 +*RES +1 mprj_io_analog_en[17] *164:4 0.341972 +*END + +*D_NET *165 2.40556e-05 +*CONN +*P mprj_io_analog_pol[17] I +*CAP +1 mprj_io_analog_pol[17] 1.20278e-05 +2 *165:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[17] *165:4 0.341972 +*END + +*D_NET *166 2.40556e-05 +*CONN +*P mprj_io_analog_sel[17] I +*CAP +1 mprj_io_analog_sel[17] 1.20278e-05 +2 *166:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[17] *166:4 0.341972 +*END + +*D_NET *167 2.40556e-05 +*CONN +*P mprj_io_dm[51] I +*CAP +1 mprj_io_dm[51] 1.20278e-05 +2 *167:4 1.20278e-05 +*RES +1 mprj_io_dm[51] *167:4 0.341972 +*END + +*D_NET *168 2.40556e-05 +*CONN +*P mprj_io_dm[52] I +*CAP +1 mprj_io_dm[52] 1.20278e-05 +2 *168:4 1.20278e-05 +*RES +1 mprj_io_dm[52] *168:4 0.341972 +*END + +*D_NET *169 2.40556e-05 +*CONN +*P mprj_io_dm[53] I +*CAP +1 mprj_io_dm[53] 1.20278e-05 +2 *169:4 1.20278e-05 +*RES +1 mprj_io_dm[53] *169:4 0.341972 +*END + +*D_NET *170 2.40556e-05 +*CONN +*P mprj_io_holdover[17] I +*CAP +1 mprj_io_holdover[17] 1.20278e-05 +2 *170:4 1.20278e-05 +*RES +1 mprj_io_holdover[17] *170:4 0.341972 +*END + +*D_NET *171 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[17] I +*CAP +1 mprj_io_ib_mode_sel[17] 1.20278e-05 +2 *171:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[17] *171:4 0.341972 +*END + +*D_NET *172 2.40556e-05 +*CONN +*P mprj_io_inp_dis[17] I +*CAP +1 mprj_io_inp_dis[17] 1.20278e-05 +2 *172:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[17] *172:4 0.341972 +*END + +*D_NET *173 2.40556e-05 +*CONN +*P mprj_io_oeb[17] I +*CAP +1 mprj_io_oeb[17] 1.20278e-05 +2 *173:4 1.20278e-05 +*RES +1 mprj_io_oeb[17] *173:4 0.341972 +*END + +*D_NET *174 2.40556e-05 +*CONN +*P mprj_io_out[17] I +*CAP +1 mprj_io_out[17] 1.20278e-05 +2 *174:4 1.20278e-05 +*RES +1 mprj_io_out[17] *174:4 0.341972 +*END + +*D_NET *175 2.40556e-05 +*CONN +*P mprj_io_slow_sel[17] I +*CAP +1 mprj_io_slow_sel[17] 1.20278e-05 +2 *175:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[17] *175:4 0.341972 +*END + +*D_NET *176 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[17] I +*CAP +1 mprj_io_vtrip_sel[17] 1.20278e-05 +2 *176:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[17] *176:4 0.341972 +*END + +*D_NET *177 2.40556e-05 +*CONN +*P mprj_io_in[17] I +*CAP +1 mprj_io_in[17] 1.20278e-05 +2 *177:4 1.20278e-05 +*RES +1 mprj_io_in[17] *177:4 0.341972 +*END + +*D_NET *178 2.40556e-05 +*CONN +*P mprj_analog_io[11] I +*CAP +1 mprj_analog_io[11] 1.20278e-05 +2 *178:4 1.20278e-05 +*RES +1 mprj_analog_io[11] *178:4 0.341972 +*END + +*D_NET *180 2.40556e-05 +*CONN +*P mprj_io_analog_en[18] I +*CAP +1 mprj_io_analog_en[18] 1.20278e-05 +2 *180:4 1.20278e-05 +*RES +1 mprj_io_analog_en[18] *180:4 0.341972 +*END + +*D_NET *181 2.40556e-05 +*CONN +*P mprj_io_analog_pol[18] I +*CAP +1 mprj_io_analog_pol[18] 1.20278e-05 +2 *181:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[18] *181:4 0.341972 +*END + +*D_NET *182 2.40556e-05 +*CONN +*P mprj_io_analog_sel[18] I +*CAP +1 mprj_io_analog_sel[18] 1.20278e-05 +2 *182:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[18] *182:4 0.341972 +*END + +*D_NET *183 2.40556e-05 +*CONN +*P mprj_io_dm[54] I +*CAP +1 mprj_io_dm[54] 1.20278e-05 +2 *183:4 1.20278e-05 +*RES +1 mprj_io_dm[54] *183:4 0.341972 +*END + +*D_NET *184 2.40556e-05 +*CONN +*P mprj_io_dm[55] I +*CAP +1 mprj_io_dm[55] 1.20278e-05 +2 *184:4 1.20278e-05 +*RES +1 mprj_io_dm[55] *184:4 0.341972 +*END + +*D_NET *185 2.40556e-05 +*CONN +*P mprj_io_dm[56] I +*CAP +1 mprj_io_dm[56] 1.20278e-05 +2 *185:4 1.20278e-05 +*RES +1 mprj_io_dm[56] *185:4 0.341972 +*END + +*D_NET *186 2.40556e-05 +*CONN +*P mprj_io_holdover[18] I +*CAP +1 mprj_io_holdover[18] 1.20278e-05 +2 *186:4 1.20278e-05 +*RES +1 mprj_io_holdover[18] *186:4 0.341972 +*END + +*D_NET *187 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[18] I +*CAP +1 mprj_io_ib_mode_sel[18] 1.20278e-05 +2 *187:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[18] *187:4 0.341972 +*END + +*D_NET *188 2.40556e-05 +*CONN +*P mprj_io_inp_dis[18] I +*CAP +1 mprj_io_inp_dis[18] 1.20278e-05 +2 *188:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[18] *188:4 0.341972 +*END + +*D_NET *189 2.40556e-05 +*CONN +*P mprj_io_oeb[18] I +*CAP +1 mprj_io_oeb[18] 1.20278e-05 +2 *189:4 1.20278e-05 +*RES +1 mprj_io_oeb[18] *189:4 0.341972 +*END + +*D_NET *190 2.40556e-05 +*CONN +*P mprj_io_out[18] I +*CAP +1 mprj_io_out[18] 1.20278e-05 +2 *190:4 1.20278e-05 +*RES +1 mprj_io_out[18] *190:4 0.341972 +*END + +*D_NET *191 2.40556e-05 +*CONN +*P mprj_io_slow_sel[18] I +*CAP +1 mprj_io_slow_sel[18] 1.20278e-05 +2 *191:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[18] *191:4 0.341972 +*END + +*D_NET *192 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[18] I +*CAP +1 mprj_io_vtrip_sel[18] 1.20278e-05 +2 *192:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[18] *192:4 0.341972 +*END + +*D_NET *193 2.40556e-05 +*CONN +*P mprj_io_in[18] I +*CAP +1 mprj_io_in[18] 1.20278e-05 +2 *193:4 1.20278e-05 +*RES +1 mprj_io_in[18] *193:4 0.341972 +*END + +*D_NET *194 0.00529339 +*CONN +*P mprj_io[1] I +*CAP +1 mprj_io[1] 0.00264669 +2 *194:4 0.00264669 +*RES +1 mprj_io[1] *194:4 1.14635 +*END + +*D_NET *195 0.000206191 +*CONN +*P mprj_io_analog_en[1] I +*CAP +1 mprj_io_analog_en[1] 0.000103095 +2 *195:4 0.000103095 +3 *195:4 *198:4 0 +*RES +1 mprj_io_analog_en[1] *195:4 2.93119 +*END + +*D_NET *196 0.000206191 +*CONN +*P mprj_io_analog_pol[1] I +*CAP +1 mprj_io_analog_pol[1] 0.000103095 +2 *196:4 0.000103095 +3 *196:4 *198:4 0 +4 *196:4 *203:4 0 +*RES +1 mprj_io_analog_pol[1] *196:4 2.93119 +*END + +*D_NET *197 0.000206191 +*CONN +*P mprj_io_analog_sel[1] I +*CAP +1 mprj_io_analog_sel[1] 0.000103095 +2 *197:4 0.000103095 +3 *197:4 *200:4 0 +*RES +1 mprj_io_analog_sel[1] *197:4 2.93119 +*END + +*D_NET *198 0.000206191 +*CONN +*P mprj_io_dm[3] I +*CAP +1 mprj_io_dm[3] 0.000103095 +2 *198:4 0.000103095 +3 *195:4 *198:4 0 +4 *196:4 *198:4 0 +*RES +1 mprj_io_dm[3] *198:4 2.93119 +*END + +*D_NET *199 0.000206191 +*CONN +*P mprj_io_dm[4] I +*CAP +1 mprj_io_dm[4] 0.000103095 +2 *199:4 0.000103095 +*RES +1 mprj_io_dm[4] *199:4 2.93119 +*END + +*D_NET *200 0.000206191 +*CONN +*P mprj_io_dm[5] I +*CAP +1 mprj_io_dm[5] 0.000103095 +2 *200:4 0.000103095 +3 *200:4 *201:4 0 +4 *197:4 *200:4 0 +*RES +1 mprj_io_dm[5] *200:4 2.93119 +*END + +*D_NET *201 0.000206191 +*CONN +*P mprj_io_holdover[1] I +*CAP +1 mprj_io_holdover[1] 0.000103095 +2 *201:4 0.000103095 +3 *201:4 *205:4 0 +4 *200:4 *201:4 0 +*RES +1 mprj_io_holdover[1] *201:4 2.93119 +*END + +*D_NET *202 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[1] I +*CAP +1 mprj_io_ib_mode_sel[1] 0.000103095 +2 *202:4 0.000103095 +3 *202:4 *204:4 0 +4 *202:4 *207:4 0 +*RES +1 mprj_io_ib_mode_sel[1] *202:4 2.93119 +*END + +*D_NET *203 0.000206191 +*CONN +*P mprj_io_inp_dis[1] I +*CAP +1 mprj_io_inp_dis[1] 0.000103095 +2 *203:4 0.000103095 +3 *196:4 *203:4 0 +*RES +1 mprj_io_inp_dis[1] *203:4 2.93119 +*END + +*D_NET *204 0.000206191 +*CONN +*P mprj_io_oeb[1] I +*CAP +1 mprj_io_oeb[1] 0.000103095 +2 *204:4 0.000103095 +3 *202:4 *204:4 0 +*RES +1 mprj_io_oeb[1] *204:4 2.93119 +*END + +*D_NET *205 0.000206191 +*CONN +*P mprj_io_out[1] I +*CAP +1 mprj_io_out[1] 0.000103095 +2 *205:4 0.000103095 +3 *201:4 *205:4 0 +*RES +1 mprj_io_out[1] *205:4 2.93119 +*END + +*D_NET *206 0.000206191 +*CONN +*P mprj_io_slow_sel[1] I +*CAP +1 mprj_io_slow_sel[1] 0.000103095 +2 *206:4 0.000103095 +*RES +1 mprj_io_slow_sel[1] *206:4 2.93119 +*END + +*D_NET *207 0.000206191 +*CONN +*P mprj_io_vtrip_sel[1] I +*CAP +1 mprj_io_vtrip_sel[1] 0.000103095 +2 *207:4 0.000103095 +3 *202:4 *207:4 0 +*RES +1 mprj_io_vtrip_sel[1] *207:4 2.93119 +*END + +*D_NET *208 0.000206191 +*CONN +*P mprj_io_in[1] I +*CAP +1 mprj_io_in[1] 0.000103095 +2 *208:4 0.000103095 +*RES +1 mprj_io_in[1] *208:4 2.93119 +*END + +*D_NET *209 0.00529339 +*CONN +*P mprj_io[2] I +*CAP +1 mprj_io[2] 0.00264669 +2 *209:4 0.00264669 +*RES +1 mprj_io[2] *209:4 1.14635 +*END + +*D_NET *210 0.000206191 +*CONN +*P mprj_io_analog_en[2] I +*CAP +1 mprj_io_analog_en[2] 0.000103095 +2 *210:4 0.000103095 +3 *210:4 *213:4 0 +*RES +1 mprj_io_analog_en[2] *210:4 2.93119 +*END + +*D_NET *211 0.000206191 +*CONN +*P mprj_io_analog_pol[2] I +*CAP +1 mprj_io_analog_pol[2] 0.000103095 +2 *211:4 0.000103095 +3 *211:4 *213:4 0 +4 *211:4 *218:4 0 +*RES +1 mprj_io_analog_pol[2] *211:4 2.93119 +*END + +*D_NET *212 0.000206191 +*CONN +*P mprj_io_analog_sel[2] I +*CAP +1 mprj_io_analog_sel[2] 0.000103095 +2 *212:4 0.000103095 +3 *212:4 *215:4 0 +*RES +1 mprj_io_analog_sel[2] *212:4 2.93119 +*END + +*D_NET *213 0.000206191 +*CONN +*P mprj_io_dm[6] I +*CAP +1 mprj_io_dm[6] 0.000103095 +2 *213:4 0.000103095 +3 *210:4 *213:4 0 +4 *211:4 *213:4 0 +*RES +1 mprj_io_dm[6] *213:4 2.93119 +*END + +*D_NET *214 0.000206191 +*CONN +*P mprj_io_dm[7] I +*CAP +1 mprj_io_dm[7] 0.000103095 +2 *214:4 0.000103095 +*RES +1 mprj_io_dm[7] *214:4 2.93119 +*END + +*D_NET *215 0.000206191 +*CONN +*P mprj_io_dm[8] I +*CAP +1 mprj_io_dm[8] 0.000103095 +2 *215:4 0.000103095 +3 *215:4 *216:4 0 +4 *212:4 *215:4 0 +*RES +1 mprj_io_dm[8] *215:4 2.93119 +*END + +*D_NET *216 0.000206191 +*CONN +*P mprj_io_holdover[2] I +*CAP +1 mprj_io_holdover[2] 0.000103095 +2 *216:4 0.000103095 +3 *216:4 *220:4 0 +4 *215:4 *216:4 0 +*RES +1 mprj_io_holdover[2] *216:4 2.93119 +*END + +*D_NET *217 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[2] I +*CAP +1 mprj_io_ib_mode_sel[2] 0.000103095 +2 *217:4 0.000103095 +3 *217:4 *219:4 0 +4 *217:4 *222:4 0 +*RES +1 mprj_io_ib_mode_sel[2] *217:4 2.93119 +*END + +*D_NET *218 0.000206191 +*CONN +*P mprj_io_inp_dis[2] I +*CAP +1 mprj_io_inp_dis[2] 0.000103095 +2 *218:4 0.000103095 +3 *211:4 *218:4 0 +*RES +1 mprj_io_inp_dis[2] *218:4 2.93119 +*END + +*D_NET *219 0.000206191 +*CONN +*P mprj_io_oeb[2] I +*CAP +1 mprj_io_oeb[2] 0.000103095 +2 *219:4 0.000103095 +3 *217:4 *219:4 0 +*RES +1 mprj_io_oeb[2] *219:4 2.93119 +*END + +*D_NET *220 0.000206191 +*CONN +*P mprj_io_out[2] I +*CAP +1 mprj_io_out[2] 0.000103095 +2 *220:4 0.000103095 +3 *216:4 *220:4 0 +*RES +1 mprj_io_out[2] *220:4 2.93119 +*END + +*D_NET *221 0.000206191 +*CONN +*P mprj_io_slow_sel[2] I +*CAP +1 mprj_io_slow_sel[2] 0.000103095 +2 *221:4 0.000103095 +*RES +1 mprj_io_slow_sel[2] *221:4 2.93119 +*END + +*D_NET *222 0.000206191 +*CONN +*P mprj_io_vtrip_sel[2] I +*CAP +1 mprj_io_vtrip_sel[2] 0.000103095 +2 *222:4 0.000103095 +3 *217:4 *222:4 0 +*RES +1 mprj_io_vtrip_sel[2] *222:4 2.93119 +*END + +*D_NET *223 0.000206191 +*CONN +*P mprj_io_in[2] I +*CAP +1 mprj_io_in[2] 0.000103095 +2 *223:4 0.000103095 +*RES +1 mprj_io_in[2] *223:4 2.93119 +*END + +*D_NET *224 0.00529339 +*CONN +*P mprj_io[3] I +*CAP +1 mprj_io[3] 0.00264669 +2 *224:4 0.00264669 +*RES +1 mprj_io[3] *224:4 1.14635 +*END + +*D_NET *225 0.000206191 +*CONN +*P mprj_io_analog_en[3] I +*CAP +1 mprj_io_analog_en[3] 0.000103095 +2 *225:4 0.000103095 +3 *225:4 *230:4 0 +*RES +1 mprj_io_analog_en[3] *225:4 2.93119 +*END + +*D_NET *226 0.000206191 +*CONN +*P mprj_io_analog_pol[3] I +*CAP +1 mprj_io_analog_pol[3] 0.000103095 +2 *226:4 0.000103095 +3 *226:4 *230:4 0 +4 *226:4 *233:4 0 +*RES +1 mprj_io_analog_pol[3] *226:4 2.93119 +*END + +*D_NET *227 0.000206191 +*CONN +*P mprj_io_analog_sel[3] I +*CAP +1 mprj_io_analog_sel[3] 0.000103095 +2 *227:4 0.000103095 +3 *227:4 *229:4 0 +*RES +1 mprj_io_analog_sel[3] *227:4 2.93119 +*END + +*D_NET *228 0.000206191 +*CONN +*P mprj_io_dm[10] I +*CAP +1 mprj_io_dm[10] 0.000103095 +2 *228:4 0.000103095 +*RES +1 mprj_io_dm[10] *228:4 2.93119 +*END + +*D_NET *229 0.000206191 +*CONN +*P mprj_io_dm[11] I +*CAP +1 mprj_io_dm[11] 0.000103095 +2 *229:4 0.000103095 +3 *229:4 *231:4 0 +4 *227:4 *229:4 0 +*RES +1 mprj_io_dm[11] *229:4 2.93119 +*END + +*D_NET *230 0.000206191 +*CONN +*P mprj_io_dm[9] I +*CAP +1 mprj_io_dm[9] 0.000103095 +2 *230:4 0.000103095 +3 *225:4 *230:4 0 +4 *226:4 *230:4 0 +*RES +1 mprj_io_dm[9] *230:4 2.93119 +*END + +*D_NET *231 0.000206191 +*CONN +*P mprj_io_holdover[3] I +*CAP +1 mprj_io_holdover[3] 0.000103095 +2 *231:4 0.000103095 +3 *231:4 *235:4 0 +4 *229:4 *231:4 0 +*RES +1 mprj_io_holdover[3] *231:4 2.93119 +*END + +*D_NET *232 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[3] I +*CAP +1 mprj_io_ib_mode_sel[3] 0.000103095 +2 *232:4 0.000103095 +3 *232:4 *234:4 0 +4 *232:4 *237:4 0 +*RES +1 mprj_io_ib_mode_sel[3] *232:4 2.93119 +*END + +*D_NET *233 0.000206191 +*CONN +*P mprj_io_inp_dis[3] I +*CAP +1 mprj_io_inp_dis[3] 0.000103095 +2 *233:4 0.000103095 +3 *226:4 *233:4 0 +*RES +1 mprj_io_inp_dis[3] *233:4 2.93119 +*END + +*D_NET *234 0.000206191 +*CONN +*P mprj_io_oeb[3] I +*CAP +1 mprj_io_oeb[3] 0.000103095 +2 *234:4 0.000103095 +3 *232:4 *234:4 0 +*RES +1 mprj_io_oeb[3] *234:4 2.93119 +*END + +*D_NET *235 0.000206191 +*CONN +*P mprj_io_out[3] I +*CAP +1 mprj_io_out[3] 0.000103095 +2 *235:4 0.000103095 +3 *231:4 *235:4 0 +*RES +1 mprj_io_out[3] *235:4 2.93119 +*END + +*D_NET *236 0.000206191 +*CONN +*P mprj_io_slow_sel[3] I +*CAP +1 mprj_io_slow_sel[3] 0.000103095 +2 *236:4 0.000103095 +*RES +1 mprj_io_slow_sel[3] *236:4 2.93119 +*END + +*D_NET *237 0.000206191 +*CONN +*P mprj_io_vtrip_sel[3] I +*CAP +1 mprj_io_vtrip_sel[3] 0.000103095 +2 *237:4 0.000103095 +3 *232:4 *237:4 0 +*RES +1 mprj_io_vtrip_sel[3] *237:4 2.93119 +*END + +*D_NET *238 0.000206191 +*CONN +*P mprj_io_in[3] I +*CAP +1 mprj_io_in[3] 0.000103095 +2 *238:4 0.000103095 +*RES +1 mprj_io_in[3] *238:4 2.93119 +*END + +*D_NET *239 0.00529339 +*CONN +*P mprj_io[4] I +*CAP +1 mprj_io[4] 0.00264669 +2 *239:4 0.00264669 +*RES +1 mprj_io[4] *239:4 1.14635 +*END + +*D_NET *240 0.000206191 +*CONN +*P mprj_io_analog_en[4] I +*CAP +1 mprj_io_analog_en[4] 0.000103095 +2 *240:4 0.000103095 +3 *240:4 *243:4 0 +*RES +1 mprj_io_analog_en[4] *240:4 2.93119 +*END + +*D_NET *241 0.000206191 +*CONN +*P mprj_io_analog_pol[4] I +*CAP +1 mprj_io_analog_pol[4] 0.000103095 +2 *241:4 0.000103095 +3 *241:4 *243:4 0 +4 *241:4 *248:4 0 +*RES +1 mprj_io_analog_pol[4] *241:4 2.93119 +*END + +*D_NET *242 0.000206191 +*CONN +*P mprj_io_analog_sel[4] I +*CAP +1 mprj_io_analog_sel[4] 0.000103095 +2 *242:4 0.000103095 +3 *242:4 *245:4 0 +*RES +1 mprj_io_analog_sel[4] *242:4 2.93119 +*END + +*D_NET *243 0.000206191 +*CONN +*P mprj_io_dm[12] I +*CAP +1 mprj_io_dm[12] 0.000103095 +2 *243:4 0.000103095 +3 *240:4 *243:4 0 +4 *241:4 *243:4 0 +*RES +1 mprj_io_dm[12] *243:4 2.93119 +*END + +*D_NET *244 0.000206191 +*CONN +*P mprj_io_dm[13] I +*CAP +1 mprj_io_dm[13] 0.000103095 +2 *244:4 0.000103095 +*RES +1 mprj_io_dm[13] *244:4 2.93119 +*END + +*D_NET *245 0.000206191 +*CONN +*P mprj_io_dm[14] I +*CAP +1 mprj_io_dm[14] 0.000103095 +2 *245:4 0.000103095 +3 *245:4 *246:4 0 +4 *242:4 *245:4 0 +*RES +1 mprj_io_dm[14] *245:4 2.93119 +*END + +*D_NET *246 0.000206191 +*CONN +*P mprj_io_holdover[4] I +*CAP +1 mprj_io_holdover[4] 0.000103095 +2 *246:4 0.000103095 +3 *246:4 *250:4 0 +4 *245:4 *246:4 0 +*RES +1 mprj_io_holdover[4] *246:4 2.93119 +*END + +*D_NET *247 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[4] I +*CAP +1 mprj_io_ib_mode_sel[4] 0.000103095 +2 *247:4 0.000103095 +3 *247:4 *249:4 0 +4 *247:4 *252:4 0 +*RES +1 mprj_io_ib_mode_sel[4] *247:4 2.93119 +*END + +*D_NET *248 0.000206191 +*CONN +*P mprj_io_inp_dis[4] I +*CAP +1 mprj_io_inp_dis[4] 0.000103095 +2 *248:4 0.000103095 +3 *241:4 *248:4 0 +*RES +1 mprj_io_inp_dis[4] *248:4 2.93119 +*END + +*D_NET *249 0.000206191 +*CONN +*P mprj_io_oeb[4] I +*CAP +1 mprj_io_oeb[4] 0.000103095 +2 *249:4 0.000103095 +3 *247:4 *249:4 0 +*RES +1 mprj_io_oeb[4] *249:4 2.93119 +*END + +*D_NET *250 0.000206191 +*CONN +*P mprj_io_out[4] I +*CAP +1 mprj_io_out[4] 0.000103095 +2 *250:4 0.000103095 +3 *246:4 *250:4 0 +*RES +1 mprj_io_out[4] *250:4 2.93119 +*END + +*D_NET *251 0.000206191 +*CONN +*P mprj_io_slow_sel[4] I +*CAP +1 mprj_io_slow_sel[4] 0.000103095 +2 *251:4 0.000103095 +*RES +1 mprj_io_slow_sel[4] *251:4 2.93119 +*END + +*D_NET *252 0.000206191 +*CONN +*P mprj_io_vtrip_sel[4] I +*CAP +1 mprj_io_vtrip_sel[4] 0.000103095 +2 *252:4 0.000103095 +3 *247:4 *252:4 0 +*RES +1 mprj_io_vtrip_sel[4] *252:4 2.93119 +*END + +*D_NET *253 0.000206191 +*CONN +*P mprj_io_in[4] I +*CAP +1 mprj_io_in[4] 0.000103095 +2 *253:4 0.000103095 +*RES +1 mprj_io_in[4] *253:4 2.93119 +*END + +*D_NET *254 0.00529339 +*CONN +*P mprj_io[5] I +*CAP +1 mprj_io[5] 0.00264669 +2 *254:4 0.00264669 +*RES +1 mprj_io[5] *254:4 1.14635 +*END + +*D_NET *255 0.000206191 +*CONN +*P mprj_io_analog_en[5] I +*CAP +1 mprj_io_analog_en[5] 0.000103095 +2 *255:4 0.000103095 +3 *255:4 *258:4 0 +*RES +1 mprj_io_analog_en[5] *255:4 2.93119 +*END + +*D_NET *256 0.000206191 +*CONN +*P mprj_io_analog_pol[5] I +*CAP +1 mprj_io_analog_pol[5] 0.000103095 +2 *256:4 0.000103095 +3 *256:4 *258:4 0 +4 *256:4 *263:4 0 +*RES +1 mprj_io_analog_pol[5] *256:4 2.93119 +*END + +*D_NET *257 0.000206191 +*CONN +*P mprj_io_analog_sel[5] I +*CAP +1 mprj_io_analog_sel[5] 0.000103095 +2 *257:4 0.000103095 +3 *257:4 *260:4 0 +*RES +1 mprj_io_analog_sel[5] *257:4 2.93119 +*END + +*D_NET *258 0.000206191 +*CONN +*P mprj_io_dm[15] I +*CAP +1 mprj_io_dm[15] 0.000103095 +2 *258:4 0.000103095 +3 *255:4 *258:4 0 +4 *256:4 *258:4 0 +*RES +1 mprj_io_dm[15] *258:4 2.93119 +*END + +*D_NET *259 0.000206191 +*CONN +*P mprj_io_dm[16] I +*CAP +1 mprj_io_dm[16] 0.000103095 +2 *259:4 0.000103095 +*RES +1 mprj_io_dm[16] *259:4 2.93119 +*END + +*D_NET *260 0.000206191 +*CONN +*P mprj_io_dm[17] I +*CAP +1 mprj_io_dm[17] 0.000103095 +2 *260:4 0.000103095 +3 *260:4 *261:4 0 +4 *257:4 *260:4 0 +*RES +1 mprj_io_dm[17] *260:4 2.93119 +*END + +*D_NET *261 0.000206191 +*CONN +*P mprj_io_holdover[5] I +*CAP +1 mprj_io_holdover[5] 0.000103095 +2 *261:4 0.000103095 +3 *261:4 *265:4 0 +4 *260:4 *261:4 0 +*RES +1 mprj_io_holdover[5] *261:4 2.93119 +*END + +*D_NET *262 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[5] I +*CAP +1 mprj_io_ib_mode_sel[5] 0.000103095 +2 *262:4 0.000103095 +3 *262:4 *264:4 0 +4 *262:4 *267:4 0 +*RES +1 mprj_io_ib_mode_sel[5] *262:4 2.93119 +*END + +*D_NET *263 0.000206191 +*CONN +*P mprj_io_inp_dis[5] I +*CAP +1 mprj_io_inp_dis[5] 0.000103095 +2 *263:4 0.000103095 +3 *256:4 *263:4 0 +*RES +1 mprj_io_inp_dis[5] *263:4 2.93119 +*END + +*D_NET *264 0.000206191 +*CONN +*P mprj_io_oeb[5] I +*CAP +1 mprj_io_oeb[5] 0.000103095 +2 *264:4 0.000103095 +3 *262:4 *264:4 0 +*RES +1 mprj_io_oeb[5] *264:4 2.93119 +*END + +*D_NET *265 0.000206191 +*CONN +*P mprj_io_out[5] I +*CAP +1 mprj_io_out[5] 0.000103095 +2 *265:4 0.000103095 +3 *261:4 *265:4 0 +*RES +1 mprj_io_out[5] *265:4 2.93119 +*END + +*D_NET *266 0.000206191 +*CONN +*P mprj_io_slow_sel[5] I +*CAP +1 mprj_io_slow_sel[5] 0.000103095 +2 *266:4 0.000103095 +*RES +1 mprj_io_slow_sel[5] *266:4 2.93119 +*END + +*D_NET *267 0.000206191 +*CONN +*P mprj_io_vtrip_sel[5] I +*CAP +1 mprj_io_vtrip_sel[5] 0.000103095 +2 *267:4 0.000103095 +3 *262:4 *267:4 0 +*RES +1 mprj_io_vtrip_sel[5] *267:4 2.93119 +*END + +*D_NET *268 0.000206191 +*CONN +*P mprj_io_in[5] I +*CAP +1 mprj_io_in[5] 0.000103095 +2 *268:4 0.000103095 +*RES +1 mprj_io_in[5] *268:4 2.93119 +*END + +*D_NET *269 0.00529339 +*CONN +*P mprj_io[6] I +*CAP +1 mprj_io[6] 0.00264669 +2 *269:4 0.00264669 +*RES +1 mprj_io[6] *269:4 1.14635 +*END + +*D_NET *270 0.000206191 +*CONN +*P mprj_io_analog_en[6] I +*CAP +1 mprj_io_analog_en[6] 0.000103095 +2 *270:4 0.000103095 +3 *270:4 *273:4 0 +*RES +1 mprj_io_analog_en[6] *270:4 2.93119 +*END + +*D_NET *271 0.000206191 +*CONN +*P mprj_io_analog_pol[6] I +*CAP +1 mprj_io_analog_pol[6] 0.000103095 +2 *271:4 0.000103095 +3 *271:4 *273:4 0 +4 *271:4 *278:4 0 +*RES +1 mprj_io_analog_pol[6] *271:4 2.93119 +*END + +*D_NET *272 0.000206191 +*CONN +*P mprj_io_analog_sel[6] I +*CAP +1 mprj_io_analog_sel[6] 0.000103095 +2 *272:4 0.000103095 +3 *272:4 *275:4 0 +*RES +1 mprj_io_analog_sel[6] *272:4 2.93119 +*END + +*D_NET *273 0.000206191 +*CONN +*P mprj_io_dm[18] I +*CAP +1 mprj_io_dm[18] 0.000103095 +2 *273:4 0.000103095 +3 *270:4 *273:4 0 +4 *271:4 *273:4 0 +*RES +1 mprj_io_dm[18] *273:4 2.93119 +*END + +*D_NET *274 0.000206191 +*CONN +*P mprj_io_dm[19] I +*CAP +1 mprj_io_dm[19] 0.000103095 +2 *274:4 0.000103095 +*RES +1 mprj_io_dm[19] *274:4 2.93119 +*END + +*D_NET *275 0.000206191 +*CONN +*P mprj_io_dm[20] I +*CAP +1 mprj_io_dm[20] 0.000103095 +2 *275:4 0.000103095 +3 *275:4 *276:4 0 +4 *272:4 *275:4 0 +*RES +1 mprj_io_dm[20] *275:4 2.93119 +*END + +*D_NET *276 0.000206191 +*CONN +*P mprj_io_holdover[6] I +*CAP +1 mprj_io_holdover[6] 0.000103095 +2 *276:4 0.000103095 +3 *276:4 *280:4 0 +4 *275:4 *276:4 0 +*RES +1 mprj_io_holdover[6] *276:4 2.93119 +*END + +*D_NET *277 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[6] I +*CAP +1 mprj_io_ib_mode_sel[6] 0.000103095 +2 *277:4 0.000103095 +3 *277:4 *279:4 0 +4 *277:4 *282:4 0 +*RES +1 mprj_io_ib_mode_sel[6] *277:4 2.93119 +*END + +*D_NET *278 0.000206191 +*CONN +*P mprj_io_inp_dis[6] I +*CAP +1 mprj_io_inp_dis[6] 0.000103095 +2 *278:4 0.000103095 +3 *271:4 *278:4 0 +*RES +1 mprj_io_inp_dis[6] *278:4 2.93119 +*END + +*D_NET *279 0.000206191 +*CONN +*P mprj_io_oeb[6] I +*CAP +1 mprj_io_oeb[6] 0.000103095 +2 *279:4 0.000103095 +3 *277:4 *279:4 0 +*RES +1 mprj_io_oeb[6] *279:4 2.93119 +*END + +*D_NET *280 0.000206191 +*CONN +*P mprj_io_out[6] I +*CAP +1 mprj_io_out[6] 0.000103095 +2 *280:4 0.000103095 +3 *276:4 *280:4 0 +*RES +1 mprj_io_out[6] *280:4 2.93119 +*END + +*D_NET *281 0.000206191 +*CONN +*P mprj_io_slow_sel[6] I +*CAP +1 mprj_io_slow_sel[6] 0.000103095 +2 *281:4 0.000103095 +*RES +1 mprj_io_slow_sel[6] *281:4 2.93119 +*END + +*D_NET *282 0.000206191 +*CONN +*P mprj_io_vtrip_sel[6] I +*CAP +1 mprj_io_vtrip_sel[6] 0.000103095 +2 *282:4 0.000103095 +3 *277:4 *282:4 0 +*RES +1 mprj_io_vtrip_sel[6] *282:4 2.93119 +*END + +*D_NET *283 0.000206191 +*CONN +*P mprj_io_in[6] I +*CAP +1 mprj_io_in[6] 0.000103095 +2 *283:4 0.000103095 +*RES +1 mprj_io_in[6] *283:4 2.93119 +*END + +*D_NET *284 0.000206191 +*CONN +*P mprj_analog_io[0] I +*CAP +1 mprj_analog_io[0] 0.000103095 +2 *284:4 0.000103095 +3 *284:4 *297:4 0 +*RES +1 mprj_analog_io[0] *284:4 2.93119 +*END + +*D_NET *285 0.00529339 +*CONN +*P mprj_io[7] I +*CAP +1 mprj_io[7] 0.00264669 +2 *285:4 0.00264669 +*RES +1 mprj_io[7] *285:4 1.14635 +*END + +*D_NET *286 0.000206191 +*CONN +*P mprj_io_analog_en[7] I +*CAP +1 mprj_io_analog_en[7] 0.000103095 +2 *286:4 0.000103095 +3 *286:4 *289:4 0 +*RES +1 mprj_io_analog_en[7] *286:4 2.93119 +*END + +*D_NET *287 0.000206191 +*CONN +*P mprj_io_analog_pol[7] I +*CAP +1 mprj_io_analog_pol[7] 0.000103095 +2 *287:4 0.000103095 +3 *287:4 *289:4 0 +4 *287:4 *294:4 0 +*RES +1 mprj_io_analog_pol[7] *287:4 2.93119 +*END + +*D_NET *288 0.000206191 +*CONN +*P mprj_io_analog_sel[7] I +*CAP +1 mprj_io_analog_sel[7] 0.000103095 +2 *288:4 0.000103095 +3 *288:4 *291:4 0 +*RES +1 mprj_io_analog_sel[7] *288:4 2.93119 +*END + +*D_NET *289 0.000206191 +*CONN +*P mprj_io_dm[21] I +*CAP +1 mprj_io_dm[21] 0.000103095 +2 *289:4 0.000103095 +3 *286:4 *289:4 0 +4 *287:4 *289:4 0 +*RES +1 mprj_io_dm[21] *289:4 2.93119 +*END + +*D_NET *290 0.000206191 +*CONN +*P mprj_io_dm[22] I +*CAP +1 mprj_io_dm[22] 0.000103095 +2 *290:4 0.000103095 +*RES +1 mprj_io_dm[22] *290:4 2.93119 +*END + +*D_NET *291 0.000206191 +*CONN +*P mprj_io_dm[23] I +*CAP +1 mprj_io_dm[23] 0.000103095 +2 *291:4 0.000103095 +3 *291:4 *292:4 0 +4 *288:4 *291:4 0 +*RES +1 mprj_io_dm[23] *291:4 2.93119 +*END + +*D_NET *292 0.000206191 +*CONN +*P mprj_io_holdover[7] I +*CAP +1 mprj_io_holdover[7] 0.000103095 +2 *292:4 0.000103095 +3 *292:4 *296:4 0 +4 *291:4 *292:4 0 +*RES +1 mprj_io_holdover[7] *292:4 2.93119 +*END + +*D_NET *293 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[7] I +*CAP +1 mprj_io_ib_mode_sel[7] 0.000103095 +2 *293:4 0.000103095 +3 *293:4 *295:4 0 +4 *293:4 *298:4 0 +*RES +1 mprj_io_ib_mode_sel[7] *293:4 2.93119 +*END + +*D_NET *294 0.000206191 +*CONN +*P mprj_io_inp_dis[7] I +*CAP +1 mprj_io_inp_dis[7] 0.000103095 +2 *294:4 0.000103095 +3 *287:4 *294:4 0 +*RES +1 mprj_io_inp_dis[7] *294:4 2.93119 +*END + +*D_NET *295 0.000206191 +*CONN +*P mprj_io_oeb[7] I +*CAP +1 mprj_io_oeb[7] 0.000103095 +2 *295:4 0.000103095 +3 *293:4 *295:4 0 +*RES +1 mprj_io_oeb[7] *295:4 2.93119 +*END + +*D_NET *296 0.000206191 +*CONN +*P mprj_io_out[7] I +*CAP +1 mprj_io_out[7] 0.000103095 +2 *296:4 0.000103095 +3 *292:4 *296:4 0 +*RES +1 mprj_io_out[7] *296:4 2.93119 +*END + +*D_NET *297 0.000206191 +*CONN +*P mprj_io_slow_sel[7] I +*CAP +1 mprj_io_slow_sel[7] 0.000103095 +2 *297:4 0.000103095 +3 *284:4 *297:4 0 +*RES +1 mprj_io_slow_sel[7] *297:4 2.93119 +*END + +*D_NET *298 0.000206191 +*CONN +*P mprj_io_vtrip_sel[7] I +*CAP +1 mprj_io_vtrip_sel[7] 0.000103095 +2 *298:4 0.000103095 +3 *293:4 *298:4 0 +*RES +1 mprj_io_vtrip_sel[7] *298:4 2.93119 +*END + +*D_NET *299 0.000206191 +*CONN +*P mprj_io_in[7] I +*CAP +1 mprj_io_in[7] 0.000103095 +2 *299:4 0.000103095 +*RES +1 mprj_io_in[7] *299:4 2.93119 +*END + +*D_NET *300 0.000206191 +*CONN +*P mprj_analog_io[1] I +*CAP +1 mprj_analog_io[1] 0.000103095 +2 *300:4 0.000103095 +3 *300:4 *313:4 0 +*RES +1 mprj_analog_io[1] *300:4 2.93119 +*END + +*D_NET *301 0.00529339 +*CONN +*P mprj_io[8] I +*CAP +1 mprj_io[8] 0.00264669 +2 *301:4 0.00264669 +*RES +1 mprj_io[8] *301:4 1.14635 +*END + +*D_NET *302 0.000206191 +*CONN +*P mprj_io_analog_en[8] I +*CAP +1 mprj_io_analog_en[8] 0.000103095 +2 *302:4 0.000103095 +3 *302:4 *305:4 0 +*RES +1 mprj_io_analog_en[8] *302:4 2.93119 +*END + +*D_NET *303 0.000206191 +*CONN +*P mprj_io_analog_pol[8] I +*CAP +1 mprj_io_analog_pol[8] 0.000103095 +2 *303:4 0.000103095 +3 *303:4 *305:4 0 +4 *303:4 *310:4 0 +*RES +1 mprj_io_analog_pol[8] *303:4 2.93119 +*END + +*D_NET *304 0.000206191 +*CONN +*P mprj_io_analog_sel[8] I +*CAP +1 mprj_io_analog_sel[8] 0.000103095 +2 *304:4 0.000103095 +3 *304:4 *307:4 0 +*RES +1 mprj_io_analog_sel[8] *304:4 2.93119 +*END + +*D_NET *305 0.000206191 +*CONN +*P mprj_io_dm[24] I +*CAP +1 mprj_io_dm[24] 0.000103095 +2 *305:4 0.000103095 +3 *302:4 *305:4 0 +4 *303:4 *305:4 0 +*RES +1 mprj_io_dm[24] *305:4 2.93119 +*END + +*D_NET *306 0.000206191 +*CONN +*P mprj_io_dm[25] I +*CAP +1 mprj_io_dm[25] 0.000103095 +2 *306:4 0.000103095 +*RES +1 mprj_io_dm[25] *306:4 2.93119 +*END + +*D_NET *307 0.000206191 +*CONN +*P mprj_io_dm[26] I +*CAP +1 mprj_io_dm[26] 0.000103095 +2 *307:4 0.000103095 +3 *307:4 *308:4 0 +4 *304:4 *307:4 0 +*RES +1 mprj_io_dm[26] *307:4 2.93119 +*END + +*D_NET *308 0.000206191 +*CONN +*P mprj_io_holdover[8] I +*CAP +1 mprj_io_holdover[8] 0.000103095 +2 *308:4 0.000103095 +3 *308:4 *312:4 0 +4 *307:4 *308:4 0 +*RES +1 mprj_io_holdover[8] *308:4 2.93119 +*END + +*D_NET *309 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[8] I +*CAP +1 mprj_io_ib_mode_sel[8] 0.000103095 +2 *309:4 0.000103095 +3 *309:4 *311:4 0 +4 *309:4 *314:4 0 +*RES +1 mprj_io_ib_mode_sel[8] *309:4 2.93119 +*END + +*D_NET *310 0.000206191 +*CONN +*P mprj_io_inp_dis[8] I +*CAP +1 mprj_io_inp_dis[8] 0.000103095 +2 *310:4 0.000103095 +3 *303:4 *310:4 0 +*RES +1 mprj_io_inp_dis[8] *310:4 2.93119 +*END + +*D_NET *311 0.000206191 +*CONN +*P mprj_io_oeb[8] I +*CAP +1 mprj_io_oeb[8] 0.000103095 +2 *311:4 0.000103095 +3 *309:4 *311:4 0 +*RES +1 mprj_io_oeb[8] *311:4 2.93119 +*END + +*D_NET *312 0.000206191 +*CONN +*P mprj_io_out[8] I +*CAP +1 mprj_io_out[8] 0.000103095 +2 *312:4 0.000103095 +3 *308:4 *312:4 0 +*RES +1 mprj_io_out[8] *312:4 2.93119 +*END + +*D_NET *313 0.000206191 +*CONN +*P mprj_io_slow_sel[8] I +*CAP +1 mprj_io_slow_sel[8] 0.000103095 +2 *313:4 0.000103095 +3 *300:4 *313:4 0 +*RES +1 mprj_io_slow_sel[8] *313:4 2.93119 +*END + +*D_NET *314 0.000206191 +*CONN +*P mprj_io_vtrip_sel[8] I +*CAP +1 mprj_io_vtrip_sel[8] 0.000103095 +2 *314:4 0.000103095 +3 *309:4 *314:4 0 +*RES +1 mprj_io_vtrip_sel[8] *314:4 2.93119 +*END + +*D_NET *315 0.000206191 +*CONN +*P mprj_io_in[8] I +*CAP +1 mprj_io_in[8] 0.000103095 +2 *315:4 0.000103095 +*RES +1 mprj_io_in[8] *315:4 2.93119 +*END + +*D_NET *316 0.000206191 +*CONN +*P mprj_analog_io[2] I +*CAP +1 mprj_analog_io[2] 0.000103095 +2 *316:4 0.000103095 +3 *316:4 *329:4 0 +*RES +1 mprj_analog_io[2] *316:4 2.93119 +*END + +*D_NET *317 0.00529339 +*CONN +*P mprj_io[9] I +*CAP +1 mprj_io[9] 0.00264669 +2 *317:4 0.00264669 +*RES +1 mprj_io[9] *317:4 1.14635 +*END + +*D_NET *318 0.000206191 +*CONN +*P mprj_io_analog_en[9] I +*CAP +1 mprj_io_analog_en[9] 0.000103095 +2 *318:4 0.000103095 +3 *318:4 *321:4 0 +*RES +1 mprj_io_analog_en[9] *318:4 2.93119 +*END + +*D_NET *319 0.000206191 +*CONN +*P mprj_io_analog_pol[9] I +*CAP +1 mprj_io_analog_pol[9] 0.000103095 +2 *319:4 0.000103095 +3 *319:4 *321:4 0 +4 *319:4 *326:4 0 +*RES +1 mprj_io_analog_pol[9] *319:4 2.93119 +*END + +*D_NET *320 0.000206191 +*CONN +*P mprj_io_analog_sel[9] I +*CAP +1 mprj_io_analog_sel[9] 0.000103095 +2 *320:4 0.000103095 +3 *320:4 *323:4 0 +*RES +1 mprj_io_analog_sel[9] *320:4 2.93119 +*END + +*D_NET *321 0.000206191 +*CONN +*P mprj_io_dm[27] I +*CAP +1 mprj_io_dm[27] 0.000103095 +2 *321:4 0.000103095 +3 *318:4 *321:4 0 +4 *319:4 *321:4 0 +*RES +1 mprj_io_dm[27] *321:4 2.93119 +*END + +*D_NET *322 0.000206191 +*CONN +*P mprj_io_dm[28] I +*CAP +1 mprj_io_dm[28] 0.000103095 +2 *322:4 0.000103095 +*RES +1 mprj_io_dm[28] *322:4 2.93119 +*END + +*D_NET *323 0.000206191 +*CONN +*P mprj_io_dm[29] I +*CAP +1 mprj_io_dm[29] 0.000103095 +2 *323:4 0.000103095 +3 *323:4 *324:4 0 +4 *320:4 *323:4 0 +*RES +1 mprj_io_dm[29] *323:4 2.93119 +*END + +*D_NET *324 0.000206191 +*CONN +*P mprj_io_holdover[9] I +*CAP +1 mprj_io_holdover[9] 0.000103095 +2 *324:4 0.000103095 +3 *324:4 *328:4 0 +4 *323:4 *324:4 0 +*RES +1 mprj_io_holdover[9] *324:4 2.93119 +*END + +*D_NET *325 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[9] I +*CAP +1 mprj_io_ib_mode_sel[9] 0.000103095 +2 *325:4 0.000103095 +3 *325:4 *327:4 0 +4 *325:4 *330:4 0 +*RES +1 mprj_io_ib_mode_sel[9] *325:4 2.93119 +*END + +*D_NET *326 0.000206191 +*CONN +*P mprj_io_inp_dis[9] I +*CAP +1 mprj_io_inp_dis[9] 0.000103095 +2 *326:4 0.000103095 +3 *319:4 *326:4 0 +*RES +1 mprj_io_inp_dis[9] *326:4 2.93119 +*END + +*D_NET *327 0.000206191 +*CONN +*P mprj_io_oeb[9] I +*CAP +1 mprj_io_oeb[9] 0.000103095 +2 *327:4 0.000103095 +3 *325:4 *327:4 0 +*RES +1 mprj_io_oeb[9] *327:4 2.93119 +*END + +*D_NET *328 0.000206191 +*CONN +*P mprj_io_out[9] I +*CAP +1 mprj_io_out[9] 0.000103095 +2 *328:4 0.000103095 +3 *324:4 *328:4 0 +*RES +1 mprj_io_out[9] *328:4 2.93119 +*END + +*D_NET *329 0.000206191 +*CONN +*P mprj_io_slow_sel[9] I +*CAP +1 mprj_io_slow_sel[9] 0.000103095 +2 *329:4 0.000103095 +3 *316:4 *329:4 0 +*RES +1 mprj_io_slow_sel[9] *329:4 2.93119 +*END + +*D_NET *330 0.000206191 +*CONN +*P mprj_io_vtrip_sel[9] I +*CAP +1 mprj_io_vtrip_sel[9] 0.000103095 +2 *330:4 0.000103095 +3 *325:4 *330:4 0 +*RES +1 mprj_io_vtrip_sel[9] *330:4 2.93119 +*END + +*D_NET *331 0.000206191 +*CONN +*P mprj_io_in[9] I +*CAP +1 mprj_io_in[9] 0.000103095 +2 *331:4 0.000103095 +*RES +1 mprj_io_in[9] *331:4 2.93119 +*END + +*D_NET *332 2.40556e-05 +*CONN +*P mprj_analog_io[12] I +*CAP +1 mprj_analog_io[12] 1.20278e-05 +2 *332:4 1.20278e-05 +*RES +1 mprj_analog_io[12] *332:4 0.341972 +*END + +*D_NET *334 2.40556e-05 +*CONN +*P mprj_io_analog_en[19] I +*CAP +1 mprj_io_analog_en[19] 1.20278e-05 +2 *334:4 1.20278e-05 +*RES +1 mprj_io_analog_en[19] *334:4 0.341972 +*END + +*D_NET *335 2.40556e-05 +*CONN +*P mprj_io_analog_pol[19] I +*CAP +1 mprj_io_analog_pol[19] 1.20278e-05 +2 *335:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[19] *335:4 0.341972 +*END + +*D_NET *336 2.40556e-05 +*CONN +*P mprj_io_analog_sel[19] I +*CAP +1 mprj_io_analog_sel[19] 1.20278e-05 +2 *336:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[19] *336:4 0.341972 +*END + +*D_NET *337 2.40556e-05 +*CONN +*P mprj_io_dm[57] I +*CAP +1 mprj_io_dm[57] 1.20278e-05 +2 *337:4 1.20278e-05 +*RES +1 mprj_io_dm[57] *337:4 0.341972 +*END + +*D_NET *338 2.40556e-05 +*CONN +*P mprj_io_dm[58] I +*CAP +1 mprj_io_dm[58] 1.20278e-05 +2 *338:4 1.20278e-05 +*RES +1 mprj_io_dm[58] *338:4 0.341972 +*END + +*D_NET *339 2.40556e-05 +*CONN +*P mprj_io_dm[59] I +*CAP +1 mprj_io_dm[59] 1.20278e-05 +2 *339:4 1.20278e-05 +*RES +1 mprj_io_dm[59] *339:4 0.341972 +*END + +*D_NET *340 2.40556e-05 +*CONN +*P mprj_io_holdover[19] I +*CAP +1 mprj_io_holdover[19] 1.20278e-05 +2 *340:4 1.20278e-05 +*RES +1 mprj_io_holdover[19] *340:4 0.341972 +*END + +*D_NET *341 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[19] I +*CAP +1 mprj_io_ib_mode_sel[19] 1.20278e-05 +2 *341:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[19] *341:4 0.341972 +*END + +*D_NET *342 2.40556e-05 +*CONN +*P mprj_io_inp_dis[19] I +*CAP +1 mprj_io_inp_dis[19] 1.20278e-05 +2 *342:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[19] *342:4 0.341972 +*END + +*D_NET *343 2.40556e-05 +*CONN +*P mprj_io_oeb[19] I +*CAP +1 mprj_io_oeb[19] 1.20278e-05 +2 *343:4 1.20278e-05 +*RES +1 mprj_io_oeb[19] *343:4 0.341972 +*END + +*D_NET *344 2.40556e-05 +*CONN +*P mprj_io_out[19] I +*CAP +1 mprj_io_out[19] 1.20278e-05 +2 *344:4 1.20278e-05 +*RES +1 mprj_io_out[19] *344:4 0.341972 +*END + +*D_NET *345 2.40556e-05 +*CONN +*P mprj_io_slow_sel[19] I +*CAP +1 mprj_io_slow_sel[19] 1.20278e-05 +2 *345:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[19] *345:4 0.341972 +*END + +*D_NET *346 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[19] I +*CAP +1 mprj_io_vtrip_sel[19] 1.20278e-05 +2 *346:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[19] *346:4 0.341972 +*END + +*D_NET *347 2.40556e-05 +*CONN +*P mprj_io_in[19] I +*CAP +1 mprj_io_in[19] 1.20278e-05 +2 *347:4 1.20278e-05 +*RES +1 mprj_io_in[19] *347:4 0.341972 +*END + +*D_NET *348 0.000206191 +*CONN +*P mprj_analog_io[22] I +*CAP +1 mprj_analog_io[22] 0.000103095 +2 *348:4 0.000103095 +3 *348:4 *361:4 0 +*RES +1 mprj_analog_io[22] *348:4 2.93119 +*END + +*D_NET *350 0.000206191 +*CONN +*P mprj_io_analog_en[29] I +*CAP +1 mprj_io_analog_en[29] 0.000103095 +2 *350:4 0.000103095 +3 *350:4 *353:4 0 +*RES +1 mprj_io_analog_en[29] *350:4 2.93119 +*END + +*D_NET *351 0.000206191 +*CONN +*P mprj_io_analog_pol[29] I +*CAP +1 mprj_io_analog_pol[29] 0.000103095 +2 *351:4 0.000103095 +3 *351:4 *353:4 0 +4 *351:4 *358:4 0 +*RES +1 mprj_io_analog_pol[29] *351:4 2.93119 +*END + +*D_NET *352 0.000206191 +*CONN +*P mprj_io_analog_sel[29] I +*CAP +1 mprj_io_analog_sel[29] 0.000103095 +2 *352:4 0.000103095 +3 *352:4 *355:4 0 +*RES +1 mprj_io_analog_sel[29] *352:4 2.93119 +*END + +*D_NET *353 0.000206191 +*CONN +*P mprj_io_dm[87] I +*CAP +1 mprj_io_dm[87] 0.000103095 +2 *353:4 0.000103095 +3 *350:4 *353:4 0 +4 *351:4 *353:4 0 +*RES +1 mprj_io_dm[87] *353:4 2.93119 +*END + +*D_NET *354 0.000206191 +*CONN +*P mprj_io_dm[88] I +*CAP +1 mprj_io_dm[88] 0.000103095 +2 *354:4 0.000103095 +*RES +1 mprj_io_dm[88] *354:4 2.93119 +*END + +*D_NET *355 0.000206191 +*CONN +*P mprj_io_dm[89] I +*CAP +1 mprj_io_dm[89] 0.000103095 +2 *355:4 0.000103095 +3 *355:4 *356:4 0 +4 *352:4 *355:4 0 +*RES +1 mprj_io_dm[89] *355:4 2.93119 +*END + +*D_NET *356 0.000206191 +*CONN +*P mprj_io_holdover[29] I +*CAP +1 mprj_io_holdover[29] 0.000103095 +2 *356:4 0.000103095 +3 *356:4 *360:4 0 +4 *355:4 *356:4 0 +*RES +1 mprj_io_holdover[29] *356:4 2.93119 +*END + +*D_NET *357 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[29] I +*CAP +1 mprj_io_ib_mode_sel[29] 0.000103095 +2 *357:4 0.000103095 +3 *357:4 *359:4 0 +4 *357:4 *362:4 0 +*RES +1 mprj_io_ib_mode_sel[29] *357:4 2.93119 +*END + +*D_NET *358 0.000206191 +*CONN +*P mprj_io_inp_dis[29] I +*CAP +1 mprj_io_inp_dis[29] 0.000103095 +2 *358:4 0.000103095 +3 *351:4 *358:4 0 +*RES +1 mprj_io_inp_dis[29] *358:4 2.93119 +*END + +*D_NET *359 0.000206191 +*CONN +*P mprj_io_oeb[29] I +*CAP +1 mprj_io_oeb[29] 0.000103095 +2 *359:4 0.000103095 +3 *357:4 *359:4 0 +*RES +1 mprj_io_oeb[29] *359:4 2.93119 +*END + +*D_NET *360 0.000206191 +*CONN +*P mprj_io_out[29] I +*CAP +1 mprj_io_out[29] 0.000103095 +2 *360:4 0.000103095 +3 *356:4 *360:4 0 +*RES +1 mprj_io_out[29] *360:4 2.93119 +*END + +*D_NET *361 0.000206191 +*CONN +*P mprj_io_slow_sel[29] I +*CAP +1 mprj_io_slow_sel[29] 0.000103095 +2 *361:4 0.000103095 +3 *348:4 *361:4 0 +*RES +1 mprj_io_slow_sel[29] *361:4 2.93119 +*END + +*D_NET *362 0.000206191 +*CONN +*P mprj_io_vtrip_sel[29] I +*CAP +1 mprj_io_vtrip_sel[29] 0.000103095 +2 *362:4 0.000103095 +3 *357:4 *362:4 0 +*RES +1 mprj_io_vtrip_sel[29] *362:4 2.93119 +*END + +*D_NET *363 0.000206191 +*CONN +*P mprj_io_in[29] I +*CAP +1 mprj_io_in[29] 0.000103095 +2 *363:4 0.000103095 +*RES +1 mprj_io_in[29] *363:4 2.93119 +*END + +*D_NET *364 0.000206191 +*CONN +*P mprj_analog_io[23] I +*CAP +1 mprj_analog_io[23] 0.000103095 +2 *364:4 0.000103095 +3 *364:4 *377:4 0 +*RES +1 mprj_analog_io[23] *364:4 2.93119 +*END + +*D_NET *366 0.000206191 +*CONN +*P mprj_io_analog_en[30] I +*CAP +1 mprj_io_analog_en[30] 0.000103095 +2 *366:4 0.000103095 +3 *366:4 *369:4 0 +*RES +1 mprj_io_analog_en[30] *366:4 2.93119 +*END + +*D_NET *367 0.000206191 +*CONN +*P mprj_io_analog_pol[30] I +*CAP +1 mprj_io_analog_pol[30] 0.000103095 +2 *367:4 0.000103095 +3 *367:4 *369:4 0 +4 *367:4 *374:4 0 +*RES +1 mprj_io_analog_pol[30] *367:4 2.93119 +*END + +*D_NET *368 0.000206191 +*CONN +*P mprj_io_analog_sel[30] I +*CAP +1 mprj_io_analog_sel[30] 0.000103095 +2 *368:4 0.000103095 +3 *368:4 *371:4 0 +*RES +1 mprj_io_analog_sel[30] *368:4 2.93119 +*END + +*D_NET *369 0.000206191 +*CONN +*P mprj_io_dm[90] I +*CAP +1 mprj_io_dm[90] 0.000103095 +2 *369:4 0.000103095 +3 *366:4 *369:4 0 +4 *367:4 *369:4 0 +*RES +1 mprj_io_dm[90] *369:4 2.93119 +*END + +*D_NET *370 0.000206191 +*CONN +*P mprj_io_dm[91] I +*CAP +1 mprj_io_dm[91] 0.000103095 +2 *370:4 0.000103095 +*RES +1 mprj_io_dm[91] *370:4 2.93119 +*END + +*D_NET *371 0.000206191 +*CONN +*P mprj_io_dm[92] I +*CAP +1 mprj_io_dm[92] 0.000103095 +2 *371:4 0.000103095 +3 *371:4 *372:4 0 +4 *368:4 *371:4 0 +*RES +1 mprj_io_dm[92] *371:4 2.93119 +*END + +*D_NET *372 0.000206191 +*CONN +*P mprj_io_holdover[30] I +*CAP +1 mprj_io_holdover[30] 0.000103095 +2 *372:4 0.000103095 +3 *372:4 *376:4 0 +4 *371:4 *372:4 0 +*RES +1 mprj_io_holdover[30] *372:4 2.93119 +*END + +*D_NET *373 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[30] I +*CAP +1 mprj_io_ib_mode_sel[30] 0.000103095 +2 *373:4 0.000103095 +3 *373:4 *375:4 0 +4 *373:4 *378:4 0 +*RES +1 mprj_io_ib_mode_sel[30] *373:4 2.93119 +*END + +*D_NET *374 0.000206191 +*CONN +*P mprj_io_inp_dis[30] I +*CAP +1 mprj_io_inp_dis[30] 0.000103095 +2 *374:4 0.000103095 +3 *367:4 *374:4 0 +*RES +1 mprj_io_inp_dis[30] *374:4 2.93119 +*END + +*D_NET *375 0.000206191 +*CONN +*P mprj_io_oeb[30] I +*CAP +1 mprj_io_oeb[30] 0.000103095 +2 *375:4 0.000103095 +3 *373:4 *375:4 0 +*RES +1 mprj_io_oeb[30] *375:4 2.93119 +*END + +*D_NET *376 0.000206191 +*CONN +*P mprj_io_out[30] I +*CAP +1 mprj_io_out[30] 0.000103095 +2 *376:4 0.000103095 +3 *372:4 *376:4 0 +*RES +1 mprj_io_out[30] *376:4 2.93119 +*END + +*D_NET *377 0.000206191 +*CONN +*P mprj_io_slow_sel[30] I +*CAP +1 mprj_io_slow_sel[30] 0.000103095 +2 *377:4 0.000103095 +3 *364:4 *377:4 0 +*RES +1 mprj_io_slow_sel[30] *377:4 2.93119 +*END + +*D_NET *378 0.000206191 +*CONN +*P mprj_io_vtrip_sel[30] I +*CAP +1 mprj_io_vtrip_sel[30] 0.000103095 +2 *378:4 0.000103095 +3 *373:4 *378:4 0 +*RES +1 mprj_io_vtrip_sel[30] *378:4 2.93119 +*END + +*D_NET *379 0.000206191 +*CONN +*P mprj_io_in[30] I +*CAP +1 mprj_io_in[30] 0.000103095 +2 *379:4 0.000103095 +*RES +1 mprj_io_in[30] *379:4 2.93119 +*END + +*D_NET *380 0.000206191 +*CONN +*P mprj_analog_io[24] I +*CAP +1 mprj_analog_io[24] 0.000103095 +2 *380:4 0.000103095 +3 *380:4 *393:4 0 +*RES +1 mprj_analog_io[24] *380:4 2.93119 +*END + +*D_NET *382 0.000206191 +*CONN +*P mprj_io_analog_en[31] I +*CAP +1 mprj_io_analog_en[31] 0.000103095 +2 *382:4 0.000103095 +3 *382:4 *385:4 0 +*RES +1 mprj_io_analog_en[31] *382:4 2.93119 +*END + +*D_NET *383 0.000206191 +*CONN +*P mprj_io_analog_pol[31] I +*CAP +1 mprj_io_analog_pol[31] 0.000103095 +2 *383:4 0.000103095 +3 *383:4 *385:4 0 +4 *383:4 *390:4 0 +*RES +1 mprj_io_analog_pol[31] *383:4 2.93119 +*END + +*D_NET *384 0.000206191 +*CONN +*P mprj_io_analog_sel[31] I +*CAP +1 mprj_io_analog_sel[31] 0.000103095 +2 *384:4 0.000103095 +3 *384:4 *387:4 0 +*RES +1 mprj_io_analog_sel[31] *384:4 2.93119 +*END + +*D_NET *385 0.000206191 +*CONN +*P mprj_io_dm[93] I +*CAP +1 mprj_io_dm[93] 0.000103095 +2 *385:4 0.000103095 +3 *382:4 *385:4 0 +4 *383:4 *385:4 0 +*RES +1 mprj_io_dm[93] *385:4 2.93119 +*END + +*D_NET *386 0.000206191 +*CONN +*P mprj_io_dm[94] I +*CAP +1 mprj_io_dm[94] 0.000103095 +2 *386:4 0.000103095 +*RES +1 mprj_io_dm[94] *386:4 2.93119 +*END + +*D_NET *387 0.000206191 +*CONN +*P mprj_io_dm[95] I +*CAP +1 mprj_io_dm[95] 0.000103095 +2 *387:4 0.000103095 +3 *387:4 *388:4 0 +4 *384:4 *387:4 0 +*RES +1 mprj_io_dm[95] *387:4 2.93119 +*END + +*D_NET *388 0.000206191 +*CONN +*P mprj_io_holdover[31] I +*CAP +1 mprj_io_holdover[31] 0.000103095 +2 *388:4 0.000103095 +3 *388:4 *392:4 0 +4 *387:4 *388:4 0 +*RES +1 mprj_io_holdover[31] *388:4 2.93119 +*END + +*D_NET *389 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[31] I +*CAP +1 mprj_io_ib_mode_sel[31] 0.000103095 +2 *389:4 0.000103095 +3 *389:4 *391:4 0 +4 *389:4 *394:4 0 +*RES +1 mprj_io_ib_mode_sel[31] *389:4 2.93119 +*END + +*D_NET *390 0.000206191 +*CONN +*P mprj_io_inp_dis[31] I +*CAP +1 mprj_io_inp_dis[31] 0.000103095 +2 *390:4 0.000103095 +3 *383:4 *390:4 0 +*RES +1 mprj_io_inp_dis[31] *390:4 2.93119 +*END + +*D_NET *391 0.000206191 +*CONN +*P mprj_io_oeb[31] I +*CAP +1 mprj_io_oeb[31] 0.000103095 +2 *391:4 0.000103095 +3 *389:4 *391:4 0 +*RES +1 mprj_io_oeb[31] *391:4 2.93119 +*END + +*D_NET *392 0.000206191 +*CONN +*P mprj_io_out[31] I +*CAP +1 mprj_io_out[31] 0.000103095 +2 *392:4 0.000103095 +3 *388:4 *392:4 0 +*RES +1 mprj_io_out[31] *392:4 2.93119 +*END + +*D_NET *393 0.000206191 +*CONN +*P mprj_io_slow_sel[31] I +*CAP +1 mprj_io_slow_sel[31] 0.000103095 +2 *393:4 0.000103095 +3 *380:4 *393:4 0 +*RES +1 mprj_io_slow_sel[31] *393:4 2.93119 +*END + +*D_NET *394 0.000206191 +*CONN +*P mprj_io_vtrip_sel[31] I +*CAP +1 mprj_io_vtrip_sel[31] 0.000103095 +2 *394:4 0.000103095 +3 *389:4 *394:4 0 +*RES +1 mprj_io_vtrip_sel[31] *394:4 2.93119 +*END + +*D_NET *395 0.000206191 +*CONN +*P mprj_io_in[31] I +*CAP +1 mprj_io_in[31] 0.000103095 +2 *395:4 0.000103095 +*RES +1 mprj_io_in[31] *395:4 2.93119 +*END + +*D_NET *396 0.000206191 +*CONN +*P mprj_analog_io[25] I +*CAP +1 mprj_analog_io[25] 0.000103095 +2 *396:4 0.000103095 +3 *396:4 *409:4 0 +*RES +1 mprj_analog_io[25] *396:4 2.93119 +*END + +*D_NET *398 0.000206191 +*CONN +*P mprj_io_analog_en[32] I +*CAP +1 mprj_io_analog_en[32] 0.000103095 +2 *398:4 0.000103095 +3 *398:4 *401:4 0 +*RES +1 mprj_io_analog_en[32] *398:4 2.93119 +*END + +*D_NET *399 0.000206191 +*CONN +*P mprj_io_analog_pol[32] I +*CAP +1 mprj_io_analog_pol[32] 0.000103095 +2 *399:4 0.000103095 +3 *399:4 *401:4 0 +4 *399:4 *406:4 0 +*RES +1 mprj_io_analog_pol[32] *399:4 2.93119 +*END + +*D_NET *400 0.000206191 +*CONN +*P mprj_io_analog_sel[32] I +*CAP +1 mprj_io_analog_sel[32] 0.000103095 +2 *400:4 0.000103095 +3 *400:4 *403:4 0 +*RES +1 mprj_io_analog_sel[32] *400:4 2.93119 +*END + +*D_NET *401 0.000206191 +*CONN +*P mprj_io_dm[96] I +*CAP +1 mprj_io_dm[96] 0.000103095 +2 *401:4 0.000103095 +3 *398:4 *401:4 0 +4 *399:4 *401:4 0 +*RES +1 mprj_io_dm[96] *401:4 2.93119 +*END + +*D_NET *402 0.000206191 +*CONN +*P mprj_io_dm[97] I +*CAP +1 mprj_io_dm[97] 0.000103095 +2 *402:4 0.000103095 +*RES +1 mprj_io_dm[97] *402:4 2.93119 +*END + +*D_NET *403 0.000206191 +*CONN +*P mprj_io_dm[98] I +*CAP +1 mprj_io_dm[98] 0.000103095 +2 *403:4 0.000103095 +3 *403:4 *404:4 0 +4 *400:4 *403:4 0 +*RES +1 mprj_io_dm[98] *403:4 2.93119 +*END + +*D_NET *404 0.000206191 +*CONN +*P mprj_io_holdover[32] I +*CAP +1 mprj_io_holdover[32] 0.000103095 +2 *404:4 0.000103095 +3 *404:4 *408:4 0 +4 *403:4 *404:4 0 +*RES +1 mprj_io_holdover[32] *404:4 2.93119 +*END + +*D_NET *405 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[32] I +*CAP +1 mprj_io_ib_mode_sel[32] 0.000103095 +2 *405:4 0.000103095 +3 *405:4 *407:4 0 +4 *405:4 *410:4 0 +*RES +1 mprj_io_ib_mode_sel[32] *405:4 2.93119 +*END + +*D_NET *406 0.000206191 +*CONN +*P mprj_io_inp_dis[32] I +*CAP +1 mprj_io_inp_dis[32] 0.000103095 +2 *406:4 0.000103095 +3 *399:4 *406:4 0 +*RES +1 mprj_io_inp_dis[32] *406:4 2.93119 +*END + +*D_NET *407 0.000206191 +*CONN +*P mprj_io_oeb[32] I +*CAP +1 mprj_io_oeb[32] 0.000103095 +2 *407:4 0.000103095 +3 *405:4 *407:4 0 +*RES +1 mprj_io_oeb[32] *407:4 2.93119 +*END + +*D_NET *408 0.000206191 +*CONN +*P mprj_io_out[32] I +*CAP +1 mprj_io_out[32] 0.000103095 +2 *408:4 0.000103095 +3 *404:4 *408:4 0 +*RES +1 mprj_io_out[32] *408:4 2.93119 +*END + +*D_NET *409 0.000206191 +*CONN +*P mprj_io_slow_sel[32] I +*CAP +1 mprj_io_slow_sel[32] 0.000103095 +2 *409:4 0.000103095 +3 *396:4 *409:4 0 +*RES +1 mprj_io_slow_sel[32] *409:4 2.93119 +*END + +*D_NET *410 0.000206191 +*CONN +*P mprj_io_vtrip_sel[32] I +*CAP +1 mprj_io_vtrip_sel[32] 0.000103095 +2 *410:4 0.000103095 +3 *405:4 *410:4 0 +*RES +1 mprj_io_vtrip_sel[32] *410:4 2.93119 +*END + +*D_NET *411 0.000206191 +*CONN +*P mprj_io_in[32] I +*CAP +1 mprj_io_in[32] 0.000103095 +2 *411:4 0.000103095 +*RES +1 mprj_io_in[32] *411:4 2.93119 +*END + +*D_NET *412 0.000206191 +*CONN +*P mprj_analog_io[26] I +*CAP +1 mprj_analog_io[26] 0.000103095 +2 *412:4 0.000103095 +3 *412:4 *425:4 0 +*RES +1 mprj_analog_io[26] *412:4 2.93119 +*END + +*D_NET *414 0.000206191 +*CONN +*P mprj_io_analog_en[33] I +*CAP +1 mprj_io_analog_en[33] 0.000103095 +2 *414:4 0.000103095 +3 *414:4 *419:4 0 +*RES +1 mprj_io_analog_en[33] *414:4 2.93119 +*END + +*D_NET *415 0.000206191 +*CONN +*P mprj_io_analog_pol[33] I +*CAP +1 mprj_io_analog_pol[33] 0.000103095 +2 *415:4 0.000103095 +3 *415:4 *419:4 0 +4 *415:4 *422:4 0 +*RES +1 mprj_io_analog_pol[33] *415:4 2.93119 +*END + +*D_NET *416 0.000206191 +*CONN +*P mprj_io_analog_sel[33] I +*CAP +1 mprj_io_analog_sel[33] 0.000103095 +2 *416:4 0.000103095 +3 *416:4 *418:4 0 +*RES +1 mprj_io_analog_sel[33] *416:4 2.93119 +*END + +*D_NET *417 0.000206191 +*CONN +*P mprj_io_dm[100] I +*CAP +1 mprj_io_dm[100] 0.000103095 +2 *417:4 0.000103095 +*RES +1 mprj_io_dm[100] *417:4 2.93119 +*END + +*D_NET *418 0.000206191 +*CONN +*P mprj_io_dm[101] I +*CAP +1 mprj_io_dm[101] 0.000103095 +2 *418:4 0.000103095 +3 *418:4 *420:4 0 +4 *416:4 *418:4 0 +*RES +1 mprj_io_dm[101] *418:4 2.93119 +*END + +*D_NET *419 0.000206191 +*CONN +*P mprj_io_dm[99] I +*CAP +1 mprj_io_dm[99] 0.000103095 +2 *419:4 0.000103095 +3 *414:4 *419:4 0 +4 *415:4 *419:4 0 +*RES +1 mprj_io_dm[99] *419:4 2.93119 +*END + +*D_NET *420 0.000206191 +*CONN +*P mprj_io_holdover[33] I +*CAP +1 mprj_io_holdover[33] 0.000103095 +2 *420:4 0.000103095 +3 *420:4 *424:4 0 +4 *418:4 *420:4 0 +*RES +1 mprj_io_holdover[33] *420:4 2.93119 +*END + +*D_NET *421 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[33] I +*CAP +1 mprj_io_ib_mode_sel[33] 0.000103095 +2 *421:4 0.000103095 +3 *421:4 *423:4 0 +4 *421:4 *426:4 0 +*RES +1 mprj_io_ib_mode_sel[33] *421:4 2.93119 +*END + +*D_NET *422 0.000206191 +*CONN +*P mprj_io_inp_dis[33] I +*CAP +1 mprj_io_inp_dis[33] 0.000103095 +2 *422:4 0.000103095 +3 *415:4 *422:4 0 +*RES +1 mprj_io_inp_dis[33] *422:4 2.93119 +*END + +*D_NET *423 0.000206191 +*CONN +*P mprj_io_oeb[33] I +*CAP +1 mprj_io_oeb[33] 0.000103095 +2 *423:4 0.000103095 +3 *421:4 *423:4 0 +*RES +1 mprj_io_oeb[33] *423:4 2.93119 +*END + +*D_NET *424 0.000206191 +*CONN +*P mprj_io_out[33] I +*CAP +1 mprj_io_out[33] 0.000103095 +2 *424:4 0.000103095 +3 *420:4 *424:4 0 +*RES +1 mprj_io_out[33] *424:4 2.93119 +*END + +*D_NET *425 0.000206191 +*CONN +*P mprj_io_slow_sel[33] I +*CAP +1 mprj_io_slow_sel[33] 0.000103095 +2 *425:4 0.000103095 +3 *412:4 *425:4 0 +*RES +1 mprj_io_slow_sel[33] *425:4 2.93119 +*END + +*D_NET *426 0.000206191 +*CONN +*P mprj_io_vtrip_sel[33] I +*CAP +1 mprj_io_vtrip_sel[33] 0.000103095 +2 *426:4 0.000103095 +3 *421:4 *426:4 0 +*RES +1 mprj_io_vtrip_sel[33] *426:4 2.93119 +*END + +*D_NET *427 0.000206191 +*CONN +*P mprj_io_in[33] I +*CAP +1 mprj_io_in[33] 0.000103095 +2 *427:4 0.000103095 +*RES +1 mprj_io_in[33] *427:4 2.93119 +*END + +*D_NET *428 0.000206191 +*CONN +*P mprj_analog_io[27] I +*CAP +1 mprj_analog_io[27] 0.000103095 +2 *428:4 0.000103095 +3 *428:4 *441:4 0 +*RES +1 mprj_analog_io[27] *428:4 2.93119 +*END + +*D_NET *430 0.000206191 +*CONN +*P mprj_io_analog_en[34] I +*CAP +1 mprj_io_analog_en[34] 0.000103095 +2 *430:4 0.000103095 +3 *430:4 *433:4 0 +*RES +1 mprj_io_analog_en[34] *430:4 2.93119 +*END + +*D_NET *431 0.000206191 +*CONN +*P mprj_io_analog_pol[34] I +*CAP +1 mprj_io_analog_pol[34] 0.000103095 +2 *431:4 0.000103095 +3 *431:4 *433:4 0 +4 *431:4 *438:4 0 +*RES +1 mprj_io_analog_pol[34] *431:4 2.93119 +*END + +*D_NET *432 0.000206191 +*CONN +*P mprj_io_analog_sel[34] I +*CAP +1 mprj_io_analog_sel[34] 0.000103095 +2 *432:4 0.000103095 +3 *432:4 *435:4 0 +*RES +1 mprj_io_analog_sel[34] *432:4 2.93119 +*END + +*D_NET *433 0.000206191 +*CONN +*P mprj_io_dm[102] I +*CAP +1 mprj_io_dm[102] 0.000103095 +2 *433:4 0.000103095 +3 *430:4 *433:4 0 +4 *431:4 *433:4 0 +*RES +1 mprj_io_dm[102] *433:4 2.93119 +*END + +*D_NET *434 0.000206191 +*CONN +*P mprj_io_dm[103] I +*CAP +1 mprj_io_dm[103] 0.000103095 +2 *434:4 0.000103095 +*RES +1 mprj_io_dm[103] *434:4 2.93119 +*END + +*D_NET *435 0.000206191 +*CONN +*P mprj_io_dm[104] I +*CAP +1 mprj_io_dm[104] 0.000103095 +2 *435:4 0.000103095 +3 *435:4 *436:4 0 +4 *432:4 *435:4 0 +*RES +1 mprj_io_dm[104] *435:4 2.93119 +*END + +*D_NET *436 0.000206191 +*CONN +*P mprj_io_holdover[34] I +*CAP +1 mprj_io_holdover[34] 0.000103095 +2 *436:4 0.000103095 +3 *436:4 *440:4 0 +4 *435:4 *436:4 0 +*RES +1 mprj_io_holdover[34] *436:4 2.93119 +*END + +*D_NET *437 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[34] I +*CAP +1 mprj_io_ib_mode_sel[34] 0.000103095 +2 *437:4 0.000103095 +3 *437:4 *439:4 0 +4 *437:4 *442:4 0 +*RES +1 mprj_io_ib_mode_sel[34] *437:4 2.93119 +*END + +*D_NET *438 0.000206191 +*CONN +*P mprj_io_inp_dis[34] I +*CAP +1 mprj_io_inp_dis[34] 0.000103095 +2 *438:4 0.000103095 +3 *431:4 *438:4 0 +*RES +1 mprj_io_inp_dis[34] *438:4 2.93119 +*END + +*D_NET *439 0.000206191 +*CONN +*P mprj_io_oeb[34] I +*CAP +1 mprj_io_oeb[34] 0.000103095 +2 *439:4 0.000103095 +3 *437:4 *439:4 0 +*RES +1 mprj_io_oeb[34] *439:4 2.93119 +*END + +*D_NET *440 0.000206191 +*CONN +*P mprj_io_out[34] I +*CAP +1 mprj_io_out[34] 0.000103095 +2 *440:4 0.000103095 +3 *436:4 *440:4 0 +*RES +1 mprj_io_out[34] *440:4 2.93119 +*END + +*D_NET *441 0.000206191 +*CONN +*P mprj_io_slow_sel[34] I +*CAP +1 mprj_io_slow_sel[34] 0.000103095 +2 *441:4 0.000103095 +3 *428:4 *441:4 0 +*RES +1 mprj_io_slow_sel[34] *441:4 2.93119 +*END + +*D_NET *442 0.000206191 +*CONN +*P mprj_io_vtrip_sel[34] I +*CAP +1 mprj_io_vtrip_sel[34] 0.000103095 +2 *442:4 0.000103095 +3 *437:4 *442:4 0 +*RES +1 mprj_io_vtrip_sel[34] *442:4 2.93119 +*END + +*D_NET *443 0.000206191 +*CONN +*P mprj_io_in[34] I +*CAP +1 mprj_io_in[34] 0.000103095 +2 *443:4 0.000103095 +*RES +1 mprj_io_in[34] *443:4 2.93119 +*END + +*D_NET *444 0.000206191 +*CONN +*P mprj_analog_io[28] I +*CAP +1 mprj_analog_io[28] 0.000103095 +2 *444:4 0.000103095 +3 *444:4 *457:4 0 +*RES +1 mprj_analog_io[28] *444:4 2.93119 +*END + +*D_NET *446 0.000206191 +*CONN +*P mprj_io_analog_en[35] I +*CAP +1 mprj_io_analog_en[35] 0.000103095 +2 *446:4 0.000103095 +3 *446:4 *449:4 0 +*RES +1 mprj_io_analog_en[35] *446:4 2.93119 +*END + +*D_NET *447 0.000206191 +*CONN +*P mprj_io_analog_pol[35] I +*CAP +1 mprj_io_analog_pol[35] 0.000103095 +2 *447:4 0.000103095 +3 *447:4 *449:4 0 +4 *447:4 *454:4 0 +*RES +1 mprj_io_analog_pol[35] *447:4 2.93119 +*END + +*D_NET *448 0.000206191 +*CONN +*P mprj_io_analog_sel[35] I +*CAP +1 mprj_io_analog_sel[35] 0.000103095 +2 *448:4 0.000103095 +3 *448:4 *451:4 0 +*RES +1 mprj_io_analog_sel[35] *448:4 2.93119 +*END + +*D_NET *449 0.000206191 +*CONN +*P mprj_io_dm[105] I +*CAP +1 mprj_io_dm[105] 0.000103095 +2 *449:4 0.000103095 +3 *446:4 *449:4 0 +4 *447:4 *449:4 0 +*RES +1 mprj_io_dm[105] *449:4 2.93119 +*END + +*D_NET *450 0.000206191 +*CONN +*P mprj_io_dm[106] I +*CAP +1 mprj_io_dm[106] 0.000103095 +2 *450:4 0.000103095 +*RES +1 mprj_io_dm[106] *450:4 2.93119 +*END + +*D_NET *451 0.000206191 +*CONN +*P mprj_io_dm[107] I +*CAP +1 mprj_io_dm[107] 0.000103095 +2 *451:4 0.000103095 +3 *451:4 *452:4 0 +4 *448:4 *451:4 0 +*RES +1 mprj_io_dm[107] *451:4 2.93119 +*END + +*D_NET *452 0.000206191 +*CONN +*P mprj_io_holdover[35] I +*CAP +1 mprj_io_holdover[35] 0.000103095 +2 *452:4 0.000103095 +3 *452:4 *456:4 0 +4 *451:4 *452:4 0 +*RES +1 mprj_io_holdover[35] *452:4 2.93119 +*END + +*D_NET *453 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[35] I +*CAP +1 mprj_io_ib_mode_sel[35] 0.000103095 +2 *453:4 0.000103095 +3 *453:4 *455:4 0 +4 *453:4 *458:4 0 +*RES +1 mprj_io_ib_mode_sel[35] *453:4 2.93119 +*END + +*D_NET *454 0.000206191 +*CONN +*P mprj_io_inp_dis[35] I +*CAP +1 mprj_io_inp_dis[35] 0.000103095 +2 *454:4 0.000103095 +3 *447:4 *454:4 0 +*RES +1 mprj_io_inp_dis[35] *454:4 2.93119 +*END + +*D_NET *455 0.000206191 +*CONN +*P mprj_io_oeb[35] I +*CAP +1 mprj_io_oeb[35] 0.000103095 +2 *455:4 0.000103095 +3 *453:4 *455:4 0 +*RES +1 mprj_io_oeb[35] *455:4 2.93119 +*END + +*D_NET *456 0.000206191 +*CONN +*P mprj_io_out[35] I +*CAP +1 mprj_io_out[35] 0.000103095 +2 *456:4 0.000103095 +3 *452:4 *456:4 0 +*RES +1 mprj_io_out[35] *456:4 2.93119 +*END + +*D_NET *457 0.000206191 +*CONN +*P mprj_io_slow_sel[35] I +*CAP +1 mprj_io_slow_sel[35] 0.000103095 +2 *457:4 0.000103095 +3 *444:4 *457:4 0 +*RES +1 mprj_io_slow_sel[35] *457:4 2.93119 +*END + +*D_NET *458 0.000206191 +*CONN +*P mprj_io_vtrip_sel[35] I +*CAP +1 mprj_io_vtrip_sel[35] 0.000103095 +2 *458:4 0.000103095 +3 *453:4 *458:4 0 +*RES +1 mprj_io_vtrip_sel[35] *458:4 2.93119 +*END + +*D_NET *459 0.000206191 +*CONN +*P mprj_io_in[35] I +*CAP +1 mprj_io_in[35] 0.000103095 +2 *459:4 0.000103095 +*RES +1 mprj_io_in[35] *459:4 2.93119 +*END + +*D_NET *461 0.000206191 +*CONN +*P mprj_io_analog_en[36] I +*CAP +1 mprj_io_analog_en[36] 0.000103095 +2 *461:4 0.000103095 +3 *461:4 *464:4 0 +*RES +1 mprj_io_analog_en[36] *461:4 2.93119 +*END + +*D_NET *462 0.000206191 +*CONN +*P mprj_io_analog_pol[36] I +*CAP +1 mprj_io_analog_pol[36] 0.000103095 +2 *462:4 0.000103095 +3 *462:4 *464:4 0 +4 *462:4 *469:4 0 +*RES +1 mprj_io_analog_pol[36] *462:4 2.93119 +*END + +*D_NET *463 0.000206191 +*CONN +*P mprj_io_analog_sel[36] I +*CAP +1 mprj_io_analog_sel[36] 0.000103095 +2 *463:4 0.000103095 +3 *463:4 *466:4 0 +*RES +1 mprj_io_analog_sel[36] *463:4 2.93119 +*END + +*D_NET *464 0.000206191 +*CONN +*P mprj_io_dm[108] I +*CAP +1 mprj_io_dm[108] 0.000103095 +2 *464:4 0.000103095 +3 *461:4 *464:4 0 +4 *462:4 *464:4 0 +*RES +1 mprj_io_dm[108] *464:4 2.93119 +*END + +*D_NET *465 0.000206191 +*CONN +*P mprj_io_dm[109] I +*CAP +1 mprj_io_dm[109] 0.000103095 +2 *465:4 0.000103095 +*RES +1 mprj_io_dm[109] *465:4 2.93119 +*END + +*D_NET *466 0.000206191 +*CONN +*P mprj_io_dm[110] I +*CAP +1 mprj_io_dm[110] 0.000103095 +2 *466:4 0.000103095 +3 *466:4 *467:4 0 +4 *463:4 *466:4 0 +*RES +1 mprj_io_dm[110] *466:4 2.93119 +*END + +*D_NET *467 0.000206191 +*CONN +*P mprj_io_holdover[36] I +*CAP +1 mprj_io_holdover[36] 0.000103095 +2 *467:4 0.000103095 +3 *467:4 *471:4 0 +4 *466:4 *467:4 0 +*RES +1 mprj_io_holdover[36] *467:4 2.93119 +*END + +*D_NET *468 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[36] I +*CAP +1 mprj_io_ib_mode_sel[36] 0.000103095 +2 *468:4 0.000103095 +3 *468:4 *470:4 0 +4 *468:4 *473:4 0 +*RES +1 mprj_io_ib_mode_sel[36] *468:4 2.93119 +*END + +*D_NET *469 0.000206191 +*CONN +*P mprj_io_inp_dis[36] I +*CAP +1 mprj_io_inp_dis[36] 0.000103095 +2 *469:4 0.000103095 +3 *462:4 *469:4 0 +*RES +1 mprj_io_inp_dis[36] *469:4 2.93119 +*END + +*D_NET *470 0.000206191 +*CONN +*P mprj_io_oeb[36] I +*CAP +1 mprj_io_oeb[36] 0.000103095 +2 *470:4 0.000103095 +3 *468:4 *470:4 0 +*RES +1 mprj_io_oeb[36] *470:4 2.93119 +*END + +*D_NET *471 0.000206191 +*CONN +*P mprj_io_out[36] I +*CAP +1 mprj_io_out[36] 0.000103095 +2 *471:4 0.000103095 +3 *467:4 *471:4 0 +*RES +1 mprj_io_out[36] *471:4 2.93119 +*END + +*D_NET *472 0.000206191 +*CONN +*P mprj_io_slow_sel[36] I +*CAP +1 mprj_io_slow_sel[36] 0.000103095 +2 *472:4 0.000103095 +*RES +1 mprj_io_slow_sel[36] *472:4 2.93119 +*END + +*D_NET *473 0.000206191 +*CONN +*P mprj_io_vtrip_sel[36] I +*CAP +1 mprj_io_vtrip_sel[36] 0.000103095 +2 *473:4 0.000103095 +3 *468:4 *473:4 0 +*RES +1 mprj_io_vtrip_sel[36] *473:4 2.93119 +*END + +*D_NET *474 0.000206191 +*CONN +*P mprj_io_in[36] I +*CAP +1 mprj_io_in[36] 0.000103095 +2 *474:4 0.000103095 +*RES +1 mprj_io_in[36] *474:4 2.93119 +*END + +*D_NET *476 0.000206191 +*CONN +*P mprj_io_analog_en[37] I +*CAP +1 mprj_io_analog_en[37] 0.000103095 +2 *476:4 0.000103095 +3 *476:4 *479:4 0 +*RES +1 mprj_io_analog_en[37] *476:4 2.93119 +*END + +*D_NET *477 0.000206191 +*CONN +*P mprj_io_analog_pol[37] I +*CAP +1 mprj_io_analog_pol[37] 0.000103095 +2 *477:4 0.000103095 +3 *477:4 *479:4 0 +4 *477:4 *484:4 0 +*RES +1 mprj_io_analog_pol[37] *477:4 2.93119 +*END + +*D_NET *478 0.000206191 +*CONN +*P mprj_io_analog_sel[37] I +*CAP +1 mprj_io_analog_sel[37] 0.000103095 +2 *478:4 0.000103095 +3 *478:4 *481:4 0 +*RES +1 mprj_io_analog_sel[37] *478:4 2.93119 +*END + +*D_NET *479 0.000206191 +*CONN +*P mprj_io_dm[111] I +*CAP +1 mprj_io_dm[111] 0.000103095 +2 *479:4 0.000103095 +3 *476:4 *479:4 0 +4 *477:4 *479:4 0 +*RES +1 mprj_io_dm[111] *479:4 2.93119 +*END + +*D_NET *480 0.000206191 +*CONN +*P mprj_io_dm[112] I +*CAP +1 mprj_io_dm[112] 0.000103095 +2 *480:4 0.000103095 +*RES +1 mprj_io_dm[112] *480:4 2.93119 +*END + +*D_NET *481 0.000206191 +*CONN +*P mprj_io_dm[113] I +*CAP +1 mprj_io_dm[113] 0.000103095 +2 *481:4 0.000103095 +3 *481:4 *482:4 0 +4 *478:4 *481:4 0 +*RES +1 mprj_io_dm[113] *481:4 2.93119 +*END + +*D_NET *482 0.000206191 +*CONN +*P mprj_io_holdover[37] I +*CAP +1 mprj_io_holdover[37] 0.000103095 +2 *482:4 0.000103095 +3 *482:4 *486:4 0 +4 *481:4 *482:4 0 +*RES +1 mprj_io_holdover[37] *482:4 2.93119 +*END + +*D_NET *483 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[37] I +*CAP +1 mprj_io_ib_mode_sel[37] 0.000103095 +2 *483:4 0.000103095 +3 *483:4 *485:4 0 +4 *483:4 *488:4 0 +*RES +1 mprj_io_ib_mode_sel[37] *483:4 2.93119 +*END + +*D_NET *484 0.000206191 +*CONN +*P mprj_io_inp_dis[37] I +*CAP +1 mprj_io_inp_dis[37] 0.000103095 +2 *484:4 0.000103095 +3 *477:4 *484:4 0 +*RES +1 mprj_io_inp_dis[37] *484:4 2.93119 +*END + +*D_NET *485 0.000206191 +*CONN +*P mprj_io_oeb[37] I +*CAP +1 mprj_io_oeb[37] 0.000103095 +2 *485:4 0.000103095 +3 *483:4 *485:4 0 +*RES +1 mprj_io_oeb[37] *485:4 2.93119 +*END + +*D_NET *486 0.000206191 +*CONN +*P mprj_io_out[37] I +*CAP +1 mprj_io_out[37] 0.000103095 +2 *486:4 0.000103095 +3 *482:4 *486:4 0 +*RES +1 mprj_io_out[37] *486:4 2.93119 +*END + +*D_NET *487 0.000206191 +*CONN +*P mprj_io_slow_sel[37] I +*CAP +1 mprj_io_slow_sel[37] 0.000103095 +2 *487:4 0.000103095 +*RES +1 mprj_io_slow_sel[37] *487:4 2.93119 +*END + +*D_NET *488 0.000206191 +*CONN +*P mprj_io_vtrip_sel[37] I +*CAP +1 mprj_io_vtrip_sel[37] 0.000103095 +2 *488:4 0.000103095 +3 *483:4 *488:4 0 +*RES +1 mprj_io_vtrip_sel[37] *488:4 2.93119 +*END + +*D_NET *489 0.000206191 +*CONN +*P mprj_io_in[37] I +*CAP +1 mprj_io_in[37] 0.000103095 +2 *489:4 0.000103095 +*RES +1 mprj_io_in[37] *489:4 2.93119 +*END + +*D_NET *490 2.40556e-05 +*CONN +*P mprj_analog_io[13] I +*CAP +1 mprj_analog_io[13] 1.20278e-05 +2 *490:4 1.20278e-05 +*RES +1 mprj_analog_io[13] *490:4 0.341972 +*END + +*D_NET *492 2.40556e-05 +*CONN +*P mprj_io_analog_en[20] I +*CAP +1 mprj_io_analog_en[20] 1.20278e-05 +2 *492:4 1.20278e-05 +*RES +1 mprj_io_analog_en[20] *492:4 0.341972 +*END + +*D_NET *493 2.40556e-05 +*CONN +*P mprj_io_analog_pol[20] I +*CAP +1 mprj_io_analog_pol[20] 1.20278e-05 +2 *493:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[20] *493:4 0.341972 +*END + +*D_NET *494 2.40556e-05 +*CONN +*P mprj_io_analog_sel[20] I +*CAP +1 mprj_io_analog_sel[20] 1.20278e-05 +2 *494:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[20] *494:4 0.341972 +*END + +*D_NET *495 2.40556e-05 +*CONN +*P mprj_io_dm[60] I +*CAP +1 mprj_io_dm[60] 1.20278e-05 +2 *495:4 1.20278e-05 +*RES +1 mprj_io_dm[60] *495:4 0.341972 +*END + +*D_NET *496 2.40556e-05 +*CONN +*P mprj_io_dm[61] I +*CAP +1 mprj_io_dm[61] 1.20278e-05 +2 *496:4 1.20278e-05 +*RES +1 mprj_io_dm[61] *496:4 0.341972 +*END + +*D_NET *497 2.40556e-05 +*CONN +*P mprj_io_dm[62] I +*CAP +1 mprj_io_dm[62] 1.20278e-05 +2 *497:4 1.20278e-05 +*RES +1 mprj_io_dm[62] *497:4 0.341972 +*END + +*D_NET *498 2.40556e-05 +*CONN +*P mprj_io_holdover[20] I +*CAP +1 mprj_io_holdover[20] 1.20278e-05 +2 *498:4 1.20278e-05 +*RES +1 mprj_io_holdover[20] *498:4 0.341972 +*END + +*D_NET *499 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[20] I +*CAP +1 mprj_io_ib_mode_sel[20] 1.20278e-05 +2 *499:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[20] *499:4 0.341972 +*END + +*D_NET *500 2.40556e-05 +*CONN +*P mprj_io_inp_dis[20] I +*CAP +1 mprj_io_inp_dis[20] 1.20278e-05 +2 *500:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[20] *500:4 0.341972 +*END + +*D_NET *501 2.40556e-05 +*CONN +*P mprj_io_oeb[20] I +*CAP +1 mprj_io_oeb[20] 1.20278e-05 +2 *501:4 1.20278e-05 +*RES +1 mprj_io_oeb[20] *501:4 0.341972 +*END + +*D_NET *502 2.40556e-05 +*CONN +*P mprj_io_out[20] I +*CAP +1 mprj_io_out[20] 1.20278e-05 +2 *502:4 1.20278e-05 +*RES +1 mprj_io_out[20] *502:4 0.341972 +*END + +*D_NET *503 2.40556e-05 +*CONN +*P mprj_io_slow_sel[20] I +*CAP +1 mprj_io_slow_sel[20] 1.20278e-05 +2 *503:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[20] *503:4 0.341972 +*END + +*D_NET *504 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[20] I +*CAP +1 mprj_io_vtrip_sel[20] 1.20278e-05 +2 *504:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[20] *504:4 0.341972 +*END + +*D_NET *505 2.40556e-05 +*CONN +*P mprj_io_in[20] I +*CAP +1 mprj_io_in[20] 1.20278e-05 +2 *505:4 1.20278e-05 +*RES +1 mprj_io_in[20] *505:4 0.341972 +*END + +*D_NET *506 2.40556e-05 +*CONN +*P mprj_analog_io[14] I +*CAP +1 mprj_analog_io[14] 1.20278e-05 +2 *506:4 1.20278e-05 +*RES +1 mprj_analog_io[14] *506:4 0.341972 +*END + +*D_NET *508 2.40556e-05 +*CONN +*P mprj_io_analog_en[21] I +*CAP +1 mprj_io_analog_en[21] 1.20278e-05 +2 *508:4 1.20278e-05 +*RES +1 mprj_io_analog_en[21] *508:4 0.341972 +*END + +*D_NET *509 2.40556e-05 +*CONN +*P mprj_io_analog_pol[21] I +*CAP +1 mprj_io_analog_pol[21] 1.20278e-05 +2 *509:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[21] *509:4 0.341972 +*END + +*D_NET *510 2.40556e-05 +*CONN +*P mprj_io_analog_sel[21] I +*CAP +1 mprj_io_analog_sel[21] 1.20278e-05 +2 *510:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[21] *510:4 0.341972 +*END + +*D_NET *511 2.40556e-05 +*CONN +*P mprj_io_dm[63] I +*CAP +1 mprj_io_dm[63] 1.20278e-05 +2 *511:4 1.20278e-05 +*RES +1 mprj_io_dm[63] *511:4 0.341972 +*END + +*D_NET *512 2.40556e-05 +*CONN +*P mprj_io_dm[64] I +*CAP +1 mprj_io_dm[64] 1.20278e-05 +2 *512:4 1.20278e-05 +*RES +1 mprj_io_dm[64] *512:4 0.341972 +*END + +*D_NET *513 2.40556e-05 +*CONN +*P mprj_io_dm[65] I +*CAP +1 mprj_io_dm[65] 1.20278e-05 +2 *513:4 1.20278e-05 +*RES +1 mprj_io_dm[65] *513:4 0.341972 +*END + +*D_NET *514 2.40556e-05 +*CONN +*P mprj_io_holdover[21] I +*CAP +1 mprj_io_holdover[21] 1.20278e-05 +2 *514:4 1.20278e-05 +*RES +1 mprj_io_holdover[21] *514:4 0.341972 +*END + +*D_NET *515 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[21] I +*CAP +1 mprj_io_ib_mode_sel[21] 1.20278e-05 +2 *515:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[21] *515:4 0.341972 +*END + +*D_NET *516 2.40556e-05 +*CONN +*P mprj_io_inp_dis[21] I +*CAP +1 mprj_io_inp_dis[21] 1.20278e-05 +2 *516:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[21] *516:4 0.341972 +*END + +*D_NET *517 2.40556e-05 +*CONN +*P mprj_io_oeb[21] I +*CAP +1 mprj_io_oeb[21] 1.20278e-05 +2 *517:4 1.20278e-05 +*RES +1 mprj_io_oeb[21] *517:4 0.341972 +*END + +*D_NET *518 2.40556e-05 +*CONN +*P mprj_io_out[21] I +*CAP +1 mprj_io_out[21] 1.20278e-05 +2 *518:4 1.20278e-05 +*RES +1 mprj_io_out[21] *518:4 0.341972 +*END + +*D_NET *519 2.40556e-05 +*CONN +*P mprj_io_slow_sel[21] I +*CAP +1 mprj_io_slow_sel[21] 1.20278e-05 +2 *519:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[21] *519:4 0.341972 +*END + +*D_NET *520 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[21] I +*CAP +1 mprj_io_vtrip_sel[21] 1.20278e-05 +2 *520:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[21] *520:4 0.341972 +*END + +*D_NET *521 2.40556e-05 +*CONN +*P mprj_io_in[21] I +*CAP +1 mprj_io_in[21] 1.20278e-05 +2 *521:4 1.20278e-05 +*RES +1 mprj_io_in[21] *521:4 0.341972 +*END + +*D_NET *522 2.40556e-05 +*CONN +*P mprj_analog_io[15] I +*CAP +1 mprj_analog_io[15] 1.20278e-05 +2 *522:4 1.20278e-05 +*RES +1 mprj_analog_io[15] *522:4 0.341972 +*END + +*D_NET *524 2.40556e-05 +*CONN +*P mprj_io_analog_en[22] I +*CAP +1 mprj_io_analog_en[22] 1.20278e-05 +2 *524:4 1.20278e-05 +*RES +1 mprj_io_analog_en[22] *524:4 0.341972 +*END + +*D_NET *525 2.40556e-05 +*CONN +*P mprj_io_analog_pol[22] I +*CAP +1 mprj_io_analog_pol[22] 1.20278e-05 +2 *525:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[22] *525:4 0.341972 +*END + +*D_NET *526 2.40556e-05 +*CONN +*P mprj_io_analog_sel[22] I +*CAP +1 mprj_io_analog_sel[22] 1.20278e-05 +2 *526:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[22] *526:4 0.341972 +*END + +*D_NET *527 2.40556e-05 +*CONN +*P mprj_io_dm[66] I +*CAP +1 mprj_io_dm[66] 1.20278e-05 +2 *527:4 1.20278e-05 +*RES +1 mprj_io_dm[66] *527:4 0.341972 +*END + +*D_NET *528 2.40556e-05 +*CONN +*P mprj_io_dm[67] I +*CAP +1 mprj_io_dm[67] 1.20278e-05 +2 *528:4 1.20278e-05 +*RES +1 mprj_io_dm[67] *528:4 0.341972 +*END + +*D_NET *529 2.40556e-05 +*CONN +*P mprj_io_dm[68] I +*CAP +1 mprj_io_dm[68] 1.20278e-05 +2 *529:4 1.20278e-05 +*RES +1 mprj_io_dm[68] *529:4 0.341972 +*END + +*D_NET *530 2.40556e-05 +*CONN +*P mprj_io_holdover[22] I +*CAP +1 mprj_io_holdover[22] 1.20278e-05 +2 *530:4 1.20278e-05 +*RES +1 mprj_io_holdover[22] *530:4 0.341972 +*END + +*D_NET *531 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[22] I +*CAP +1 mprj_io_ib_mode_sel[22] 1.20278e-05 +2 *531:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[22] *531:4 0.341972 +*END + +*D_NET *532 2.40556e-05 +*CONN +*P mprj_io_inp_dis[22] I +*CAP +1 mprj_io_inp_dis[22] 1.20278e-05 +2 *532:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[22] *532:4 0.341972 +*END + +*D_NET *533 2.40556e-05 +*CONN +*P mprj_io_oeb[22] I +*CAP +1 mprj_io_oeb[22] 1.20278e-05 +2 *533:4 1.20278e-05 +*RES +1 mprj_io_oeb[22] *533:4 0.341972 +*END + +*D_NET *534 2.40556e-05 +*CONN +*P mprj_io_out[22] I +*CAP +1 mprj_io_out[22] 1.20278e-05 +2 *534:4 1.20278e-05 +*RES +1 mprj_io_out[22] *534:4 0.341972 +*END + +*D_NET *535 2.40556e-05 +*CONN +*P mprj_io_slow_sel[22] I +*CAP +1 mprj_io_slow_sel[22] 1.20278e-05 +2 *535:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[22] *535:4 0.341972 +*END + +*D_NET *536 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[22] I +*CAP +1 mprj_io_vtrip_sel[22] 1.20278e-05 +2 *536:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[22] *536:4 0.341972 +*END + +*D_NET *537 2.40556e-05 +*CONN +*P mprj_io_in[22] I +*CAP +1 mprj_io_in[22] 1.20278e-05 +2 *537:4 1.20278e-05 +*RES +1 mprj_io_in[22] *537:4 0.341972 +*END + +*D_NET *538 2.40556e-05 +*CONN +*P mprj_analog_io[16] I +*CAP +1 mprj_analog_io[16] 1.20278e-05 +2 *538:4 1.20278e-05 +*RES +1 mprj_analog_io[16] *538:4 0.341972 +*END + +*D_NET *540 2.40556e-05 +*CONN +*P mprj_io_analog_en[23] I +*CAP +1 mprj_io_analog_en[23] 1.20278e-05 +2 *540:4 1.20278e-05 +*RES +1 mprj_io_analog_en[23] *540:4 0.341972 +*END + +*D_NET *541 2.40556e-05 +*CONN +*P mprj_io_analog_pol[23] I +*CAP +1 mprj_io_analog_pol[23] 1.20278e-05 +2 *541:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[23] *541:4 0.341972 +*END + +*D_NET *542 2.40556e-05 +*CONN +*P mprj_io_analog_sel[23] I +*CAP +1 mprj_io_analog_sel[23] 1.20278e-05 +2 *542:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[23] *542:4 0.341972 +*END + +*D_NET *543 2.40556e-05 +*CONN +*P mprj_io_dm[69] I +*CAP +1 mprj_io_dm[69] 1.20278e-05 +2 *543:4 1.20278e-05 +*RES +1 mprj_io_dm[69] *543:4 0.341972 +*END + +*D_NET *544 2.40556e-05 +*CONN +*P mprj_io_dm[70] I +*CAP +1 mprj_io_dm[70] 1.20278e-05 +2 *544:4 1.20278e-05 +*RES +1 mprj_io_dm[70] *544:4 0.341972 +*END + +*D_NET *545 2.40556e-05 +*CONN +*P mprj_io_dm[71] I +*CAP +1 mprj_io_dm[71] 1.20278e-05 +2 *545:4 1.20278e-05 +*RES +1 mprj_io_dm[71] *545:4 0.341972 +*END + +*D_NET *546 2.40556e-05 +*CONN +*P mprj_io_holdover[23] I +*CAP +1 mprj_io_holdover[23] 1.20278e-05 +2 *546:4 1.20278e-05 +*RES +1 mprj_io_holdover[23] *546:4 0.341972 +*END + +*D_NET *547 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[23] I +*CAP +1 mprj_io_ib_mode_sel[23] 1.20278e-05 +2 *547:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[23] *547:4 0.341972 +*END + +*D_NET *548 2.40556e-05 +*CONN +*P mprj_io_inp_dis[23] I +*CAP +1 mprj_io_inp_dis[23] 1.20278e-05 +2 *548:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[23] *548:4 0.341972 +*END + +*D_NET *549 2.40556e-05 +*CONN +*P mprj_io_oeb[23] I +*CAP +1 mprj_io_oeb[23] 1.20278e-05 +2 *549:4 1.20278e-05 +*RES +1 mprj_io_oeb[23] *549:4 0.341972 +*END + +*D_NET *550 2.40556e-05 +*CONN +*P mprj_io_out[23] I +*CAP +1 mprj_io_out[23] 1.20278e-05 +2 *550:4 1.20278e-05 +*RES +1 mprj_io_out[23] *550:4 0.341972 +*END + +*D_NET *551 2.40556e-05 +*CONN +*P mprj_io_slow_sel[23] I +*CAP +1 mprj_io_slow_sel[23] 1.20278e-05 +2 *551:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[23] *551:4 0.341972 +*END + +*D_NET *552 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[23] I +*CAP +1 mprj_io_vtrip_sel[23] 1.20278e-05 +2 *552:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[23] *552:4 0.341972 +*END + +*D_NET *553 2.40556e-05 +*CONN +*P mprj_io_in[23] I +*CAP +1 mprj_io_in[23] 1.20278e-05 +2 *553:4 1.20278e-05 +*RES +1 mprj_io_in[23] *553:4 0.341972 +*END + +*D_NET *554 0.000206191 +*CONN +*P mprj_analog_io[17] I +*CAP +1 mprj_analog_io[17] 0.000103095 +2 *554:4 0.000103095 +3 *554:4 *567:4 0 +*RES +1 mprj_analog_io[17] *554:4 2.93119 +*END + +*D_NET *556 0.000206191 +*CONN +*P mprj_io_analog_en[24] I +*CAP +1 mprj_io_analog_en[24] 0.000103095 +2 *556:4 0.000103095 +3 *556:4 *559:4 0 +*RES +1 mprj_io_analog_en[24] *556:4 2.93119 +*END + +*D_NET *557 0.000206191 +*CONN +*P mprj_io_analog_pol[24] I +*CAP +1 mprj_io_analog_pol[24] 0.000103095 +2 *557:4 0.000103095 +3 *557:4 *559:4 0 +4 *557:4 *564:4 0 +*RES +1 mprj_io_analog_pol[24] *557:4 2.93119 +*END + +*D_NET *558 0.000206191 +*CONN +*P mprj_io_analog_sel[24] I +*CAP +1 mprj_io_analog_sel[24] 0.000103095 +2 *558:4 0.000103095 +3 *558:4 *561:4 0 +*RES +1 mprj_io_analog_sel[24] *558:4 2.93119 +*END + +*D_NET *559 0.000206191 +*CONN +*P mprj_io_dm[72] I +*CAP +1 mprj_io_dm[72] 0.000103095 +2 *559:4 0.000103095 +3 *556:4 *559:4 0 +4 *557:4 *559:4 0 +*RES +1 mprj_io_dm[72] *559:4 2.93119 +*END + +*D_NET *560 0.000206191 +*CONN +*P mprj_io_dm[73] I +*CAP +1 mprj_io_dm[73] 0.000103095 +2 *560:4 0.000103095 +*RES +1 mprj_io_dm[73] *560:4 2.93119 +*END + +*D_NET *561 0.000206191 +*CONN +*P mprj_io_dm[74] I +*CAP +1 mprj_io_dm[74] 0.000103095 +2 *561:4 0.000103095 +3 *561:4 *562:4 0 +4 *558:4 *561:4 0 +*RES +1 mprj_io_dm[74] *561:4 2.93119 +*END + +*D_NET *562 0.000206191 +*CONN +*P mprj_io_holdover[24] I +*CAP +1 mprj_io_holdover[24] 0.000103095 +2 *562:4 0.000103095 +3 *562:4 *566:4 0 +4 *561:4 *562:4 0 +*RES +1 mprj_io_holdover[24] *562:4 2.93119 +*END + +*D_NET *563 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[24] I +*CAP +1 mprj_io_ib_mode_sel[24] 0.000103095 +2 *563:4 0.000103095 +3 *563:4 *565:4 0 +4 *563:4 *568:4 0 +*RES +1 mprj_io_ib_mode_sel[24] *563:4 2.93119 +*END + +*D_NET *564 0.000206191 +*CONN +*P mprj_io_inp_dis[24] I +*CAP +1 mprj_io_inp_dis[24] 0.000103095 +2 *564:4 0.000103095 +3 *557:4 *564:4 0 +*RES +1 mprj_io_inp_dis[24] *564:4 2.93119 +*END + +*D_NET *565 0.000206191 +*CONN +*P mprj_io_oeb[24] I +*CAP +1 mprj_io_oeb[24] 0.000103095 +2 *565:4 0.000103095 +3 *563:4 *565:4 0 +*RES +1 mprj_io_oeb[24] *565:4 2.93119 +*END + +*D_NET *566 0.000206191 +*CONN +*P mprj_io_out[24] I +*CAP +1 mprj_io_out[24] 0.000103095 +2 *566:4 0.000103095 +3 *562:4 *566:4 0 +*RES +1 mprj_io_out[24] *566:4 2.93119 +*END + +*D_NET *567 0.000206191 +*CONN +*P mprj_io_slow_sel[24] I +*CAP +1 mprj_io_slow_sel[24] 0.000103095 +2 *567:4 0.000103095 +3 *554:4 *567:4 0 +*RES +1 mprj_io_slow_sel[24] *567:4 2.93119 +*END + +*D_NET *568 0.000206191 +*CONN +*P mprj_io_vtrip_sel[24] I +*CAP +1 mprj_io_vtrip_sel[24] 0.000103095 +2 *568:4 0.000103095 +3 *563:4 *568:4 0 +*RES +1 mprj_io_vtrip_sel[24] *568:4 2.93119 +*END + +*D_NET *569 0.000206191 +*CONN +*P mprj_io_in[24] I +*CAP +1 mprj_io_in[24] 0.000103095 +2 *569:4 0.000103095 +*RES +1 mprj_io_in[24] *569:4 2.93119 +*END + +*D_NET *570 0.000206191 +*CONN +*P mprj_analog_io[18] I +*CAP +1 mprj_analog_io[18] 0.000103095 +2 *570:4 0.000103095 +3 *570:4 *583:4 0 +*RES +1 mprj_analog_io[18] *570:4 2.93119 +*END + +*D_NET *572 0.000206191 +*CONN +*P mprj_io_analog_en[25] I +*CAP +1 mprj_io_analog_en[25] 0.000103095 +2 *572:4 0.000103095 +3 *572:4 *575:4 0 +*RES +1 mprj_io_analog_en[25] *572:4 2.93119 +*END + +*D_NET *573 0.000206191 +*CONN +*P mprj_io_analog_pol[25] I +*CAP +1 mprj_io_analog_pol[25] 0.000103095 +2 *573:4 0.000103095 +3 *573:4 *575:4 0 +4 *573:4 *580:4 0 +*RES +1 mprj_io_analog_pol[25] *573:4 2.93119 +*END + +*D_NET *574 0.000206191 +*CONN +*P mprj_io_analog_sel[25] I +*CAP +1 mprj_io_analog_sel[25] 0.000103095 +2 *574:4 0.000103095 +3 *574:4 *577:4 0 +*RES +1 mprj_io_analog_sel[25] *574:4 2.93119 +*END + +*D_NET *575 0.000206191 +*CONN +*P mprj_io_dm[75] I +*CAP +1 mprj_io_dm[75] 0.000103095 +2 *575:4 0.000103095 +3 *572:4 *575:4 0 +4 *573:4 *575:4 0 +*RES +1 mprj_io_dm[75] *575:4 2.93119 +*END + +*D_NET *576 0.000206191 +*CONN +*P mprj_io_dm[76] I +*CAP +1 mprj_io_dm[76] 0.000103095 +2 *576:4 0.000103095 +*RES +1 mprj_io_dm[76] *576:4 2.93119 +*END + +*D_NET *577 0.000206191 +*CONN +*P mprj_io_dm[77] I +*CAP +1 mprj_io_dm[77] 0.000103095 +2 *577:4 0.000103095 +3 *577:4 *578:4 0 +4 *574:4 *577:4 0 +*RES +1 mprj_io_dm[77] *577:4 2.93119 +*END + +*D_NET *578 0.000206191 +*CONN +*P mprj_io_holdover[25] I +*CAP +1 mprj_io_holdover[25] 0.000103095 +2 *578:4 0.000103095 +3 *578:4 *582:4 0 +4 *577:4 *578:4 0 +*RES +1 mprj_io_holdover[25] *578:4 2.93119 +*END + +*D_NET *579 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[25] I +*CAP +1 mprj_io_ib_mode_sel[25] 0.000103095 +2 *579:4 0.000103095 +3 *579:4 *581:4 0 +4 *579:4 *584:4 0 +*RES +1 mprj_io_ib_mode_sel[25] *579:4 2.93119 +*END + +*D_NET *580 0.000206191 +*CONN +*P mprj_io_inp_dis[25] I +*CAP +1 mprj_io_inp_dis[25] 0.000103095 +2 *580:4 0.000103095 +3 *573:4 *580:4 0 +*RES +1 mprj_io_inp_dis[25] *580:4 2.93119 +*END + +*D_NET *581 0.000206191 +*CONN +*P mprj_io_oeb[25] I +*CAP +1 mprj_io_oeb[25] 0.000103095 +2 *581:4 0.000103095 +3 *579:4 *581:4 0 +*RES +1 mprj_io_oeb[25] *581:4 2.93119 +*END + +*D_NET *582 0.000206191 +*CONN +*P mprj_io_out[25] I +*CAP +1 mprj_io_out[25] 0.000103095 +2 *582:4 0.000103095 +3 *578:4 *582:4 0 +*RES +1 mprj_io_out[25] *582:4 2.93119 +*END + +*D_NET *583 0.000206191 +*CONN +*P mprj_io_slow_sel[25] I +*CAP +1 mprj_io_slow_sel[25] 0.000103095 +2 *583:4 0.000103095 +3 *570:4 *583:4 0 +*RES +1 mprj_io_slow_sel[25] *583:4 2.93119 +*END + +*D_NET *584 0.000206191 +*CONN +*P mprj_io_vtrip_sel[25] I +*CAP +1 mprj_io_vtrip_sel[25] 0.000103095 +2 *584:4 0.000103095 +3 *579:4 *584:4 0 +*RES +1 mprj_io_vtrip_sel[25] *584:4 2.93119 +*END + +*D_NET *585 0.000206191 +*CONN +*P mprj_io_in[25] I +*CAP +1 mprj_io_in[25] 0.000103095 +2 *585:4 0.000103095 +*RES +1 mprj_io_in[25] *585:4 2.93119 +*END + +*D_NET *586 0.000206191 +*CONN +*P mprj_analog_io[19] I +*CAP +1 mprj_analog_io[19] 0.000103095 +2 *586:4 0.000103095 +3 *586:4 *599:4 0 +*RES +1 mprj_analog_io[19] *586:4 2.93119 +*END + +*D_NET *588 0.000206191 +*CONN +*P mprj_io_analog_en[26] I +*CAP +1 mprj_io_analog_en[26] 0.000103095 +2 *588:4 0.000103095 +3 *588:4 *591:4 0 +*RES +1 mprj_io_analog_en[26] *588:4 2.93119 +*END + +*D_NET *589 0.000206191 +*CONN +*P mprj_io_analog_pol[26] I +*CAP +1 mprj_io_analog_pol[26] 0.000103095 +2 *589:4 0.000103095 +3 *589:4 *591:4 0 +4 *589:4 *596:4 0 +*RES +1 mprj_io_analog_pol[26] *589:4 2.93119 +*END + +*D_NET *590 0.000206191 +*CONN +*P mprj_io_analog_sel[26] I +*CAP +1 mprj_io_analog_sel[26] 0.000103095 +2 *590:4 0.000103095 +3 *590:4 *593:4 0 +*RES +1 mprj_io_analog_sel[26] *590:4 2.93119 +*END + +*D_NET *591 0.000206191 +*CONN +*P mprj_io_dm[78] I +*CAP +1 mprj_io_dm[78] 0.000103095 +2 *591:4 0.000103095 +3 *588:4 *591:4 0 +4 *589:4 *591:4 0 +*RES +1 mprj_io_dm[78] *591:4 2.93119 +*END + +*D_NET *592 0.000206191 +*CONN +*P mprj_io_dm[79] I +*CAP +1 mprj_io_dm[79] 0.000103095 +2 *592:4 0.000103095 +*RES +1 mprj_io_dm[79] *592:4 2.93119 +*END + +*D_NET *593 0.000206191 +*CONN +*P mprj_io_dm[80] I +*CAP +1 mprj_io_dm[80] 0.000103095 +2 *593:4 0.000103095 +3 *593:4 *594:4 0 +4 *590:4 *593:4 0 +*RES +1 mprj_io_dm[80] *593:4 2.93119 +*END + +*D_NET *594 0.000206191 +*CONN +*P mprj_io_holdover[26] I +*CAP +1 mprj_io_holdover[26] 0.000103095 +2 *594:4 0.000103095 +3 *594:4 *598:4 0 +4 *593:4 *594:4 0 +*RES +1 mprj_io_holdover[26] *594:4 2.93119 +*END + +*D_NET *595 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[26] I +*CAP +1 mprj_io_ib_mode_sel[26] 0.000103095 +2 *595:4 0.000103095 +3 *595:4 *597:4 0 +4 *595:4 *600:4 0 +*RES +1 mprj_io_ib_mode_sel[26] *595:4 2.93119 +*END + +*D_NET *596 0.000206191 +*CONN +*P mprj_io_inp_dis[26] I +*CAP +1 mprj_io_inp_dis[26] 0.000103095 +2 *596:4 0.000103095 +3 *589:4 *596:4 0 +*RES +1 mprj_io_inp_dis[26] *596:4 2.93119 +*END + +*D_NET *597 0.000206191 +*CONN +*P mprj_io_oeb[26] I +*CAP +1 mprj_io_oeb[26] 0.000103095 +2 *597:4 0.000103095 +3 *595:4 *597:4 0 +*RES +1 mprj_io_oeb[26] *597:4 2.93119 +*END + +*D_NET *598 0.000206191 +*CONN +*P mprj_io_out[26] I +*CAP +1 mprj_io_out[26] 0.000103095 +2 *598:4 0.000103095 +3 *594:4 *598:4 0 +*RES +1 mprj_io_out[26] *598:4 2.93119 +*END + +*D_NET *599 0.000206191 +*CONN +*P mprj_io_slow_sel[26] I +*CAP +1 mprj_io_slow_sel[26] 0.000103095 +2 *599:4 0.000103095 +3 *586:4 *599:4 0 +*RES +1 mprj_io_slow_sel[26] *599:4 2.93119 +*END + +*D_NET *600 0.000206191 +*CONN +*P mprj_io_vtrip_sel[26] I +*CAP +1 mprj_io_vtrip_sel[26] 0.000103095 +2 *600:4 0.000103095 +3 *595:4 *600:4 0 +*RES +1 mprj_io_vtrip_sel[26] *600:4 2.93119 +*END + +*D_NET *601 0.000206191 +*CONN +*P mprj_io_in[26] I +*CAP +1 mprj_io_in[26] 0.000103095 +2 *601:4 0.000103095 +*RES +1 mprj_io_in[26] *601:4 2.93119 +*END + +*D_NET *602 0.000206191 +*CONN +*P mprj_analog_io[20] I +*CAP +1 mprj_analog_io[20] 0.000103095 +2 *602:4 0.000103095 +3 *602:4 *615:4 0 +*RES +1 mprj_analog_io[20] *602:4 2.93119 +*END + +*D_NET *604 0.000206191 +*CONN +*P mprj_io_analog_en[27] I +*CAP +1 mprj_io_analog_en[27] 0.000103095 +2 *604:4 0.000103095 +3 *604:4 *607:4 0 +*RES +1 mprj_io_analog_en[27] *604:4 2.93119 +*END + +*D_NET *605 0.000206191 +*CONN +*P mprj_io_analog_pol[27] I +*CAP +1 mprj_io_analog_pol[27] 0.000103095 +2 *605:4 0.000103095 +3 *605:4 *607:4 0 +4 *605:4 *612:4 0 +*RES +1 mprj_io_analog_pol[27] *605:4 2.93119 +*END + +*D_NET *606 0.000206191 +*CONN +*P mprj_io_analog_sel[27] I +*CAP +1 mprj_io_analog_sel[27] 0.000103095 +2 *606:4 0.000103095 +3 *606:4 *609:4 0 +*RES +1 mprj_io_analog_sel[27] *606:4 2.93119 +*END + +*D_NET *607 0.000206191 +*CONN +*P mprj_io_dm[81] I +*CAP +1 mprj_io_dm[81] 0.000103095 +2 *607:4 0.000103095 +3 *604:4 *607:4 0 +4 *605:4 *607:4 0 +*RES +1 mprj_io_dm[81] *607:4 2.93119 +*END + +*D_NET *608 0.000206191 +*CONN +*P mprj_io_dm[82] I +*CAP +1 mprj_io_dm[82] 0.000103095 +2 *608:4 0.000103095 +*RES +1 mprj_io_dm[82] *608:4 2.93119 +*END + +*D_NET *609 0.000206191 +*CONN +*P mprj_io_dm[83] I +*CAP +1 mprj_io_dm[83] 0.000103095 +2 *609:4 0.000103095 +3 *609:4 *610:4 0 +4 *606:4 *609:4 0 +*RES +1 mprj_io_dm[83] *609:4 2.93119 +*END + +*D_NET *610 0.000206191 +*CONN +*P mprj_io_holdover[27] I +*CAP +1 mprj_io_holdover[27] 0.000103095 +2 *610:4 0.000103095 +3 *610:4 *614:4 0 +4 *609:4 *610:4 0 +*RES +1 mprj_io_holdover[27] *610:4 2.93119 +*END + +*D_NET *611 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[27] I +*CAP +1 mprj_io_ib_mode_sel[27] 0.000103095 +2 *611:4 0.000103095 +3 *611:4 *613:4 0 +4 *611:4 *616:4 0 +*RES +1 mprj_io_ib_mode_sel[27] *611:4 2.93119 +*END + +*D_NET *612 0.000206191 +*CONN +*P mprj_io_inp_dis[27] I +*CAP +1 mprj_io_inp_dis[27] 0.000103095 +2 *612:4 0.000103095 +3 *605:4 *612:4 0 +*RES +1 mprj_io_inp_dis[27] *612:4 2.93119 +*END + +*D_NET *613 0.000206191 +*CONN +*P mprj_io_oeb[27] I +*CAP +1 mprj_io_oeb[27] 0.000103095 +2 *613:4 0.000103095 +3 *611:4 *613:4 0 +*RES +1 mprj_io_oeb[27] *613:4 2.93119 +*END + +*D_NET *614 0.000206191 +*CONN +*P mprj_io_out[27] I +*CAP +1 mprj_io_out[27] 0.000103095 +2 *614:4 0.000103095 +3 *610:4 *614:4 0 +*RES +1 mprj_io_out[27] *614:4 2.93119 +*END + +*D_NET *615 0.000206191 +*CONN +*P mprj_io_slow_sel[27] I +*CAP +1 mprj_io_slow_sel[27] 0.000103095 +2 *615:4 0.000103095 +3 *602:4 *615:4 0 +*RES +1 mprj_io_slow_sel[27] *615:4 2.93119 +*END + +*D_NET *616 0.000206191 +*CONN +*P mprj_io_vtrip_sel[27] I +*CAP +1 mprj_io_vtrip_sel[27] 0.000103095 +2 *616:4 0.000103095 +3 *611:4 *616:4 0 +*RES +1 mprj_io_vtrip_sel[27] *616:4 2.93119 +*END + +*D_NET *617 0.000206191 +*CONN +*P mprj_io_in[27] I +*CAP +1 mprj_io_in[27] 0.000103095 +2 *617:4 0.000103095 +*RES +1 mprj_io_in[27] *617:4 2.93119 +*END + +*D_NET *618 0.000206191 +*CONN +*P mprj_analog_io[21] I +*CAP +1 mprj_analog_io[21] 0.000103095 +2 *618:4 0.000103095 +3 *618:4 *631:4 0 +*RES +1 mprj_analog_io[21] *618:4 2.93119 +*END + +*D_NET *620 0.000206191 +*CONN +*P mprj_io_analog_en[28] I +*CAP +1 mprj_io_analog_en[28] 0.000103095 +2 *620:4 0.000103095 +3 *620:4 *623:4 0 +*RES +1 mprj_io_analog_en[28] *620:4 2.93119 +*END + +*D_NET *621 0.000206191 +*CONN +*P mprj_io_analog_pol[28] I +*CAP +1 mprj_io_analog_pol[28] 0.000103095 +2 *621:4 0.000103095 +3 *621:4 *623:4 0 +4 *621:4 *628:4 0 +*RES +1 mprj_io_analog_pol[28] *621:4 2.93119 +*END + +*D_NET *622 0.000206191 +*CONN +*P mprj_io_analog_sel[28] I +*CAP +1 mprj_io_analog_sel[28] 0.000103095 +2 *622:4 0.000103095 +3 *622:4 *625:4 0 +*RES +1 mprj_io_analog_sel[28] *622:4 2.93119 +*END + +*D_NET *623 0.000206191 +*CONN +*P mprj_io_dm[84] I +*CAP +1 mprj_io_dm[84] 0.000103095 +2 *623:4 0.000103095 +3 *620:4 *623:4 0 +4 *621:4 *623:4 0 +*RES +1 mprj_io_dm[84] *623:4 2.93119 +*END + +*D_NET *624 0.000206191 +*CONN +*P mprj_io_dm[85] I +*CAP +1 mprj_io_dm[85] 0.000103095 +2 *624:4 0.000103095 +*RES +1 mprj_io_dm[85] *624:4 2.93119 +*END + +*D_NET *625 0.000206191 +*CONN +*P mprj_io_dm[86] I +*CAP +1 mprj_io_dm[86] 0.000103095 +2 *625:4 0.000103095 +3 *625:4 *626:4 0 +4 *622:4 *625:4 0 +*RES +1 mprj_io_dm[86] *625:4 2.93119 +*END + +*D_NET *626 0.000206191 +*CONN +*P mprj_io_holdover[28] I +*CAP +1 mprj_io_holdover[28] 0.000103095 +2 *626:4 0.000103095 +3 *626:4 *630:4 0 +4 *625:4 *626:4 0 +*RES +1 mprj_io_holdover[28] *626:4 2.93119 +*END + +*D_NET *627 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[28] I +*CAP +1 mprj_io_ib_mode_sel[28] 0.000103095 +2 *627:4 0.000103095 +3 *627:4 *629:4 0 +4 *627:4 *632:4 0 +*RES +1 mprj_io_ib_mode_sel[28] *627:4 2.93119 +*END + +*D_NET *628 0.000206191 +*CONN +*P mprj_io_inp_dis[28] I +*CAP +1 mprj_io_inp_dis[28] 0.000103095 +2 *628:4 0.000103095 +3 *621:4 *628:4 0 +*RES +1 mprj_io_inp_dis[28] *628:4 2.93119 +*END + +*D_NET *629 0.000206191 +*CONN +*P mprj_io_oeb[28] I +*CAP +1 mprj_io_oeb[28] 0.000103095 +2 *629:4 0.000103095 +3 *627:4 *629:4 0 +*RES +1 mprj_io_oeb[28] *629:4 2.93119 +*END + +*D_NET *630 0.000206191 +*CONN +*P mprj_io_out[28] I +*CAP +1 mprj_io_out[28] 0.000103095 +2 *630:4 0.000103095 +3 *626:4 *630:4 0 +*RES +1 mprj_io_out[28] *630:4 2.93119 +*END + +*D_NET *631 0.000206191 +*CONN +*P mprj_io_slow_sel[28] I +*CAP +1 mprj_io_slow_sel[28] 0.000103095 +2 *631:4 0.000103095 +3 *618:4 *631:4 0 +*RES +1 mprj_io_slow_sel[28] *631:4 2.93119 +*END + +*D_NET *632 0.000206191 +*CONN +*P mprj_io_vtrip_sel[28] I +*CAP +1 mprj_io_vtrip_sel[28] 0.000103095 +2 *632:4 0.000103095 +3 *627:4 *632:4 0 +*RES +1 mprj_io_vtrip_sel[28] *632:4 2.93119 +*END + +*D_NET *633 0.000206191 +*CONN +*P mprj_io_in[28] I +*CAP +1 mprj_io_in[28] 0.000103095 +2 *633:4 0.000103095 +*RES +1 mprj_io_in[28] *633:4 2.93119 +*END + +*D_NET *634 0.00315145 +*CONN +*P resetb I +*CAP +1 resetb 0.00157573 +2 *634:4 0.00157573 +*RES +1 resetb *634:4 0.682487 +*END + +*D_NET *635 8.09526e-05 +*CONN +*P vdda I +*CAP +1 vdda 4.04763e-05 +2 *635:4 4.04763e-05 +*RES +1 vdda *635:4 0.16137 +*END + +*D_NET *636 0.00632442 +*CONN +*P vssa I +*CAP +1 vssa 0.00316221 +2 *636:4 0.00316221 +*RES +1 vssa *636:4 12.607 +*END + +*D_NET *637 0.00570109 +*CONN +*P vccd1_pad I +*CAP +1 vccd1_pad 0.00285055 +2 *637:4 0.00285055 +*RES +1 vccd1_pad *637:4 1.23464 +*END + +*D_NET *638 0.00515522 +*CONN +*P vdda1_pad I +*CAP +1 vdda1_pad 0.00257761 +2 *638:4 0.00257761 +*RES +1 vdda1_pad *638:4 1.11643 +*END + +*D_NET *639 0.00515522 +*CONN +*P vdda1_pad2 I +*CAP +1 vdda1_pad2 0.00257761 +2 *639:4 0.00257761 +*RES +1 vdda1_pad2 *639:4 1.11643 +*END + +*D_NET *640 0.00515692 +*CONN +*P vssa1_pad I +*CAP +1 vssa1_pad 0.00257846 +2 *640:4 0.00257846 +*RES +1 vssa1_pad *640:4 1.1168 +*END + +*D_NET *641 0.00515522 +*CONN +*P vssa1_pad2 I +*CAP +1 vssa1_pad2 0.00257761 +2 *641:4 0.00257761 +*RES +1 vssa1_pad2 *641:4 1.11643 +*END + +*D_NET *642 0.000290923 +*CONN +*P vdda1 I +*CAP +1 vdda1 0.000145462 +2 *642:4 0.000145462 +*RES +1 vdda1 *642:4 0.579924 +*END + +*D_NET *643 2.78274e-05 +*CONN +*P vssa1 I +*CAP +1 vssa1 1.39137e-05 +2 *643:4 1.39137e-05 +*RES +1 vssa1 *643:4 0.055471 +*END + +*D_NET *644 0.00570109 +*CONN +*P vssd1_pad I +*CAP +1 vssd1_pad 0.00285055 +2 *644:4 0.00285055 +*RES +1 vssd1_pad *644:4 1.23464 +*END + +*D_NET *645 0.00570109 +*CONN +*P vccd2_pad I +*CAP +1 vccd2_pad 0.00285055 +2 *645:4 0.00285055 +*RES +1 vccd2_pad *645:4 1.23464 +*END + +*D_NET *646 0.00515522 +*CONN +*P vdda2_pad I +*CAP +1 vdda2_pad 0.00257761 +2 *646:4 0.00257761 +*RES +1 vdda2_pad *646:4 1.11643 +*END + +*D_NET *647 0.00515522 +*CONN +*P vssa2_pad I +*CAP +1 vssa2_pad 0.00257761 +2 *647:4 0.00257761 +*RES +1 vssa2_pad *647:4 1.11643 +*END + +*D_NET *648 0.000459575 +*CONN +*P vccd I +*CAP +1 vccd 0.000229787 +2 *648:4 0.000229787 +*RES +1 vccd *648:4 0.916112 +*END + +*D_NET *649 0.000290923 +*CONN +*P vdda2 I +*CAP +1 vdda2 0.000145462 +2 *649:4 0.000145462 +*RES +1 vdda2 *649:4 0.579924 +*END + +*D_NET *650 0.000290923 +*CONN +*P vddio I +*CAP +1 vddio 0.000145462 +2 *650:4 0.000145462 +*RES +1 vddio *650:4 0.579924 +*END + +*D_NET *651 2.78274e-05 +*CONN +*P vssa2 I +*CAP +1 vssa2 1.39137e-05 +2 *651:4 1.39137e-05 +*RES +1 vssa2 *651:4 0.055471 +*END + +*D_NET *652 0.00570109 +*CONN +*P vssd2_pad I +*CAP +1 vssd2_pad 0.00285055 +2 *652:4 0.00285055 +*RES +1 vssd2_pad *652:4 1.23464 +*END + +*D_NET *653 0.00203899 +*CONN +*P vssio I +*CAP +1 vssio 0.0010195 +2 *653:4 0.0010195 +*RES +1 vssio *653:4 4.06451 +*END + +*D_NET *654 0.000112996 +*CONN +*P vssd I +*CAP +1 vssd 5.64982e-05 +2 *654:4 5.64982e-05 +*RES +1 vssd *654:4 0.225246 +*END + +*D_NET *655 6.27163e-05 +*CONN +*P resetb_core_h I +*CAP +1 resetb_core_h 3.13582e-05 +2 *655:4 3.13582e-05 +*RES +1 resetb_core_h *655:4 0.891571 +*END + +*D_NET *656 0.0432846 +*CONN +*CAP +1 *656:179 0.000725622 +2 *656:178 0.000725622 +3 *656:174 0.00121835 +4 *656:173 0.00121835 +5 *656:169 0.000903627 +6 *656:168 0.000903627 +7 *656:164 4.67886e-05 +8 *656:163 4.67886e-05 +9 *656:159 0.00100923 +10 *656:158 0.00100923 +11 *656:154 2.69842e-05 +12 *656:153 2.69842e-05 +13 *656:149 0.00100923 +14 *656:148 0.00100923 +15 *656:144 0.000721277 +16 *656:143 0.000721277 +17 *656:139 0.000904275 +18 *656:138 0.000904275 +19 *656:134 0.00121835 +20 *656:133 0.00121835 +21 *656:129 4.43685e-05 +22 *656:128 4.43685e-05 +23 *656:124 0.000781075 +24 *656:123 0.000781075 +25 *656:119 2.82491e-05 +26 *656:118 2.82491e-05 +27 *656:114 0.00101193 +28 *656:113 0.00101193 +29 *656:109 0.000719973 +30 *656:108 0.000719973 +31 *656:104 0.000959841 +32 *656:103 0.000959841 +33 *656:99 5.2575e-05 +34 *656:98 5.2575e-05 +35 *656:94 0.000964207 +36 *656:93 0.000964207 +37 *656:89 3.2268e-05 +38 *656:88 3.2268e-05 +39 *656:84 0.000799027 +40 *656:83 0.000799027 +41 *656:79 4.59819e-05 +42 *656:78 4.59819e-05 +43 *656:74 0.00104952 +44 *656:73 0.00104952 +45 *656:69 0.000622538 +46 *656:68 0.000622538 +47 *656:64 0.000233589 +48 *656:59 0 +49 *656:52 0.000473641 +50 *656:51 0.000240052 +51 *656:47 0.000550953 +52 *656:46 0.000550953 +53 *656:42 0.000128191 +54 *656:37 0 +55 *656:30 0.000312985 +56 *656:29 0.000184794 +57 *656:25 0.000483143 +58 *656:24 0.000483143 +59 *656:20 0.00016879 +60 *656:15 0 +61 *656:8 0.00039854 +62 *656:7 0.00022975 +63 *656:3 0.000573949 +64 *656:2 0.000573949 +65 *656:25 *657:174 0.00027762 +66 *656:25 *657:179 0.000527143 +67 *656:25 *657:184 0.00013881 +68 *656:74 *657:104 0.000199818 +69 *656:84 *657:134 0.00041057 +70 *656:94 *657:84 0.00040085 +71 *656:94 *657:104 0.000971155 +72 *656:104 *657:47 0.000151769 +73 *656:104 *657:114 0.000204475 +74 *656:104 *657:134 0.00100867 +75 *656:109 *657:47 0.000576355 +76 *656:124 *657:174 0.000374275 +77 *656:139 *657:154 0.000201651 +78 *656:139 *657:174 0.00093361 +79 *656:149 *657:184 0.000187158 +80 *656:169 *657:164 0.00040452 +81 *656:169 *657:184 0.000939181 +*RES +1 *656:2 *656:3 0.238632 +2 *656:7 *656:8 0.0486442 +3 *656:8 *656:15 0.00168684 +4 *656:8 *656:20 0.0473593 +5 *656:24 *656:25 0.238632 +6 *656:29 *656:30 0.0504798 +7 *656:30 *656:37 0.00168684 +8 *656:30 *656:42 0.0455236 +9 *656:46 *656:47 0.238632 +10 *656:51 *656:52 0.0501127 +11 *656:52 *656:59 0.00181331 +12 *656:52 *656:64 0.0497456 +13 *656:68 *656:69 0.238632 +14 *656:73 *656:74 2.1869 +15 *656:78 *656:79 0.0958136 +16 *656:83 *656:84 2.1869 +17 *656:88 *656:89 0.0672376 +18 *656:93 *656:94 4.95856 +19 *656:98 *656:99 0.201202 +20 *656:103 *656:104 4.95856 +21 *656:108 *656:109 2.75531 +22 *656:113 *656:114 2.19363 +23 *656:118 *656:119 0.112623 +24 *656:123 *656:124 2.19363 +25 *656:128 *656:129 0.0924517 +26 *656:133 *656:134 4.66258 +27 *656:138 *656:139 4.66258 +28 *656:143 *656:144 2.7603 +29 *656:148 *656:149 2.19363 +30 *656:153 *656:154 0.10758 +31 *656:158 *656:159 2.19363 +32 *656:163 *656:164 0.0974945 +33 *656:168 *656:169 4.66258 +34 *656:173 *656:174 4.66258 +35 *656:178 *656:179 2.77693 +*END + +*D_NET *657 0.0444972 +*CONN +*CAP +1 *657:189 2.99808e-05 +2 *657:188 2.99808e-05 +3 *657:184 0.000903627 +4 *657:183 0.000903627 +5 *657:179 0.000666964 +6 *657:178 0.000666964 +7 *657:174 0.000904275 +8 *657:173 0.000904275 +9 *657:169 4.92087e-05 +10 *657:168 4.92087e-05 +11 *657:164 0.000801484 +12 *657:163 0.000801484 +13 *657:159 3.54948e-05 +14 *657:158 3.54948e-05 +15 *657:154 0.00105274 +16 *657:153 0.00105274 +17 *657:149 2.95463e-05 +18 *657:148 2.95463e-05 +19 *657:144 0.00129569 +20 *657:143 0.00129569 +21 *657:139 0.000743002 +22 *657:138 0.000743002 +23 *657:134 0.000959841 +24 *657:133 0.000959841 +25 *657:129 4.59819e-05 +26 *657:128 4.59819e-05 +27 *657:124 0.00104952 +28 *657:123 0.00104952 +29 *657:119 3.2268e-05 +30 *657:118 3.2268e-05 +31 *657:114 0.00104952 +32 *657:113 0.00104952 +33 *657:109 2.52012e-05 +34 *657:108 2.52012e-05 +35 *657:104 0.000964208 +36 *657:103 0.000964208 +37 *657:99 0.000747347 +38 *657:98 0.000747347 +39 *657:94 0.00129569 +40 *657:93 0.00129569 +41 *657:89 3.95283e-05 +42 *657:88 3.95283e-05 +43 *657:84 0.000799027 +44 *657:83 0.000799027 +45 *657:79 3.87216e-05 +46 *657:78 3.87216e-05 +47 *657:74 0.00104952 +48 *657:73 0.00104952 +49 *657:69 0.000550953 +50 *657:68 0.000550953 +51 *657:64 0.00017859 +52 *657:59 0 +53 *657:52 0.000329232 +54 *657:51 0.000150643 +55 *657:47 0.000823112 +56 *657:46 0.000823112 +57 *657:42 0.000230285 +58 *657:37 0 +59 *657:30 0.000426227 +60 *657:29 0.000195942 +61 *657:25 0.000550953 +62 *657:24 0.000550953 +63 *657:20 0.000243266 +64 *657:15 0 +65 *657:8 0.000454974 +66 *657:7 0.000211707 +67 *657:3 0.000550953 +68 *657:2 0.000550953 +69 *656:25 *657:174 0.00027762 +70 *656:25 *657:179 0.000527143 +71 *656:25 *657:184 0.00013881 +72 *656:74 *657:104 0.000199818 +73 *656:84 *657:134 0.00041057 +74 *656:94 *657:84 0.00040085 +75 *656:94 *657:104 0.000971155 +76 *656:104 *657:47 0.000151769 +77 *656:104 *657:114 0.000204475 +78 *656:104 *657:134 0.00100867 +79 *656:109 *657:47 0.000576355 +80 *656:124 *657:174 0.000374275 +81 *656:139 *657:154 0.000201651 +82 *656:139 *657:174 0.00093361 +83 *656:149 *657:184 0.000187158 +84 *656:169 *657:164 0.00040452 +85 *656:169 *657:184 0.000939181 +*RES +1 *657:2 *657:3 0.238632 +2 *657:7 *657:8 0.0488278 +3 *657:8 *657:15 0.00181331 +4 *657:8 *657:20 0.0502963 +5 *657:24 *657:25 0.238632 +6 *657:29 *657:30 0.0480935 +7 *657:30 *657:37 0.00168684 +8 *657:30 *657:42 0.0517648 +9 *657:46 *657:47 0.238632 +10 *657:51 *657:52 0.0499291 +11 *657:52 *657:59 0.00168684 +12 *657:52 *657:64 0.0499291 +13 *657:68 *657:69 0.238632 +14 *657:73 *657:74 2.1869 +15 *657:78 *657:79 0.0806851 +16 *657:83 *657:84 2.1869 +17 *657:88 *657:89 0.0823661 +18 *657:93 *657:94 4.95856 +19 *657:98 *657:99 2.86007 +20 *657:103 *657:104 4.95856 +21 *657:108 *657:109 0.0964441 +22 *657:113 *657:114 2.1869 +23 *657:118 *657:119 0.0672376 +24 *657:123 *657:124 2.1869 +25 *657:128 *657:129 0.0958136 +26 *657:133 *657:134 4.95856 +27 *657:138 *657:139 2.84344 +28 *657:143 *657:144 4.95856 +29 *657:148 *657:149 0.113072 +30 *657:153 *657:154 2.19363 +31 *657:158 *657:159 0.0739614 +32 *657:163 *657:164 2.19363 +33 *657:168 *657:169 0.102537 +34 *657:173 *657:174 4.66258 +35 *657:178 *657:179 2.55244 +36 *657:183 *657:184 4.66258 +37 *657:188 *657:189 0.114735 +*END + +*D_NET *658 0.0438276 +*CONN +*CAP +1 *658:189 0.000777328 +2 *658:188 0.000777328 +3 *658:184 0.00132176 +4 *658:183 0.00132176 +5 *658:179 2.99808e-05 +6 *658:178 2.99808e-05 +7 *658:174 0.00098056 +8 *658:173 0.00098056 +9 *658:169 5.08221e-05 +10 *658:168 5.08221e-05 +11 *658:164 0.00104871 +12 *658:163 0.00104871 +13 *658:159 4.27551e-05 +14 *658:158 4.27551e-05 +15 *658:154 0.00104871 +16 *658:153 0.00104871 +17 *658:149 0.000778631 +18 *658:148 0.000778631 +19 *658:144 0.000988321 +20 *658:143 0.000988321 +21 *658:139 2.86773e-05 +22 *658:138 2.86773e-05 +23 *658:134 0.00132176 +24 *658:133 0.00132176 +25 *658:129 5.24355e-05 +26 *658:128 5.24355e-05 +27 *658:124 0.000798413 +28 *658:123 0.000798413 +29 *658:119 4.11417e-05 +30 *658:118 4.11417e-05 +31 *658:114 0.00104871 +32 *658:113 0.00104871 +33 *658:109 0.000740829 +34 *658:108 0.000740829 +35 *658:104 0.000963414 +36 *658:103 0.000963414 +37 *658:99 4.38849e-05 +38 *658:98 4.38849e-05 +39 *658:94 0.000964048 +40 *658:93 0.000964048 +41 *658:89 4.8402e-05 +42 *658:88 4.8402e-05 +43 *658:84 0.00080087 +44 *658:83 0.00080087 +45 *658:79 5.96958e-05 +46 *658:78 5.96958e-05 +47 *658:74 0.00080087 +48 *658:73 0.00080087 +49 *658:69 0.000550953 +50 *658:68 0.000550953 +51 *658:64 0.000160358 +52 *658:59 0 +53 *658:52 0.000337349 +54 *658:51 0.00017699 +55 *658:47 0.000550953 +56 *658:46 0.000550953 +57 *658:42 0.000183972 +58 *658:37 0 +59 *658:30 0.000402128 +60 *658:29 0.000218156 +61 *658:25 0.000822823 +62 *658:24 0.000822823 +63 *658:20 0.00019518 +64 *658:15 0 +65 *658:8 0.000424591 +66 *658:7 0.000229411 +67 *658:3 0.000550953 +68 *658:2 0.000550953 +69 *658:25 *659:179 0.000576355 +70 *658:25 *659:184 0.000151769 +71 *658:74 *659:104 0.000200075 +72 *658:94 *659:84 0.000198184 +73 *658:94 *659:104 0.000954631 +74 *658:104 *659:114 0.000199198 +75 *658:104 *659:134 0.000959347 +76 *658:109 *659:47 0.000576355 +77 *658:124 *659:174 0.000394299 +78 *658:144 *659:154 0.000195829 +79 *658:144 *659:174 0.000956156 +80 *658:154 *659:184 0.000203104 +81 *658:174 *659:164 0.000404463 +82 *658:174 *659:184 0.00101688 +*RES +1 *658:2 *658:3 0.238632 +2 *658:7 *658:8 0.0477264 +3 *658:8 *658:15 0.00181331 +4 *658:8 *658:20 0.0484606 +5 *658:24 *658:25 0.238632 +6 *658:29 *658:30 0.0471757 +7 *658:30 *658:37 0.00181331 +8 *658:30 *658:42 0.0490113 +9 *658:46 *658:47 0.238632 +10 *658:51 *658:52 0.0490113 +11 *658:52 *658:59 0.00194301 +12 *658:52 *658:64 0.0446058 +13 *658:68 *658:69 0.238632 +14 *658:73 *658:74 2.19195 +15 *658:78 *658:79 0.12439 +16 *658:83 *658:84 2.19195 +17 *658:88 *658:89 0.100856 +18 *658:93 *658:94 4.94858 +19 *658:98 *658:99 0.167946 +20 *658:103 *658:104 4.94858 +21 *658:108 *658:109 2.83513 +22 *658:113 *658:114 2.18522 +23 *658:118 *658:119 0.0857279 +24 *658:123 *658:124 2.18522 +25 *658:128 *658:129 0.109261 +26 *658:133 *658:134 5.05833 +27 *658:138 *658:139 0.109747 +28 *658:143 *658:144 5.05833 +29 *658:148 *658:149 2.97979 +30 *658:153 *658:154 2.18522 +31 *658:158 *658:159 0.0890898 +32 *658:163 *658:164 2.18522 +33 *658:168 *658:169 0.105899 +34 *658:173 *658:174 5.05833 +35 *658:178 *658:179 0.114735 +36 *658:183 *658:184 5.05833 +37 *658:188 *658:189 2.9748 +*END + +*D_NET *659 0.0436336 +*CONN +*CAP +1 *659:189 7.95142e-05 +2 *659:188 7.95142e-05 +3 *659:184 0.00098056 +4 *659:183 0.00098056 +5 *659:179 0.000727794 +6 *659:178 0.000727794 +7 *659:174 0.000988321 +8 *659:173 0.000988321 +9 *659:169 4.27551e-05 +10 *659:168 4.27551e-05 +11 *659:164 0.000796571 +12 *659:163 0.000796571 +13 *659:159 4.8402e-05 +14 *659:158 4.8402e-05 +15 *659:154 0.00104629 +16 *659:153 0.00104629 +17 *659:149 4.77954e-05 +18 *659:148 4.77954e-05 +19 *659:144 0.00129308 +20 *659:143 0.00129308 +21 *659:139 0.000738222 +22 *659:138 0.000738222 +23 *659:134 0.000963414 +24 *659:133 0.000963414 +25 *659:129 4.75953e-05 +26 *659:128 4.75953e-05 +27 *659:124 0.00105113 +28 *659:123 0.00105113 +29 *659:119 6.21159e-05 +30 *659:118 6.21159e-05 +31 *659:114 0.00105113 +32 *659:113 0.00105113 +33 *659:109 4.95335e-05 +34 *659:108 4.95335e-05 +35 *659:104 0.000964048 +36 *659:103 0.000964048 +37 *659:99 0.000730836 +38 *659:98 0.000730836 +39 *659:94 0.00129308 +40 *659:93 0.00129308 +41 *659:89 4.8402e-05 +42 *659:88 4.8402e-05 +43 *659:84 0.000800256 +44 *659:83 0.000800256 +45 *659:79 5.08221e-05 +46 *659:78 5.08221e-05 +47 *659:74 0.00105113 +48 *659:73 0.00105113 +49 *659:69 0.000586472 +50 *659:68 0.000586472 +51 *659:64 0.000142385 +52 *659:59 0 +53 *659:52 0.000271459 +54 *659:51 0.000129074 +55 *659:47 0.000483143 +56 *659:46 0.000483143 +57 *659:42 0.000175703 +58 *659:37 0 +59 *659:30 0.000339018 +60 *659:29 0.000163315 +61 *659:25 0.000632495 +62 *659:24 0.000632495 +63 *659:20 0.000241247 +64 *659:15 0 +65 *659:8 0.000484122 +66 *659:7 0.000242874 +67 *659:3 0.000573949 +68 *659:2 0.000573949 +69 *658:25 *659:179 0.000576355 +70 *658:25 *659:184 0.000151769 +71 *658:74 *659:104 0.000200075 +72 *658:94 *659:84 0.000198184 +73 *658:94 *659:104 0.000954631 +74 *658:104 *659:114 0.000199198 +75 *658:104 *659:134 0.000959347 +76 *658:109 *659:47 0.000576355 +77 *658:124 *659:174 0.000394299 +78 *658:144 *659:154 0.000195829 +79 *658:144 *659:174 0.000956156 +80 *658:154 *659:184 0.000203104 +81 *658:174 *659:164 0.000404463 +82 *658:174 *659:184 0.00101688 +*RES +1 *659:2 *659:3 0.238632 +2 *659:7 *659:8 0.0488278 +3 *659:8 *659:15 0.00181331 +4 *659:8 *659:20 0.0473593 +5 *659:24 *659:25 0.238632 +6 *659:29 *659:30 0.0480935 +7 *659:30 *659:37 0.00194301 +8 *659:30 *659:42 0.0449729 +9 *659:46 *659:47 0.238632 +10 *659:51 *659:52 0.0473593 +11 *659:52 *659:59 0.00181331 +12 *659:52 *659:64 0.0480935 +13 *659:68 *659:69 0.238632 +14 *659:73 *659:74 2.19026 +15 *659:78 *659:79 0.105899 +16 *659:83 *659:84 2.19026 +17 *659:88 *659:89 0.100856 +18 *659:93 *659:94 4.94858 +19 *659:98 *659:99 2.79688 +20 *659:103 *659:104 4.94858 +21 *659:108 *659:109 0.189563 +22 *659:113 *659:114 2.19026 +23 *659:118 *659:119 0.129432 +24 *659:123 *659:124 2.19026 +25 *659:128 *659:129 0.0991755 +26 *659:133 *659:134 4.94858 +27 *659:138 *659:139 2.82515 +28 *659:143 *659:144 4.94858 +29 *659:148 *659:149 0.182911 +30 *659:153 *659:154 2.18018 +31 *659:158 *659:159 0.100856 +32 *659:163 *659:164 2.18018 +33 *659:168 *659:169 0.0890898 +34 *659:173 *659:174 5.05833 +35 *659:178 *659:179 2.78524 +36 *659:183 *659:184 5.05833 +37 *659:188 *659:189 0.304298 +*END diff --git a/spef/gpio_control_block.spef b/spef/gpio_control_block.spef index 4600eb07..48184dd5 100644 --- a/spef/gpio_control_block.spef +++ b/spef/gpio_control_block.spef @@ -132,401 +132,450 @@ *120 _072_ *121 _073_ *122 _074_ -*123 _075_ -*124 _076_ -*125 _077_ -*126 _078_ -*127 _079_ -*128 _080_ -*129 _081_ -*130 _082_ -*131 _083_ -*132 _084_ -*133 _085_ -*134 _086_ -*135 _087_ -*136 _088_ -*137 _089_ -*138 _090_ -*139 _091_ -*140 _092_ -*141 _093_ -*142 _094_ -*143 _095_ -*144 _096_ -*145 _097_ -*146 _098_ -*147 _099_ -*148 _100_ -*149 _101_ -*150 clknet_0_serial_clock -*151 clknet_1_0_0_serial_clock -*152 clknet_1_1_0_serial_clock -*153 gpio_logic1 -*154 gpio_outenb -*155 mgmt_ena -*156 net1 -*157 net10 -*158 net11 -*159 net12 -*160 net13 -*161 net14 -*162 net15 -*163 net16 -*164 net17 -*165 net18 -*166 net19 -*167 net2 -*168 net20 -*169 net21 -*170 net22 -*171 net23 -*172 net24 -*173 net25 -*174 net26 -*175 net27 -*176 net28 -*177 net29 -*178 net3 -*179 net30 -*180 net31 -*181 net32 -*182 net33 -*183 net34 -*184 net35 -*185 net36 -*186 net37 -*187 net38 -*188 net39 -*189 net4 -*190 net40 -*191 net5 -*192 net6 -*193 net7 -*194 net8 -*195 net9 -*196 serial_data_pre -*197 shift_register\[0\] -*198 shift_register\[10\] -*199 shift_register\[11\] -*200 shift_register\[1\] -*201 shift_register\[2\] -*202 shift_register\[3\] -*203 shift_register\[4\] -*204 shift_register\[5\] -*205 shift_register\[6\] -*206 shift_register\[7\] -*207 shift_register\[8\] -*208 shift_register\[9\] -*209 ANTENNA_0 -*210 ANTENNA_1 -*211 ANTENNA_10 -*212 ANTENNA_11 -*213 ANTENNA_12 -*214 ANTENNA_13 -*215 ANTENNA_14 -*216 ANTENNA_15 -*217 ANTENNA_16 -*218 ANTENNA_17 -*219 ANTENNA_18 -*220 ANTENNA_19 -*221 ANTENNA_2 -*222 ANTENNA_20 -*223 ANTENNA_21 -*224 ANTENNA_3 -*225 ANTENNA_4 -*226 ANTENNA_5 -*227 ANTENNA_6 -*228 ANTENNA_7 -*229 ANTENNA_8 -*230 ANTENNA_9 -*231 FILLER_0_26 -*232 FILLER_0_75 -*233 FILLER_0_85 -*234 FILLER_10_13 -*235 FILLER_10_20 -*236 FILLER_10_29 -*237 FILLER_10_37 -*238 FILLER_10_68 -*239 FILLER_11_12 -*240 FILLER_11_19 -*241 FILLER_11_46 -*242 FILLER_11_57 -*243 FILLER_11_78 -*244 FILLER_11_92 -*245 FILLER_12_15 -*246 FILLER_12_3 -*247 FILLER_12_41 -*248 FILLER_12_72 -*249 FILLER_13_10 -*250 FILLER_13_3 -*251 FILLER_13_49 -*252 FILLER_13_57 -*253 FILLER_13_65 -*254 FILLER_13_92 -*255 FILLER_14_15 -*256 FILLER_14_29 -*257 FILLER_14_3 -*258 FILLER_15_3 -*259 FILLER_15_39 -*260 FILLER_15_81 -*261 FILLER_15_9 -*262 FILLER_16_13 -*263 FILLER_16_18 -*264 FILLER_16_3 -*265 FILLER_16_7 -*266 FILLER_16_85 -*267 FILLER_1_26 -*268 FILLER_1_67 -*269 FILLER_1_77 -*270 FILLER_1_86 -*271 FILLER_1_93 -*272 FILLER_2_93 -*273 FILLER_3_26 -*274 FILLER_3_92 -*275 FILLER_4_61 -*276 FILLER_5_26 -*277 FILLER_5_53 -*278 FILLER_5_80 -*279 FILLER_5_93 -*280 FILLER_6_46 -*281 FILLER_6_78 -*282 FILLER_7_92 -*283 FILLER_8_10 -*284 FILLER_8_20 -*285 FILLER_8_3 -*286 FILLER_8_33 -*287 FILLER_8_45 -*288 FILLER_9_14 -*289 FILLER_9_3 -*290 FILLER_9_63 -*291 FILLER_9_8 -*292 PHY_0 -*293 PHY_1 -*294 PHY_10 -*295 PHY_11 -*296 PHY_12 -*297 PHY_13 -*298 PHY_14 -*299 PHY_15 -*300 PHY_16 -*301 PHY_17 -*302 PHY_18 -*303 PHY_19 -*304 PHY_2 -*305 PHY_20 -*306 PHY_21 -*307 PHY_22 -*308 PHY_23 -*309 PHY_24 -*310 PHY_25 -*311 PHY_26 -*312 PHY_27 -*313 PHY_28 -*314 PHY_29 -*315 PHY_3 -*316 PHY_30 -*317 PHY_31 -*318 PHY_32 -*319 PHY_33 -*320 PHY_4 -*321 PHY_5 -*322 PHY_6 -*323 PHY_7 -*324 PHY_8 -*325 PHY_9 -*326 TAP_34 -*327 TAP_35 -*328 TAP_36 -*329 TAP_37 -*330 TAP_38 -*331 TAP_39 -*332 TAP_40 -*333 TAP_41 -*334 TAP_42 -*335 TAP_43 -*336 TAP_44 -*337 TAP_45 -*338 TAP_46 -*339 TAP_47 -*340 TAP_48 -*341 TAP_49 -*342 TAP_50 -*343 TAP_51 -*344 TAP_52 -*345 TAP_53 -*346 TAP_54 -*347 TAP_55 -*348 TAP_56 -*349 TAP_57 -*350 TAP_58 -*351 _102_ -*352 _103_ -*353 _104_ -*354 _105_ -*355 _106_ -*356 _107_ -*357 _108_ -*358 _109_ -*359 _110_ -*360 _111_ -*361 _112_ -*362 _113_ -*363 _114_ -*364 _115_ -*365 _116_ -*366 _117_ -*367 _118_ -*368 _119_ -*369 _120_ -*370 _121_ -*371 _122_ -*372 _123_ -*373 _124_ -*374 _125_ -*375 _126_ -*376 _127_ -*377 _128_ -*378 _129_ -*379 _130_ -*380 _131_ -*381 _132_ -*382 _133_ -*383 _134_ -*384 _135_ -*385 _136_ -*386 _137_ -*387 _138_ -*388 _139_ -*389 _140_ -*390 _141_ -*391 _142_ -*392 _143_ -*393 _144_ -*394 _145_ -*395 _146_ -*396 _147_ -*397 _148_ -*398 _149_ -*399 _150_ -*400 _151_ -*401 _152_ -*402 _153_ -*403 _154_ -*404 _155_ -*405 _156_ -*406 _157_ -*407 _158_ -*408 _159_ -*409 _160_ -*410 _161_ -*411 _162_ -*412 _163_ -*413 _164_ -*414 _165_ -*415 _166_ -*416 _167_ -*417 _168_ -*418 _169_ -*419 _170_ -*420 _171_ -*421 _172_ -*422 _173_ -*423 _174_ -*424 _175_ -*425 _176_ -*426 _177_ -*427 _178_ -*428 _179_ -*429 _180_ -*430 _181_ -*431 _182_ -*432 _183_ -*433 _184_ -*434 _185_ -*435 _186_ -*436 _187_ -*437 _188_ -*438 _189_ -*439 _190_ -*440 _191_ -*441 _192_ -*442 _193_ -*443 _194_ -*444 _195_ -*445 _196_ -*446 _197_ -*447 _198_ -*448 _199_ -*449 _200_ -*450 _201_ -*451 _202_ -*452 _203_ -*453 _204_ -*454 _205_ -*455 _206_ -*456 _207_ -*457 _208_ -*458 _209_ -*459 _210_ -*460 _211_ -*461 _212_ -*462 _213_ -*463 _214_ -*464 _215_ -*465 _216_ -*466 _217_ -*467 _218_ -*468 _219_ -*469 _220_ -*470 _221_ -*471 _222_ -*472 _223_ -*473 clkbuf_0_serial_clock -*474 clkbuf_1_0_0_serial_clock -*475 clkbuf_1_1_0_serial_clock -*476 const_source -*477 gpio_in_buf -*478 gpio_logic_high -*479 input1 -*480 input10 -*481 input11 -*482 input12 -*483 input13 -*484 input14 -*485 input15 -*486 input16 -*487 input17 -*488 input18 -*489 input19 -*490 input2 -*491 input20 -*492 input21 -*493 input3 -*494 input4 -*495 input5 -*496 input6 -*497 input7 -*498 input8 -*499 input9 -*500 output23 -*501 output24 -*502 output25 -*503 output26 -*504 output27 -*505 output28 -*506 output29 -*507 output30 -*508 output31 -*509 output32 -*510 output33 -*511 output34 -*512 output35 -*513 output36 -*514 output37 -*515 output38 -*516 output39 -*517 output40 +*123 _076_ +*124 _077_ +*125 _078_ +*126 _079_ +*127 _080_ +*128 _081_ +*129 _082_ +*130 _083_ +*131 _084_ +*132 _085_ +*133 _086_ +*134 _087_ +*135 _088_ +*136 _089_ +*137 _090_ +*138 _091_ +*139 _092_ +*140 _093_ +*141 _094_ +*142 _095_ +*143 _096_ +*144 _097_ +*145 _098_ +*146 _099_ +*147 _100_ +*148 _101_ +*149 clknet_0_serial_clock +*150 clknet_1_0_0_serial_clock +*151 clknet_1_1_0_serial_clock +*152 gpio_logic1 +*153 gpio_outenb +*154 mgmt_ena +*155 net1 +*156 net10 +*157 net11 +*158 net12 +*159 net13 +*160 net14 +*161 net15 +*162 net16 +*163 net17 +*164 net18 +*165 net19 +*166 net2 +*167 net20 +*168 net21 +*169 net22 +*170 net23 +*171 net24 +*172 net25 +*173 net26 +*174 net27 +*175 net28 +*176 net29 +*177 net3 +*178 net30 +*179 net31 +*180 net32 +*181 net33 +*182 net34 +*183 net35 +*184 net36 +*185 net37 +*186 net38 +*187 net39 +*188 net4 +*189 net40 +*190 net41 +*191 net42 +*192 net43 +*193 net44 +*194 net45 +*195 net46 +*196 net47 +*197 net48 +*198 net49 +*199 net5 +*200 net50 +*201 net51 +*202 net52 +*203 net53 +*204 net54 +*205 net55 +*206 net56 +*207 net57 +*208 net58 +*209 net59 +*210 net6 +*211 net60 +*212 net61 +*213 net62 +*214 net63 +*215 net64 +*216 net65 +*217 net66 +*218 net7 +*219 net8 +*220 net9 +*221 serial_data_pre +*222 shift_register\[0\] +*223 shift_register\[10\] +*224 shift_register\[11\] +*225 shift_register\[1\] +*226 shift_register\[2\] +*227 shift_register\[3\] +*228 shift_register\[4\] +*229 shift_register\[5\] +*230 shift_register\[6\] +*231 shift_register\[7\] +*232 shift_register\[8\] +*233 shift_register\[9\] +*234 ANTENNA_0 +*235 ANTENNA_1 +*236 ANTENNA_2 +*237 ANTENNA_3 +*238 ANTENNA_4 +*239 ANTENNA_5 +*240 ANTENNA_6 +*241 FILLER_0_29 +*242 FILLER_0_41 +*243 FILLER_0_49 +*244 FILLER_0_52 +*245 FILLER_0_64 +*246 FILLER_0_72 +*247 FILLER_0_76 +*248 FILLER_0_83 +*249 FILLER_0_90 +*250 FILLER_10_3 +*251 FILLER_11_16 +*252 FILLER_11_3 +*253 FILLER_11_83 +*254 FILLER_11_93 +*255 FILLER_12_3 +*256 FILLER_12_93 +*257 FILLER_13_3 +*258 FILLER_13_55 +*259 FILLER_14_29 +*260 FILLER_14_3 +*261 FILLER_14_93 +*262 FILLER_15_3 +*263 FILLER_15_45 +*264 FILLER_16_29 +*265 FILLER_16_3 +*266 FILLER_16_82 +*267 FILLER_17_3 +*268 FILLER_17_30 +*269 FILLER_17_45 +*270 FILLER_17_93 +*271 FILLER_18_3 +*272 FILLER_18_34 +*273 FILLER_18_41 +*274 FILLER_18_63 +*275 FILLER_18_68 +*276 FILLER_18_79 +*277 FILLER_18_92 +*278 FILLER_1_47 +*279 FILLER_1_59 +*280 FILLER_1_65 +*281 FILLER_1_69 +*282 FILLER_1_73 +*283 FILLER_1_80 +*284 FILLER_2_26 +*285 FILLER_2_31 +*286 FILLER_2_46 +*287 FILLER_2_50 +*288 FILLER_2_61 +*289 FILLER_2_68 +*290 FILLER_3_26 +*291 FILLER_3_35 +*292 FILLER_3_63 +*293 FILLER_3_77 +*294 FILLER_3_93 +*295 FILLER_4_32 +*296 FILLER_4_40 +*297 FILLER_4_93 +*298 FILLER_5_55 +*299 FILLER_5_93 +*300 FILLER_6_26 +*301 FILLER_6_36 +*302 FILLER_6_57 +*303 FILLER_7_46 +*304 FILLER_7_92 +*305 FILLER_8_35 +*306 FILLER_8_63 +*307 FILLER_8_93 +*308 FILLER_9_3 +*309 PHY_0 +*310 PHY_1 +*311 PHY_10 +*312 PHY_11 +*313 PHY_12 +*314 PHY_13 +*315 PHY_14 +*316 PHY_15 +*317 PHY_16 +*318 PHY_17 +*319 PHY_18 +*320 PHY_19 +*321 PHY_2 +*322 PHY_20 +*323 PHY_21 +*324 PHY_22 +*325 PHY_23 +*326 PHY_24 +*327 PHY_25 +*328 PHY_26 +*329 PHY_27 +*330 PHY_28 +*331 PHY_29 +*332 PHY_3 +*333 PHY_30 +*334 PHY_31 +*335 PHY_32 +*336 PHY_33 +*337 PHY_34 +*338 PHY_35 +*339 PHY_36 +*340 PHY_37 +*341 PHY_4 +*342 PHY_5 +*343 PHY_6 +*344 PHY_7 +*345 PHY_8 +*346 PHY_9 +*347 TAP_38 +*348 TAP_39 +*349 TAP_40 +*350 TAP_41 +*351 TAP_42 +*352 TAP_43 +*353 TAP_44 +*354 TAP_45 +*355 TAP_46 +*356 TAP_47 +*357 TAP_48 +*358 TAP_49 +*359 TAP_50 +*360 TAP_51 +*361 TAP_52 +*362 TAP_53 +*363 TAP_54 +*364 TAP_55 +*365 TAP_56 +*366 TAP_57 +*367 TAP_58 +*368 TAP_59 +*369 TAP_60 +*370 TAP_61 +*371 TAP_62 +*372 TAP_63 +*373 TAP_64 +*374 TAP_65 +*375 _102_ +*376 _103_ +*377 _104_ +*378 _105_ +*379 _106__1 +*380 _106__2 +*381 _106__3 +*382 _106__4 +*383 _106__5 +*384 _107_ +*385 _108_ +*386 _109_ +*387 _110_ +*388 _111_ +*389 _112_ +*390 _113_ +*391 _114_ +*392 _115_ +*393 _116_ +*394 _117_ +*395 _118_ +*396 _119_ +*397 _120_ +*398 _121_ +*399 _122_ +*400 _123_ +*401 _124_ +*402 _125_ +*403 _126_ +*404 _127_ +*405 _128_ +*406 _129_ +*407 _130_ +*408 _131_ +*409 _132_ +*410 _133_ +*411 _134_ +*412 _135_ +*413 _136_ +*414 _137_ +*415 _138_ +*416 _139_ +*417 _140_ +*418 _141_ +*419 _142_ +*420 _143_ +*421 _144_ +*422 _145_ +*423 _146_ +*424 _147_ +*425 _148_ +*426 _149_ +*427 _150_ +*428 _151_ +*429 _152_ +*430 _153_ +*431 _154_ +*432 _155_ +*433 _156_ +*434 _157_ +*435 _158_ +*436 _159_ +*437 _160_ +*438 _161_ +*439 _162_ +*440 _163_ +*441 _164_ +*442 _165_ +*443 _166_ +*444 _167_ +*445 _168_ +*446 _169_ +*447 _170_ +*448 _171_ +*449 _172_ +*450 _173_ +*451 _174_ +*452 _175_ +*453 _176_ +*454 _177_ +*455 _178_ +*456 _179_ +*457 _180_ +*458 _181_ +*459 _182_ +*460 _183_ +*461 _184_ +*462 _185_ +*463 _186_ +*464 _187_ +*465 _188_ +*466 _189_ +*467 _190_ +*468 _191_ +*469 _192_ +*470 _193_ +*471 _194_ +*472 _195_ +*473 _196_ +*474 _197_ +*475 _198_ +*476 _199_ +*477 _200_ +*478 _201_ +*479 _202_ +*480 _203_ +*481 _204_ +*482 _205_ +*483 _206_ +*484 _207_ +*485 _208_ +*486 _209_ +*487 _210_ +*488 _211_ +*489 _212_ +*490 _213_ +*491 _214_ +*492 _215_ +*493 _216_ +*494 _217_ +*495 _218_ +*496 _219_ +*497 _220_ +*498 _221_ +*499 _222_ +*500 _223_ +*501 clkbuf_0_serial_clock +*502 clkbuf_1_0_0_serial_clock +*503 clkbuf_1_1_0_serial_clock +*504 const_source +*505 gpio_in_buf +*506 gpio_logic_high +*507 hold1 +*508 hold10 +*509 hold11 +*510 hold12 +*511 hold13 +*512 hold14 +*513 hold15 +*514 hold16 +*515 hold17 +*516 hold18 +*517 hold19 +*518 hold2 +*519 hold20 +*520 hold21 +*521 hold22 +*522 hold3 +*523 hold4 +*524 hold5 +*525 hold6 +*526 hold7 +*527 hold8 +*528 hold9 +*529 input1 +*530 input10 +*531 input11 +*532 input12 +*533 input13 +*534 input14 +*535 input15 +*536 input16 +*537 input17 +*538 input18 +*539 input19 +*540 input2 +*541 input20 +*542 input3 +*543 input4 +*544 input5 +*545 input6 +*546 input7 +*547 input8 +*548 input9 +*549 output22 +*550 output23 +*551 output24 +*552 output25 +*553 output26 +*554 output27 +*555 output28 +*556 output29 +*557 output30 +*558 output31 +*559 output32 +*560 output33 +*561 output34 +*562 output35 +*563 output36 +*564 output37 +*565 output38 +*566 output39 *PORTS gpio_defaults[0] I @@ -573,6039 +622,6509 @@ user_gpio_oeb I user_gpio_out I zero O -*D_NET *1 0.0239158 +*D_NET *1 0.00508845 *CONN *P gpio_defaults[0] I -*I *479:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *222:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *529:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[0] 2.68958e-05 -2 *479:A 0 -3 *222:DIODE 8.47792e-05 -4 *1:30 0.00326718 -5 *1:11 0.00513609 -6 *1:10 0.00195369 -7 *1:8 0.00130417 -8 *1:7 0.00133106 -9 *222:DIODE *156:21 2.22974e-05 -10 *1:8 *6:5 0.00264612 -11 *1:11 *23:9 1.19465e-05 -12 *1:30 pad_gpio_ib_mode_sel 8.49477e-05 -13 *1:30 pad_gpio_out 0.00198445 -14 *1:30 *230:DIODE 0.000143175 -15 *1:30 *461:D 0.00141246 -16 *1:30 *497:A 0 -17 *1:30 *26:20 0.000119563 -18 *1:30 *53:28 0.00107297 -19 *1:30 *117:23 0.00301634 -20 *1:30 *156:21 0.000133822 -21 *1:30 *163:42 2.06254e-05 -22 *1:30 *194:13 0.000143175 +1 gpio_defaults[0] 0.00124059 +2 *529:A 0.00034267 +3 *1:10 0.00158326 +4 *529:A *421:A 0.000114271 +5 *529:A *426:A 0.000160001 +6 *529:A *94:24 0.000326294 +7 *529:A *150:8 0.000137643 +8 *529:A *150:13 0.000217153 +9 *529:A *150:46 0.000151769 +10 *529:A *155:17 3.51962e-05 +11 *529:A *183:21 6.7437e-05 +12 *1:10 *382:A 0.000107496 +13 *1:10 *5:14 0 +14 *1:10 *119:22 0.000440102 +15 *1:10 *185:16 0.000164568 *RES -1 gpio_defaults[0] *1:7 3.43197 -2 *1:7 *1:8 53.1156 -3 *1:8 *1:10 4.5 -4 *1:10 *1:11 53.9653 -5 *1:11 *1:30 30.8376 -6 *1:30 *222:DIODE 11.0817 -7 *1:30 *479:A 9.24915 +1 gpio_defaults[0] *1:10 41.166 +2 *1:10 *529:A 27.6557 *END -*D_NET *2 0.0146285 +*D_NET *2 0.00856822 *CONN *P gpio_defaults[10] I -*I *209:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *490:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *540:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[10] 0.000391648 -2 *209:DIODE 0 -3 *490:A 1.31528e-05 -4 *2:38 8.41741e-05 -5 *2:37 0.000182756 -6 *2:33 0.000713105 -7 *2:26 0.00211996 -8 *2:14 0.00161065 -9 *2:13 0.00136668 -10 *2:10 0.00166627 -11 *490:A *493:A 6.17618e-05 -12 *490:A *191:13 6.36477e-05 -13 *2:10 zero 0.000407258 -14 *2:10 *3:11 0.000836804 -15 *2:10 *13:18 9.12047e-05 -16 *2:13 pad_gpio_ana_en 0.00188588 -17 *2:13 *3:20 0 -18 *2:13 *16:15 0.000120517 -19 *2:13 *17:26 0.000115903 -20 *2:13 *20:12 0 -21 *2:13 *119:16 0.000400393 -22 *2:26 *361:A 2.29888e-05 -23 *2:26 *455:RESET_B 5.8493e-05 -24 *2:26 *455:SET_B 0.000257287 -25 *2:26 *455:CLK_N 2.57986e-05 -26 *2:26 *464:RESET_B 0.000181814 -27 *2:26 *471:CLK 1.34153e-05 -28 *2:26 *66:16 0.000132398 -29 *2:26 *89:38 0.000233422 -30 *2:26 *119:16 1.00091e-05 -31 *2:26 *164:282 0.000137823 -32 *2:26 *178:22 2.30231e-05 -33 *2:26 *203:8 6.08467e-05 -34 *2:33 *495:A 0.000236207 -35 *2:33 *3:29 3.03953e-05 -36 *2:33 *94:7 6.36477e-05 -37 *2:33 *94:33 6.16595e-06 -38 *2:33 *111:14 0.000704594 -39 *2:33 *191:13 0.000135322 -40 *2:33 *193:38 3.60933e-06 -41 *2:37 *495:A 0.000159519 +1 gpio_defaults[10] 0.00118463 +2 *540:A 0.00110935 +3 *2:15 0.00229398 +4 *540:A *393:B 0.000164368 +5 *540:A *394:A 0.000304706 +6 *540:A *485:RESET_B 0.000122088 +7 *540:A *495:D 0 +8 *540:A *513:A 0 +9 *540:A *527:A 0 +10 *540:A *542:A 2.99287e-05 +11 *540:A *547:A 0 +12 *540:A *555:A 0.000224169 +13 *540:A *24:26 2.19079e-05 +14 *540:A *39:17 9.05154e-05 +15 *540:A *42:33 6.30378e-05 +16 *540:A *89:39 6.64392e-05 +17 *540:A *155:17 3.95701e-05 +18 *540:A *163:289 0.000135613 +19 *540:A *169:20 3.58315e-06 +20 *540:A *177:17 4.27121e-06 +21 *540:A *189:10 4.60375e-07 +22 *540:A *202:20 0.000212269 +23 *2:15 user_gpio_in 9.94361e-05 +24 *2:15 *437:A 0.000254976 +25 *2:15 *488:D 1.29948e-05 +26 *2:15 *3:11 0 +27 *2:15 *3:13 0 +28 *2:15 *13:17 0 +29 *2:15 *37:8 2.39052e-05 +30 *2:15 *61:27 2.80293e-05 +31 *2:15 *69:14 0.000680124 +32 *2:15 *155:17 0.000560373 +33 *2:15 *155:24 0 +34 *2:15 *163:159 0.00033121 +35 *2:15 *185:16 0.000147367 +36 *2:15 *189:10 0.000358911 *RES -1 gpio_defaults[10] *2:10 23.9044 -2 *2:10 *2:13 43.2488 -3 *2:13 *2:14 127.479 -4 *2:14 *2:26 45.6675 -5 *2:26 *2:33 21.9858 -6 *2:33 *2:37 11.0817 -7 *2:37 *2:38 81.1229 -8 *2:38 *490:A 19.2217 -9 *2:33 *209:DIODE 9.24915 +1 gpio_defaults[10] *2:15 37.2994 +2 *2:15 *540:A 44.3951 *END -*D_NET *3 0.0133122 +*D_NET *3 0.010184 *CONN *P gpio_defaults[11] I -*I *210:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *493:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *542:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[11] 0.000976001 -2 *210:DIODE 0 -3 *493:A 0.00012716 -4 *3:29 0.000230689 -5 *3:26 0.00017455 -6 *3:25 0.000543471 -7 *3:20 0.00246091 -8 *3:14 0.00203742 -9 *3:13 4.89522e-05 -10 *3:11 0.000976001 -11 *493:A *495:A 0.00045129 -12 *493:A *191:13 0.000158335 -13 *3:11 pad_gpio_ana_en 0 -14 *3:11 pad_gpio_ana_pol 4.10997e-05 -15 *3:11 *4:8 0.000109801 -16 *3:11 *13:18 7.49735e-06 -17 *3:11 *20:12 0.00176144 -18 *3:20 *381:A 7.92757e-06 -19 *3:20 *381:B_N 0.000297054 -20 *3:20 *382:A 6.01985e-05 -21 *3:20 *432:B_N 7.84564e-05 -22 *3:20 *463:D 6.93171e-05 -23 *3:20 *471:D 6.08467e-05 -24 *3:20 *20:12 7.79287e-05 -25 *3:20 *89:41 3.64497e-06 -26 *3:20 *97:23 0.00025456 -27 *3:20 *129:23 3.78939e-05 -28 *3:20 *153:29 5.91586e-05 -29 *3:20 *164:250 6.03492e-05 -30 *3:20 *164:258 1.30449e-05 -31 *3:20 *199:8 5.63639e-06 -32 *3:25 *191:13 0.000691693 -33 *3:29 *385:A 6.08494e-05 -34 *3:29 *495:A 0.000157107 -35 *3:29 *111:14 0.000158905 -36 *3:29 *191:13 0.000124053 -37 *490:A *493:A 6.17618e-05 -38 *2:10 *3:11 0.000836804 -39 *2:13 *3:20 0 -40 *2:33 *3:29 3.03953e-05 +1 gpio_defaults[11] 0.000140542 +2 *542:A 0.000603415 +3 *3:13 0.00236587 +4 *3:11 0.00190299 +5 *542:A *402:A 0.000311378 +6 *542:A *407:A 0.000114594 +7 *542:A *408:A 6.64392e-05 +8 *542:A *39:17 2.2535e-05 +9 *542:A *89:39 4.7324e-05 +10 *542:A *166:8 0.000114594 +11 *542:A *188:16 1.06107e-05 +12 *3:13 *375:A 0.000108707 +13 *3:13 *377:B 3.45633e-05 +14 *3:13 *434:B_N 0.000100762 +15 *3:13 *475:CLK_N 0.000279057 +16 *3:13 *482:CLK_N 1.10465e-05 +17 *3:13 *483:D 0.000274717 +18 *3:13 *486:RESET_B 0.000104843 +19 *3:13 *4:7 0.000448363 +20 *3:13 *4:17 0.00175387 +21 *3:13 *37:8 0 +22 *3:13 *155:24 2.71481e-05 +23 *3:13 *159:24 0.000680613 +24 *3:13 *163:88 4.21215e-05 +25 *3:13 *163:187 8.33721e-06 +26 *3:13 *188:16 6.67241e-05 +27 *3:13 *188:25 0.000446339 +28 *3:13 *222:10 6.65668e-05 +29 *540:A *542:A 2.99287e-05 +30 *2:15 *3:11 0 +31 *2:15 *3:13 0 *RES -1 gpio_defaults[11] *3:11 43.8367 -2 *3:11 *3:13 9.24915 -3 *3:13 *3:14 74.3058 -4 *3:14 *3:20 45.8379 -5 *3:20 *3:25 21.6824 -6 *3:25 *3:26 81.1229 -7 *3:26 *3:29 13.8548 -8 *3:29 *493:A 14.9881 -9 *3:29 *210:DIODE 9.24915 +1 gpio_defaults[11] *3:11 4.36015 +2 *3:11 *3:13 59.7597 +3 *3:13 *542:A 34.9445 *END -*D_NET *4 0.0125393 +*D_NET *4 0.0104415 *CONN *P gpio_defaults[12] I -*I *221:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *494:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *543:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[12] 0.00437392 -2 *221:DIODE 0.000233201 -3 *494:A 0.000273781 -4 *4:8 0.0048809 -5 *221:DIODE *12:20 8.19941e-05 -6 *221:DIODE *71:18 8.6603e-05 -7 *221:DIODE *191:13 0.000247443 -8 *494:A *480:A 0.000157074 -9 *494:A *16:15 2.24271e-05 -10 *494:A *71:18 2.02035e-05 -11 *494:A *92:10 1.12479e-05 -12 *494:A *178:9 7.08444e-05 -13 *494:A *189:18 9.25778e-05 -14 *494:A *191:13 9.12416e-06 -15 *4:8 one 0.000202758 -16 *4:8 zero 3.84497e-05 -17 *4:8 *369:B_N 6.85024e-06 -18 *4:8 *400:A 4.91917e-06 -19 *4:8 *402:B 0.000101079 -20 *4:8 *7:15 0.000306985 -21 *4:8 *10:14 8.64865e-06 -22 *4:8 *12:20 0.000402153 -23 *4:8 *13:18 0 -24 *4:8 *15:15 0 -25 *4:8 *71:18 0.000752657 -26 *4:8 *153:29 1.66626e-05 -27 *4:8 *158:14 2.6958e-05 -28 *3:11 *4:8 0.000109801 +1 gpio_defaults[12] 0.000863445 +2 *543:A 2.22787e-05 +3 *4:17 0.00145405 +4 *4:7 0.00229522 +5 *543:A *89:39 6.27718e-05 +6 *543:A *188:16 5.04829e-06 +7 *4:7 *434:B_N 9.75033e-05 +8 *4:7 *475:D 0.000292376 +9 *4:7 *114:8 5.55154e-05 +10 *4:7 *178:48 0.000264309 +11 *4:17 pad_gpio_ib_mode_sel 1.99596e-06 +12 *4:17 *406:A 1.82001e-05 +13 *4:17 *456:A 0.000459853 +14 *4:17 *482:CLK_N 8.73244e-06 +15 *4:17 *528:A 0.000271838 +16 *4:17 *547:A 0.000101888 +17 *4:17 *9:46 2.0381e-05 +18 *4:17 *42:33 0.00106828 +19 *4:17 *89:39 3.33173e-06 +20 *4:17 *119:16 4.89764e-05 +21 *4:17 *133:14 0.000518921 +22 *4:17 *159:16 0.000116599 +23 *4:17 *167:19 9.01011e-05 +24 *4:17 *178:48 8.74081e-05 +25 *4:17 *188:46 1.02611e-05 +26 *3:13 *4:7 0.000448363 +27 *3:13 *4:17 0.00175387 *RES -1 gpio_defaults[12] *4:8 27.1845 -2 *4:8 *494:A 30.0173 -3 *4:8 *221:DIODE 18.523 +1 gpio_defaults[12] *4:7 24.9029 +2 *4:7 *4:17 49.4173 +3 *4:17 *543:A 9.97254 *END -*D_NET *5 0.0127856 +*D_NET *5 0.0103025 *CONN *P gpio_defaults[1] I -*I *495:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *544:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[1] 0.00347584 -2 *495:A 0.000524748 -3 *224:DIODE 4.51842e-05 -4 *5:8 0.00404577 -5 *224:DIODE *191:13 6.08467e-05 -6 *495:A *463:CLK 8.42535e-06 -7 *495:A *6:21 2.18821e-05 -8 *495:A *71:18 0.00018982 -9 *495:A *92:10 0.000287341 -10 *495:A *93:23 8.08437e-05 -11 *495:A *152:38 1.84334e-05 -12 *495:A *189:18 1.21461e-06 -13 *495:A *191:13 4.00046e-05 -14 *5:8 *229:DIODE 1.33682e-05 -15 *5:8 *397:A 5.85383e-05 -16 *5:8 *451:D 0.000139506 -17 *5:8 *452:SET_B 0 -18 *5:8 *463:CLK 1.8234e-05 -19 *5:8 *465:D 4.60899e-05 -20 *5:8 *466:D 0 -21 *5:8 *7:15 0.000787004 -22 *5:8 *9:11 0.00155702 -23 *5:8 *93:23 0.000105157 -24 *5:8 *193:38 7.48876e-06 -25 *5:8 *202:8 0.000146302 -26 *5:8 *203:8 0.000102424 -27 *493:A *495:A 0.00045129 -28 *2:33 *495:A 0.000236207 -29 *2:37 *495:A 0.000159519 -30 *3:29 *495:A 0.000157107 +1 gpio_defaults[1] 0.000771024 +2 *544:A 0.000550972 +3 *5:20 0.0013275 +4 *5:14 0.00154755 +5 *544:A *545:A 0.00135919 +6 *544:A *546:A 6.85944e-06 +7 *544:A *7:28 0.00053778 +8 *544:A *150:19 4.7903e-06 +9 *544:A *199:14 0.00021141 +10 *544:A *219:12 6.78626e-06 +11 *544:A *220:14 1.68336e-05 +12 *5:14 *464:A 7.78924e-05 +13 *5:14 *476:SET_B 1.83434e-05 +14 *5:14 *489:CLK 2.44579e-05 +15 *5:14 *6:24 4.94253e-05 +16 *5:14 *54:16 1.5714e-05 +17 *5:14 *55:11 7.26606e-05 +18 *5:14 *57:11 7.60183e-05 +19 *5:14 *92:8 0.000369082 +20 *5:14 *114:14 0.000250652 +21 *5:14 *120:10 0.00042424 +22 *5:14 *185:16 6.66437e-05 +23 *5:20 *421:A 0.000368104 +24 *5:20 *6:30 8.15484e-05 +25 *5:20 *119:22 0.00124504 +26 *5:20 *150:19 0.000162739 +27 *5:20 *183:21 0.000659268 +28 *1:10 *5:14 0 *RES -1 gpio_defaults[1] *5:8 49.9032 -2 *5:8 *224:DIODE 14.4725 -3 *5:8 *495:A 39.7716 +1 gpio_defaults[1] *5:14 33.762 +2 *5:14 *5:20 40.3612 +3 *5:20 *544:A 41.255 *END -*D_NET *6 0.023975 +*D_NET *6 0.010777 *CONN *P gpio_defaults[2] I -*I *496:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *545:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[2] 0.000935817 -2 *496:A 0.000250196 -3 *225:DIODE 0.000127207 -4 *6:46 1.65112e-05 -5 *6:21 0.00316968 -6 *6:8 0.00460826 -7 *6:7 0.00181598 -8 *6:5 0.000919306 -9 *225:DIODE *499:A 6.59781e-05 -10 *225:DIODE *162:16 0.000300016 -11 *496:A *226:DIODE 6.27782e-05 -12 *496:A *419:A 0.000119385 -13 *496:A *429:A 6.02829e-05 -14 *496:A *92:10 5.94961e-05 -15 *496:A *160:27 4.92053e-06 -16 *496:A *192:17 0.000114594 -17 *6:8 pad_gpio_ana_pol 0 -18 *6:8 *11:16 0.00021944 -19 *6:8 *34:13 0.000158371 -20 *6:21 pad_gpio_dm[1] 1.5714e-05 -21 *6:21 pad_gpio_holdover 0.00164599 -22 *6:21 pad_gpio_ib_mode_sel 6.69491e-05 -23 *6:21 *398:A 0.000611255 -24 *6:21 *419:A 0.000800148 -25 *6:21 *444:S 0.000540564 -26 *6:21 *448:RESET_B 9.14685e-06 -27 *6:21 *448:SET_B 1.74199e-05 -28 *6:21 *11:23 0.00103476 -29 *6:21 *21:9 0.000307158 -30 *6:21 *34:20 0.000239007 -31 *6:21 *66:16 0.000517968 -32 *6:21 *92:10 2.09356e-05 -33 *6:21 *97:23 0.000131528 -34 *6:21 *112:13 0.000754073 -35 *6:21 *159:17 7.35292e-05 -36 *6:21 *161:23 0.00146533 -37 *6:21 *162:16 4.73077e-05 -38 *495:A *6:21 2.18821e-05 -39 *1:8 *6:5 0.00264612 +1 gpio_defaults[2] 0.000875608 +2 *545:A 0.000461975 +3 *6:30 0.00123095 +4 *6:24 0.00164459 +5 *545:A *546:A 0.000730428 +6 *545:A *7:28 1.59525e-05 +7 *545:A *219:12 9.90873e-05 +8 *545:A *220:14 0.000799096 +9 *6:24 *415:A 5.62832e-05 +10 *6:24 *429:A 6.01079e-05 +11 *6:24 *433:A 2.33013e-05 +12 *6:24 *450:A 0.000488808 +13 *6:24 *476:SET_B 0.000102025 +14 *6:24 *479:SET_B 0.000203756 +15 *6:24 *489:CLK 0.00036301 +16 *6:24 *490:CLK 9.37392e-06 +17 *6:24 *516:A 2.53698e-05 +18 *6:24 *7:19 0.000311521 +19 *6:24 *8:39 4.55433e-05 +20 *6:24 *38:46 0.000143295 +21 *6:24 *94:24 4.24629e-05 +22 *6:24 *114:14 1.59906e-05 +23 *6:24 *150:56 0.000112367 +24 *6:30 *383:A 1.94224e-05 +25 *6:30 *395:A 0.00018492 +26 *6:30 *396:A 0.000137176 +27 *6:30 *423:A 3.95077e-05 +28 *6:30 *480:SET_B 8.05029e-05 +29 *6:30 *492:D 9.43419e-05 +30 *6:30 *515:A 0.000380786 +31 *6:30 *523:A 0.000108648 +32 *6:30 *7:19 1.85244e-05 +33 *6:30 *7:28 0.000106191 +34 *6:30 *119:22 0 +35 *6:30 *150:19 0.000207901 +36 *6:30 *155:17 4.80075e-05 +37 *544:A *545:A 0.00135919 +38 *5:14 *6:24 4.94253e-05 +39 *5:20 *6:30 8.15484e-05 *RES -1 gpio_defaults[2] *6:5 45.6879 -2 *6:5 *6:7 4.5 -3 *6:7 *6:8 53.4107 -4 *6:8 *6:21 22.4963 -5 *6:21 *225:DIODE 17.8002 -6 *6:21 *496:A 21.1119 -7 gpio_defaults[2] *6:46 0.0631875 +1 gpio_defaults[2] *6:24 47.584 +2 *6:24 *6:30 33.7087 +3 *6:30 *545:A 42.3642 *END -*D_NET *7 0.0154883 +*D_NET *7 0.0098809 *CONN *P gpio_defaults[3] I -*I *226:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *497:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *546:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[3] 0.00281075 -2 *226:DIODE 0.000110728 -3 *497:A 0.000435719 -4 *7:27 0.00113074 -5 *7:18 0.000804084 -6 *7:17 0.000219793 -7 *7:15 0.00281075 -8 *226:DIODE *419:A 3.26503e-05 -9 *497:A *427:A 0.000330557 -10 *497:A *53:28 2.60879e-06 -11 *497:A *54:17 1.91391e-05 -12 *497:A *92:10 0.000156203 -13 *497:A *160:27 3.44412e-06 -14 *497:A *166:11 0.000256466 -15 *497:A *193:16 4.87439e-05 -16 *7:15 *8:7 0.00219509 -17 *7:15 *92:35 1.91246e-05 -18 *7:15 *153:29 5.04829e-06 -19 *7:15 *158:14 0.00040722 -20 *7:15 *194:23 0.000153864 -21 *7:15 *195:33 3.13169e-05 -22 *7:18 *157:16 3.96548e-05 -23 *7:18 *178:10 0 -24 *7:18 *178:16 1.55703e-05 -25 *7:27 *230:DIODE 0.000124667 -26 *7:27 *419:A 2.58757e-05 -27 *7:27 *429:A 7.92757e-06 -28 *7:27 *448:SET_B 1.91246e-05 -29 *7:27 *480:A 0.000197736 -30 *7:27 *481:A 8.65288e-05 -31 *7:27 *485:A 0.000137071 -32 *7:27 *92:10 0.000890602 -33 *7:27 *157:15 8.90033e-06 -34 *7:27 *194:13 0.000447312 -35 *7:27 *195:15 0.000346525 -36 *496:A *226:DIODE 6.27782e-05 -37 *1:30 *497:A 0 -38 *4:8 *7:15 0.000306985 -39 *5:8 *7:15 0.000787004 +1 gpio_defaults[3] 0.000905104 +2 *546:A 0.000357691 +3 *7:28 0.00169089 +4 *7:19 0.0022383 +5 *546:A *165:14 0.000243985 +6 *546:A *220:14 1.36606e-05 +7 *7:19 *429:A 0.00010383 +8 *7:19 *444:A 0.000312016 +9 *7:19 *450:A 5.31921e-05 +10 *7:19 *515:A 8.70662e-06 +11 *7:19 *516:A 0.000435724 +12 *7:19 *8:18 0 +13 *7:19 *8:39 7.47184e-06 +14 *7:19 *38:46 2.79162e-05 +15 *7:19 *60:18 0.000116946 +16 *7:19 *104:14 0.000144758 +17 *7:28 *480:SET_B 0.000340595 +18 *7:28 *523:A 0.000269785 +19 *7:28 *8:39 0.000548905 +20 *7:28 *150:19 0.000334167 +21 *544:A *546:A 6.85944e-06 +22 *544:A *7:28 0.00053778 +23 *545:A *546:A 0.000730428 +24 *545:A *7:28 1.59525e-05 +25 *6:24 *7:19 0.000311521 +26 *6:30 *7:19 1.85244e-05 +27 *6:30 *7:28 0.000106191 *RES -1 gpio_defaults[3] *7:15 41.8327 -2 *7:15 *7:17 9.24915 -3 *7:17 *7:18 359.259 -4 *7:18 *7:27 41.4684 -5 *7:27 *497:A 31.1495 -6 *7:27 *226:DIODE 11.0817 +1 gpio_defaults[3] *7:19 30.8142 +2 *7:19 *7:28 49.4247 +3 *7:28 *546:A 21.9206 *END -*D_NET *8 0.0145903 +*D_NET *8 0.0145649 *CONN *P gpio_defaults[4] I -*I *498:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *227:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *547:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[4] 0.00219278 -2 *498:A 0.000352361 -3 *227:DIODE 4.51842e-05 -4 *8:8 0.00168098 -5 *8:7 0.00347621 -6 *227:DIODE *499:A 6.08467e-05 -7 *498:A *230:DIODE 5.04829e-06 -8 *498:A *499:A 0.000815001 -9 *498:A *112:20 0.000339454 -10 *498:A *123:33 4.38803e-05 -11 *498:A *137:18 0.000193492 -12 *498:A *195:15 2.58616e-05 -13 *8:7 *9:11 0.0024883 -14 *8:7 *10:14 2.75706e-05 -15 *8:8 *137:18 0.000593935 -16 *8:8 *158:14 5.43679e-05 -17 *7:15 *8:7 0.00219509 +1 gpio_defaults[4] 0.00156318 +2 *547:A 0.000402054 +3 *8:39 0.00216015 +4 *8:18 0.00332127 +5 *547:A *486:D 1.80504e-05 +6 *547:A *486:SET_B 0.000103863 +7 *547:A *548:A 0.000199071 +8 *547:A *9:46 1.68214e-05 +9 *547:A *177:17 0 +10 *547:A *188:16 0.000112522 +11 *547:A *219:11 2.95784e-06 +12 *547:A *220:14 2.58616e-05 +13 *8:18 *419:A 4.24974e-05 +14 *8:18 *429:A 4.54793e-05 +15 *8:18 *9:25 0 +16 *8:18 *10:7 0.000811455 +17 *8:18 *11:10 0 +18 *8:18 *12:13 2.9569e-05 +19 *8:18 *60:18 0 +20 *8:18 *60:21 8.66716e-06 +21 *8:18 *100:16 0.000142867 +22 *8:18 *109:16 0.000193868 +23 *8:18 *114:14 0.000576786 +24 *8:18 *183:21 5.26844e-05 +25 *8:18 *210:16 0.0004416 +26 *8:39 *386:A 0.000287345 +27 *8:39 *423:A 5.46882e-05 +28 *8:39 *486:D 0.000278727 +29 *8:39 *486:SET_B 8.17847e-06 +30 *8:39 *490:D 0 +31 *8:39 *491:D 3.80582e-05 +32 *8:39 *493:D 0 +33 *8:39 *495:D 0.000235429 +34 *8:39 *499:D 0.000190199 +35 *8:39 *513:A 2.4703e-05 +36 *8:39 *9:46 0.00019234 +37 *8:39 *94:24 0.000115484 +38 *8:39 *150:19 1.86487e-05 +39 *8:39 *155:17 0.000496675 +40 *8:39 *163:304 0.000146035 +41 *8:39 *163:358 0.000286893 +42 *8:39 *169:20 1.11816e-05 +43 *8:39 *175:17 0.000476838 +44 *8:39 *177:38 0.00070009 +45 *8:39 *227:13 2.83016e-05 +46 *540:A *547:A 0 +47 *4:17 *547:A 0.000101888 +48 *6:24 *8:39 4.55433e-05 +49 *7:19 *8:18 0 +50 *7:19 *8:39 7.47184e-06 +51 *7:28 *8:39 0.000548905 *RES -1 gpio_defaults[4] *8:7 14.1406 -2 *8:7 *8:8 38.5818 -3 *8:8 *227:DIODE 14.4725 -4 *8:8 *498:A 29.3464 +1 gpio_defaults[4] *8:18 42.8384 +2 *8:18 *8:39 44.7464 +3 *8:39 *547:A 23.9867 *END -*D_NET *9 0.0171365 +*D_NET *9 0.0117698 *CONN *P gpio_defaults[5] I -*I *228:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *499:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *548:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[5] 0.00241322 -2 *228:DIODE 0 -3 *499:A 0.00100432 -4 *9:17 0.00133248 -5 *9:11 0.00274137 -6 *499:A *483:A 0.000325939 -7 *499:A *112:20 8.70662e-06 -8 *499:A *160:27 2.5433e-05 -9 *499:A *162:16 7.28567e-06 -10 *499:A *191:13 0.000203756 -11 *9:11 *229:DIODE 3.23874e-05 -12 *9:11 *451:CLK_N 6.84443e-05 -13 *9:11 *463:CLK 5.71849e-05 -14 *9:11 *464:CLK 0.000699986 -15 *9:11 *480:A 2.41274e-06 -16 *9:11 *10:14 0.00210544 -17 *9:11 *151:20 0.000364508 -18 *9:17 *393:A 0.000293672 -19 *9:17 *451:CLK_N 0.000224377 -20 *9:17 *454:RESET_B 2.32028e-05 -21 *9:17 *483:A 0.000112361 -22 *9:17 *152:38 3.88348e-05 -23 *9:17 *193:16 6.40758e-05 -24 *225:DIODE *499:A 6.59781e-05 -25 *227:DIODE *499:A 6.08467e-05 -26 *498:A *499:A 0.000815001 -27 *5:8 *9:11 0.00155702 -28 *8:7 *9:11 0.0024883 +1 gpio_defaults[5] 0.00172432 +2 *548:A 0.000198442 +3 *9:46 0.00111471 +4 *9:25 0.00264059 +5 *548:A *39:17 0 +6 *548:A *188:16 6.46815e-05 +7 *9:25 *417:A 2.71491e-05 +8 *9:25 *419:A 3.39313e-06 +9 *9:25 *445:A 0 +10 *9:25 *481:RESET_B 0.000216536 +11 *9:25 *489:RESET_B 9.61017e-05 +12 *9:25 *490:RESET_B 3.63806e-05 +13 *9:25 *491:D 0.000103912 +14 *9:25 *510:A 0.000216324 +15 *9:25 *518:A 1.9939e-05 +16 *9:25 *10:7 0 +17 *9:25 *11:10 0.000166665 +18 *9:25 *28:16 2.67387e-05 +19 *9:25 *56:11 6.93171e-05 +20 *9:25 *66:11 2.60879e-06 +21 *9:25 *94:45 0.000418304 +22 *9:25 *100:16 8.28334e-05 +23 *9:25 *109:16 7.67117e-05 +24 *9:25 *115:19 0 +25 *9:25 *177:38 0.000421006 +26 *9:25 *183:21 0.00051669 +27 *9:25 *210:16 7.61976e-06 +28 *9:25 *218:13 0.000552751 +29 *9:46 *389:A 6.27718e-05 +30 *9:46 *390:A 5.04829e-06 +31 *9:46 *392:A 8.84425e-05 +32 *9:46 *485:SET_B 2.16355e-05 +33 *9:46 *486:D 0.000103883 +34 *9:46 *486:SET_B 2.05405e-05 +35 *9:46 *494:D 9.91181e-05 +36 *9:46 *499:D 6.73435e-05 +37 *9:46 *505:TE 4.43727e-05 +38 *9:46 *520:A 7.72394e-06 +39 *9:46 *42:33 8.13026e-05 +40 *9:46 *89:30 0.000830399 +41 *9:46 *89:32 0.000158446 +42 *9:46 *89:39 0.000363581 +43 *9:46 *155:17 0.000194192 +44 *9:46 *158:21 1.65872e-05 +45 *9:46 *175:17 3.57473e-05 +46 *9:46 *177:17 0.000107496 +47 *9:46 *202:20 3.02737e-05 +48 *9:46 *218:8 0.000198588 +49 *547:A *548:A 0.000199071 +50 *547:A *9:46 1.68214e-05 +51 *4:17 *9:46 2.0381e-05 +52 *8:18 *9:25 0 +53 *8:39 *9:46 0.00019234 *RES -1 gpio_defaults[5] *9:11 47.592 -2 *9:11 *9:17 18.3388 -3 *9:17 *499:A 44.7215 -4 *9:17 *228:DIODE 9.24915 +1 gpio_defaults[5] *9:25 49.7402 +2 *9:25 *9:46 49.8152 +3 *9:46 *548:A 22.8808 *END -*D_NET *10 0.013554 +*D_NET *10 0.00688538 *CONN *P gpio_defaults[6] I -*I *229:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *480:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *530:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[6] 0.0035972 -2 *229:DIODE 0.000276541 -3 *480:A 0.000428432 -4 *10:14 0.00430217 -5 *229:DIODE *463:D 1.43698e-05 -6 *229:DIODE *93:23 9.67837e-05 -7 *229:DIODE *191:13 6.08467e-05 -8 *229:DIODE *193:38 0.000126495 -9 *229:DIODE *202:8 2.58757e-05 -10 *480:A *157:15 0 -11 *480:A *178:22 8.28297e-07 -12 *480:A *194:13 0.000189301 -13 *480:A *195:15 5.34182e-05 -14 *10:14 *359:B 0 -15 *10:14 *393:A 0.000453812 -16 *10:14 *451:CLK_N 0.000326584 -17 *10:14 *464:CLK 1.68911e-05 -18 *10:14 *12:20 0.000851469 -19 *10:14 *71:18 0.000118782 -20 *10:14 *151:20 6.95527e-05 -21 *494:A *480:A 0.000157074 -22 *4:8 *10:14 8.64865e-06 -23 *5:8 *229:DIODE 1.33682e-05 -24 *7:27 *480:A 0.000197736 -25 *8:7 *10:14 2.75706e-05 -26 *9:11 *229:DIODE 3.23874e-05 -27 *9:11 *480:A 2.41274e-06 -28 *9:11 *10:14 0.00210544 +1 gpio_defaults[6] 0.000973704 +2 *530:A 0.000679944 +3 *10:7 0.00165365 +4 *530:A *390:A 0.000166836 +5 *530:A *422:B_N 1.05798e-05 +6 *530:A *480:CLK_N 0.000266401 +7 *530:A *485:CLK_N 0.000116355 +8 *530:A *511:A 0.000296299 +9 *530:A *11:18 2.35188e-05 +10 *530:A *83:15 0.000132548 +11 *530:A *157:19 4.98339e-05 +12 *530:A *163:315 0.00032451 +13 *530:A *193:13 2.42877e-05 +14 *530:A *199:14 0.00029064 +15 *530:A *199:20 0.000317665 +16 *10:7 *418:B 4.0998e-05 +17 *10:7 *11:10 0 +18 *10:7 *11:18 0.000261969 +19 *10:7 *28:16 0.000304191 +20 *10:7 *94:45 5.1674e-06 +21 *10:7 *199:20 0.000125907 +22 *10:7 *210:16 8.92564e-06 +23 *8:18 *10:7 0.000811455 +24 *9:25 *10:7 0 *RES -1 gpio_defaults[6] *10:14 43.2949 -2 *10:14 *480:A 30.23 -3 *10:14 *229:DIODE 25.1315 +1 gpio_defaults[6] *10:7 26.2952 +2 *10:7 *530:A 39.0429 *END -*D_NET *11 0.0204043 +*D_NET *11 0.00795625 *CONN *P gpio_defaults[7] I -*I *230:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *481:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *531:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[7] 0.00109165 -2 *230:DIODE 0.00047081 -3 *481:A 0.000197161 -4 *11:23 0.00403232 -5 *11:16 0.00452776 -6 *11:10 0.00225507 -7 *230:DIODE *448:SET_B 2.18679e-05 -8 *230:DIODE *485:A 0.000105116 -9 *230:DIODE *53:28 9.29777e-05 -10 *230:DIODE *92:10 0.000122708 -11 *230:DIODE *194:13 0.000354699 -12 *481:A *485:A 4.34034e-05 -13 *481:A *194:13 0.000250029 -14 *11:16 pad_gpio_dm[0] 1.64979e-05 -15 *11:23 pad_gpio_ana_en 0 -16 *11:23 pad_gpio_ana_pol 0.000169791 -17 *11:23 pad_gpio_dm[1] 0.000214931 -18 *11:23 pad_gpio_dm[2] 7.62796e-06 -19 *11:23 pad_gpio_holdover 2.71414e-05 -20 *11:23 *398:A 0.000165025 -21 *11:23 *454:RESET_B 0.00354783 -22 *11:23 *97:23 0.000991671 -23 *11:23 *112:13 5.0192e-05 -24 *11:23 *161:22 3.4371e-05 -25 *498:A *230:DIODE 5.04829e-06 -26 *1:30 *230:DIODE 0.000143175 -27 *6:8 *11:16 0.00021944 -28 *6:21 *11:23 0.00103476 -29 *7:27 *230:DIODE 0.000124667 -30 *7:27 *481:A 8.65288e-05 +1 gpio_defaults[7] 0.000802506 +2 *531:A 0 +3 *11:18 0.00100465 +4 *11:10 0.00180716 +5 *11:10 *418:A 0.000158616 +6 *11:10 *419:A 0.000164638 +7 *11:10 *437:A 8.42821e-05 +8 *11:10 *476:D 0.000350854 +9 *11:10 *481:RESET_B 5.51483e-06 +10 *11:10 *510:A 2.16355e-05 +11 *11:10 *12:13 0 +12 *11:10 *28:16 0.000107496 +13 *11:10 *63:10 0.000120255 +14 *11:10 *66:11 0 +15 *11:18 *480:CLK_N 1.31918e-05 +16 *11:18 *493:RESET_B 3.38973e-05 +17 *11:18 *511:A 1.19971e-05 +18 *11:18 *532:A 0.000114584 +19 *11:18 *157:19 0.000577899 +20 *11:18 *158:21 6.64392e-05 +21 *11:18 *163:315 4.62046e-05 +22 *11:18 *163:352 9.28716e-05 +23 *11:18 *193:13 0.000442911 +24 *11:18 *210:8 0.0012638 +25 *11:18 *210:16 0.000212691 +26 *530:A *11:18 2.35188e-05 +27 *8:18 *11:10 0 +28 *9:25 *11:10 0.000166665 +29 *10:7 *11:10 0 +30 *10:7 *11:18 0.000261969 *RES -1 gpio_defaults[7] *11:10 38.2087 -2 *11:10 *11:16 42.6623 -3 *11:16 *11:23 13.7282 -4 *11:23 *481:A 20.137 -5 *11:23 *230:DIODE 27.6607 +1 gpio_defaults[7] *11:10 31.1972 +2 *11:10 *11:18 40.9035 +3 *11:18 *531:A 9.24915 *END -*D_NET *12 0.0173049 +*D_NET *12 0.00982024 *CONN *P gpio_defaults[8] I -*I *211:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *482:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *532:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[8] 0.00390157 -2 *211:DIODE 3.91168e-05 -3 *482:A 0 -4 *12:30 0.000429301 -5 *12:20 0.00429175 -6 *211:DIODE *483:A 0.000263835 -7 *211:DIODE *116:16 0.000114271 -8 *211:DIODE *159:17 6.47496e-05 -9 *12:20 *359:B 0.000515234 -10 *12:20 *393:A 8.16019e-06 -11 *12:20 *451:CLK_N 5.04829e-06 -12 *12:20 *464:CLK 0.000490501 -13 *12:20 *13:18 0.00412339 -14 *12:20 *15:15 0 -15 *12:20 *47:7 0.00062204 -16 *12:20 *71:18 8.72221e-06 -17 *12:20 *151:20 0.000226126 -18 *12:20 *153:29 0.000247443 -19 *12:20 *157:28 1.59634e-05 -20 *12:20 *158:14 0.000165005 -21 *12:20 *167:20 0.000225627 -22 *12:20 *178:15 0 -23 *12:20 *194:23 2.1109e-05 -24 *12:20 *195:33 3.46522e-06 -25 *12:30 *393:A 6.93171e-05 -26 *12:30 *398:A 9.57583e-05 -27 *12:30 *454:RESET_B 1.70073e-05 -28 *12:30 *15:15 4.7903e-06 -29 *12:30 *191:13 0 -30 *12:30 *193:16 0 -31 *221:DIODE *12:20 8.19941e-05 -32 *4:8 *12:20 0.000402153 -33 *10:14 *12:20 0.000851469 +1 gpio_defaults[8] 0.00158286 +2 *532:A 0.00042663 +3 *12:13 0.00200949 +4 *532:A *390:A 3.95516e-05 +5 *532:A *119:16 0.000353785 +6 *532:A *157:19 0.00016419 +7 *532:A *158:21 2.29454e-05 +8 *532:A *177:18 0.000154785 +9 *532:A *177:38 1.29445e-05 +10 *532:A *199:14 0.000748896 +11 *12:13 *413:A 9.5815e-05 +12 *12:13 *425:A 0.000147039 +13 *12:13 *429:A 0.000860268 +14 *12:13 *431:A 0.000108344 +15 *12:13 *437:A 1.21985e-05 +16 *12:13 *479:SET_B 3.1747e-05 +17 *12:13 *491:RESET_B 0.000363404 +18 *12:13 *562:A 4.43478e-05 +19 *12:13 *13:17 0 +20 *12:13 *63:10 6.96333e-05 +21 *12:13 *64:9 0.000103541 +22 *12:13 *66:11 0.000829559 +23 *12:13 *100:16 0.000727599 +24 *12:13 *163:358 0.000173637 +25 *12:13 *175:17 0.000508277 +26 *12:13 *177:38 4.74387e-05 +27 *12:13 *183:21 0 +28 *12:13 *199:14 3.71582e-05 +29 *8:18 *12:13 2.9569e-05 +30 *11:10 *12:13 0 +31 *11:18 *532:A 0.000114584 *RES -1 gpio_defaults[8] *12:20 49.7489 -2 *12:20 *12:30 13.3913 -3 *12:30 *482:A 9.24915 -4 *12:30 *211:DIODE 12.191 +1 gpio_defaults[8] *12:13 48.8648 +2 *12:13 *532:A 31.491 *END -*D_NET *13 0.0169182 +*D_NET *13 0.00896206 *CONN *P gpio_defaults[9] I -*I *483:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *533:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 gpio_defaults[9] 0.00363595 -2 *483:A 0.000184713 -3 *212:DIODE 0 -4 *13:20 0.000184713 -5 *13:18 0.00363595 -6 *483:A *116:16 6.05729e-05 -7 *483:A *159:17 2.54243e-05 -8 *483:A *160:27 4.17531e-06 -9 *483:A *162:16 6.78364e-06 -10 *13:18 *453:CLK_N 0.000133447 -11 *13:18 *454:RESET_B 0.0011455 -12 *13:18 *457:SET_B 2.29386e-05 -13 *13:18 *458:D 6.04178e-05 -14 *13:18 *93:23 1.21131e-05 -15 *13:18 *94:33 0.000399413 -16 *13:18 *103:11 0.00065446 -17 *13:18 *111:14 2.27901e-06 -18 *13:18 *152:17 7.34778e-05 -19 *13:18 *152:38 0.000186432 -20 *13:18 *153:29 0.000389292 -21 *13:18 *161:22 0.000242636 -22 *13:18 *164:65 4.62703e-05 -23 *13:18 *179:8 0 -24 *13:18 *206:10 0.000131565 -25 *13:18 *206:24 0.000755415 -26 *211:DIODE *483:A 0.000263835 -27 *499:A *483:A 0.000325939 -28 *2:10 *13:18 9.12047e-05 -29 *3:11 *13:18 7.49735e-06 -30 *4:8 *13:18 0 -31 *9:17 *483:A 0.000112361 -32 *12:20 *13:18 0.00412339 +1 gpio_defaults[9] 0.00140688 +2 *533:A 0.000692333 +3 *13:17 0.00209921 +4 *533:A *480:D 0.000439803 +5 *533:A *518:A 0.000107496 +6 *533:A *36:29 1.41181e-05 +7 *533:A *41:29 4.63873e-05 +8 *533:A *83:15 0.000126846 +9 *533:A *164:19 0.000599488 +10 *533:A *177:17 6.08467e-05 +11 *533:A *210:8 0.00102384 +12 *533:A *218:8 7.0705e-05 +13 *533:A *218:13 0.000196752 +14 *13:17 user_gpio_in 0 +15 *13:17 *430:A 5.20881e-05 +16 *13:17 *430:B 6.08502e-05 +17 *13:17 *431:A 0.00052355 +18 *13:17 *435:A 0.000237441 +19 *13:17 *495:D 0.000678976 +20 *13:17 *64:9 1.94585e-05 +21 *13:17 *69:14 0 +22 *13:17 *115:19 1.5714e-05 +23 *13:17 *155:17 0 +24 *13:17 *163:52 0.000141654 +25 *13:17 *175:17 0.000347627 +26 *2:15 *13:17 0 +27 *12:13 *13:17 0 *RES -1 gpio_defaults[9] *13:18 46.4675 -2 *13:18 *13:20 4.5 -3 *13:20 *212:DIODE 9.24915 -4 *13:20 *483:A 16.676 +1 gpio_defaults[9] *13:17 48.9353 +2 *13:17 *533:A 44.8146 *END -*D_NET *14 0.0055304 +*D_NET *14 0.00647005 *CONN *P mgmt_gpio_in O -*I *446:Z O *D sky130_fd_sc_hd__ebufn_1 +*I *474:Z O *D sky130_fd_sc_hd__ebufn_1 *CAP -1 mgmt_gpio_in 0.000532847 -2 *446:Z 0.00113542 -3 *14:10 0.00166827 -4 mgmt_gpio_in one 0.0007402 -5 mgmt_gpio_in *15:15 0.000551271 -6 *14:10 pad_gpio_holdover 0 -7 *14:10 pad_gpio_ib_mode_sel 4.25818e-05 -8 *14:10 *23:9 0.000859809 +1 mgmt_gpio_in 0.00121472 +2 *474:Z 0.00121472 +3 mgmt_gpio_in one 0.000777219 +4 mgmt_gpio_in zero 0.000866748 +5 mgmt_gpio_in *473:A1 0.000370419 +6 mgmt_gpio_in *15:14 0.000269018 +7 mgmt_gpio_in *50:25 3.87022e-06 +8 mgmt_gpio_in *136:11 0.00123501 +9 mgmt_gpio_in *153:51 1.5714e-05 +10 mgmt_gpio_in *169:20 0.00044069 +11 mgmt_gpio_in *186:8 6.19088e-05 *RES -1 *446:Z *14:10 47.8562 -2 *14:10 mgmt_gpio_in 2.66385 +1 *474:Z mgmt_gpio_in 46.3759 *END -*D_NET *15 0.0120854 +*D_NET *15 0.00519012 *CONN *P mgmt_gpio_oeb I -*I *484:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *534:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 mgmt_gpio_oeb 0.00417699 -2 *484:A 0.000132927 -3 *213:DIODE 0 -4 *15:15 0.00430992 -5 *484:A *485:A 0.000125746 -6 *15:15 one 7.91736e-05 -7 *15:15 *369:B_N 0.000185637 -8 *15:15 *400:A 9.63101e-05 -9 *15:15 *402:B 8.53037e-06 -10 *15:15 *451:CLK_N 6.08467e-05 -11 *15:15 *485:A 1.34424e-05 -12 *15:15 *16:15 0.0018947 -13 *15:15 *71:18 3.99086e-06 -14 *15:15 *92:35 0.000109923 -15 *15:15 *153:29 5.31024e-05 -16 *15:15 *167:20 4.81572e-05 -17 *15:15 *195:33 0.000229935 -18 mgmt_gpio_in *15:15 0.000551271 -19 *4:8 *15:15 0 -20 *12:20 *15:15 0 -21 *12:30 *15:15 4.7903e-06 +1 mgmt_gpio_oeb 0.000181921 +2 *534:A 0 +3 *15:21 2.37733e-05 +4 *15:14 0.00140764 +5 *15:10 0.00156579 +6 *15:10 *26:19 3.96379e-06 +7 *15:10 *26:21 6.19889e-06 +8 *15:14 one 0.000362329 +9 *15:14 *17:5 6.64392e-05 +10 *15:14 *17:21 9.17814e-05 +11 *15:14 *26:21 0.00114248 +12 *15:14 *136:11 6.08467e-05 +13 *15:21 *16:31 7.93958e-06 +14 mgmt_gpio_in *15:14 0.000269018 *RES -1 mgmt_gpio_oeb *15:15 33.1453 -2 *15:15 *213:DIODE 9.24915 -3 *15:15 *484:A 12.625 +1 mgmt_gpio_oeb *15:10 11.3485 +2 *15:10 *15:14 49.2753 +3 *15:14 *534:A 9.24915 +4 mgmt_gpio_oeb *15:21 0.0631875 *END -*D_NET *16 0.0133598 +*D_NET *16 0.00701656 *CONN *P mgmt_gpio_out I -*I *485:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *535:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *239:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 mgmt_gpio_out 0.00393674 -2 *485:A 0.000200101 -3 *214:DIODE 0 -4 *16:15 0.00413684 -5 *485:A *71:18 1.41976e-05 -6 *16:15 pad_gpio_ana_en 0.000330413 -7 *16:15 *71:18 1.58551e-05 -8 *16:15 *92:10 0 -9 *16:15 *137:18 5.38901e-05 -10 *16:15 *167:20 0.00189378 -11 *16:15 *178:9 7.08444e-05 -12 *16:15 *189:18 6.43178e-05 -13 *16:15 *191:13 0.000180398 -14 *230:DIODE *485:A 0.000105116 -15 *481:A *485:A 4.34034e-05 -16 *484:A *485:A 0.000125746 -17 *494:A *16:15 2.24271e-05 -18 *2:13 *16:15 0.000120517 -19 *7:27 *485:A 0.000137071 -20 *15:15 *485:A 1.34424e-05 -21 *15:15 *16:15 0.0018947 +1 mgmt_gpio_out 0.000129519 +2 *535:A 2.12792e-05 +3 *239:DIODE 4.98002e-05 +4 *16:31 2.64815e-05 +5 *16:16 0.000210662 +6 *16:14 0.00107103 +7 *16:10 0.00103449 +8 *239:DIODE *565:A 4.01946e-05 +9 *239:DIODE *161:11 4.89392e-05 +10 *16:10 pad_gpio_ana_en 0.00013212 +11 *16:10 *26:19 1.99543e-06 +12 *16:14 one 0.000166919 +13 *16:14 pad_gpio_ana_en 0.00107932 +14 *16:14 *412:A 1.4106e-05 +15 *16:14 *18:7 0.00171804 +16 *16:14 *26:19 3.35646e-06 +17 *16:14 *26:21 0.000735618 +18 *16:16 *412:A 0.000178363 +19 *16:16 *459:A 6.36477e-05 +20 *16:16 *482:RESET_B 0.000110306 +21 *16:16 *565:A 1.4106e-05 +22 *16:16 *48:12 6.08467e-05 +23 *16:16 *50:25 1.88152e-05 +24 *16:16 *161:11 7.86594e-05 +25 *15:21 *16:31 7.93958e-06 *RES -1 mgmt_gpio_out *16:15 28.6512 -2 *16:15 *214:DIODE 9.24915 -3 *16:15 *485:A 24.2875 +1 mgmt_gpio_out *16:10 10.9332 +2 *16:10 *16:14 46.3576 +3 *16:14 *16:16 5.71483 +4 *16:16 *239:DIODE 11.0817 +5 *16:16 *535:A 9.82786 +6 mgmt_gpio_out *16:31 0.0631875 *END -*D_NET *17 0.00637784 +*D_NET *17 0.00560202 *CONN *P one O -*I *435:A I *D sky130_fd_sc_hd__and2_1 -*I *215:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *216:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *476:HI O *D sky130_fd_sc_hd__conb_1 +*I *463:A I *D sky130_fd_sc_hd__and2_1 +*I *234:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *235:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *504:HI O *D sky130_fd_sc_hd__conb_1 *CAP -1 one 0.00096213 -2 *435:A 0 -3 *215:DIODE 1.25637e-05 -4 *216:DIODE 4.28882e-05 -5 *476:HI 0 -6 *17:26 0.000458492 -7 *17:14 0.00193099 -8 *17:4 0.000565824 -9 one zero 6.67511e-05 -10 *215:DIODE *119:16 6.46815e-05 -11 *215:DIODE *171:13 2.58757e-05 -12 *216:DIODE *128:15 6.27782e-05 -13 *17:14 *433:A 3.98512e-05 -14 *17:14 *47:7 6.87575e-06 -15 *17:14 *128:15 0.000146858 -16 *17:14 *164:192 0.000125076 -17 *17:26 pad_gpio_ana_en 0.000154145 -18 *17:26 *382:A 1.44054e-05 -19 *17:26 *433:A 1.02448e-05 -20 *17:26 *76:14 0.000138747 -21 *17:26 *119:16 0.000209836 -22 *17:26 *164:192 4.88625e-05 -23 *17:26 *171:13 0.000151928 -24 mgmt_gpio_in one 0.0007402 -25 *2:13 *17:26 0.000115903 -26 *4:8 one 0.000202758 -27 *15:15 one 7.91736e-05 +1 one 0.000966791 +2 *463:A 2.0864e-05 +3 *234:DIODE 6.36588e-05 +4 *235:DIODE 0 +5 *504:HI 0 +6 *17:21 0.000517438 +7 *17:5 0.000444327 +8 *17:4 0.000978203 +9 one zero 4.69495e-06 +10 one *18:7 0.000149213 +11 one *23:16 0 +12 one *35:11 0 +13 *463:A *463:B 9.95922e-06 +14 *17:5 *26:21 1.88014e-05 +15 *17:21 *459:A 0.000116652 +16 *17:21 *463:B 1.92337e-05 +17 *17:21 *551:A 0.000158002 +18 *17:21 *554:A 1.88563e-05 +19 *17:21 *20:9 1.5562e-05 +20 *17:21 *23:16 0.000110458 +21 *17:21 *26:21 0.000267404 +22 *17:21 *48:12 0.000158651 +23 *17:21 *119:16 6.36477e-05 +24 *17:21 *160:19 1.16107e-05 +25 *17:21 *161:11 2.3301e-05 +26 mgmt_gpio_in one 0.000777219 +27 *15:14 one 0.000362329 +28 *15:14 *17:5 6.64392e-05 +29 *15:14 *17:21 9.17814e-05 +30 *16:14 one 0.000166919 *RES -1 *476:HI *17:4 9.24915 -2 *17:4 *216:DIODE 9.97254 -3 *17:4 *17:14 16.956 -4 *17:14 one 7.02701 -5 *17:14 *17:26 18.486 -6 *17:26 *215:DIODE 9.97254 -7 *17:26 *435:A 9.24915 +1 *504:HI *17:4 9.24915 +2 *17:4 *17:5 0.723396 +3 *17:5 *235:DIODE 9.24915 +4 *17:5 *17:21 23.2741 +5 *17:21 *234:DIODE 10.5271 +6 *17:21 *463:A 9.82786 +7 *17:4 one 12.5175 *END -*D_NET *18 0.00409415 +*D_NET *18 0.0054761 *CONN *P pad_gpio_ana_en O -*I *500:X O *D sky130_fd_sc_hd__buf_2 +*I *549:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_ana_en 0.000812713 -2 *500:X 0.000812713 -3 pad_gpio_ana_en pad_gpio_ana_pol 9.82797e-05 -4 pad_gpio_ana_en pad_gpio_dm[0] 0 -5 pad_gpio_ana_en pad_gpio_dm[1] 0 -6 *2:13 pad_gpio_ana_en 0.00188588 -7 *3:11 pad_gpio_ana_en 0 -8 *11:23 pad_gpio_ana_en 0 -9 *16:15 pad_gpio_ana_en 0.000330413 -10 *17:26 pad_gpio_ana_en 0.000154145 +1 pad_gpio_ana_en 0.000711907 +2 *549:X 0.000416626 +3 *18:7 0.00112853 +4 pad_gpio_ana_en *20:9 0 +5 pad_gpio_ana_en *20:16 8.85191e-05 +6 pad_gpio_ana_en *26:19 5.18137e-05 +7 *18:7 *20:9 0 +8 one *18:7 0.000149213 +9 *16:10 pad_gpio_ana_en 0.00013212 +10 *16:14 pad_gpio_ana_en 0.00107932 +11 *16:14 *18:7 0.00171804 *RES -1 *500:X pad_gpio_ana_en 40.489 +1 *549:X *18:7 28.0213 +2 *18:7 pad_gpio_ana_en 37.9425 *END -*D_NET *19 0.00308033 +*D_NET *19 0.0037203 *CONN *P pad_gpio_ana_pol O -*I *501:X O *D sky130_fd_sc_hd__buf_2 +*I *550:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_ana_pol 0.0012639 -2 *501:X 0.0012639 -3 pad_gpio_ana_pol pad_gpio_ana_sel 7.2593e-05 -4 pad_gpio_ana_pol pad_gpio_dm[0] 7.50634e-05 -5 pad_gpio_ana_pol *20:12 9.56919e-05 -6 pad_gpio_ana_pol *34:13 0 -7 pad_gpio_ana_pol *34:20 0 -8 pad_gpio_ana_en pad_gpio_ana_pol 9.82797e-05 -9 *3:11 pad_gpio_ana_pol 4.10997e-05 -10 *6:8 pad_gpio_ana_pol 0 -11 *11:23 pad_gpio_ana_pol 0.000169791 +1 pad_gpio_ana_pol 6.97707e-05 +2 *550:X 0.000916732 +3 *19:10 0.000986503 +4 pad_gpio_ana_pol pad_gpio_ana_sel 3.84497e-05 +5 pad_gpio_ana_pol *23:16 4.07914e-06 +6 *19:10 *20:9 0 +7 *19:10 *20:16 0 +8 *19:10 *36:15 0.00106563 +9 *19:10 *36:29 0.000391697 +10 *19:10 *50:25 0.000247443 *RES -1 *501:X pad_gpio_ana_pol 49.0074 +1 *550:X *19:10 49.8323 +2 *19:10 pad_gpio_ana_pol 0.216168 *END -*D_NET *20 0.00520062 +*D_NET *20 0.00818947 *CONN *P pad_gpio_ana_sel O -*I *502:X O *D sky130_fd_sc_hd__buf_2 +*I *551:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_ana_sel 2.78873e-05 -2 *502:X 0.00124508 -3 *20:12 0.00127297 -4 pad_gpio_ana_sel pad_gpio_dm[0] 3.84497e-05 -5 *20:12 *76:14 0.000608581 -6 pad_gpio_ana_pol pad_gpio_ana_sel 7.2593e-05 -7 pad_gpio_ana_pol *20:12 9.56919e-05 -8 *2:13 *20:12 0 -9 *3:11 *20:12 0.00176144 -10 *3:20 *20:12 7.79287e-05 +1 pad_gpio_ana_sel 0.000364287 +2 *551:X 0.000916557 +3 *20:16 0.00118573 +4 *20:9 0.001738 +5 pad_gpio_ana_sel pad_gpio_dm[0] 3.84497e-05 +6 pad_gpio_ana_sel pad_gpio_dm[2] 0.000786737 +7 pad_gpio_ana_sel *42:17 0.000301917 +8 *20:9 *404:A 6.27782e-05 +9 *20:9 *460:B_N 1.82679e-05 +10 *20:9 *461:A 0.000205101 +11 *20:9 *554:A 7.76105e-06 +12 *20:9 *23:16 0.000233562 +13 *20:9 *50:25 0.000129063 +14 *20:9 *174:11 1.45322e-05 +15 *20:16 *23:22 0.00201595 +16 *20:16 *26:19 2.82537e-05 +17 pad_gpio_ana_en *20:9 0 +18 pad_gpio_ana_en *20:16 8.85191e-05 +19 pad_gpio_ana_pol pad_gpio_ana_sel 3.84497e-05 +20 *17:21 *20:9 1.5562e-05 +21 *18:7 *20:9 0 +22 *19:10 *20:9 0 +23 *19:10 *20:16 0 *RES -1 *502:X *20:12 49.6866 -2 *20:12 pad_gpio_ana_sel 3.58495 +1 *551:X *20:9 34.4233 +2 *20:9 *20:16 41.0803 +3 *20:16 pad_gpio_ana_sel 26.3797 *END -*D_NET *21 0.00584683 +*D_NET *21 0.00349816 *CONN *P pad_gpio_dm[0] O -*I *503:X O *D sky130_fd_sc_hd__buf_2 +*I *552:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_dm[0] 0.000227811 -2 *503:X 0.000432711 -3 *21:9 0.000660521 -4 pad_gpio_dm[0] pad_gpio_dm[1] 0.000309505 -5 pad_gpio_dm[0] *34:13 5.56367e-05 -6 *21:9 *22:13 0.00126398 -7 *21:9 *34:13 0.00031187 -8 *21:9 *34:20 0.00214763 -9 pad_gpio_ana_en pad_gpio_dm[0] 0 -10 pad_gpio_ana_pol pad_gpio_dm[0] 7.50634e-05 -11 pad_gpio_ana_sel pad_gpio_dm[0] 3.84497e-05 -12 *6:21 *21:9 0.000307158 -13 *11:16 pad_gpio_dm[0] 1.64979e-05 +1 pad_gpio_dm[0] 3.37372e-05 +2 *552:X 0.00117807 +3 *21:8 0.00121181 +4 pad_gpio_dm[0] pad_gpio_dm[1] 4.31122e-05 +5 *21:8 serial_load_out 9.82882e-05 +6 *21:8 *472:A1 0.000247443 +7 *21:8 *473:A1 0.000294093 +8 *21:8 *552:A 2.16355e-05 +9 *21:8 *39:29 0.000149001 +10 *21:8 *42:17 0 +11 *21:8 *79:15 1.30118e-05 +12 *21:8 *160:19 1.34424e-05 +13 *21:8 *160:28 0.000156076 +14 pad_gpio_ana_sel pad_gpio_dm[0] 3.84497e-05 *RES -1 *503:X *21:9 45.9644 -2 *21:9 pad_gpio_dm[0] 9.09957 +1 *552:X *21:8 47.7093 +2 *21:8 pad_gpio_dm[0] 3.58495 *END -*D_NET *22 0.00527459 +*D_NET *22 0.00429389 *CONN *P pad_gpio_dm[1] O -*I *504:X O *D sky130_fd_sc_hd__buf_2 +*I *553:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_dm[1] 0.00024029 -2 *504:X 0.00103875 -3 *22:13 0.00127904 -4 pad_gpio_dm[1] pad_gpio_dm[2] 3.31632e-05 -5 pad_gpio_dm[1] *34:13 1.45398e-05 -6 *22:13 pad_gpio_holdover 0.000127226 -7 *22:13 *445:A1 0.000269312 -8 *22:13 *503:A 2.16355e-05 -9 *22:13 *504:A 0.000203756 -10 *22:13 *506:A 0.000109427 -11 *22:13 *23:9 9.50523e-05 -12 *22:13 *34:20 3.82658e-05 -13 pad_gpio_ana_en pad_gpio_dm[1] 0 -14 pad_gpio_dm[0] pad_gpio_dm[1] 0.000309505 -15 *6:21 pad_gpio_dm[1] 1.5714e-05 -16 *11:23 pad_gpio_dm[1] 0.000214931 -17 *21:9 *22:13 0.00126398 +1 pad_gpio_dm[1] 0.00018456 +2 *553:X 0.00125522 +3 *22:7 0.00143978 +4 pad_gpio_dm[1] pad_gpio_dm[2] 3.84497e-05 +5 pad_gpio_dm[1] serial_load_out 0.000343222 +6 *22:7 pad_gpio_holdover 0.000564805 +7 *22:7 *474:A 0.000114594 +8 *22:7 *136:11 0.000304143 +9 *22:7 *153:39 6.00782e-06 +10 pad_gpio_dm[0] pad_gpio_dm[1] 4.31122e-05 *RES -1 *504:X *22:13 46.9622 -2 *22:13 pad_gpio_dm[1] 12.8456 +1 *553:X *22:7 46.0849 +2 *22:7 pad_gpio_dm[1] 9.36185 *END -*D_NET *23 0.00495933 +*D_NET *23 0.0105938 *CONN *P pad_gpio_dm[2] O -*I *505:X O *D sky130_fd_sc_hd__buf_2 +*I *554:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_dm[2] 0.000218372 -2 *505:X 0.00121874 -3 *23:9 0.00143712 -4 pad_gpio_dm[2] pad_gpio_holdover 0.000107462 -5 pad_gpio_dm[2] *34:13 0.000265084 -6 *23:9 pad_gpio_ib_mode_sel 0.000155056 -7 *23:9 *430:A 0.000159032 -8 *23:9 *446:TE_B 7.52954e-05 -9 *23:9 *505:A 1.65872e-05 -10 *23:9 *162:23 0.000298979 -11 pad_gpio_dm[1] pad_gpio_dm[2] 3.31632e-05 -12 *1:11 *23:9 1.19465e-05 -13 *11:23 pad_gpio_dm[2] 7.62796e-06 -14 *14:10 *23:9 0.000859809 -15 *22:13 *23:9 9.50523e-05 +1 pad_gpio_dm[2] 0.000498223 +2 *554:X 0.00167986 +3 *23:22 0.000976017 +4 *23:16 0.00215765 +5 pad_gpio_dm[2] pad_gpio_holdover 5.76421e-05 +6 pad_gpio_dm[2] serial_load_out 0.000348994 +7 pad_gpio_dm[2] *36:15 0.000840048 +8 pad_gpio_dm[2] *41:8 0.000247244 +9 pad_gpio_dm[2] *42:17 0 +10 *23:16 *412:A 1.20726e-05 +11 *23:16 *42:33 0.000100244 +12 *23:16 *160:19 0.000133334 +13 *23:16 *184:8 4.2185e-05 +14 *23:22 *26:19 0.000311015 +15 *23:22 *36:15 0 +16 one *23:16 0 +17 pad_gpio_ana_pol *23:16 4.07914e-06 +18 pad_gpio_ana_sel pad_gpio_dm[2] 0.000786737 +19 pad_gpio_dm[1] pad_gpio_dm[2] 3.84497e-05 +20 *17:21 *23:16 0.000110458 +21 *20:9 *23:16 0.000233562 +22 *20:16 *23:22 0.00201595 *RES -1 *505:X *23:9 46.4799 -2 *23:9 pad_gpio_dm[2] 12.8289 +1 *554:X *23:16 32.306 +2 *23:16 *23:22 32.6247 +3 *23:22 pad_gpio_dm[2] 36.5015 *END -*D_NET *24 0.0065032 +*D_NET *24 0.0100681 *CONN *P pad_gpio_holdover O -*I *506:X O *D sky130_fd_sc_hd__buf_2 +*I *555:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_holdover 0.0015216 -2 *506:X 0.0015216 -3 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000868359 -4 pad_gpio_holdover *442:A0 0.000112532 -5 pad_gpio_holdover *442:A1 9.94284e-06 -6 pad_gpio_holdover *446:A 0.000398179 -7 pad_gpio_holdover *510:A 0.000163168 -8 pad_gpio_dm[2] pad_gpio_holdover 0.000107462 -9 *6:21 pad_gpio_holdover 0.00164599 -10 *11:23 pad_gpio_holdover 2.71414e-05 -11 *14:10 pad_gpio_holdover 0 -12 *22:13 pad_gpio_holdover 0.000127226 +1 pad_gpio_holdover 0.000776249 +2 *555:X 0.00183928 +3 *24:26 0.00261553 +4 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000700674 +5 *24:26 *386:A 2.91008e-06 +6 *24:26 *394:A 2.02035e-05 +7 *24:26 *485:RESET_B 3.17436e-05 +8 *24:26 *505:A 4.9696e-05 +9 *24:26 *505:TE 0.000157392 +10 *24:26 *528:A 0.000198948 +11 *24:26 *42:33 0.000322107 +12 *24:26 *79:15 0.000222501 +13 *24:26 *132:14 5.32471e-05 +14 *24:26 *160:28 0.000322047 +15 *24:26 *167:19 0.000191416 +16 *24:26 *169:20 0.00178628 +17 *24:26 *170:18 3.47489e-06 +18 *24:26 *184:8 0.000130085 +19 pad_gpio_dm[2] pad_gpio_holdover 5.76421e-05 +20 *540:A *24:26 2.19079e-05 +21 *22:7 pad_gpio_holdover 0.000564805 *RES -1 *506:X pad_gpio_holdover 40.8956 +1 *555:X *24:26 47.1562 +2 *24:26 pad_gpio_holdover 3.65823 *END -*D_NET *25 0.0065393 +*D_NET *25 0.00731394 *CONN *P pad_gpio_ib_mode_sel O -*I *507:X O *D sky130_fd_sc_hd__buf_2 +*I *556:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_ib_mode_sel 0.00228084 -2 *507:X 0.00228084 +1 pad_gpio_ib_mode_sel 0.00254188 +2 *556:X 0.00254188 3 pad_gpio_ib_mode_sel pad_gpio_inenb 0 -4 pad_gpio_ib_mode_sel pad_gpio_out 0 -5 pad_gpio_ib_mode_sel *446:TE_B 0.000356681 -6 pad_gpio_ib_mode_sel *475:A 0.000112361 -7 pad_gpio_ib_mode_sel *477:A 0.000124975 -8 pad_gpio_ib_mode_sel *505:A 0.000102403 -9 pad_gpio_ib_mode_sel *26:20 6.23168e-05 -10 pad_gpio_ib_mode_sel *162:23 9.80242e-07 -11 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000868359 -12 *1:30 pad_gpio_ib_mode_sel 8.49477e-05 -13 *6:21 pad_gpio_ib_mode_sel 6.69491e-05 -14 *14:10 pad_gpio_ib_mode_sel 4.25818e-05 -15 *23:9 pad_gpio_ib_mode_sel 0.000155056 +4 pad_gpio_ib_mode_sel *375:A 3.96696e-05 +5 pad_gpio_ib_mode_sel *456:A 0.000386189 +6 pad_gpio_ib_mode_sel *526:A 0.000280657 +7 pad_gpio_ib_mode_sel *26:13 4.31122e-05 +8 pad_gpio_ib_mode_sel *133:14 5.79479e-06 +9 pad_gpio_ib_mode_sel *158:38 0.000100119 +10 pad_gpio_ib_mode_sel *170:18 0 +11 pad_gpio_ib_mode_sel *178:7 0.000294093 +12 pad_gpio_ib_mode_sel *188:46 0.000317787 +13 pad_gpio_ib_mode_sel *224:8 6.00813e-05 +14 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000700674 +15 *4:17 pad_gpio_ib_mode_sel 1.99596e-06 *RES -1 *507:X pad_gpio_ib_mode_sel 39.5598 +1 *556:X pad_gpio_ib_mode_sel 39.0811 *END -*D_NET *26 0.0142844 +*D_NET *26 0.0093771 *CONN *P pad_gpio_in I -*I *486:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *217:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *536:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *236:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 pad_gpio_in 0.00329337 -2 *486:A 2.3034e-05 -3 *217:DIODE 4.57456e-05 -4 *26:23 0.00015385 -5 *26:20 0.00337844 -6 *217:DIODE *156:21 0.000168313 -7 *26:20 pad_gpio_inenb 8.7566e-05 -8 *26:20 pad_gpio_out 0.00020851 -9 *26:20 pad_gpio_vtrip_sel 0.000879129 -10 *26:20 serial_clock_out 0.00288835 -11 *26:20 *426:A 1.85244e-05 -12 *26:20 *427:A 0.000360909 -13 *26:20 *460:RESET_B 2.02035e-05 -14 *26:20 *461:RESET_B 0.000251454 -15 *26:20 *27:8 0.00035316 -16 *26:20 *34:13 0.000496591 -17 *26:20 *108:21 5.11322e-06 -18 *26:20 *119:20 0 -19 *26:20 *123:14 0.000208369 -20 *26:20 *123:20 7.38654e-05 -21 *26:20 *156:21 8.65114e-05 -22 *26:20 *168:18 0.000602432 -23 *26:20 *193:16 0.000207327 -24 *26:20 *198:17 4.69495e-06 -25 *26:23 *449:SET_B 6.08467e-05 -26 *26:23 *152:38 5.481e-05 -27 *26:23 *156:21 0.000171433 -28 pad_gpio_ib_mode_sel *26:20 6.23168e-05 -29 *1:30 *26:20 0.000119563 +1 pad_gpio_in 0.00075934 +2 *536:A 3.64011e-05 +3 *236:DIODE 0.000152016 +4 *26:21 0.00141505 +5 *26:19 0.00220372 +6 *26:13 0.00173643 +7 *236:DIODE *563:A 5.93034e-05 +8 *236:DIODE *162:8 0.000114594 +9 *26:13 pad_gpio_inenb 3.84497e-05 +10 *26:13 serial_load_out 2.37478e-05 +11 *26:19 *42:12 0 +12 *26:21 *563:A 9.94284e-06 +13 *26:21 *160:19 0.000214105 +14 pad_gpio_ana_en *26:19 5.18137e-05 +15 pad_gpio_ib_mode_sel *26:13 4.31122e-05 +16 *15:10 *26:19 3.96379e-06 +17 *15:10 *26:21 6.19889e-06 +18 *15:14 *26:21 0.00114248 +19 *16:10 *26:19 1.99543e-06 +20 *16:14 *26:19 3.35646e-06 +21 *16:14 *26:21 0.000735618 +22 *17:5 *26:21 1.88014e-05 +23 *17:21 *26:21 0.000267404 +24 *20:16 *26:19 2.82537e-05 +25 *23:22 *26:19 0.000311015 *RES -1 pad_gpio_in *26:20 49.024 -2 *26:20 *26:23 7.44181 -3 *26:23 *217:DIODE 11.0817 -4 *26:23 *486:A 9.82786 +1 pad_gpio_in *26:13 31.9889 +2 *26:13 *26:19 33.6598 +3 *26:19 *26:21 52.5788 +4 *26:21 *236:DIODE 22.4683 +5 *26:21 *536:A 10.2378 *END -*D_NET *27 0.0041474 +*D_NET *27 0.00556349 *CONN *P pad_gpio_inenb O -*I *508:X O *D sky130_fd_sc_hd__buf_2 +*I *557:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_inenb 0.000135312 -2 *508:X 0.00100322 -3 *27:8 0.00113853 -4 pad_gpio_inenb pad_gpio_out 0.000118026 -5 *27:8 pad_gpio_outenb 0 -6 *27:8 pad_gpio_vtrip_sel 0.000132095 -7 *27:8 *29:11 0.000611509 -8 *27:8 *34:13 6.77022e-05 -9 *27:8 *39:21 0.000500287 -10 pad_gpio_ib_mode_sel pad_gpio_inenb 0 -11 *26:20 pad_gpio_inenb 8.7566e-05 -12 *26:20 *27:8 0.00035316 +1 pad_gpio_inenb 0.00187656 +2 *557:X 0.000579999 +3 *27:11 0.00245656 +4 pad_gpio_inenb pad_gpio_outenb 0.000278545 +5 pad_gpio_inenb *477:RESET_B 0 +6 pad_gpio_inenb *537:A 0 +7 pad_gpio_inenb *160:28 0 +8 *27:11 *400:A 7.52263e-05 +9 *27:11 *31:15 0.000243762 +10 *27:11 *186:7 1.43832e-05 +11 pad_gpio_ib_mode_sel pad_gpio_inenb 0 +12 *26:13 pad_gpio_inenb 3.84497e-05 *RES -1 *508:X *27:8 47.5588 -2 *27:8 pad_gpio_inenb 4.02727 +1 *557:X *27:11 26.3121 +2 *27:11 pad_gpio_inenb 36.2766 *END -*D_NET *28 0.00529617 +*D_NET *28 0.0150944 *CONN *P pad_gpio_out O -*I *509:X O *D sky130_fd_sc_hd__buf_2 +*I *558:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_out 0.00122801 -2 *509:X 0.00122801 -3 pad_gpio_out pad_gpio_outenb 7.2593e-05 -4 pad_gpio_out pad_gpio_vtrip_sel 4.45159e-05 -5 pad_gpio_out resetn_out 2.27135e-05 -6 pad_gpio_out *442:S 0.000141689 -7 pad_gpio_out *445:S 0.000145508 -8 pad_gpio_out *508:A 1.12967e-05 -9 pad_gpio_out *509:A 9.08325e-05 -10 pad_gpio_ib_mode_sel pad_gpio_out 0 -11 pad_gpio_inenb pad_gpio_out 0.000118026 -12 *1:30 pad_gpio_out 0.00198445 -13 *26:20 pad_gpio_out 0.00020851 +1 pad_gpio_out 0.000495178 +2 *558:X 0.00357838 +3 *28:24 1.65112e-05 +4 *28:17 0.00233019 +5 *28:16 0.00542991 +6 pad_gpio_out *36:8 0.00125624 +7 *28:16 serial_data_out 3.52699e-05 +8 *28:16 serial_load_out 4.51062e-05 +9 *28:16 *419:A 3.73237e-05 +10 *28:16 *437:A 0.000232357 +11 *28:16 *38:5 0.000511393 +12 *28:16 *38:12 4.91908e-05 +13 *28:16 *115:19 6.20863e-05 +14 *28:16 *163:76 0.000124482 +15 *28:16 *182:16 0.000115554 +16 *28:16 *183:21 1.88646e-05 +17 *28:16 *185:16 7.94125e-06 +18 *28:16 *199:20 0.000309944 +19 *9:25 *28:16 2.67387e-05 +20 *10:7 *28:16 0.000304191 +21 *11:10 *28:16 0.000107496 *RES -1 *509:X pad_gpio_out 31.3503 +1 *558:X *28:16 42.9002 +2 *28:16 *28:17 51.1923 +3 *28:17 pad_gpio_out 29.01 +4 pad_gpio_out *28:24 0.0631875 *END -*D_NET *29 0.00400313 +*D_NET *29 0.00598092 *CONN *P pad_gpio_outenb O -*I *510:X O *D sky130_fd_sc_hd__buf_2 +*I *559:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_outenb 0.00083346 -2 *510:X 0.000419512 -3 *29:12 0.0010068 -4 *29:11 0.000592852 -5 pad_gpio_outenb pad_gpio_slow_sel 6.67511e-05 -6 pad_gpio_outenb *34:13 7.22422e-05 -7 *29:11 *440:A 0.000117376 -8 *29:11 *508:A 1.03403e-05 -9 *29:11 *39:21 0.000199699 -10 pad_gpio_out pad_gpio_outenb 7.2593e-05 -11 *27:8 pad_gpio_outenb 0 -12 *27:8 *29:11 0.000611509 +1 pad_gpio_outenb 0.00266283 +2 *559:X 0.00266283 +3 pad_gpio_outenb pad_gpio_slow_sel 5.7978e-05 +4 pad_gpio_outenb *377:B 3.37938e-05 +5 pad_gpio_outenb *456:A 0 +6 pad_gpio_outenb *488:D 0.000164073 +7 pad_gpio_outenb *501:A 0 +8 pad_gpio_outenb *157:22 0.000120872 +9 pad_gpio_outenb *158:21 0 +10 pad_gpio_inenb pad_gpio_outenb 0.000278545 *RES -1 *510:X *29:11 30.5067 -2 *29:11 *29:12 266.547 -3 *29:12 pad_gpio_outenb 38.6777 +1 *559:X pad_gpio_outenb 26.9952 *END -*D_NET *30 0.00367393 +*D_NET *30 0.0105277 *CONN *P pad_gpio_slow_sel O -*I *511:X O *D sky130_fd_sc_hd__buf_2 +*I *560:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_slow_sel 0.00023705 -2 *511:X 0.00109811 -3 *30:9 0.00133516 -4 pad_gpio_slow_sel pad_gpio_vtrip_sel 3.84497e-05 -5 pad_gpio_slow_sel *34:13 0.000434561 -6 *30:9 pad_gpio_vtrip_sel 1.40978e-05 -7 *30:9 resetn_out 0.000346439 -8 *30:9 *511:A 4.65954e-06 -9 *30:9 *39:21 9.86476e-05 -10 *30:9 *42:8 0 -11 pad_gpio_outenb pad_gpio_slow_sel 6.67511e-05 +1 pad_gpio_slow_sel 0.000448252 +2 *560:X 0.000148106 +3 *30:15 0.00120624 +4 *30:8 0.000906093 +5 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00130373 +6 pad_gpio_slow_sel serial_data_out 5.93996e-05 +7 pad_gpio_slow_sel serial_load_out 3.77575e-05 +8 pad_gpio_slow_sel *501:A 0.000214931 +9 pad_gpio_slow_sel *41:8 0.00160168 +10 *30:8 *475:SET_B 3.9266e-05 +11 *30:8 *114:8 0 +12 *30:15 user_gpio_in 0.000201768 +13 *30:15 *37:9 0.0033694 +14 *30:15 *61:27 5.44727e-05 +15 *30:15 *63:13 1.4091e-06 +16 *30:15 *64:9 6.49003e-05 +17 *30:15 *105:9 0.000804365 +18 *30:15 *109:16 7.92757e-06 +19 pad_gpio_outenb pad_gpio_slow_sel 5.7978e-05 *RES -1 *511:X *30:9 43.336 -2 *30:9 pad_gpio_slow_sel 11.0229 +1 *560:X *30:8 21.3269 +2 *30:8 *30:15 44.7932 +3 *30:15 pad_gpio_slow_sel 30.5668 *END -*D_NET *31 0.00744061 +*D_NET *31 0.00730547 *CONN *P pad_gpio_vtrip_sel O -*I *512:X O *D sky130_fd_sc_hd__buf_2 +*I *561:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 pad_gpio_vtrip_sel 0.00196323 -2 *512:X 0.00196323 -3 pad_gpio_vtrip_sel resetn_out 0.00145961 -4 pad_gpio_vtrip_sel serial_clock_out 0.000845223 -5 pad_gpio_vtrip_sel *487:A 0.000101033 -6 pad_gpio_out pad_gpio_vtrip_sel 4.45159e-05 -7 pad_gpio_slow_sel pad_gpio_vtrip_sel 3.84497e-05 -8 *26:20 pad_gpio_vtrip_sel 0.000879129 -9 *27:8 pad_gpio_vtrip_sel 0.000132095 -10 *30:9 pad_gpio_vtrip_sel 1.40978e-05 +1 pad_gpio_vtrip_sel 0.000278982 +2 *561:X 0.00160878 +3 *31:15 0.00188776 +4 pad_gpio_vtrip_sel serial_data_out 1.55666e-05 +5 pad_gpio_vtrip_sel serial_load_out 0.0012252 +6 pad_gpio_vtrip_sel *501:A 0.000148852 +7 pad_gpio_vtrip_sel *537:A 5.76421e-05 +8 *31:15 *400:A 7.82396e-05 +9 *31:15 *440:A 6.95572e-05 +10 *31:15 *37:9 0 +11 *31:15 *38:5 0.000148282 +12 *31:15 *81:10 6.08467e-05 +13 *31:15 *181:13 0.000115489 +14 *31:15 *186:7 6.27782e-05 +15 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00130373 +16 *27:11 *31:15 0.000243762 *RES -1 *512:X pad_gpio_vtrip_sel 43.6358 +1 *561:X *31:15 49.47 +2 *31:15 pad_gpio_vtrip_sel 28.9639 *END -*D_NET *32 0.00794987 +*D_NET *32 0.0051414 *CONN *P resetn I -*I *487:A I *D sky130_fd_sc_hd__buf_12 +*I *537:A I *D sky130_fd_sc_hd__buf_12 *CAP -1 resetn 0.00132489 -2 *487:A 0.00132489 -3 *487:A resetn_out 0.00122419 -4 *487:A serial_clock_out 0.00314339 -5 *487:A *445:A0 3.46639e-05 -6 *487:A *511:A 0.000520111 -7 *487:A *36:10 0 -8 *487:A *39:21 0.000221747 -9 *487:A *168:18 5.49582e-05 -10 pad_gpio_vtrip_sel *487:A 0.000101033 +1 resetn 0.00124517 +2 *537:A 0.00124517 +3 *537:A resetn_out 0.000687406 +4 *537:A *477:D 3.99086e-06 +5 *537:A *496:CLK 0.000645326 +6 *537:A *501:A 0.000470846 +7 *537:A *153:15 1.58551e-05 +8 *537:A *153:39 5.33711e-05 +9 *537:A *160:28 0.000228956 +10 *537:A *163:12 0.000256037 +11 *537:A *163:252 6.08467e-05 +12 *537:A *179:23 0.000170789 +13 pad_gpio_inenb *537:A 0 +14 pad_gpio_vtrip_sel *537:A 5.76421e-05 *RES -1 resetn *487:A 30.7213 +1 resetn *537:A 39.6734 *END -*D_NET *33 0.00645517 +*D_NET *33 0.00818488 *CONN *P resetn_out O -*I *513:X O *D sky130_fd_sc_hd__buf_2 +*I *562:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 resetn_out 0.00144324 -2 *513:X 0.00144324 -3 resetn_out serial_clock_out 2.9322e-05 -4 resetn_out *445:A0 0 -5 resetn_out *447:CLK_N 0.000277488 -6 resetn_out *509:A 1.32509e-05 -7 resetn_out *511:A 0.000110336 -8 resetn_out *34:13 8.53474e-05 -9 resetn_out *36:10 0 -10 pad_gpio_out resetn_out 2.27135e-05 -11 pad_gpio_vtrip_sel resetn_out 0.00145961 -12 *487:A resetn_out 0.00122419 -13 *30:9 resetn_out 0.000346439 +1 resetn_out 0.00286161 +2 *562:X 0.00286161 +3 resetn_out *399:A 0.00011194 +4 resetn_out *442:A 6.09513e-05 +5 resetn_out *442:B 0.000360858 +6 resetn_out *475:RESET_B 3.62977e-05 +7 resetn_out *475:SET_B 8.82492e-06 +8 resetn_out *477:D 0.000122844 +9 resetn_out *501:A 3.84497e-05 +10 resetn_out *115:19 0.000304147 +11 resetn_out *163:91 1.65872e-05 +12 resetn_out *163:252 7.67332e-05 +13 resetn_out *181:13 5.69287e-05 +14 resetn_out *187:22 0.000579692 +15 *537:A resetn_out 0.000687406 *RES -1 *513:X resetn_out 40.3303 +1 *562:X resetn_out 49.504 *END -*D_NET *34 0.0106964 +*D_NET *34 0.00699501 *CONN *P serial_clock I -*I *473:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *501:A I *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 serial_clock 0.000786424 -2 *473:A 0.000140112 -3 *34:20 0.00116408 -4 *34:13 0.00181039 -5 *473:A *446:TE_B 0.000348568 -6 *34:13 serial_clock_out 0.000158803 -7 *34:20 *477:TE 2.23419e-05 -8 *34:20 *503:A 6.08467e-05 -9 *34:20 *504:A 0.000121574 -10 *34:20 *506:A 2.55108e-05 -11 *34:20 *97:23 0.000247882 -12 *34:20 *116:16 0.000739989 -13 *34:20 *162:23 0.000365146 -14 *34:20 *179:8 0.000317886 -15 pad_gpio_ana_pol *34:13 0 -16 pad_gpio_ana_pol *34:20 0 -17 pad_gpio_dm[0] *34:13 5.56367e-05 -18 pad_gpio_dm[1] *34:13 1.45398e-05 -19 pad_gpio_dm[2] *34:13 0.000265084 -20 pad_gpio_outenb *34:13 7.22422e-05 -21 pad_gpio_slow_sel *34:13 0.000434561 -22 resetn_out *34:13 8.53474e-05 -23 *6:8 *34:13 0.000158371 -24 *6:21 *34:20 0.000239007 -25 *21:9 *34:13 0.00031187 -26 *21:9 *34:20 0.00214763 -27 *22:13 *34:20 3.82658e-05 -28 *26:20 *34:13 0.000496591 -29 *27:8 *34:13 6.77022e-05 +1 serial_clock 0.00238014 +2 *501:A 0.00238014 +3 *501:A serial_data_out 0 +4 *501:A serial_load_out 0.000503667 +5 *501:A *375:A 8.04127e-05 +6 *501:A *502:A 0.000349444 +7 *501:A *158:38 7.67386e-05 +8 *501:A *177:38 0.000351376 +9 pad_gpio_outenb *501:A 0 +10 pad_gpio_slow_sel *501:A 0.000214931 +11 pad_gpio_vtrip_sel *501:A 0.000148852 +12 resetn_out *501:A 3.84497e-05 +13 *537:A *501:A 0.000470846 *RES -1 serial_clock *34:13 38.5072 -2 *34:13 *34:20 49.1221 -3 *34:20 *473:A 13.3002 +1 serial_clock *501:A 44.0862 *END -*D_NET *35 0.015013 +*D_NET *35 0.0148521 *CONN *P serial_clock_out O -*I *514:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *563:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 serial_clock_out 0.0031057 -2 *514:X 0.0031057 -3 serial_clock_out *427:A 0.000215491 -4 serial_clock_out *468:D 0.00103508 -5 serial_clock_out *36:10 9.94701e-05 -6 serial_clock_out *112:20 7.62627e-06 -7 serial_clock_out *114:19 0.000132415 -8 serial_clock_out *156:21 4.77351e-05 -9 serial_clock_out *163:42 0.000157217 -10 serial_clock_out *168:18 3.97063e-06 -11 serial_clock_out *198:17 3.74798e-05 -12 pad_gpio_vtrip_sel serial_clock_out 0.000845223 -13 resetn_out serial_clock_out 2.9322e-05 -14 *487:A serial_clock_out 0.00314339 -15 *26:20 serial_clock_out 0.00288835 -16 *34:13 serial_clock_out 0.000158803 +1 serial_clock_out 2.68958e-05 +2 *563:X 0.00396207 +3 *35:16 2.68958e-05 +4 *35:12 0.00149036 +5 *35:11 0.00545243 +6 *35:11 zero 0.00138262 +7 *35:12 *36:8 0.00251081 +8 one *35:11 0 *RES -1 *514:X serial_clock_out 40.7344 +1 *563:X *35:11 42.3327 +2 *35:11 *35:12 56.6453 +3 *35:12 serial_clock_out 3.36879 +4 serial_clock_out *35:16 0.0631875 *END -*D_NET *36 0.0093178 +*D_NET *36 0.0186131 *CONN *P serial_data_in I -*I *488:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *538:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *237:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 serial_data_in 0.00283788 -2 *488:A 0 -3 *218:DIODE 4.14549e-05 -4 *36:10 0.00287933 -5 *218:DIODE *165:11 7.92757e-06 -6 *218:DIODE *168:18 4.2372e-05 -7 *218:DIODE *185:23 0.000138671 -8 *36:10 serial_data_out 0.001863 -9 *36:10 *355:A 0.000238877 -10 *36:10 *436:A 0.00021764 -11 *36:10 *38:14 0.000231099 -12 *36:10 *42:8 0.000350762 -13 *36:10 *112:20 0.000137573 -14 *36:10 *123:14 0.000178709 -15 *36:10 *168:18 5.30254e-05 -16 resetn_out *36:10 0 -17 serial_clock_out *36:10 9.94701e-05 -18 *487:A *36:10 0 +1 serial_data_in 0.000725913 +2 *538:A 2.21696e-05 +3 *237:DIODE 4.87845e-05 +4 *36:29 0.00197482 +5 *36:15 0.00382916 +6 *36:13 0.00201244 +7 *36:8 0.00081306 +8 *237:DIODE *177:17 7.58194e-05 +9 *538:A *177:17 0 +10 *36:15 *41:17 0.00106682 +11 *36:15 *42:17 0 +12 *36:15 *50:25 0.000247443 +13 *36:29 *411:B 2.79969e-05 +14 *36:29 *412:A 0.000154145 +15 *36:29 *471:A1 9.78196e-05 +16 *36:29 *528:A 0.000538148 +17 *36:29 *550:A 6.27718e-05 +18 *36:29 *551:A 0.000319254 +19 *36:29 *41:17 5.79056e-05 +20 *36:29 *41:29 1.67535e-05 +21 *36:29 *161:11 0.000203604 +22 *36:29 *177:17 0.000239753 +23 pad_gpio_dm[2] *36:15 0.000840048 +24 pad_gpio_out *36:8 0.00125624 +25 *533:A *36:29 1.41181e-05 +26 *19:10 *36:15 0.00106563 +27 *19:10 *36:29 0.000391697 +28 *23:22 *36:15 0 +29 *35:12 *36:8 0.00251081 *RES -1 serial_data_in *36:10 21.9042 -2 *36:10 *218:DIODE 11.0817 -3 *36:10 *488:A 9.24915 +1 serial_data_in *36:8 46.1663 +2 *36:8 *36:13 6.48934 +3 *36:13 *36:15 77.536 +4 *36:15 *36:29 35.9528 +5 *36:29 *237:DIODE 11.0817 +6 *36:29 *538:A 9.82786 *END -*D_NET *37 0.0065238 +*D_NET *37 0.00842478 *CONN *P serial_data_out O -*I *515:X O *D sky130_fd_sc_hd__buf_2 +*I *564:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 serial_data_out 0.00155428 -2 *515:X 0.00155428 -3 serial_data_out user_gpio_in 0.000291856 -4 serial_data_out *422:A 0 -5 serial_data_out *447:CLK_N 6.64392e-05 -6 serial_data_out *38:14 0.0011303 -7 serial_data_out *165:11 6.36477e-05 -8 *36:10 serial_data_out 0.001863 +1 serial_data_out 0.000296554 +2 *564:X 0.000126555 +3 *37:9 0.00129667 +4 *37:8 0.00112667 +5 serial_data_out serial_load_out 0.000310826 +6 serial_data_out *38:5 4.31122e-05 +7 *37:8 *475:CLK_N 1.20815e-05 +8 *37:8 *61:27 8.62625e-06 +9 *37:8 *163:187 4.94e-06 +10 *37:9 user_gpio_in 0.000326953 +11 *37:9 *475:SET_B 0.000519495 +12 *37:9 *105:9 8.40003e-05 +13 *37:9 *108:16 0.000322987 +14 *37:9 *114:14 0.000419724 +15 *37:9 *178:48 2.20471e-05 +16 pad_gpio_slow_sel serial_data_out 5.93996e-05 +17 pad_gpio_vtrip_sel serial_data_out 1.55666e-05 +18 *501:A serial_data_out 0 +19 *2:15 *37:8 2.39052e-05 +20 *3:13 *37:8 0 +21 *28:16 serial_data_out 3.52699e-05 +22 *30:15 *37:9 0.0033694 +23 *31:15 *37:9 0 *RES -1 *515:X serial_data_out 30.3361 +1 *564:X *37:8 20.9116 +2 *37:8 *37:9 47.8647 +3 *37:9 serial_data_out 17.1839 *END -*D_NET *38 0.0088529 +*D_NET *38 0.0166081 *CONN *P serial_load I -*I *489:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *223:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *469:A I *D sky130_fd_sc_hd__buf_2 +*I *379:A I *D sky130_fd_sc_hd__inv_2 +*I *380:A I *D sky130_fd_sc_hd__inv_2 +*I *382:A I *D sky130_fd_sc_hd__inv_2 +*I *383:A I *D sky130_fd_sc_hd__inv_2 +*I *381:A I *D sky130_fd_sc_hd__inv_2 *CAP -1 serial_load 0.00298086 -2 *489:A 0 -3 *223:DIODE 6.71102e-05 -4 *38:14 0.00304797 -5 *223:DIODE *186:7 6.55124e-05 -6 *38:14 user_gpio_in 0.000687051 -7 *38:14 *355:A 0 -8 *38:14 *427:A 6.02259e-05 -9 *38:14 *492:A 0 -10 *38:14 *41:14 0.000469472 -11 *38:14 *42:11 0 -12 *38:14 *185:23 0.000113288 -13 serial_data_out *38:14 0.0011303 -14 *36:10 *38:14 0.000231099 +1 serial_load 0.00106009 +2 *469:A 9.04265e-05 +3 *379:A 0 +4 *380:A 0 +5 *382:A 0.000142143 +6 *383:A 0.00032163 +7 *381:A 6.00092e-05 +8 *38:46 0.000855817 +9 *38:33 0.00059742 +10 *38:26 0.00164623 +11 *38:12 0.00261484 +12 *38:5 0.00226449 +13 *381:A *444:A 1.65872e-05 +14 *382:A *413:A 6.25883e-06 +15 *382:A *487:CLK_N 5.9036e-05 +16 *383:A *395:A 0.000169786 +17 *383:A *427:A 0.00046593 +18 *383:A *492:D 0.000101177 +19 *383:A *515:A 0.000173302 +20 *383:A *150:13 2.20471e-05 +21 *469:A *400:A 1.05106e-05 +22 *469:A *81:10 5.21374e-05 +23 *469:A *186:8 0.000146746 +24 *38:5 serial_load_out 4.80459e-05 +25 *38:5 user_gpio_in 0 +26 *38:12 user_gpio_in 0 +27 *38:12 *62:16 9.60018e-05 +28 *38:12 *158:38 0.000221945 +29 *38:12 *163:76 3.85679e-05 +30 *38:12 *163:88 9.66157e-05 +31 *38:12 *182:16 0.000728401 +32 *38:26 *377:A 4.76794e-05 +33 *38:26 *377:B 5.61389e-05 +34 *38:26 *430:A 6.3657e-05 +35 *38:26 *434:B_N 0.000213364 +36 *38:26 *436:B 6.39797e-05 +37 *38:26 *477:CLK_N 0.000110458 +38 *38:26 *481:RESET_B 2.44599e-05 +39 *38:26 *481:SET_B 4.59816e-06 +40 *38:26 *481:CLK_N 4.37588e-05 +41 *38:26 *489:D 6.49881e-05 +42 *38:26 *490:D 0 +43 *38:26 *524:A 0.000258214 +44 *38:26 *104:14 7.69594e-06 +45 *38:26 *153:15 1.88152e-05 +46 *38:26 *159:37 0.000260938 +47 *38:26 *163:160 0.000192986 +48 *38:26 *189:10 0.000506071 +49 *38:26 *225:8 0 +50 *38:33 *444:A 0.000121304 +51 *38:33 *450:A 0.000301941 +52 *38:33 *481:CLK_N 6.08467e-05 +53 *38:33 *524:A 0.000140895 +54 *38:46 *427:A 0.000196977 +55 *38:46 *429:A 0.000174561 +56 *38:46 *489:CLK 3.97217e-05 +57 *38:46 *515:A 0.000230367 +58 *38:46 *516:A 8.36586e-06 +59 *38:46 *524:A 0.000166294 +60 *38:46 *65:8 7.26748e-05 +61 serial_data_out *38:5 4.31122e-05 +62 *1:10 *382:A 0.000107496 +63 *6:24 *38:46 0.000143295 +64 *6:30 *383:A 1.94224e-05 +65 *7:19 *38:46 2.79162e-05 +66 *28:16 *38:5 0.000511393 +67 *28:16 *38:12 4.91908e-05 +68 *31:15 *38:5 0.000148282 *RES -1 serial_load *38:14 29.0342 -2 *38:14 *223:DIODE 11.0817 -3 *38:14 *489:A 9.24915 +1 serial_load *38:5 4.19366 +2 *38:5 *38:12 16.7006 +3 *38:12 *38:26 39.6661 +4 *38:26 *38:33 6.48645 +5 *38:33 *381:A 10.5271 +6 *38:33 *38:46 17.453 +7 *38:46 *383:A 25.0464 +8 *38:46 *382:A 17.2697 +9 *38:26 *380:A 9.24915 +10 *38:12 *379:A 9.24915 +11 *38:5 *469:A 20.155 *END -*D_NET *39 0.00798421 +*D_NET *39 0.0147335 *CONN *P serial_load_out O -*I *516:X O *D sky130_fd_sc_hd__buf_2 +*I *565:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 serial_load_out 0.00045292 -2 *516:X 0.000427092 -3 *39:28 2.68684e-05 -4 *39:21 0.00199805 -5 *39:15 0.00199909 -6 serial_load_out *42:7 0.000236589 -7 *39:15 *440:A 0.000754994 -8 *39:15 *447:CLK_N 1.58588e-05 -9 *39:15 *512:A 4.63742e-05 -10 *39:15 *516:A 1.47978e-05 -11 *39:15 *517:A 6.1478e-06 -12 *39:15 *63:16 3.99086e-06 -13 *39:15 *164:15 0.000148524 -14 *39:15 *165:11 8.43687e-05 -15 *39:15 *186:7 0.000505283 -16 *39:21 *440:A 1.37189e-05 -17 *39:21 *508:A 6.08467e-05 -18 *39:21 *186:7 0.000168313 -19 *487:A *39:21 0.000221747 -20 *27:8 *39:21 0.000500287 -21 *29:11 *39:21 0.000199699 -22 *30:9 *39:21 9.86476e-05 +1 serial_load_out 0.000982224 +2 *565:X 0.00157385 +3 *39:29 0.00193744 +4 *39:17 0.00252907 +5 serial_load_out user_gpio_in 4.80459e-05 +6 serial_load_out *41:8 0.000354833 +7 *39:17 *388:A 0.000111802 +8 *39:17 *394:A 7.20738e-05 +9 *39:17 *409:A 6.36477e-05 +10 *39:17 *410:A 6.06525e-06 +11 *39:17 *483:CLK_N 1.59238e-05 +12 *39:17 *486:RESET_B 1.0758e-05 +13 *39:17 *158:21 6.80097e-05 +14 *39:17 *167:19 0.000249659 +15 *39:17 *188:16 0.000367928 +16 *39:29 *456:A 0.000418265 +17 *39:29 *456:B_N 0.000148094 +18 *39:29 *482:RESET_B 1.7883e-05 +19 *39:29 *552:A 0.000127348 +20 *39:29 *42:17 0.00222959 +21 *39:29 *153:51 0.000154083 +22 pad_gpio_dm[1] serial_load_out 0.000343222 +23 pad_gpio_dm[2] serial_load_out 0.000348994 +24 pad_gpio_slow_sel serial_load_out 3.77575e-05 +25 pad_gpio_vtrip_sel serial_load_out 0.0012252 +26 serial_data_out serial_load_out 0.000310826 +27 *501:A serial_load_out 0.000503667 +28 *540:A *39:17 9.05154e-05 +29 *542:A *39:17 2.2535e-05 +30 *548:A *39:17 0 +31 *21:8 serial_load_out 9.82882e-05 +32 *21:8 *39:29 0.000149001 +33 *26:13 serial_load_out 2.37478e-05 +34 *28:16 serial_load_out 4.51062e-05 +35 *38:5 serial_load_out 4.80459e-05 *RES -1 *516:X *39:15 36.3951 -2 *39:15 *39:21 49.5767 -3 *39:21 serial_load_out 21.9507 -4 serial_load_out *39:28 0.0631875 +1 *565:X *39:17 48.4885 +2 *39:17 *39:29 41.6584 +3 *39:29 serial_load_out 49.3025 *END -*D_NET *40 0.00679701 +*D_NET *40 0.0075511 *CONN *P user_gpio_in O -*I *517:X O *D sky130_fd_sc_hd__buf_2 +*I *566:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 user_gpio_in 0.00207985 -2 *517:X 0.00207985 -3 user_gpio_in *355:A 3.73224e-05 -4 user_gpio_in *409:A 8.79791e-05 -5 user_gpio_in *423:A 0 -6 user_gpio_in *517:A 2.57365e-05 -7 user_gpio_in *41:14 0.00120521 -8 user_gpio_in *63:16 0.000112228 -9 user_gpio_in *123:9 4.96461e-05 -10 user_gpio_in *165:11 0 -11 user_gpio_in *208:8 0.000140284 -12 serial_data_out user_gpio_in 0.000291856 -13 *38:14 user_gpio_in 0.000687051 +1 user_gpio_in 0.00304026 +2 *566:X 0.00304026 +3 user_gpio_in *41:7 8.81646e-05 +4 user_gpio_in *61:27 0.000160734 +5 user_gpio_in *63:13 0.000166092 +6 user_gpio_in *64:9 0.000129745 +7 user_gpio_in *105:9 0.000120517 +8 user_gpio_in *109:16 0.000129117 +9 serial_load_out user_gpio_in 4.80459e-05 +10 *2:15 user_gpio_in 9.94361e-05 +11 *13:17 user_gpio_in 0 +12 *30:15 user_gpio_in 0.000201768 +13 *37:9 user_gpio_in 0.000326953 +14 *38:5 user_gpio_in 0 +15 *38:12 user_gpio_in 0 *RES -1 *517:X user_gpio_in 41.1955 +1 *566:X user_gpio_in 26.4707 *END -*D_NET *41 0.00820117 +*D_NET *41 0.0150541 *CONN *P user_gpio_oeb I -*I *491:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *238:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *539:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 user_gpio_oeb 0.00310459 -2 *491:A 1.40477e-05 -3 *219:DIODE 0.000107995 -4 *41:14 0.00322663 -5 *219:DIODE *168:18 2.16355e-05 -6 *219:DIODE *185:23 5.04829e-06 -7 *491:A *168:18 2.57986e-05 -8 *491:A *185:23 2.07503e-05 -9 user_gpio_in *41:14 0.00120521 -10 *38:14 *41:14 0.000469472 +1 user_gpio_oeb 0.0001225 +2 *238:DIODE 0 +3 *539:A 0.000283125 +4 *41:29 0.0020587 +5 *41:17 0.00289685 +6 *41:8 0.00256189 +7 *41:7 0.00156311 +8 *539:A *199:14 4.47678e-05 +9 *539:A *210:8 0 +10 *539:A *219:11 1.87271e-05 +11 *41:7 *42:11 3.54846e-05 +12 *41:17 *471:A0 0.000250244 +13 *41:17 *471:A1 0.000115942 +14 *41:17 *550:A 6.08467e-05 +15 *41:17 *551:A 5.89592e-05 +16 *41:17 *42:17 0 +17 *41:17 *161:11 0.000186597 +18 *41:29 *411:A 0.000161262 +19 *41:29 *411:B 0.000588115 +20 *41:29 *486:D 4.47179e-05 +21 *41:29 *486:SET_B 5.86879e-05 +22 *41:29 *551:A 9.54357e-06 +23 *41:29 *167:19 6.3657e-05 +24 *41:29 *177:17 0.000146284 +25 *41:29 *210:8 8.35409e-05 +26 *41:29 *218:8 0.000146248 +27 *41:29 *219:11 1.45322e-05 +28 pad_gpio_dm[2] *41:8 0.000247244 +29 pad_gpio_slow_sel *41:8 0.00160168 +30 serial_load_out *41:8 0.000354833 +31 user_gpio_in *41:7 8.81646e-05 +32 *533:A *41:29 4.63873e-05 +33 *36:15 *41:17 0.00106682 +34 *36:29 *41:17 5.79056e-05 +35 *36:29 *41:29 1.67535e-05 *RES -1 user_gpio_oeb *41:14 31.8645 -2 *41:14 *219:DIODE 11.6364 -3 *41:14 *491:A 9.97254 +1 user_gpio_oeb *41:7 3.89091 +2 *41:7 *41:8 53.5309 +3 *41:8 *41:17 39.8258 +4 *41:17 *41:29 38.1369 +5 *41:29 *539:A 23.8507 +6 *41:29 *238:DIODE 9.24915 *END -*D_NET *42 0.0126837 +*D_NET *42 0.0170718 *CONN *P user_gpio_out I -*I *220:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *492:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *240:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *541:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 user_gpio_out 0.000438635 -2 *220:DIODE 0 -3 *492:A 0.000154493 -4 *42:28 2.68684e-05 -5 *42:11 0.000238018 -6 *42:8 0.00248398 -7 *42:7 0.00281222 -8 *492:A *112:20 0 -9 *492:A *169:8 0 -10 *42:8 *436:A 0.000339028 -11 *42:8 *112:20 0.000184969 -12 *42:8 *123:9 0.000642625 -13 *42:8 *123:14 0.0010053 -14 *42:8 *169:11 0.00377026 -15 *42:11 *112:20 0 -16 *42:11 *169:8 0 -17 serial_load_out *42:7 0.000236589 -18 *30:9 *42:8 0 -19 *36:10 *42:8 0.000350762 -20 *38:14 *492:A 0 -21 *38:14 *42:11 0 +1 user_gpio_out 0.000679663 +2 *240:DIODE 0 +3 *541:A 0.000120234 +4 *42:33 0.00204938 +5 *42:17 0.00293156 +6 *42:12 0.00296748 +7 *42:11 0.00264473 +8 *541:A *167:19 7.01935e-06 +9 *541:A *219:11 7.10035e-05 +10 *42:33 *50:25 1.5714e-05 +11 *42:33 *79:15 0.000108662 +12 *42:33 *89:39 1.88563e-05 +13 *42:33 *119:16 0.000112655 +14 *42:33 *159:16 0.000407664 +15 *42:33 *160:19 1.60256e-05 +16 *42:33 *167:19 0.000628668 +17 *42:33 *177:17 8.32528e-05 +18 *42:33 *219:11 7.28567e-06 +19 pad_gpio_ana_sel *42:17 0.000301917 +20 pad_gpio_dm[2] *42:17 0 +21 *540:A *42:33 6.30378e-05 +22 *4:17 *42:33 0.00106828 +23 *9:46 *42:33 8.13026e-05 +24 *21:8 *42:17 0 +25 *23:16 *42:33 0.000100244 +26 *24:26 *42:33 0.000322107 +27 *26:19 *42:12 0 +28 *36:15 *42:17 0 +29 *39:29 *42:17 0.00222959 +30 *41:7 *42:11 3.54846e-05 +31 *41:17 *42:17 0 *RES -1 user_gpio_out *42:7 21.5355 -2 *42:7 *42:8 88.9054 -3 *42:8 *42:11 6.332 -4 *42:11 *492:A 17.5531 -5 *42:11 *220:DIODE 13.7491 -6 user_gpio_out *42:28 0.0631875 +1 user_gpio_out *42:11 6.12908 +2 *42:11 *42:12 55.1919 +3 *42:12 *42:17 49.6549 +4 *42:17 *42:33 30.6704 +5 *42:33 *541:A 12.0945 +6 *42:33 *240:DIODE 9.24915 *END -*D_NET *47 0.00396447 +*D_NET *47 0.00354218 *CONN *P zero O -*I *476:LO O *D sky130_fd_sc_hd__conb_1 +*I *504:LO O *D sky130_fd_sc_hd__conb_1 *CAP -1 zero 0.000338788 -2 *476:LO 0.00106805 -3 *47:7 0.00140683 -4 *47:7 *128:15 9.43222e-06 -5 one zero 6.67511e-05 -6 *2:10 zero 0.000407258 -7 *4:8 zero 3.84497e-05 -8 *12:20 *47:7 0.00062204 -9 *17:14 *47:7 6.87575e-06 +1 zero 0.000644061 +2 *504:LO 0.000644061 +3 mgmt_gpio_in zero 0.000866748 +4 one zero 4.69495e-06 +5 *35:11 zero 0.00138262 *RES -1 *476:LO *47:7 40.5389 -2 *47:7 zero 13.5144 +1 *504:LO zero 27.012 *END -*D_NET *48 0.00874883 +*D_NET *48 0.00573644 *CONN -*I *442:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *470:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *459:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *470:A1 0.000114571 +2 *459:X 0.000975454 +3 *48:12 0.00109003 +4 *470:A1 *132:14 8.93134e-05 +5 *470:A1 *165:23 0.000345048 +6 *470:A1 *180:15 0.000154145 +7 *48:12 *412:A 0.000255598 +8 *48:12 *456:A 0.000594029 +9 *48:12 *459:A 9.22477e-05 +10 *48:12 *460:B_N 0.000872284 +11 *48:12 *463:B 3.23076e-06 +12 *48:12 *473:A0 1.30007e-05 +13 *48:12 *487:SET_B 0.000114659 +14 *48:12 *498:D 0.000296561 +15 *48:12 *512:A 5.18062e-06 +16 *48:12 *551:A 1.09441e-05 +17 *48:12 *161:11 6.27718e-05 +18 *48:12 *170:18 0.000189407 +19 *48:12 *179:23 0.000198008 +20 *48:12 *194:11 4.04681e-05 +21 *16:16 *48:12 6.08467e-05 +22 *17:21 *48:12 0.000158651 +*RES +1 *459:X *48:12 49.1827 +2 *48:12 *470:A1 18.3548 +*END + +*D_NET *49 0.000832174 +*CONN +*I *471:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *482:Q_N O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *471:A0 0.000223419 +2 *482:Q_N 0.000223419 +3 *471:A0 *459:A 6.92514e-05 +4 *471:A0 *472:A1 6.58409e-05 +5 *41:17 *471:A0 0.000250244 +*RES +1 *482:Q_N *471:A0 31.8568 +*END + +*D_NET *50 0.00258708 +*CONN +*I *471:S I *D sky130_fd_sc_hd__mux2_1 +*I *461:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *471:S 0 +2 *461:X 0.000677421 +3 *50:25 0.000677421 +4 *50:25 *404:A 8.03082e-05 +5 *50:25 *461:A 4.0625e-05 +6 *50:25 *482:RESET_B 0.00010199 +7 *50:25 *79:15 0.000185115 +8 *50:25 *160:19 8.33721e-06 +9 *50:25 *169:20 1.94615e-05 +10 *50:25 *174:11 5.04829e-06 +11 *50:25 *186:8 0.000129005 +12 mgmt_gpio_in *50:25 3.87022e-06 +13 *16:16 *50:25 1.88152e-05 +14 *19:10 *50:25 0.000247443 +15 *20:9 *50:25 0.000129063 +16 *36:15 *50:25 0.000247443 +17 *42:33 *50:25 1.5714e-05 +*RES +1 *461:X *50:25 45.6987 +2 *50:25 *471:S 9.24915 +*END + +*D_NET *51 0.00168163 +*CONN +*I *472:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *471:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *472:A1 0.000346175 +2 *471:X 0.000346175 +3 *472:A1 *459:A 2.40923e-05 +4 *472:A1 *472:A0 6.08467e-05 +5 *472:A1 *482:RESET_B 0.000180652 +6 *472:A1 *552:A 0.000174507 +7 *472:A1 *79:15 1.58551e-05 +8 *472:A1 *153:51 9.58333e-05 +9 *472:A1 *160:19 5.89743e-05 +10 *472:A1 *161:11 6.52347e-05 +11 *471:A0 *472:A1 6.58409e-05 +12 *21:8 *472:A1 0.000247443 +*RES +1 *471:X *472:A1 38.0856 +*END + +*D_NET *52 0.00316474 +*CONN +*I *473:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *472:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *473:A1 0.000566499 +2 *472:X 0.000566499 +3 *473:A1 *132:14 2.40317e-05 +4 *473:A1 *136:11 1.59966e-05 +5 *473:A1 *153:39 0.000430846 +6 *473:A1 *186:8 0.000896355 +7 mgmt_gpio_in *473:A1 0.000370419 +8 *21:8 *473:A1 0.000294093 +*RES +1 *472:X *473:A1 47.7758 +*END + +*D_NET *53 0.00254599 +*CONN +*I *505:A I *D sky130_fd_sc_hd__einvp_2 +*I *462:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *505:A 0.000874425 +2 *462:Y 0.000874425 +3 *505:A *411:A 0.00012353 +4 *505:A *474:A 4.26212e-05 +5 *505:A *482:D 2.41274e-06 +6 *505:A *482:SET_B 0.000106946 +7 *505:A *505:TE 5.34873e-05 +8 *505:A *565:A 0.000208621 +9 *505:A *79:15 0.000140252 +10 *505:A *184:8 3.13549e-05 +11 *505:A *187:13 3.82228e-05 +12 *24:26 *505:A 4.9696e-05 +*RES +1 *462:Y *505:A 49.9115 +*END + +*D_NET *54 0.00769933 +*CONN +*I *475:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *455:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *475:RESET_B 0.00204235 +2 *455:X 0.000589845 +3 *54:16 0.0026322 +4 *475:RESET_B *425:A 1.37939e-05 +5 *475:RESET_B *442:B 6.46569e-05 +6 *475:RESET_B *449:A 0.000978217 +7 *475:RESET_B *454:A 4.88095e-05 +8 *475:RESET_B *475:SET_B 5.5232e-05 +9 *475:RESET_B *562:A 0.000258829 +10 *475:RESET_B *163:91 1.80176e-05 +11 *475:RESET_B *163:93 1.03403e-05 +12 *475:RESET_B *163:99 5.14867e-05 +13 *54:16 *445:A 2.57465e-06 +14 *54:16 *115:19 7.09666e-06 +15 *54:16 *185:16 0.000873871 +16 resetn_out *475:RESET_B 3.62977e-05 +17 *5:14 *54:16 1.5714e-05 +*RES +1 *455:X *54:16 27.7411 +2 *54:16 *475:RESET_B 41.4644 +*END + +*D_NET *55 0.0074251 +*CONN +*I *475:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *453:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *475:SET_B 0.000560436 +2 *453:X 0.000678523 +3 *55:11 0.00123896 +4 *475:SET_B *61:19 0.00172435 +5 *475:SET_B *62:16 0.000118002 +6 *475:SET_B *108:16 0.0021351 +7 *475:SET_B *114:8 1.23822e-05 +8 *475:SET_B *114:14 1.41689e-05 +9 *475:SET_B *178:48 0.000124605 +10 *55:11 *61:19 4.42526e-05 +11 *55:11 *114:14 7.88396e-05 +12 resetn_out *475:SET_B 8.82492e-06 +13 *475:RESET_B *475:SET_B 5.5232e-05 +14 *5:14 *55:11 7.26606e-05 +15 *30:8 *475:SET_B 3.9266e-05 +16 *37:9 *475:SET_B 0.000519495 +*RES +1 *453:X *55:11 29.4197 +2 *55:11 *475:SET_B 40.4722 +*END + +*D_NET *56 0.00639609 +*CONN +*I *475:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *451:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *475:CLK_N 0.000149418 +2 *451:X 0.00163912 +3 *56:11 0.00178854 +4 *475:CLK_N *163:187 0.000219556 +5 *56:11 *445:A 2.08133e-05 +6 *56:11 *451:A 6.77815e-05 +7 *56:11 *104:14 0.000553666 +8 *56:11 *108:16 0.00156841 +9 *56:11 *109:16 2.83365e-05 +10 *3:13 *475:CLK_N 0.000279057 +11 *9:25 *56:11 6.93171e-05 +12 *37:8 *475:CLK_N 1.20815e-05 +*RES +1 *451:X *56:11 46.6244 +2 *56:11 *475:CLK_N 23.8184 +*END + +*D_NET *57 0.00430643 +*CONN +*I *476:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *449:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *476:RESET_B 0 +2 *449:X 0.00173991 +3 *57:11 0.00173991 +4 *57:11 *425:A 0.000202378 +5 *57:11 *476:SET_B 7.26748e-05 +6 *57:11 *476:CLK_N 0.000191362 +7 *57:11 *489:D 1.79277e-05 +8 *57:11 *175:7 1.88012e-05 +9 *57:11 *225:8 0.000247443 +10 *5:14 *57:11 7.60183e-05 +*RES +1 *449:X *57:11 45.0812 +2 *57:11 *476:RESET_B 9.24915 +*END + +*D_NET *58 0.00329493 +*CONN +*I *476:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *447:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *476:SET_B 0.00133942 +2 *447:X 0.00133942 +3 *476:SET_B *425:A 0.000176464 +4 *476:SET_B *449:A 6.08467e-05 +5 *476:SET_B *476:CLK_N 7.57527e-05 +6 *476:SET_B *489:D 3.44412e-06 +7 *476:SET_B *489:RESET_B 2.73786e-05 +8 *476:SET_B *104:14 0 +9 *476:SET_B *115:19 1.4091e-06 +10 *476:SET_B *183:21 3.01523e-05 +11 *476:SET_B *185:16 4.75964e-05 +12 *5:14 *476:SET_B 1.83434e-05 +13 *6:24 *476:SET_B 0.000102025 +14 *57:11 *476:SET_B 7.26748e-05 +*RES +1 *447:X *476:SET_B 42.4987 +*END + +*D_NET *59 0.00195628 +*CONN +*I *476:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *445:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *476:CLK_N 0.000440703 +2 *445:X 0.000440703 +3 *476:CLK_N *425:A 0.000249368 +4 *476:CLK_N *445:A 0 +5 *476:CLK_N *449:A 2.46908e-05 +6 *476:CLK_N *481:D 0 +7 *476:CLK_N *489:D 7.01935e-06 +8 *476:CLK_N *104:14 0.000276278 +9 *476:CLK_N *225:8 0.000250405 +10 *476:SET_B *476:CLK_N 7.57527e-05 +11 *57:11 *476:CLK_N 0.000191362 +*RES +1 *445:X *476:CLK_N 41.5554 +*END + +*D_NET *60 0.0088468 +*CONN +*I *477:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *443:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *477:RESET_B 0.000526892 +2 *443:X 0.000266657 +3 *60:21 0.00166618 +4 *60:18 0.00140594 +5 *477:RESET_B *477:SET_B 0.000156076 +6 *477:RESET_B *478:RESET_B 0.000873251 +7 *477:RESET_B *160:28 0.000378209 +8 *477:RESET_B *181:13 5.22855e-05 +9 *60:18 *445:A 2.77864e-05 +10 *60:18 *61:19 0.000258975 +11 *60:18 *104:14 5.23435e-05 +12 *60:18 *109:16 0 +13 *60:21 *63:13 0.00288354 +14 *60:21 *109:16 0.000173056 +15 *60:21 *114:14 0 +16 pad_gpio_inenb *477:RESET_B 0 +17 *7:19 *60:18 0.000116946 +18 *8:18 *60:18 0 +19 *8:18 *60:21 8.66716e-06 +*RES +1 *443:X *60:18 27.8488 +2 *60:18 *60:21 47.3733 +3 *60:21 *477:RESET_B 33.0568 +*END + +*D_NET *61 0.0120577 +*CONN +*I *477:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *441:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *477:SET_B 0.000578892 +2 *441:X 0.000468664 +3 *61:27 0.000855883 +4 *61:19 0.000745656 +5 *477:SET_B *478:RESET_B 0.000822046 +6 *477:SET_B *109:10 0 +7 *477:SET_B *154:8 0.000290249 +8 *477:SET_B *181:13 2.11308e-05 +9 *477:SET_B *186:8 0.000102523 +10 *61:19 *443:A 5.01349e-05 +11 *61:19 *445:A 8.44093e-05 +12 *61:19 *108:16 0 +13 *61:19 *109:16 0 +14 *61:19 *114:14 0.00189535 +15 *61:27 *63:13 0.00201295 +16 *61:27 *109:16 0.0016943 +17 user_gpio_in *61:27 0.000160734 +18 *475:SET_B *61:19 0.00172435 +19 *477:RESET_B *477:SET_B 0.000156076 +20 *2:15 *61:27 2.80293e-05 +21 *30:15 *61:27 5.44727e-05 +22 *37:8 *61:27 8.62625e-06 +23 *55:11 *61:19 4.42526e-05 +24 *60:18 *61:19 0.000258975 +*RES +1 *441:X *61:19 46.1741 +2 *61:19 *61:27 36.991 +3 *61:27 *477:SET_B 23.5867 +*END + +*D_NET *62 0.00503352 +*CONN +*I *477:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *439:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *477:CLK_N 6.85229e-05 +2 *439:X 0.00121623 +3 *62:16 0.00128476 +4 *477:CLK_N *378:A 1.88422e-05 +5 *477:CLK_N *434:B_N 6.54061e-05 +6 *477:CLK_N *189:10 0.000160942 +7 *62:16 *418:A 9.72234e-05 +8 *62:16 *418:B 6.3657e-05 +9 *62:16 *431:A 6.08467e-05 +10 *62:16 *432:A 0.000485053 +11 *62:16 *438:A 4.24488e-05 +12 *62:16 *439:A 1.4106e-05 +13 *62:16 *452:B_N 0.000107496 +14 *62:16 *566:A 0.000302975 +15 *62:16 *66:11 6.27718e-05 +16 *62:16 *104:14 1.75125e-05 +17 *62:16 *158:38 3.87022e-06 +18 *62:16 *163:76 4.21992e-05 +19 *62:16 *163:88 8.62563e-05 +20 *62:16 *178:48 0.000507944 +21 *475:SET_B *62:16 0.000118002 +22 *38:12 *62:16 9.60018e-05 +23 *38:26 *477:CLK_N 0.000110458 +*RES +1 *439:X *62:16 47.1742 +2 *62:16 *477:CLK_N 16.691 +*END + +*D_NET *63 0.0102093 +*CONN +*I *478:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *437:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *478:RESET_B 0.000609065 +2 *437:X 0.000212498 +3 *63:13 0.000884336 +4 *63:10 0.000487769 +5 *478:RESET_B *153:39 0.000204122 +6 *478:RESET_B *154:8 6.51384e-05 +7 *478:RESET_B *160:28 0.000146761 +8 *478:RESET_B *182:16 7.13972e-05 +9 *63:10 *432:A 0.000112367 +10 *63:10 *104:14 0.000110458 +11 *63:13 *109:16 0.000356194 +12 user_gpio_in *63:13 0.000166092 +13 *477:RESET_B *478:RESET_B 0.000873251 +14 *477:SET_B *478:RESET_B 0.000822046 +15 *11:10 *63:10 0.000120255 +16 *12:13 *63:10 6.96333e-05 +17 *30:15 *63:13 1.4091e-06 +18 *60:21 *63:13 0.00288354 +19 *61:27 *63:13 0.00201295 +*RES +1 *437:X *63:10 25.0964 +2 *63:10 *63:13 35.172 +3 *63:13 *478:RESET_B 38.731 +*END + +*D_NET *64 0.00849771 +*CONN +*I *478:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *435:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *478:SET_B 0.000633597 +2 *435:X 0.000394477 +3 *64:9 0.00102807 +4 *478:SET_B *400:A 0.000266005 +5 *478:SET_B *458:A 0.000416417 +6 *478:SET_B *522:A 0.000131288 +7 *478:SET_B *81:10 5.05174e-05 +8 *478:SET_B *105:9 6.12061e-05 +9 *478:SET_B *109:10 0.000373354 +10 *478:SET_B *151:40 0.000147668 +11 *478:SET_B *151:51 0.000323155 +12 *478:SET_B *182:16 1.738e-05 +13 *64:9 *431:A 5.23435e-05 +14 *64:9 *435:A 9.73e-05 +15 *64:9 *105:9 0.00194049 +16 *64:9 *109:16 0.00220604 +17 *64:9 *114:14 4.0752e-05 +18 user_gpio_in *64:9 0.000129745 +19 *12:13 *64:9 0.000103541 +20 *13:17 *64:9 1.94585e-05 +21 *30:15 *64:9 6.49003e-05 +*RES +1 *435:X *64:9 47.6958 +2 *64:9 *478:SET_B 29.9336 +*END + +*D_NET *65 0.00618772 +*CONN +*I *478:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *433:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *478:CLK_N 0.00223268 +2 *433:X 3.08974e-05 +3 *65:8 0.00226358 +4 *478:CLK_N *487:D 3.01368e-06 +5 *478:CLK_N *487:RESET_B 1.83795e-06 +6 *478:CLK_N *490:D 5.40481e-05 +7 *478:CLK_N *490:RESET_B 0.000343851 +8 *478:CLK_N *495:D 3.96379e-05 +9 *478:CLK_N *495:RESET_B 0.000574157 +10 *478:CLK_N *495:CLK 3.39248e-05 +11 *478:CLK_N *94:24 0.000395239 +12 *478:CLK_N *163:52 6.61601e-05 +13 *65:8 *489:CLK 7.60183e-05 +14 *38:46 *65:8 7.26748e-05 +*RES +1 *433:X *65:8 19.6659 +2 *65:8 *478:CLK_N 43.1282 +*END + +*D_NET *66 0.00326833 +*CONN +*I *479:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 *I *431:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *442:A1 0.000495289 -2 *431:X 0.0011556 -3 *48:24 0.00165089 -4 *442:A1 *424:B_N 0.000160942 -5 *442:A1 *442:A0 0.000508476 -6 *442:A1 *446:A 0.000203736 -7 *442:A1 *114:19 0.000110458 -8 *442:A1 *163:42 1.00901e-05 -9 *48:24 *403:A 0 -10 *48:24 *412:B_N 1.01851e-05 -11 *48:24 *448:RESET_B 0.000828556 -12 *48:24 *450:SET_B 7.36962e-05 -13 *48:24 *450:CLK_N 5.01835e-05 -14 *48:24 *53:28 0.00192622 -15 *48:24 *54:17 0.00137389 -16 *48:24 *97:23 8.07924e-05 -17 *48:24 *117:23 4.17531e-06 -18 *48:24 *152:48 8.28174e-05 -19 *48:24 *161:23 1.29043e-05 -20 *48:24 *163:42 0 -21 *48:24 *164:46 0 -22 *48:24 *198:17 0 -23 pad_gpio_holdover *442:A1 9.94284e-06 +1 *479:RESET_B 0 +2 *431:X 0.000836948 +3 *66:11 0.000836948 +4 *66:11 *429:A 5.64215e-05 +5 *66:11 *437:A 6.42297e-05 +6 *66:11 *481:RESET_B 9.33681e-05 +7 *66:11 *490:RESET_B 0.000152798 +8 *66:11 *518:A 0.000109951 +9 *66:11 *89:15 0.000113309 +10 *66:11 *175:17 4.85706e-05 +11 *66:11 *177:38 6.08467e-05 +12 *9:25 *66:11 2.60879e-06 +13 *11:10 *66:11 0 +14 *12:13 *66:11 0.000829559 +15 *62:16 *66:11 6.27718e-05 *RES -1 *431:X *48:24 44.9893 -2 *48:24 *442:A1 23.283 +1 *431:X *66:11 41.8753 +2 *66:11 *479:RESET_B 9.24915 *END -*D_NET *49 0.000503401 +*D_NET *67 0.00291383 *CONN -*I *443:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *454:Q_N O *D sky130_fd_sc_hd__dfbbn_1 +*I *479:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *429:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *443:A0 0.000174914 -2 *454:Q_N 0.000174914 -3 *443:A0 *443:A1 1.12967e-05 -4 *443:A0 *443:S 1.69371e-05 -5 *443:A0 *444:A1 9.37259e-05 -6 *443:A0 *172:13 3.16131e-05 +1 *479:SET_B 0.000588655 +2 *429:X 0.000588655 +3 *479:SET_B *413:A 0.000257117 +4 *479:SET_B *414:A 6.08467e-05 +5 *479:SET_B *415:A 4.26943e-05 +6 *479:SET_B *419:A 9.86288e-05 +7 *479:SET_B *481:RESET_B 0.000355079 +8 *479:SET_B *491:RESET_B 0.000113295 +9 *479:SET_B *94:9 0.000116931 +10 *479:SET_B *199:20 0.000456427 +11 *6:24 *479:SET_B 0.000203756 +12 *12:13 *479:SET_B 3.1747e-05 *RES -1 *454:Q_N *443:A0 32.5868 +1 *429:X *479:SET_B 36.9304 *END -*D_NET *50 0.00263922 +*D_NET *68 0.00226989 *CONN -*I *443:S I *D sky130_fd_sc_hd__mux2_1 -*I *433:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *479:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *427:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *443:S 0.000632066 -2 *433:X 0.000632066 -3 *443:S *372:A 1.32549e-05 -4 *443:S *378:A 0.0001195 -5 *443:S *382:A 7.28994e-06 -6 *443:S *433:A 0.000783171 -7 *443:S *443:A1 3.20407e-05 -8 *443:S *456:RESET_B 0.000315541 -9 *443:S *76:14 4.4408e-05 -10 *443:S *172:13 0 -11 *443:S *176:19 4.29411e-05 -12 *443:S *189:18 0 -13 *443:A0 *443:S 1.69371e-05 +1 *479:CLK_N 0.000470024 +2 *427:X 0.000470024 +3 *479:CLK_N *427:A 3.82228e-05 +4 *479:CLK_N *479:D 0.000161243 +5 *479:CLK_N *502:A 0.000102908 +6 *479:CLK_N *523:A 0.000178716 +7 *479:CLK_N *120:10 0.000331269 +8 *479:CLK_N *150:7 6.08467e-05 +9 *479:CLK_N *183:21 0.000338104 +10 *479:CLK_N *227:13 0.000118532 *RES -1 *433:X *443:S 47.4943 +1 *427:X *479:CLK_N 43.4416 *END -*D_NET *51 0.000988722 +*D_NET *69 0.00341415 *CONN -*I *444:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *443:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *444:A1 0.000364054 -2 *443:X 0.000364054 -3 *444:A1 *443:A1 0.000117879 -4 *444:A1 *505:A 0 -5 *444:A1 *76:14 4.90091e-05 -6 *443:A0 *444:A1 9.37259e-05 -*RES -1 *443:X *444:A1 35.1789 -*END - -*D_NET *52 0.00186921 -*CONN -*I *445:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *444:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *445:A1 0.000611685 -2 *444:X 0.000611685 -3 *445:A1 *442:S 0.000241167 -4 *445:A1 *445:S 6.56589e-05 -5 *445:A1 *508:A 6.96979e-05 -6 *445:A1 *510:A 0 -7 *445:A1 *117:23 0 -8 *22:13 *445:A1 0.000269312 -*RES -1 *444:X *445:A1 41.8229 -*END - -*D_NET *53 0.00850389 -*CONN -*I *477:A I *D sky130_fd_sc_hd__einvp_2 -*I *434:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *477:A 9.85319e-05 -2 *434:Y 0.00153145 -3 *53:28 0.00162998 -4 *477:A *475:A 0.000160047 -5 *477:A *477:TE 6.08467e-05 -6 *477:A *162:23 7.92757e-06 -7 *477:A *190:16 0.000152265 -8 *53:28 *403:A 5.16998e-05 -9 *53:28 *415:A 0 -10 *53:28 *448:RESET_B 3.57291e-06 -11 *53:28 *450:SET_B 0.000180035 -12 *53:28 *450:CLK_N 5.11322e-06 -13 *53:28 *475:A 5.46384e-06 -14 *53:28 *54:17 0.000281799 -15 *53:28 *92:10 0.000114612 -16 *53:28 *117:23 0.00097146 -17 *53:28 *123:33 6.11982e-06 -18 *53:28 *179:8 1.03594e-05 -19 *53:28 *190:16 1.28646e-05 -20 *53:28 *198:17 0 -21 pad_gpio_ib_mode_sel *477:A 0.000124975 -22 *230:DIODE *53:28 9.29777e-05 -23 *497:A *53:28 2.60879e-06 -24 *1:30 *53:28 0.00107297 -25 *48:24 *53:28 0.00192622 -*RES -1 *434:Y *53:28 45.4675 -2 *53:28 *477:A 14.4094 -*END - -*D_NET *54 0.00836338 -*CONN -*I *447:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *427:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *447:RESET_B 0 -2 *427:X 0.00212301 -3 *54:17 0.00212301 -4 *54:17 *407:A 2.16355e-05 -5 *54:17 *447:SET_B 1.11225e-05 -6 *54:17 *447:CLK_N 2.58757e-05 -7 *54:17 *448:RESET_B 1.14704e-05 -8 *54:17 *450:SET_B 0.00118658 -9 *54:17 *461:D 4.94724e-05 -10 *54:17 *508:A 1.99299e-05 -11 *54:17 *512:A 7.26606e-05 -12 *54:17 *63:16 6.09429e-06 -13 *54:17 *92:10 2.18821e-05 -14 *54:17 *117:23 0.000118 -15 *54:17 *123:33 7.94213e-05 -16 *54:17 *160:27 0.000791657 -17 *54:17 *164:44 1.03594e-05 -18 *54:17 *164:46 1.63832e-05 -19 *497:A *54:17 1.91391e-05 -20 *48:24 *54:17 0.00137389 -21 *53:28 *54:17 0.000281799 -*RES -1 *427:X *54:17 45.6791 -2 *54:17 *447:RESET_B 9.24915 -*END - -*D_NET *55 0.0047604 -*CONN -*I *447:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *480:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 *I *425:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *447:SET_B 0.00182463 -2 *425:X 0.00182463 -3 *447:SET_B *406:B_N 0.000398767 -4 *447:SET_B *407:A 5.82003e-05 -5 *447:SET_B *415:A 1.01683e-05 -6 *447:SET_B *425:A 0.000109427 -7 *447:SET_B *437:A 1.41976e-05 -8 *447:SET_B *449:RESET_B 7.18731e-05 -9 *447:SET_B *449:SET_B 5.37479e-05 -10 *447:SET_B *449:CLK_N 6.97525e-05 -11 *447:SET_B *450:CLK_N 0 -12 *447:SET_B *461:D 0.00010072 -13 *447:SET_B *461:RESET_B 0.000157107 -14 *447:SET_B *115:12 3.15254e-05 -15 *447:SET_B *188:17 1.40528e-05 -16 *447:SET_B *206:24 1.04731e-05 -17 *54:17 *447:SET_B 1.11225e-05 +1 *480:RESET_B 0 +2 *425:X 0.0010885 +3 *69:14 0.0010885 +4 *69:14 *430:A 5.13018e-05 +5 *69:14 *437:A 0.000152363 +6 *69:14 *495:D 0 +7 *69:14 *115:19 0.000165521 +8 *69:14 *153:15 6.65668e-05 +9 *69:14 *163:99 0.000121263 +10 *2:15 *69:14 0.000680124 +11 *13:17 *69:14 0 *RES -1 *425:X *447:SET_B 48.9996 +1 *425:X *69:14 45.2439 +2 *69:14 *480:RESET_B 9.24915 *END -*D_NET *56 0.0028165 +*D_NET *70 0.00367678 *CONN -*I *447:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *480:SET_B I *D sky130_fd_sc_hd__dfbbn_1 *I *423:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *447:CLK_N 0.000687663 -2 *423:X 0.000687663 -3 *447:CLK_N *461:D 6.08467e-05 -4 *447:CLK_N *511:A 0.000108729 -5 *447:CLK_N *512:A 6.86425e-05 -6 *447:CLK_N *515:A 0.000179687 -7 *447:CLK_N *63:16 0.000126813 -8 *447:CLK_N *119:20 0 -9 *447:CLK_N *165:11 0.000336916 -10 *447:CLK_N *185:23 0.000170268 -11 *447:CLK_N *197:14 3.60933e-06 -12 *447:CLK_N *198:17 0 -13 resetn_out *447:CLK_N 0.000277488 -14 serial_data_out *447:CLK_N 6.64392e-05 -15 *39:15 *447:CLK_N 1.58588e-05 -16 *54:17 *447:CLK_N 2.58757e-05 +1 *480:SET_B 0.00133094 +2 *423:X 0.00133094 +3 *480:SET_B *395:A 0.00021079 +4 *480:SET_B *396:A 0.000159634 +5 *480:SET_B *421:A 0.00016195 +6 *480:SET_B *467:A 7.67734e-06 +7 *480:SET_B *492:RESET_B 5.37479e-05 +8 *6:30 *480:SET_B 8.05029e-05 +9 *7:28 *480:SET_B 0.000340595 *RES -1 *423:X *447:CLK_N 49.6731 +1 *423:X *480:SET_B 41.425 *END -*D_NET *57 0.00684108 +*D_NET *71 0.00228394 *CONN -*I *448:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *421:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *480:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *421:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *448:RESET_B 0.00138857 -2 *421:X 0.00138857 -3 *448:RESET_B *448:SET_B 0.00221843 -4 *448:RESET_B *450:SET_B 9.21698e-05 -5 *448:RESET_B *102:12 6.77099e-05 -6 *448:RESET_B *123:33 0.000331845 -7 *448:RESET_B *160:27 5.66121e-05 -8 *448:RESET_B *161:23 3.81774e-05 -9 *448:RESET_B *164:48 6.1001e-05 -10 *448:RESET_B *179:8 0.000202298 -11 *448:RESET_B *190:16 6.03172e-05 -12 *448:RESET_B *194:13 3.95165e-05 -13 *448:RESET_B *195:15 4.3122e-05 -14 *6:21 *448:RESET_B 9.14685e-06 -15 *48:24 *448:RESET_B 0.000828556 -16 *53:28 *448:RESET_B 3.57291e-06 -17 *54:17 *448:RESET_B 1.14704e-05 +1 *480:CLK_N 0.000714279 +2 *421:X 0.000714279 +3 *480:CLK_N *424:B 5.52748e-05 +4 *480:CLK_N *467:A 6.57567e-05 +5 *480:CLK_N *493:RESET_B 2.92771e-05 +6 *480:CLK_N *163:315 7.09666e-06 +7 *480:CLK_N *163:322 0.00020553 +8 *480:CLK_N *163:326 1.72527e-05 +9 *480:CLK_N *163:352 8.23644e-05 +10 *480:CLK_N *193:13 0.000113237 +11 *530:A *480:CLK_N 0.000266401 +12 *11:18 *480:CLK_N 1.31918e-05 *RES -1 *421:X *448:RESET_B 43.4789 +1 *421:X *480:CLK_N 41.5554 *END -*D_NET *58 0.00585789 +*D_NET *72 0.00258548 *CONN -*I *448:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *481:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 *I *419:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *448:SET_B 0.00100076 -2 *419:X 0.00100076 -3 *448:SET_B *379:A 5.2153e-05 -4 *448:SET_B *403:A 7.86825e-06 -5 *448:SET_B *419:A 6.0577e-05 -6 *448:SET_B *453:RESET_B 3.94601e-06 -7 *448:SET_B *152:17 4.49063e-05 -8 *448:SET_B *161:22 0 -9 *448:SET_B *162:16 0.00141009 -10 *230:DIODE *448:SET_B 2.18679e-05 -11 *448:RESET_B *448:SET_B 0.00221843 -12 *6:21 *448:SET_B 1.74199e-05 -13 *7:27 *448:SET_B 1.91246e-05 +1 *481:RESET_B 0.000637914 +2 *419:X 0.000637914 +3 *481:RESET_B *419:A 8.78452e-05 +4 *481:RESET_B *476:D 1.44764e-05 +5 *481:RESET_B *489:D 4.47179e-05 +6 *481:RESET_B *510:A 6.08467e-05 +7 *481:RESET_B *558:A 5.88657e-05 +8 *481:RESET_B *179:27 0.000347938 +9 *479:SET_B *481:RESET_B 0.000355079 +10 *9:25 *481:RESET_B 0.000216536 +11 *11:10 *481:RESET_B 5.51483e-06 +12 *38:26 *481:RESET_B 2.44599e-05 +13 *66:11 *481:RESET_B 9.33681e-05 *RES -1 *419:X *448:SET_B 32.2519 +1 *419:X *481:RESET_B 43.999 *END -*D_NET *59 0.00242775 +*D_NET *73 0.00111269 *CONN -*I *448:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *481:SET_B I *D sky130_fd_sc_hd__dfbbn_1 *I *417:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *448:CLK_N 0.00084842 -2 *417:X 0.00084842 -3 *448:CLK_N *379:A 6.08467e-05 -4 *448:CLK_N *453:SET_B 0.000291027 -5 *448:CLK_N *462:D 0.000124019 -6 *448:CLK_N *102:12 9.32704e-05 -7 *448:CLK_N *116:16 3.73237e-05 -8 *448:CLK_N *192:17 4.49625e-06 -9 *448:CLK_N *200:9 0.000119927 +1 *481:SET_B 0.000150405 +2 *417:X 0.000150405 +3 *481:SET_B *419:A 0.000205666 +4 *481:SET_B *489:D 5.37479e-05 +5 *481:SET_B *94:9 0.000200794 +6 *481:SET_B *94:45 0.000159064 +7 *481:SET_B *100:16 0.000180723 +8 *481:SET_B *199:20 7.28994e-06 +9 *38:26 *481:SET_B 4.59816e-06 *RES -1 *417:X *448:CLK_N 42.2844 +1 *417:X *481:SET_B 24.7684 *END -*D_NET *60 0.00089972 +*D_NET *74 0.000718933 *CONN -*I *449:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *415:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *481:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *415:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *449:RESET_B 0.000259388 -2 *415:X 0.000259388 -3 *449:RESET_B *415:A 4.68239e-05 -4 *449:RESET_B *423:A 6.27718e-05 -5 *449:RESET_B *450:CLK_N 1.17135e-05 -6 *449:RESET_B *468:D 7.36257e-05 -7 *449:RESET_B *516:A 2.77625e-06 -8 *449:RESET_B *206:24 0.000111359 -9 *447:SET_B *449:RESET_B 7.18731e-05 +1 *481:CLK_N 0.000121241 +2 *415:X 0.000121241 +3 *481:CLK_N *450:A 2.07503e-05 +4 *481:CLK_N *489:D 2.74378e-05 +5 *481:CLK_N *524:A 0.00021218 +6 *481:CLK_N *104:14 0.000111479 +7 *38:26 *481:CLK_N 4.37588e-05 +8 *38:33 *481:CLK_N 6.08467e-05 *RES -1 *415:X *449:RESET_B 34.3806 +1 *415:X *481:CLK_N 32.1327 *END -*D_NET *61 0.00220327 +*D_NET *75 0.00215901 *CONN -*I *449:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *413:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *482:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *412:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *449:SET_B 0.000670239 -2 *413:X 0.000670239 -3 *449:SET_B *401:A 4.29314e-06 -4 *449:SET_B *403:A 2.37588e-05 -5 *449:SET_B *404:A 5.23737e-05 -6 *449:SET_B *411:A 0.000112367 -7 *449:SET_B *461:D 1.5714e-05 -8 *449:SET_B *94:64 1.83992e-05 -9 *449:SET_B *108:21 7.05206e-05 -10 *449:SET_B *115:12 4.59816e-06 -11 *449:SET_B *156:21 0.000159016 -12 *449:SET_B *164:332 6.22259e-05 -13 *449:SET_B *164:348 0.000162703 -14 *449:SET_B *200:9 6.22259e-05 -15 *447:SET_B *449:SET_B 5.37479e-05 -16 *26:23 *449:SET_B 6.08467e-05 +1 *482:RESET_B 0.000502777 +2 *412:X 0.000502777 +3 *482:RESET_B *461:A 0.000111838 +4 *482:RESET_B *552:A 0.000110297 +5 *482:RESET_B *160:19 0.000491022 +6 *482:RESET_B *161:11 2.9463e-05 +7 *472:A1 *482:RESET_B 0.000180652 +8 *16:16 *482:RESET_B 0.000110306 +9 *39:29 *482:RESET_B 1.7883e-05 +10 *50:25 *482:RESET_B 0.00010199 *RES -1 *413:X *449:SET_B 33.2775 +1 *412:X *482:RESET_B 41.8793 *END -*D_NET *62 0.000237992 +*D_NET *76 0.00145768 *CONN -*I *449:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *411:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *449:CLK_N 6.9078e-05 -2 *411:X 6.9078e-05 -3 *449:CLK_N *188:17 3.00829e-05 -4 *447:SET_B *449:CLK_N 6.97525e-05 -*RES -1 *411:X *449:CLK_N 20.9096 -*END - -*D_NET *63 0.00303676 -*CONN -*I *450:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *409:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *450:RESET_B 0 -2 *409:X 0.000975455 -3 *63:16 0.000975455 -4 *63:16 *409:A 6.88361e-05 -5 *63:16 *415:A 1.43698e-05 -6 *63:16 *461:D 5.49916e-05 -7 *63:16 *470:D 0.000107496 -8 *63:16 *470:CLK 5.0587e-05 -9 *63:16 *511:A 8.74843e-05 -10 *63:16 *512:A 5.98553e-05 -11 *63:16 *516:A 4.79321e-06 -12 *63:16 *517:A 3.54295e-05 -13 *63:16 *115:12 1.83827e-06 -14 *63:16 *152:92 0.000173323 -15 *63:16 *164:44 0.000159032 -16 *63:16 *164:46 0 -17 *63:16 *165:11 5.04829e-06 -18 *63:16 *186:7 9.80242e-07 -19 *63:16 *190:16 1.2657e-05 -20 *63:16 *197:14 0 -21 user_gpio_in *63:16 0.000112228 -22 *447:CLK_N *63:16 0.000126813 -23 *39:15 *63:16 3.99086e-06 -24 *54:17 *63:16 6.09429e-06 -*RES -1 *409:X *63:16 46.4925 -2 *63:16 *450:RESET_B 9.24915 -*END - -*D_NET *64 0.00309536 -*CONN -*I *450:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *407:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *450:SET_B 0.000656309 -2 *407:X 0.000656309 -3 *450:SET_B *403:A 7.86825e-06 -4 *450:SET_B *415:A 2.02035e-05 -5 *450:SET_B *66:16 2.18821e-05 -6 *450:SET_B *94:64 1.01116e-05 -7 *450:SET_B *102:12 0.000190199 -8 *448:RESET_B *450:SET_B 9.21698e-05 -9 *48:24 *450:SET_B 7.36962e-05 -10 *53:28 *450:SET_B 0.000180035 -11 *54:17 *450:SET_B 0.00118658 -*RES -1 *407:X *450:SET_B 27.6396 -*END - -*D_NET *65 0.00190762 -*CONN -*I *450:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *405:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *450:CLK_N 0.000634987 -2 *405:X 0.000634987 -3 *450:CLK_N *403:A 1.72399e-05 -4 *450:CLK_N *412:B_N 0.000148385 -5 *450:CLK_N *415:A 0 -6 *450:CLK_N *423:A 0.000109421 -7 *450:CLK_N *453:RESET_B 5.17016e-07 -8 *450:CLK_N *468:D 2.69087e-05 -9 *450:CLK_N *516:A 1.84673e-05 -10 *450:CLK_N *97:23 1.50924e-05 -11 *450:CLK_N *112:16 0.000234608 -12 *447:SET_B *450:CLK_N 0 -13 *449:RESET_B *450:CLK_N 1.17135e-05 -14 *48:24 *450:CLK_N 5.01835e-05 -15 *53:28 *450:CLK_N 5.11322e-06 -*RES -1 *405:X *450:CLK_N 40.4401 -*END - -*D_NET *66 0.0049314 -*CONN -*I *451:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *403:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *451:RESET_B 0.000111493 -2 *403:X 0.00103912 -3 *66:16 0.00115062 -4 *451:RESET_B *153:29 5.22585e-05 -5 *451:RESET_B *157:28 6.13051e-05 -6 *66:16 *404:A 0.000114594 -7 *66:16 *451:SET_B 0.000813834 -8 *66:16 *453:D 0.000132432 -9 *66:16 *453:SET_B 0.000133906 -10 *66:16 *89:38 4.02549e-05 -11 *66:16 *94:64 2.64738e-05 -12 *66:16 *102:12 8.6297e-06 -13 *66:16 *159:17 0.000506809 -14 *66:16 *161:22 5.81985e-05 -15 *66:16 *164:282 9.23149e-06 -16 *66:16 *200:9 0 -17 *450:SET_B *66:16 2.18821e-05 -18 *2:26 *66:16 0.000132398 -19 *6:21 *66:16 0.000517968 -*RES -1 *403:X *66:16 49.3534 -2 *66:16 *451:RESET_B 12.191 -*END - -*D_NET *67 0.00367992 -*CONN -*I *451:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *401:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *451:SET_B 0.000755341 -2 *401:X 0.000755341 -3 *451:SET_B *403:A 0.000159908 -4 *451:SET_B *453:SET_B 2.57465e-06 -5 *451:SET_B *102:12 0.00105587 -6 *451:SET_B *112:16 2.78219e-06 -7 *451:SET_B *159:17 4.38306e-05 -8 *451:SET_B *164:332 8.70105e-05 -9 *451:SET_B *200:9 3.42037e-06 -10 *66:16 *451:SET_B 0.000813834 -*RES -1 *401:X *451:SET_B 41.8458 -*END - -*D_NET *68 0.00284826 -*CONN -*I *451:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *399:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *451:CLK_N 0.000800049 -2 *399:X 0.000800049 -3 *451:CLK_N *393:A 2.95913e-05 -4 *451:CLK_N *399:A 2.47751e-05 -5 *451:CLK_N *454:RESET_B 1.83795e-06 -6 *451:CLK_N *463:CLK 0.000143427 -7 *451:CLK_N *464:CLK 0.000158138 -8 *451:CLK_N *71:18 2.08197e-05 -9 *451:CLK_N *152:38 0.00012977 -10 *451:CLK_N *153:29 1.58551e-05 -11 *451:CLK_N *157:28 3.82228e-05 -12 *451:CLK_N *193:38 4.28856e-07 -13 *9:11 *451:CLK_N 6.84443e-05 -14 *9:17 *451:CLK_N 0.000224377 -15 *10:14 *451:CLK_N 0.000326584 -16 *12:20 *451:CLK_N 5.04829e-06 -17 *15:15 *451:CLK_N 6.08467e-05 -*RES -1 *399:X *451:CLK_N 48.1994 -*END - -*D_NET *69 0.00284943 -*CONN -*I *452:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *397:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *452:RESET_B 0.000940622 -2 *397:X 0.000940622 -3 *452:RESET_B *467:CLK 3.00829e-05 -4 *452:RESET_B *128:15 1.95129e-05 -5 *452:RESET_B *151:13 0.000485133 -6 *452:RESET_B *151:15 0.000339588 -7 *452:RESET_B *151:20 6.55453e-05 -8 *452:RESET_B *164:72 0 -9 *452:RESET_B *164:91 2.8322e-05 -10 *452:RESET_B *206:10 0 -*RES -1 *397:X *452:RESET_B 47.5111 -*END - -*D_NET *70 0.00151867 -*CONN -*I *452:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *395:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *452:SET_B 0.000520968 -2 *395:X 0.000520968 -3 *452:SET_B *394:B_N 2.39189e-05 -4 *452:SET_B *395:A 4.24629e-05 -5 *452:SET_B *397:A 0.000161956 -6 *452:SET_B *457:CLK_N 0 -7 *452:SET_B *465:D 0 -8 *452:SET_B *466:D 0 -9 *452:SET_B *164:100 0.000171519 -10 *452:SET_B *164:105 6.27718e-05 -11 *452:SET_B *194:23 1.4106e-05 -12 *5:8 *452:SET_B 0 -*RES -1 *395:X *452:SET_B 31.2719 -*END - -*D_NET *71 0.00563379 -*CONN -*I *452:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *393:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *452:CLK_N 0.000135324 -2 *393:X 0.00101255 -3 *71:18 0.00114787 -4 *452:CLK_N *92:35 5.22585e-05 -5 *71:18 *393:A 0.000638514 -6 *71:18 *399:A 2.99287e-05 -7 *71:18 *92:10 6.75233e-05 -8 *71:18 *123:33 0.0005399 -9 *71:18 *161:22 0.000558217 -10 *71:18 *191:13 0.000220051 -11 *221:DIODE *71:18 8.6603e-05 -12 *451:CLK_N *71:18 2.08197e-05 -13 *485:A *71:18 1.41976e-05 -14 *494:A *71:18 2.02035e-05 -15 *495:A *71:18 0.00018982 -16 *4:8 *71:18 0.000752657 -17 *10:14 *71:18 0.000118782 -18 *12:20 *71:18 8.72221e-06 -19 *15:15 *71:18 3.99086e-06 -20 *16:15 *71:18 1.58551e-05 -*RES -1 *393:X *71:18 47.9155 -2 *71:18 *452:CLK_N 16.691 -*END - -*D_NET *72 0.00283417 -*CONN -*I *453:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *391:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *453:RESET_B 0.000841555 -2 *391:X 0.000841555 -3 *453:RESET_B *403:A 0.000297816 -4 *453:RESET_B *415:A 2.27175e-05 -5 *453:RESET_B *450:D 1.58551e-05 -6 *453:RESET_B *454:CLK_N 0.000270186 -7 *453:RESET_B *97:23 2.16355e-05 -8 *453:RESET_B *154:8 5.04829e-06 -9 *453:RESET_B *161:22 0.000233622 -10 *453:RESET_B *206:24 0.000279717 -11 *448:SET_B *453:RESET_B 3.94601e-06 -12 *450:CLK_N *453:RESET_B 5.17016e-07 -*RES -1 *391:X *453:RESET_B 46.5118 -*END - -*D_NET *73 0.00180714 -*CONN -*I *453:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *389:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *453:SET_B 0.000402668 -2 *389:X 0.000402668 -3 *453:SET_B *379:A 1.65872e-05 -4 *453:SET_B *414:A 1.00766e-05 -5 *453:SET_B *462:RESET_B 2.98409e-05 -6 *453:SET_B *96:16 1.66398e-05 -7 *453:SET_B *102:12 5.1493e-06 -8 *453:SET_B *112:16 9.80536e-05 -9 *453:SET_B *116:16 1.37189e-05 -10 *453:SET_B *161:22 1.03594e-05 -11 *453:SET_B *192:17 0.000247443 -12 *453:SET_B *193:38 0 -13 *453:SET_B *200:9 6.3657e-05 -14 *453:SET_B *201:8 6.27718e-05 -15 *448:CLK_N *453:SET_B 0.000291027 -16 *451:SET_B *453:SET_B 2.57465e-06 -17 *66:16 *453:SET_B 0.000133906 -*RES -1 *389:X *453:SET_B 38.9521 -*END - -*D_NET *74 0.00129024 -*CONN -*I *453:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *387:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *453:CLK_N 0.000329943 -2 *387:X 0.000329943 -3 *453:CLK_N *380:A 6.27718e-05 -4 *453:CLK_N *419:A 5.39173e-05 -5 *453:CLK_N *454:CLK_N 1.65872e-05 -6 *453:CLK_N *93:23 6.27718e-05 -7 *453:CLK_N *111:14 2.66801e-05 -8 *453:CLK_N *116:16 0.000264091 -9 *453:CLK_N *193:38 1.00901e-05 -10 *13:18 *453:CLK_N 0.000133447 -*RES -1 *387:X *453:CLK_N 34.9352 -*END - -*D_NET *75 0.00818684 -*CONN -*I *454:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *384:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *454:RESET_B 0.00154819 -2 *384:X 0.00154819 -3 *454:RESET_B *393:A 1.57662e-05 -4 *454:RESET_B *398:A 0.000198711 -5 *454:RESET_B *93:23 0 -6 *454:RESET_B *129:23 0 -7 *454:RESET_B *153:29 4.73665e-05 -8 *454:RESET_B *161:22 5.08721e-05 -9 *454:RESET_B *172:13 4.23622e-05 -10 *454:RESET_B *189:18 0 -11 *451:CLK_N *454:RESET_B 1.83795e-06 -12 *9:17 *454:RESET_B 2.32028e-05 -13 *11:23 *454:RESET_B 0.00354783 -14 *12:30 *454:RESET_B 1.70073e-05 -15 *13:18 *454:RESET_B 0.0011455 -*RES -1 *384:X *454:RESET_B 49.7729 -*END - -*D_NET *76 0.00329467 -*CONN -*I *454:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *382:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *454:SET_B 0 -2 *382:X 0.000843432 -3 *76:14 0.000843432 -4 *76:14 *376:A 3.20407e-05 -5 *76:14 *382:A 0.000286993 -6 *76:14 *443:A1 0.000111352 -7 *76:14 *446:TE_B 0.000212332 -8 *76:14 *504:A 0.000111285 -9 *76:14 *505:A 5.07618e-06 -10 *76:14 *164:192 7.98171e-06 -11 *443:S *76:14 4.4408e-05 -12 *444:A1 *76:14 4.90091e-05 -13 *17:26 *76:14 0.000138747 -14 *20:12 *76:14 0.000608581 -*RES -1 *382:X *76:14 47.3936 -2 *76:14 *454:SET_B 4.5 -*END - -*D_NET *77 0.00361523 -*CONN -*I *454:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *380:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *454:CLK_N 0.00106376 -2 *380:X 0.00106376 -3 *454:CLK_N *380:A 0.000179264 -4 *454:CLK_N *471:D 0.000652391 -5 *454:CLK_N *93:23 0.000110458 -6 *454:CLK_N *96:16 0.00015607 -7 *454:CLK_N *154:8 9.81043e-05 -8 *454:CLK_N *161:22 4.65647e-06 -9 *453:RESET_B *454:CLK_N 0.000270186 -10 *453:CLK_N *454:CLK_N 1.65872e-05 -*RES -1 *380:X *454:CLK_N 40.3449 -*END - -*D_NET *78 0.00278439 -*CONN -*I *455:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *378:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *455:RESET_B 0.000578542 -2 *378:X 0.000578542 -3 *455:RESET_B *367:A 0.000301926 -4 *455:RESET_B *369:B_N 0.000114594 -5 *455:RESET_B *370:A 4.11567e-05 -6 *455:RESET_B *455:SET_B 6.08467e-05 -7 *455:RESET_B *456:D 4.13313e-05 -8 *455:RESET_B *459:RESET_B 9.59811e-06 -9 *455:RESET_B *459:SET_B 8.42554e-06 -10 *455:RESET_B *119:16 7.78924e-05 -11 *455:RESET_B *129:23 0.000470271 -12 *455:RESET_B *164:192 7.58194e-05 -13 *455:RESET_B *164:197 4.87439e-05 -14 *455:RESET_B *172:13 9.87056e-05 -15 *455:RESET_B *180:11 0.0002195 -16 *2:26 *455:RESET_B 5.8493e-05 -*RES -1 *378:X *455:RESET_B 47.9799 -*END - -*D_NET *79 0.00176189 -*CONN -*I *455:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *376:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *455:SET_B 0.000434479 -2 *376:X 0.000434479 -3 *455:SET_B *446:TE_B 4.43579e-05 -4 *455:SET_B *456:CLK_N 0.000148764 -5 *455:SET_B *119:16 0.000156611 -6 *455:SET_B *167:20 0.000179873 -7 *455:SET_B *180:11 4.51877e-05 -8 *455:RESET_B *455:SET_B 6.08467e-05 -9 *2:26 *455:SET_B 0.000257287 -*RES -1 *376:X *455:SET_B 29.8935 -*END - -*D_NET *80 0.00271253 -*CONN -*I *455:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *374:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *455:CLK_N 0.000656315 -2 *374:X 0.000656315 -3 *455:CLK_N *362:A 0.000732578 -4 *455:CLK_N *392:A 0.00040526 -5 *455:CLK_N *472:CLK 0.000135921 -6 *455:CLK_N *89:41 2.1203e-06 -7 *455:CLK_N *132:21 3.81675e-05 -8 *455:CLK_N *151:5 2.57986e-05 -9 *455:CLK_N *151:9 1.88422e-05 -10 *455:CLK_N *153:29 1.27831e-06 -11 *455:CLK_N *178:22 1.41307e-05 -12 *2:26 *455:CLK_N 2.57986e-05 -*RES -1 *374:X *455:CLK_N 46.9255 -*END - -*D_NET *81 0.00133673 -*CONN -*I *456:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *372:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *456:RESET_B 0.000300143 -2 *372:X 0.000300143 -3 *456:RESET_B *369:B_N 0 -4 *456:RESET_B *370:A 0 -5 *456:RESET_B *372:A 6.96846e-05 -6 *456:RESET_B *378:A 4.87301e-05 -7 *456:RESET_B *171:13 0.000156946 -8 *456:RESET_B *176:19 0.000145542 -9 *443:S *456:RESET_B 0.000315541 -*RES -1 *372:X *456:RESET_B 36.5934 -*END - -*D_NET *82 0.000442839 -*CONN -*I *456:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *370:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *456:SET_B 4.47673e-05 -2 *370:X 4.47673e-05 -3 *456:SET_B *171:13 0.000176652 -4 *456:SET_B *172:13 0.000176652 -*RES -1 *370:X *456:SET_B 21.3269 -*END - -*D_NET *83 0.00143246 -*CONN -*I *456:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *368:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *456:CLK_N 0.00049013 -2 *368:X 0.00049013 -3 *456:CLK_N *376:A 7.16754e-05 -4 *456:CLK_N *456:D 8.64141e-05 -5 *456:CLK_N *474:A 0 -6 *456:CLK_N *93:23 6.08467e-05 -7 *456:CLK_N *119:16 6.96846e-05 -8 *456:CLK_N *180:11 1.4813e-05 -9 *455:SET_B *456:CLK_N 0.000148764 -*RES -1 *368:X *456:CLK_N 39.8494 -*END - -*D_NET *84 0.0029017 -*CONN -*I *457:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *366:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *457:RESET_B 0.000562688 -2 *366:X 0.000562688 -3 *457:RESET_B *351:A 0.000159032 -4 *457:RESET_B *353:B 1.88422e-05 -5 *457:RESET_B *354:A 3.41241e-05 -6 *457:RESET_B *358:A 0.000110458 -7 *457:RESET_B *366:A 3.90198e-05 -8 *457:RESET_B *128:15 0.000155063 -9 *457:RESET_B *157:31 0.000506931 -10 *457:RESET_B *171:13 0.000752853 -*RES -1 *366:X *457:RESET_B 47.1258 -*END - -*D_NET *85 0.00177447 -*CONN -*I *457:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *364:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *457:SET_B 0.000582307 -2 *364:X 0.000582307 -3 *457:SET_B *360:A 0.000111802 -4 *457:SET_B *364:A 0.00020309 -5 *457:SET_B *458:D 0.000103434 -6 *457:SET_B *206:10 0.000168586 -7 *13:18 *457:SET_B 2.29386e-05 -*RES -1 *364:X *457:SET_B 29.8147 -*END - -*D_NET *86 0.00203899 -*CONN -*I *457:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *362:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *457:CLK_N 0.000730024 -2 *362:X 0.000730024 -3 *457:CLK_N *466:D 0 -4 *457:CLK_N *89:9 6.54161e-05 -5 *457:CLK_N *132:21 0.000467583 -6 *457:CLK_N *157:28 4.59423e-05 -7 *452:SET_B *457:CLK_N 0 -*RES -1 *362:X *457:CLK_N 41.965 -*END - -*D_NET *87 0.00146526 -*CONN -*I *458:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *360:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *458:RESET_B 0.000379309 -2 *360:X 0.000379309 -3 *458:RESET_B *459:SET_B 6.06764e-05 -4 *458:RESET_B *128:15 0.000510052 -5 *458:RESET_B *158:28 0.000122992 -6 *458:RESET_B *171:13 1.29211e-05 -*RES -1 *360:X *458:RESET_B 35.4604 -*END - -*D_NET *88 0.000762195 -*CONN -*I *458:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *358:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *458:SET_B 0.000289012 -2 *358:X 0.000289012 -3 *458:SET_B *357:A 0 -4 *458:SET_B *358:A 2.46862e-05 -5 *458:SET_B *459:RESET_B 2.63292e-05 -6 *458:SET_B *158:28 0.000133154 -*RES -1 *358:X *458:SET_B 24.5968 -*END - -*D_NET *89 0.00903991 -*CONN -*I *361:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *379:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *373:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *367:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *458:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *356:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *361:A 1.49738e-05 -2 *379:A 0.000479109 -3 *373:A 0.000210515 -4 *367:A 0.000563971 -5 *458:CLK_N 0 -6 *356:X 0 -7 *89:41 0.000891655 -8 *89:38 0.00057759 -9 *89:9 0.000920856 -10 *89:5 0.000717469 -11 *361:A *178:22 1.41307e-05 -12 *367:A *364:A 1.96344e-05 -13 *367:A *458:D 0.000112367 -14 *367:A *459:RESET_B 0 -15 *367:A *459:SET_B 0.000319462 -16 *367:A *129:23 1.65872e-05 -17 *367:A *158:28 0.000243065 -18 *367:A *179:8 0 -19 *373:A *390:B 0.000107496 -20 *373:A *446:TE_B 4.87439e-05 -21 *373:A *96:16 1.4474e-05 -22 *373:A *111:14 7.10483e-06 -23 *373:A *132:21 6.08467e-05 -24 *379:A *463:D 3.18342e-06 -25 *379:A *471:D 0.000189032 -26 *379:A *96:16 0.000122877 -27 *379:A *116:16 0.000133659 -28 *379:A *152:17 0.000190174 -29 *379:A *192:17 9.34993e-05 -30 *89:9 *363:B_N 0.00030844 -31 *89:9 *364:A 1.43698e-05 -32 *89:9 *157:28 0.000334235 -33 *89:9 *158:28 0.000368088 -34 *89:9 *164:77 6.46921e-05 -35 *89:9 *164:91 0.000250405 -36 *89:9 *195:33 3.96696e-05 -37 *89:38 *356:A 0 -38 *89:38 *400:A 0 -39 *89:38 *464:RESET_B 1.41976e-05 -40 *89:38 *471:CLK 6.91404e-05 -41 *89:38 *102:12 0 -42 *89:38 *157:28 0.000240063 -43 *89:38 *178:22 3.17103e-05 -44 *89:41 *471:D 8.22431e-05 -45 *89:41 *132:21 0 -46 *89:41 *137:18 6.34564e-05 -47 *89:41 *153:29 0.000287367 -48 *448:SET_B *379:A 5.2153e-05 -49 *448:CLK_N *379:A 6.08467e-05 -50 *453:SET_B *379:A 1.65872e-05 -51 *455:RESET_B *367:A 0.000301926 -52 *455:CLK_N *89:41 2.1203e-06 -53 *457:CLK_N *89:9 6.54161e-05 -54 *2:26 *361:A 2.29888e-05 -55 *2:26 *89:38 0.000233422 -56 *3:20 *89:41 3.64497e-06 -57 *66:16 *89:38 4.02549e-05 -*RES -1 *356:X *89:5 13.7491 -2 *89:5 *89:9 18.833 -3 *89:9 *458:CLK_N 9.24915 -4 *89:9 *367:A 35.7172 -5 *89:5 *89:38 14.606 -6 *89:38 *89:41 10.4845 -7 *89:41 *373:A 18.5718 -8 *89:41 *379:A 27.1631 -9 *89:38 *361:A 9.97254 -*END - -*D_NET *90 0.00136458 -*CONN -*I *459:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *354:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *459:RESET_B 0.000445819 -2 *354:X 0.000445819 -3 *459:RESET_B *352:A 2.57986e-05 -4 *459:RESET_B *459:SET_B 4.06407e-05 -5 *459:RESET_B *502:A 0.000160942 -6 *459:RESET_B *158:28 0.000209636 -7 *459:RESET_B *179:8 0 -8 *367:A *459:RESET_B 0 -9 *455:RESET_B *459:RESET_B 9.59811e-06 -10 *458:SET_B *459:RESET_B 2.63292e-05 -*RES -1 *354:X *459:RESET_B 38.5093 -*END - -*D_NET *91 0.00186134 -*CONN -*I *459:SET_B I *D sky130_fd_sc_hd__dfbbn_1 -*I *352:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *459:SET_B 0.00054312 -2 *352:X 0.00054312 -3 *459:SET_B *502:A 0.000152666 -4 *459:SET_B *129:23 0.000112361 -5 *459:SET_B *158:28 2.82255e-05 -6 *459:SET_B *171:13 5.26405e-05 -7 *367:A *459:SET_B 0.000319462 -8 *455:RESET_B *459:SET_B 8.42554e-06 -9 *458:RESET_B *459:SET_B 6.06764e-05 -10 *459:RESET_B *459:SET_B 4.06407e-05 -*RES -1 *352:X *459:SET_B 31.9754 -*END - -*D_NET *92 0.00809449 -*CONN -*I *459:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 -*I *438:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *459:CLK_N 0 -2 *438:X 0.000930111 -3 *92:35 0.00185079 -4 *92:10 0.0027809 -5 *92:10 *160:27 0 -6 *92:10 *189:18 0.000150499 -7 *92:10 *194:13 5.18062e-06 -8 *92:35 *158:28 5.51346e-05 -9 *92:35 *179:8 6.64392e-05 -10 *92:35 *194:23 1.91246e-05 -11 *92:35 *195:33 0.000302458 -12 *230:DIODE *92:10 0.000122708 -13 *452:CLK_N *92:35 5.22585e-05 -14 *494:A *92:10 1.12479e-05 -15 *495:A *92:10 0.000287341 -16 *496:A *92:10 5.94961e-05 -17 *497:A *92:10 0.000156203 -18 *6:21 *92:10 2.09356e-05 -19 *7:15 *92:35 1.91246e-05 -20 *7:27 *92:10 0.000890602 -21 *15:15 *92:35 0.000109923 -22 *16:15 *92:10 0 -23 *53:28 *92:10 0.000114612 -24 *54:17 *92:10 2.18821e-05 -25 *71:18 *92:10 6.75233e-05 -*RES -1 *438:X *92:10 42.048 -2 *92:10 *92:35 48.4431 -3 *92:35 *459:CLK_N 9.24915 -*END - -*D_NET *93 0.00721002 -*CONN -*I *384:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *383:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *384:A 0 -2 *383:X 0.00140715 -3 *93:23 0.00140715 -4 *93:23 *390:B 0.000837581 -5 *93:23 *432:B_N 0.000109427 -6 *93:23 *474:A 2.08648e-05 -7 *93:23 *111:14 0.000102939 -8 *93:23 *119:16 8.25226e-06 -9 *93:23 *123:33 6.65821e-05 -10 *93:23 *129:23 7.12632e-06 -11 *93:23 *152:17 0.000131168 -12 *93:23 *152:38 1.15845e-05 -13 *93:23 *153:29 5.03226e-05 -14 *93:23 *164:258 0.000312899 -15 *93:23 *189:18 0.00217483 -16 *93:23 *193:38 3.31749e-05 -17 *229:DIODE *93:23 9.67837e-05 -18 *453:CLK_N *93:23 6.27718e-05 -19 *454:RESET_B *93:23 0 -20 *454:CLK_N *93:23 0.000110458 -21 *456:CLK_N *93:23 6.08467e-05 -22 *495:A *93:23 8.08437e-05 -23 *5:8 *93:23 0.000105157 -24 *13:18 *93:23 1.21131e-05 -*RES -1 *383:X *93:23 43.8112 -2 *93:23 *384:A 9.24915 -*END - -*D_NET *94 0.00967631 -*CONN -*I *404:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *410:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *386:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *398:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *392:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *385:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 -*CAP -1 *404:A 0.000375939 -2 *410:A 2.48531e-05 -3 *386:A 2.28157e-05 -4 *398:A 0.000315054 -5 *392:A 0.000893685 -6 *385:X 1.09219e-05 -7 *94:64 0.000748552 -8 *94:46 0.000420186 -9 *94:33 0.000794007 -10 *94:7 0.00133395 -11 *386:A *387:A 0.000109427 -12 *386:A *116:16 0.000109427 -13 *392:A *356:A 0.000178411 -14 *392:A *400:A 8.03393e-06 -15 *392:A *102:12 0.00046828 -16 *392:A *132:21 3.13805e-06 -17 *404:A *407:A 0.000140998 -18 *404:A *461:D 2.07365e-05 -19 *404:A *108:21 0.000229588 -20 *404:A *160:27 0.000260698 -21 *404:A *200:9 2.58563e-05 -22 *410:A *403:A 0 -23 *94:7 *191:13 6.36477e-05 -24 *94:33 *419:A 1.20144e-05 -25 *94:33 *453:D 7.29623e-05 -26 *94:33 *102:12 7.22263e-05 -27 *94:33 *111:14 9.31434e-05 -28 *94:33 *161:22 0.000406614 -29 *94:33 *193:38 4.15661e-05 -30 *94:46 *419:A 1.53148e-05 -31 *94:46 *453:D 5.01835e-05 -32 *94:64 *419:A 8.72221e-06 -33 *94:64 *453:D 0.000129176 -34 *94:64 *152:38 1.60434e-05 -35 *94:64 *159:17 3.29488e-05 -36 *449:SET_B *404:A 5.23737e-05 -37 *449:SET_B *94:64 1.83992e-05 -38 *450:SET_B *94:64 1.01116e-05 -39 *454:RESET_B *398:A 0.000198711 -40 *455:CLK_N *392:A 0.00040526 -41 *2:33 *94:7 6.36477e-05 -42 *2:33 *94:33 6.16595e-06 -43 *6:21 *398:A 0.000611255 -44 *11:23 *398:A 0.000165025 -45 *12:30 *398:A 9.57583e-05 -46 *13:18 *94:33 0.000399413 -47 *66:16 *404:A 0.000114594 -48 *66:16 *94:64 2.64738e-05 -*RES -1 *385:X *94:7 14.4725 -2 *94:7 *392:A 33.9868 -3 *94:7 *94:33 14.1745 -4 *94:33 *398:A 23.4176 -5 *94:33 *94:46 1.20912 -6 *94:46 *386:A 15.0271 -7 *94:46 *94:64 12.6904 -8 *94:64 *410:A 9.82786 -9 *94:64 *404:A 29.1891 -*END - -*D_NET *95 0.000473435 -*CONN -*I *387:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *386:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *387:A 8.97347e-05 -2 *386:X 8.97347e-05 -3 *387:A *116:16 7.31956e-05 -4 *387:A *192:17 0.000111342 -5 *386:A *387:A 0.000109427 -*RES -1 *386:X *387:A 22.5975 -*END - -*D_NET *96 0.00222663 -*CONN -*I *389:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *388:X O *D sky130_fd_sc_hd__or2b_1 -*CAP -1 *389:A 0 -2 *388:X 0.0004242 -3 *96:16 0.0004242 -4 *96:16 *390:B 5.9036e-05 -5 *96:16 *463:D 0.000191472 -6 *96:16 *97:23 2.28198e-05 -7 *96:16 *111:14 0.000207892 -8 *96:16 *112:16 0.000235243 -9 *96:16 *152:17 0.000120123 -10 *96:16 *161:22 0.000109658 -11 *96:16 *201:8 0.000121922 -12 *373:A *96:16 1.4474e-05 -13 *379:A *96:16 0.000122877 -14 *453:SET_B *96:16 1.66398e-05 -15 *454:CLK_N *96:16 0.00015607 -*RES -1 *388:X *96:16 41.6793 -2 *96:16 *389:A 9.24915 -*END - -*D_NET *97 0.00537143 -*CONN -*I *391:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *390:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *391:A 0 -2 *390:X 0.00091505 -3 *97:23 0.00091505 -4 *97:23 *390:B 3.29488e-05 -5 *97:23 *403:A 4.36134e-05 -6 *97:23 *412:B_N 9.0951e-05 -7 *97:23 *463:D 9.67358e-05 -8 *97:23 *474:A 3.04631e-05 -9 *97:23 *477:TE 0.000262422 -10 *97:23 *112:13 0.000615379 -11 *97:23 *112:16 9.29993e-06 -12 *97:23 *152:17 0.000300277 -13 *97:23 *164:250 6.96754e-05 -14 *97:23 *164:258 4.6535e-05 -15 *97:23 *179:8 4.60046e-05 -16 *97:23 *201:8 0.000131046 -17 *450:CLK_N *97:23 1.50924e-05 -18 *453:RESET_B *97:23 2.16355e-05 -19 *3:20 *97:23 0.00025456 -20 *6:21 *97:23 0.000131528 -21 *11:23 *97:23 0.000991671 -22 *34:20 *97:23 0.000247882 -23 *48:24 *97:23 8.07924e-05 -24 *96:16 *97:23 2.28198e-05 -*RES -1 *390:X *97:23 47.2674 -2 *97:23 *391:A 9.24915 -*END - -*D_NET *98 0.00261387 -*CONN -*I *393:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *392:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *393:A 0.00055252 -2 *392:X 0.00055252 -3 *451:CLK_N *393:A 2.95913e-05 -4 *454:RESET_B *393:A 1.57662e-05 -5 *9:17 *393:A 0.000293672 -6 *10:14 *393:A 0.000453812 -7 *12:20 *393:A 8.16019e-06 -8 *12:30 *393:A 6.93171e-05 -9 *71:18 *393:A 0.000638514 -*RES -1 *392:X *393:A 45.2815 -*END - -*D_NET *99 0.00191376 -*CONN -*I *395:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *394:X O *D sky130_fd_sc_hd__or2b_1 -*CAP -1 *395:A 5.29957e-05 -2 *394:X 0.000479743 -3 *99:8 0.000103725 -4 *99:7 0.000530473 -5 *395:A *194:23 0.000154095 -6 *99:7 *394:B_N 0.000259406 -7 *99:7 *466:D 7.1769e-05 -8 *99:7 *466:RESET_B 1.68951e-06 -9 *99:7 *164:105 1.37189e-05 -10 *99:7 *194:23 0.000203685 -11 *452:SET_B *395:A 4.24629e-05 -*RES -1 *394:X *99:7 26.4315 -2 *99:7 *99:8 57.9449 -3 *99:8 *395:A 20.3309 -*END - -*D_NET *100 0.000725576 -*CONN -*I *397:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *396:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *397:A 0.000241046 -2 *396:X 0.000241046 -3 *397:A *164:105 2.29888e-05 -4 *452:SET_B *397:A 0.000161956 -5 *5:8 *397:A 5.85383e-05 -*RES -1 *396:X *397:A 32.548 -*END - -*D_NET *101 0.000146523 -*CONN -*I *399:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *398:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *399:A 4.59094e-05 -2 *398:X 4.59094e-05 -3 *451:CLK_N *399:A 2.47751e-05 -4 *71:18 *399:A 2.99287e-05 -*RES -1 *398:X *399:A 20.2103 -*END - -*D_NET *102 0.00444679 -*CONN -*I *401:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *400:X O *D sky130_fd_sc_hd__or2b_1 -*CAP -1 *401:A 5.71993e-05 -2 *400:X 0.000914757 -3 *102:12 0.000971956 -4 *401:A *403:A 0.00015901 -5 *401:A *163:42 1.03403e-05 -6 *102:12 *380:A 0.000136327 -7 *102:12 *400:A 4.94e-06 -8 *102:12 *453:D 0 -9 *102:12 *112:16 5.01835e-05 -10 *102:12 *164:332 4.89489e-05 -11 *102:12 *193:38 9.02963e-06 -12 *102:12 *200:9 0.000118465 -13 *392:A *102:12 0.00046828 -14 *448:RESET_B *102:12 6.77099e-05 -15 *448:CLK_N *102:12 9.32704e-05 -16 *449:SET_B *401:A 4.29314e-06 -17 *450:SET_B *102:12 0.000190199 -18 *451:SET_B *102:12 0.00105587 -19 *453:SET_B *102:12 5.1493e-06 -20 *66:16 *102:12 8.6297e-06 -21 *89:38 *102:12 0 -22 *94:33 *102:12 7.22263e-05 -*RES -1 *400:X *102:12 46.4384 -2 *102:12 *401:A 15.5817 -*END - -*D_NET *103 0.00619525 -*CONN -*I *403:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *402:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *403:A 0.000497298 -2 *402:X 0.000801143 -3 *103:11 0.00129844 -4 *403:A *415:A 4.8878e-06 -5 *403:A *450:D 6.64392e-05 -6 *403:A *163:42 0.000186511 -7 *103:11 *415:A 7.92757e-06 -8 *103:11 *418:B_N 2.90836e-05 -9 *103:11 *475:A 9.56715e-05 -10 *103:11 *112:13 0.000270602 -11 *103:11 *151:5 3.42678e-05 -12 *103:11 *162:23 0.000347849 -13 *103:11 *164:48 0.000350243 -14 *103:11 *164:50 6.4309e-05 -15 *103:11 *164:52 0.000180696 -16 *103:11 *164:54 0.00034463 -17 *103:11 *164:56 0.000154987 -18 *103:11 *164:65 8.36586e-06 -19 *103:11 *206:24 2.86545e-05 -20 *401:A *403:A 0.00015901 -21 *410:A *403:A 0 -22 *448:SET_B *403:A 7.86825e-06 -23 *449:SET_B *403:A 2.37588e-05 -24 *450:SET_B *403:A 7.86825e-06 -25 *450:CLK_N *403:A 1.72399e-05 -26 *451:SET_B *403:A 0.000159908 -27 *453:RESET_B *403:A 0.000297816 -28 *13:18 *103:11 0.00065446 -29 *48:24 *403:A 0 -30 *53:28 *403:A 5.16998e-05 -31 *97:23 *403:A 4.36134e-05 -*RES -1 *402:X *103:11 45.8969 -2 *103:11 *403:A 34.1269 -*END - -*D_NET *104 0.00115049 -*CONN -*I *405:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *404:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *405:A 0.000270693 -2 *404:X 0.000270693 -3 *405:A *108:21 0.000109427 -4 *405:A *112:16 3.77235e-05 -5 *405:A *163:42 0.00017943 -6 *405:A *164:348 0.000282519 -*RES -1 *404:X *405:A 34.7608 -*END - -*D_NET *105 0.00312384 -*CONN -*I *407:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *406:X O *D sky130_fd_sc_hd__or2b_1 -*CAP -1 *407:A 0.000584366 -2 *406:X 0.000584366 -3 *407:A *406:B_N 0.000147647 -4 *407:A *108:21 0.000110306 -5 *407:A *160:27 0.000906339 -6 *407:A *164:319 0.000125308 -7 *407:A *164:321 0.000287569 -8 *407:A *164:325 0.000157107 -9 *404:A *407:A 0.000140998 -10 *447:SET_B *407:A 5.82003e-05 -11 *54:17 *407:A 2.16355e-05 -*RES -1 *406:X *407:A 48.3086 -*END - -*D_NET *106 0.00129986 -*CONN -*I *409:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *408:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *409:A 0.000298629 -2 *408:X 0.000298629 -3 *409:A *415:A 0.000137515 -4 *409:A *516:A 2.29454e-05 -5 *409:A *517:A 0.000165521 -6 *409:A *206:24 0.00018937 -7 *409:A *208:8 3.04314e-05 -8 user_gpio_in *409:A 8.79791e-05 -9 *63:16 *409:A 6.88361e-05 -*RES -1 *408:X *409:A 36.864 -*END - -*D_NET *107 0.00105122 -*CONN -*I *411:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *482:SET_B I *D sky130_fd_sc_hd__dfbbn_1 *I *410:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *411:A 0.000371417 -2 *410:X 0.000371417 -3 *411:A *461:RESET_B 2.57465e-06 -4 *411:A *108:21 8.72256e-06 -5 *411:A *117:23 0.000133447 -6 *411:A *156:21 0 -7 *411:A *160:27 5.12718e-05 -8 *449:SET_B *411:A 0.000112367 +1 *482:SET_B 0.000398563 +2 *410:X 0.000398563 +3 *482:SET_B *406:A 0.000255277 +4 *482:SET_B *411:A 9.11614e-05 +5 *482:SET_B *474:A 9.48068e-05 +6 *482:SET_B *483:RESET_B 0.000112367 +7 *505:A *482:SET_B 0.000106946 *RES -1 *410:X *411:A 35.0784 +1 *410:X *482:SET_B 29.0818 *END -*D_NET *108 0.00259845 +*D_NET *77 0.000920199 *CONN -*I *413:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *412:X O *D sky130_fd_sc_hd__or2b_1 +*I *482:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *408:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *413:A 0 -2 *412:X 0.000818176 -3 *108:21 0.000818176 -4 *108:21 *406:B_N 2.53145e-06 -5 *108:21 *412:B_N 0.000109421 -6 *108:21 *461:D 7.807e-05 -7 *108:21 *156:21 6.74426e-05 -8 *108:21 *160:27 0.000161827 -9 *108:21 *200:9 9.12416e-06 -10 *404:A *108:21 0.000229588 -11 *405:A *108:21 0.000109427 -12 *407:A *108:21 0.000110306 -13 *411:A *108:21 8.72256e-06 -14 *449:SET_B *108:21 7.05206e-05 -15 *26:20 *108:21 5.11322e-06 +1 *482:CLK_N 0.000217464 +2 *408:X 0.000217464 +3 *482:CLK_N *406:A 0.000272935 +4 *482:CLK_N *528:A 0.000192557 +5 *3:13 *482:CLK_N 1.10465e-05 +6 *4:17 *482:CLK_N 8.73244e-06 *RES -1 *412:X *108:21 44.6536 -2 *108:21 *413:A 9.24915 +1 *408:X *482:CLK_N 33.791 *END -*D_NET *109 0.00266381 +*D_NET *78 0.00304137 *CONN -*I *415:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *414:X O *D sky130_fd_sc_hd__or2_1 +*I *483:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *406:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *415:A 0.000815739 -2 *414:X 0.000815739 -3 *415:A *408:A 4.84017e-05 -4 *415:A *423:A 1.06977e-05 -5 *415:A *475:A 0.000114594 -6 *415:A *516:A 0.000112361 -7 *415:A *152:17 0.000159756 -8 *415:A *152:81 4.81452e-05 -9 *415:A *162:23 9.75148e-06 -10 *415:A *206:24 0.000264017 -11 *403:A *415:A 4.8878e-06 -12 *409:A *415:A 0.000137515 -13 *447:SET_B *415:A 1.01683e-05 -14 *449:RESET_B *415:A 4.68239e-05 -15 *450:SET_B *415:A 2.02035e-05 -16 *450:CLK_N *415:A 0 -17 *453:RESET_B *415:A 2.27175e-05 -18 *53:28 *415:A 0 -19 *63:16 *415:A 1.43698e-05 -20 *103:11 *415:A 7.92757e-06 +1 *483:RESET_B 0.000548122 +2 *406:X 0.000548122 +3 *483:RESET_B *474:A 0.00089341 +4 *483:RESET_B *483:SET_B 0.000100397 +5 *483:RESET_B *484:D 2.09356e-05 +6 *483:RESET_B *165:14 1.52231e-05 +7 *483:RESET_B *165:23 0.000802794 +8 *482:SET_B *483:RESET_B 0.000112367 *RES -1 *414:X *415:A 47.7003 +1 *406:X *483:RESET_B 48.2233 *END -*D_NET *110 0.000309394 +*D_NET *79 0.00515398 +*CONN +*I *483:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *404:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *483:SET_B 0.000253301 +2 *404:X 0.00145067 +3 *79:15 0.00170397 +4 *483:SET_B *170:18 0.000349954 +5 *79:15 *404:A 0.00027024 +6 *79:15 *552:A 5.481e-05 +7 *79:15 *132:14 9.70426e-06 +8 *79:15 *160:19 0.00025175 +9 *79:15 *160:28 2.37827e-05 +10 *472:A1 *79:15 1.58551e-05 +11 *483:RESET_B *483:SET_B 0.000100397 +12 *505:A *79:15 0.000140252 +13 *21:8 *79:15 1.30118e-05 +14 *24:26 *79:15 0.000222501 +15 *42:33 *79:15 0.000108662 +16 *50:25 *79:15 0.000185115 +*RES +1 *404:X *79:15 45.7111 +2 *79:15 *483:SET_B 16.5934 +*END + +*D_NET *80 0.00273723 +*CONN +*I *483:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *402:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *483:CLK_N 0.000503959 +2 *402:X 0.000503959 +3 *483:CLK_N *158:21 0.000547537 +4 *483:CLK_N *159:16 0.000691358 +5 *483:CLK_N *166:8 0.000255322 +6 *483:CLK_N *167:19 0.000219174 +7 *39:17 *483:CLK_N 1.59238e-05 +*RES +1 *402:X *483:CLK_N 45.4208 +*END + +*D_NET *81 0.00414008 +*CONN +*I *484:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *400:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *484:RESET_B 2.48625e-05 +2 *400:X 0.000940919 +3 *81:10 0.000965782 +4 *484:RESET_B *484:SET_B 6.08467e-05 +5 *81:10 *400:A 2.17857e-05 +6 *81:10 *458:A 0.000416417 +7 *81:10 *473:A0 6.96979e-05 +8 *81:10 *507:A 0.000445803 +9 *81:10 *512:A 1.93407e-05 +10 *81:10 *522:A 0.000287204 +11 *81:10 *109:10 0.000153389 +12 *81:10 *117:12 0.000522175 +13 *81:10 *151:11 1.59525e-05 +14 *81:10 *151:40 6.60481e-06 +15 *81:10 *186:8 2.58019e-05 +16 *469:A *81:10 5.21374e-05 +17 *478:SET_B *81:10 5.05174e-05 +18 *31:15 *81:10 6.08467e-05 +*RES +1 *400:X *81:10 49.4569 +2 *81:10 *484:RESET_B 9.97254 +*END + +*D_NET *82 0.000851775 +*CONN +*I *484:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *398:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *484:SET_B 0.000239523 +2 *398:X 0.000239523 +3 *484:SET_B *473:A0 0.000302685 +4 *484:SET_B *174:11 9.19632e-06 +5 *484:RESET_B *484:SET_B 6.08467e-05 +*RES +1 *398:X *484:SET_B 15.4583 +*END + +*D_NET *83 0.00508684 +*CONN +*I *484:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *396:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *484:CLK_N 0 +2 *396:X 0.00112416 +3 *83:15 0.00112416 +4 *83:15 *422:B_N 3.26933e-05 +5 *83:15 *465:A 0.0010033 +6 *83:15 *467:A 0.000168313 +7 *83:15 *480:D 1.00766e-05 +8 *83:15 *483:D 0.000121159 +9 *83:15 *493:RESET_B 5.37479e-05 +10 *83:15 *518:A 0.000107496 +11 *83:15 *526:A 0.000296893 +12 *83:15 *163:322 9.6881e-05 +13 *83:15 *163:326 0.000276137 +14 *83:15 *180:15 0.000189777 +15 *83:15 *193:13 2.37827e-05 +16 *83:15 *199:14 0.000136089 +17 *83:15 *202:20 6.27782e-05 +18 *530:A *83:15 0.000132548 +19 *533:A *83:15 0.000126846 +*RES +1 *396:X *83:15 49.8528 +2 *83:15 *484:CLK_N 9.24915 +*END + +*D_NET *84 0.00127283 +*CONN +*I *485:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *394:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *485:RESET_B 0.000295892 +2 *394:X 0.000295892 +3 *485:RESET_B *386:A 1.91246e-05 +4 *485:RESET_B *392:A 1.00971e-05 +5 *485:RESET_B *394:A 0.000122088 +6 *485:RESET_B *486:D 0.000195747 +7 *485:RESET_B *505:TE 0.000126648 +8 *485:RESET_B *159:16 3.83248e-06 +9 *485:RESET_B *169:20 4.96793e-05 +10 *540:A *485:RESET_B 0.000122088 +11 *24:26 *485:RESET_B 3.17436e-05 +*RES +1 *394:X *485:RESET_B 37.7312 +*END + +*D_NET *85 0.000579728 +*CONN +*I *485:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *392:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *485:SET_B 0.000116698 +2 *392:X 0.000116698 +3 *485:SET_B *392:A 0.000162349 +4 *485:SET_B *89:30 0.000162349 +5 *9:46 *485:SET_B 2.16355e-05 +*RES +1 *392:X *485:SET_B 22.0503 +*END + +*D_NET *86 0.000334026 +*CONN +*I *485:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *390:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *485:CLK_N 5.06582e-05 +2 *390:X 5.06582e-05 +3 *485:CLK_N *157:19 0.000116355 +4 *530:A *485:CLK_N 0.000116355 +*RES +1 *390:X *485:CLK_N 29.7455 +*END + +*D_NET *87 0.000827385 +*CONN +*I *486:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *388:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *486:RESET_B 0.000291812 +2 *388:X 0.000291812 +3 *486:RESET_B *388:A 1.82679e-05 +4 *486:RESET_B *158:21 5.04829e-06 +5 *486:RESET_B *188:16 0.000104843 +6 *3:13 *486:RESET_B 0.000104843 +7 *39:17 *486:RESET_B 1.0758e-05 +*RES +1 *388:X *486:RESET_B 32.6874 +*END + +*D_NET *88 0.00137966 +*CONN +*I *486:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *386:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *486:SET_B 0.000342676 +2 *386:X 0.000342676 +3 *486:SET_B *386:A 0.000120007 +4 *486:SET_B *392:A 5.55617e-05 +5 *486:SET_B *486:D 8.24735e-05 +6 *486:SET_B *158:21 1.53845e-05 +7 *486:SET_B *159:16 0.000203178 +8 *486:SET_B *177:17 2.6437e-05 +9 *547:A *486:SET_B 0.000103863 +10 *8:39 *486:SET_B 8.17847e-06 +11 *9:46 *486:SET_B 2.05405e-05 +12 *41:29 *486:SET_B 5.86879e-05 +*RES +1 *386:X *486:SET_B 32.6891 +*END + +*D_NET *89 0.0096504 +*CONN +*I *389:A I *D sky130_fd_sc_hd__buf_1 +*I *486:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *407:A I *D sky130_fd_sc_hd__buf_1 +*I *401:A I *D sky130_fd_sc_hd__buf_1 +*I *395:A I *D sky130_fd_sc_hd__buf_1 +*I *384:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *389:A 4.40789e-05 +2 *486:CLK_N 0 +3 *407:A 3.49455e-05 +4 *401:A 0 +5 *395:A 0.000707485 +6 *384:X 0.00040434 +7 *89:39 0.000330128 +8 *89:32 0.000386066 +9 *89:30 0.000691708 +10 *89:15 0.00166857 +11 *389:A *390:A 1.80176e-05 +12 *389:A *158:21 0.000157107 +13 *395:A *492:D 5.04829e-06 +14 *395:A *492:RESET_B 1.91114e-05 +15 *395:A *493:D 9.22246e-05 +16 *395:A *511:A 3.95925e-05 +17 *395:A *150:13 7.18805e-05 +18 *395:A *155:17 1.66771e-05 +19 *407:A *402:A 0.000112231 +20 *407:A *166:8 1.37939e-05 +21 *89:15 *487:CLK_N 1.96456e-05 +22 *89:15 *518:A 0.000172415 +23 *89:15 *520:A 0.000122858 +24 *89:15 *175:17 0.000159365 +25 *89:15 *193:13 0.000157107 +26 *89:30 *392:A 2.69108e-05 +27 *89:30 *423:A 5.39635e-06 +28 *89:30 *499:CLK 0.000232922 +29 *89:30 *518:A 0.000175219 +30 *89:30 *520:A 2.78219e-06 +31 *89:30 *156:11 0.000109427 +32 *89:30 *177:38 0.000270011 +33 *89:30 *218:8 6.46324e-05 +34 *89:30 *218:13 9.37196e-06 +35 *89:32 *218:8 5.41614e-05 +36 *89:39 *402:A 0.000108549 +37 *89:39 *166:8 0.000462305 +38 *89:39 *177:17 1.3808e-05 +39 *89:39 *188:16 5.51483e-06 +40 *89:39 *218:8 6.94619e-05 +41 *89:39 *219:11 2.58616e-05 +42 *383:A *395:A 0.000169786 +43 *480:SET_B *395:A 0.00021079 +44 *485:SET_B *89:30 0.000162349 +45 *540:A *89:39 6.64392e-05 +46 *542:A *407:A 0.000114594 +47 *542:A *89:39 4.7324e-05 +48 *543:A *89:39 6.27718e-05 +49 *4:17 *89:39 3.33173e-06 +50 *6:30 *395:A 0.00018492 +51 *9:46 *389:A 6.27718e-05 +52 *9:46 *89:30 0.000830399 +53 *9:46 *89:32 0.000158446 +54 *9:46 *89:39 0.000363581 +55 *42:33 *89:39 1.88563e-05 +56 *66:11 *89:15 0.000113309 +*RES +1 *384:X *89:15 28.942 +2 *89:15 *395:A 37.054 +3 *89:15 *89:30 25.0183 +4 *89:30 *89:32 3.07775 +5 *89:32 *89:39 17.0596 +6 *89:39 *401:A 9.24915 +7 *89:39 *407:A 11.0817 +8 *89:32 *486:CLK_N 13.7491 +9 *89:30 *389:A 15.5817 +*END + +*D_NET *90 0.000444448 +*CONN +*I *487:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *378:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *487:RESET_B 0.000114733 +2 *378:X 0.000114733 +3 *487:RESET_B *158:38 0.000148136 +4 *487:RESET_B *188:46 6.50088e-05 +5 *478:CLK_N *487:RESET_B 1.83795e-06 +*RES +1 *378:X *487:RESET_B 30.8842 +*END + +*D_NET *91 0.00205243 +*CONN +*I *487:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *376:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *487:SET_B 0.000726373 +2 *376:X 0.000726373 +3 *487:SET_B *503:A 6.40758e-05 +4 *487:SET_B *514:A 6.3657e-05 +5 *487:SET_B *522:A 2.62371e-06 +6 *487:SET_B *151:11 2.58616e-05 +7 *487:SET_B *170:18 6.08467e-05 +8 *487:SET_B *179:23 2.37497e-05 +9 *487:SET_B *194:11 0.000244213 +10 *48:12 *487:SET_B 0.000114659 +*RES +1 *376:X *487:SET_B 34.5261 +*END + +*D_NET *92 0.00480387 +*CONN +*I *487:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *466:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *487:CLK_N 0.000716218 +2 *466:X 0.000349172 +3 *92:8 0.00106539 +4 *487:CLK_N *413:A 0.000346303 +5 *487:CLK_N *430:B 0.00025527 +6 *487:CLK_N *490:RESET_B 2.08133e-05 +7 *487:CLK_N *491:D 6.99034e-05 +8 *487:CLK_N *491:RESET_B 1.91114e-05 +9 *487:CLK_N *163:52 0.000299864 +10 *487:CLK_N *193:13 9.15684e-05 +11 *487:CLK_N *218:13 0.000159016 +12 *487:CLK_N *227:13 7.44749e-05 +13 *92:8 *489:CLK 0.000504493 +14 *92:8 *94:24 0.00020882 +15 *92:8 *120:10 3.25137e-05 +16 *92:8 *150:53 0.000143175 +17 *382:A *487:CLK_N 5.9036e-05 +18 *5:14 *92:8 0.000369082 +19 *89:15 *487:CLK_N 1.96456e-05 +*RES +1 *466:X *92:8 30.4624 +2 *92:8 *487:CLK_N 31.0475 +*END + +*D_NET *93 0.00211516 +*CONN +*I *412:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *411:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *412:A 0.000426126 +2 *411:X 0.000426126 +3 *412:A *459:A 6.08467e-05 +4 *412:A *551:A 0.000137668 +5 *412:A *565:A 0.00042983 +6 *412:A *184:8 2.02805e-05 +7 *16:14 *412:A 1.4106e-05 +8 *16:16 *412:A 0.000178363 +9 *23:16 *412:A 1.20726e-05 +10 *36:29 *412:A 0.000154145 +11 *48:12 *412:A 0.000255598 +*RES +1 *411:X *412:A 40.9472 +*END + +*D_NET *94 0.00838799 +*CONN +*I *432:A I *D sky130_fd_sc_hd__buf_1 +*I *438:A I *D sky130_fd_sc_hd__buf_1 +*I *420:A I *D sky130_fd_sc_hd__buf_1 +*I *426:A I *D sky130_fd_sc_hd__buf_1 +*I *414:A I *D sky130_fd_sc_hd__buf_1 +*I *413:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *432:A 0.000248037 +2 *438:A 2.7726e-05 +3 *420:A 3.29888e-05 +4 *426:A 9.6023e-05 +5 *414:A 2.14233e-05 +6 *413:X 0 +7 *94:45 0.000620101 +8 *94:24 0.000637216 +9 *94:9 0.000882334 +10 *94:4 0.000697044 +11 *414:A *415:A 2.21251e-05 +12 *420:A *421:A 2.16355e-05 +13 *426:A *465:A 2.58616e-05 +14 *426:A *120:10 0.000119707 +15 *426:A *183:21 2.44579e-05 +16 *432:A *418:B 3.22706e-05 +17 *432:A *431:A 6.08467e-05 +18 *432:A *439:A 2.73246e-05 +19 *432:A *104:14 0.0003148 +20 *438:A *439:A 9.52234e-05 +21 *94:9 *415:A 3.11755e-05 +22 *94:9 *419:A 5.49689e-05 +23 *94:24 *427:A 1.65872e-05 +24 *94:24 *490:D 0 +25 *94:24 *495:CLK 1.17519e-05 +26 *94:24 *515:A 0.000203756 +27 *94:24 *120:10 0.000593872 +28 *94:24 *150:46 1.80448e-05 +29 *94:24 *150:53 7.1935e-06 +30 *94:24 *150:56 1.55915e-05 +31 *94:45 *418:B 0.000121297 +32 *94:45 *100:16 9.34985e-06 +33 *94:45 *199:20 0.000447981 +34 *478:CLK_N *94:24 0.000395239 +35 *479:SET_B *414:A 6.08467e-05 +36 *479:SET_B *94:9 0.000116931 +37 *481:SET_B *94:9 0.000200794 +38 *481:SET_B *94:45 0.000159064 +39 *529:A *426:A 0.000160001 +40 *529:A *94:24 0.000326294 +41 *6:24 *94:24 4.24629e-05 +42 *8:39 *94:24 0.000115484 +43 *9:25 *94:45 0.000418304 +44 *10:7 *94:45 5.1674e-06 +45 *62:16 *432:A 0.000485053 +46 *62:16 *438:A 4.24488e-05 +47 *63:10 *432:A 0.000112367 +48 *92:8 *94:24 0.00020882 +*RES +1 *413:X *94:4 9.24915 +2 *94:4 *94:9 7.28219 +3 *94:9 *414:A 9.97254 +4 *94:9 *94:24 33.3995 +5 *94:24 *426:A 17.5503 +6 *94:24 *420:A 14.4725 +7 *94:4 *94:45 21.2133 +8 *94:45 *438:A 10.5271 +9 *94:45 *432:A 20.8958 +*END + +*D_NET *95 0.000533498 +*CONN +*I *415:A I *D sky130_fd_sc_hd__buf_1 +*I *414:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *415:A 0.000189523 +2 *414:X 0.000189523 +3 *415:A *429:A 5.37331e-07 +4 *415:A *433:A 1.63722e-06 +5 *414:A *415:A 2.21251e-05 +6 *479:SET_B *415:A 4.26943e-05 +7 *6:24 *415:A 5.62832e-05 +8 *94:9 *415:A 3.11755e-05 +*RES +1 *414:X *415:A 23.2908 +*END + +*D_NET *96 0.00197077 *CONN *I *417:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *416:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *416:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *417:A 7.93479e-05 -2 *416:X 7.93479e-05 -3 *417:A *462:CLK 9.38968e-05 -4 *417:A *160:27 0 -5 *417:A *192:17 5.1584e-05 -6 *417:A *193:16 5.21758e-06 +1 *417:A 0.000350351 +2 *416:X 0.000350351 +3 *417:A *413:A 9.12416e-06 +4 *417:A *447:A 0.000253215 +5 *417:A *481:D 0.000287518 +6 *417:A *489:RESET_B 9.94594e-05 +7 *417:A *490:D 4.72039e-05 +8 *417:A *100:16 0.000331993 +9 *417:A *163:107 0.000203604 +10 *417:A *199:20 3.54949e-06 +11 *417:A *225:8 7.25373e-06 +12 *9:25 *417:A 2.71491e-05 *RES -1 *416:X *417:A 29.7455 +1 *416:X *417:A 40.1619 *END -*D_NET *111 0.00700148 +*D_NET *97 0.0028605 *CONN *I *419:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *418:X O *D sky130_fd_sc_hd__or2b_1 +*I *418:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *419:A 0.000621012 -2 *418:X 0.000690834 -3 *111:14 0.00131185 -4 *419:A *429:A 0.000206718 -5 *419:A *152:38 1.23535e-05 -6 *419:A *160:27 0.000149738 -7 *419:A *162:16 0.000136768 -8 *111:14 *385:A 4.45278e-05 -9 *111:14 *390:B 1.4106e-05 -10 *111:14 *446:TE_B 0.000450467 -11 *111:14 *164:48 5.03545e-06 -12 *111:14 *164:50 2.83365e-05 -13 *111:14 *164:52 1.02917e-05 -14 *111:14 *164:277 0.00049385 -15 *111:14 *164:282 0.000247443 -16 *111:14 *179:8 9.76996e-05 -17 *111:14 *191:13 3.73692e-05 -18 *111:14 *193:38 1.09407e-05 -19 *226:DIODE *419:A 3.26503e-05 -20 *373:A *111:14 7.10483e-06 -21 *448:SET_B *419:A 6.0577e-05 -22 *453:CLK_N *419:A 5.39173e-05 -23 *453:CLK_N *111:14 2.66801e-05 -24 *496:A *419:A 0.000119385 -25 *2:33 *111:14 0.000704594 -26 *3:29 *111:14 0.000158905 -27 *6:21 *419:A 0.000800148 -28 *7:27 *419:A 2.58757e-05 -29 *13:18 *111:14 2.27901e-06 -30 *93:23 *111:14 0.000102939 -31 *94:33 *419:A 1.20144e-05 -32 *94:33 *111:14 9.31434e-05 -33 *94:46 *419:A 1.53148e-05 -34 *94:64 *419:A 8.72221e-06 -35 *96:16 *111:14 0.000207892 +1 *419:A 0.000585926 +2 *418:X 0.000585926 +3 *419:A *418:A 0.000159921 +4 *419:A *476:D 0.000279946 +5 *419:A *558:A 5.88657e-05 +6 *419:A *210:16 0.000494952 +7 *479:SET_B *419:A 9.86288e-05 +8 *481:RESET_B *419:A 8.78452e-05 +9 *481:SET_B *419:A 0.000205666 +10 *8:18 *419:A 4.24974e-05 +11 *9:25 *419:A 3.39313e-06 +12 *11:10 *419:A 0.000164638 +13 *28:16 *419:A 3.73237e-05 +14 *94:9 *419:A 5.49689e-05 *RES -1 *418:X *111:14 47.3459 -2 *111:14 *419:A 29.9425 +1 *418:X *419:A 47.5296 *END -*D_NET *112 0.0116287 +*D_NET *98 0.00201192 *CONN -*I *421:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *420:X O *D sky130_fd_sc_hd__or2_1 +*I *421:A I *D sky130_fd_sc_hd__buf_1 +*I *420:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *421:A 0.00017279 -2 *420:X 0.000421533 -3 *112:20 0.00151706 -4 *112:16 0.0023124 -5 *112:13 0.00138965 -6 *421:A *429:A 6.44432e-05 -7 *112:13 *418:B_N 0.00012372 -8 *112:13 *420:B 0.000113309 -9 *112:13 *475:A 0.000114795 -10 *112:13 *162:23 3.03953e-05 -11 *112:13 *164:48 7.28994e-06 -12 *112:13 *190:16 6.08467e-05 -13 *112:16 *412:B_N 0.000269555 -14 *112:16 *422:A 0.00031748 -15 *112:16 *423:A 8.54931e-06 -16 *112:16 *159:17 0.000148291 -17 *112:16 *163:42 0.000243561 -18 *112:16 *164:332 2.76699e-05 -19 *112:16 *164:348 3.45298e-05 -20 *112:20 *436:A 0.000862393 -21 *112:20 *123:14 3.96696e-05 -22 *112:20 *123:33 8.51203e-05 -23 *112:20 *169:8 7.86847e-05 -24 *112:20 *169:11 5.44727e-05 -25 *112:20 *195:15 9.40106e-05 -26 serial_clock_out *112:20 7.62627e-06 -27 *405:A *112:16 3.77235e-05 -28 *450:CLK_N *112:16 0.000234608 -29 *451:SET_B *112:16 2.78219e-06 -30 *453:SET_B *112:16 9.80536e-05 -31 *492:A *112:20 0 -32 *498:A *112:20 0.000339454 -33 *499:A *112:20 8.70662e-06 -34 *6:21 *112:13 0.000754073 -35 *11:23 *112:13 5.0192e-05 -36 *36:10 *112:20 0.000137573 -37 *42:8 *112:20 0.000184969 -38 *42:11 *112:20 0 -39 *96:16 *112:16 0.000235243 -40 *97:23 *112:13 0.000615379 -41 *97:23 *112:16 9.29993e-06 -42 *102:12 *112:16 5.01835e-05 -43 *103:11 *112:13 0.000270602 +1 *421:A 0.000469413 +2 *420:X 0.000469413 +3 *421:A *467:A 9.50523e-05 +4 *421:A *155:17 1.35445e-05 +5 *421:A *183:21 0.000298535 +6 *420:A *421:A 2.16355e-05 +7 *480:SET_B *421:A 0.00016195 +8 *529:A *421:A 0.000114271 +9 *5:20 *421:A 0.000368104 *RES -1 *420:X *112:13 28.5258 -2 *112:13 *112:16 29.7937 -3 *112:16 *112:20 47.5106 -4 *112:20 *421:A 17.2697 +1 *420:X *421:A 42.0242 *END -*D_NET *113 0.00130557 +*D_NET *99 0.00302871 *CONN *I *423:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *422:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *422:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *423:A 0.000282939 -2 *422:X 0.000282939 -3 *423:A *422:A 5.61153e-05 -4 *423:A *515:A 0.000220809 -5 *423:A *516:A 5.05229e-05 -6 *423:A *185:23 0.000220809 -7 user_gpio_in *423:A 0 -8 *415:A *423:A 1.06977e-05 -9 *449:RESET_B *423:A 6.27718e-05 -10 *450:CLK_N *423:A 0.000109421 -11 *112:16 *423:A 8.54931e-06 +1 *423:A 0.000953403 +2 *422:X 0.000953403 +3 *423:A *422:B_N 0.000301494 +4 *423:A *133:14 1.43698e-05 +5 *423:A *155:17 2.30558e-05 +6 *423:A *157:19 2.16355e-05 +7 *423:A *164:19 6.56017e-06 +8 *423:A *177:38 0.00063852 +9 *423:A *218:13 1.66771e-05 +10 *6:30 *423:A 3.95077e-05 +11 *8:39 *423:A 5.46882e-05 +12 *89:30 *423:A 5.39635e-06 *RES -1 *422:X *423:A 36.181 +1 *422:X *423:A 38.0174 *END -*D_NET *114 0.00723686 +*D_NET *100 0.00585199 *CONN *I *425:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *424:X O *D sky130_fd_sc_hd__or2b_1 +*I *424:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *425:A 3.7929e-05 -2 *424:X 0.00120914 -3 *114:26 7.76553e-05 -4 *114:25 6.24727e-05 -5 *114:19 0.00123188 -6 *425:A *188:17 1.96619e-05 -7 *114:19 *424:A 1.99912e-05 -8 *114:19 *426:A 0.000247443 -9 *114:19 *468:D 7.91098e-05 -10 *114:19 *470:RESET_B 1.63322e-05 -11 *114:19 *510:A 0.000330722 -12 *114:19 *512:A 1.66771e-05 -13 *114:19 *115:12 0.000295977 -14 *114:19 *117:23 3.78809e-05 -15 *114:19 *152:92 7.86825e-06 -16 *114:19 *156:21 0.0018574 -17 *114:19 *163:42 0.000794471 -18 *114:19 *164:44 8.60706e-05 -19 *114:19 *168:18 1.29211e-05 -20 *114:19 *197:14 0.000272802 -21 *114:19 *198:17 0.00015901 -22 *114:25 *461:RESET_B 1.11499e-05 -23 serial_clock_out *114:19 0.000132415 -24 *442:A1 *114:19 0.000110458 -25 *447:SET_B *425:A 0.000109427 +1 *425:A 0.000479757 +2 *424:X 0.000876652 +3 *100:16 0.00135641 +4 *425:A *448:A 1.47978e-05 +5 *425:A *449:A 1.50924e-05 +6 *425:A *562:A 0.000242366 +7 *425:A *163:99 5.43214e-05 +8 *425:A *175:7 2.41274e-06 +9 *100:16 *413:A 6.81348e-05 +10 *100:16 *490:D 0.000207574 +11 *100:16 *491:D 0 +12 *100:16 *493:D 0.000203327 +13 *100:16 *150:19 0 +14 *100:16 *177:38 4.01529e-05 +15 *100:16 *183:21 2.42386e-05 +16 *100:16 *199:20 2.34588e-06 +17 *417:A *100:16 0.000331993 +18 *475:RESET_B *425:A 1.37939e-05 +19 *476:SET_B *425:A 0.000176464 +20 *476:CLK_N *425:A 0.000249368 +21 *481:SET_B *100:16 0.000180723 +22 *8:18 *100:16 0.000142867 +23 *9:25 *100:16 8.28334e-05 +24 *12:13 *425:A 0.000147039 +25 *12:13 *100:16 0.000727599 +26 *57:11 *425:A 0.000202378 +27 *94:45 *100:16 9.34985e-06 *RES -1 *424:X *114:19 49.6315 -2 *114:19 *114:25 17.4965 -3 *114:25 *114:26 57.9449 -4 *114:26 *425:A 19.7763 +1 *424:X *100:16 47.2657 +2 *100:16 *425:A 38.4622 *END -*D_NET *115 0.00862578 +*D_NET *101 0.00233684 *CONN -*I *427:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *426:X O *D sky130_fd_sc_hd__or2_1 +*I *427:A I *D sky130_fd_sc_hd__buf_1 +*I *426:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *427:A 0.000846146 -2 *426:X 0.0020336 -3 *115:12 0.00287974 -4 *427:A *355:A 4.86974e-06 -5 *427:A *434:A 4.71853e-05 -6 *427:A *438:A 6.11447e-06 -7 *427:A *152:48 0 -8 *427:A *163:42 0.000318389 -9 *427:A *166:11 0.000122858 -10 *427:A *168:18 0.000200261 -11 *427:A *185:23 1.68773e-05 -12 *115:12 *445:A0 2.41274e-06 -13 *115:12 *469:D 8.06767e-05 -14 *115:12 *469:CLK 2.46824e-05 -15 *115:12 *470:D 1.59634e-05 -16 *115:12 *511:A 6.08467e-05 -17 *115:12 *188:17 5.27582e-05 -18 *115:12 *198:17 0.000157107 -19 *115:12 *206:24 0.000454171 -20 serial_clock_out *427:A 0.000215491 -21 *447:SET_B *115:12 3.15254e-05 -22 *449:SET_B *115:12 4.59816e-06 -23 *497:A *427:A 0.000330557 -24 *26:20 *427:A 0.000360909 -25 *38:14 *427:A 6.02259e-05 -26 *63:16 *115:12 1.83827e-06 -27 *114:19 *115:12 0.000295977 +1 *427:A 0.000377632 +2 *426:X 0.000377632 +3 *427:A *489:CLK 2.86829e-05 +4 *427:A *515:A 0.000107496 +5 *427:A *150:8 0.000148002 +6 *427:A *150:13 0.000151494 +7 *427:A *150:46 0.000203993 +8 *427:A *150:53 0.000163344 +9 *427:A *150:56 6.08467e-05 +10 *383:A *427:A 0.00046593 +11 *479:CLK_N *427:A 3.82228e-05 +12 *38:46 *427:A 0.000196977 +13 *94:24 *427:A 1.65872e-05 *RES -1 *426:X *115:12 49.4575 -2 *115:12 *427:A 30.1351 +1 *426:X *427:A 43.2321 *END -*D_NET *116 0.00713355 +*D_NET *102 0.00441071 *CONN *I *429:A I *D sky130_fd_sc_hd__clkbuf_1 *I *428:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *429:A 0.000462539 -2 *428:X 0.00187592 -3 *116:16 0.00233846 -4 *429:A *160:27 1.264e-05 -5 *429:A *192:17 8.45425e-05 -6 *116:16 *159:17 0.000364516 -7 *116:16 *160:27 0 -8 *116:16 *162:16 5.91586e-05 -9 *116:16 *192:17 4.46403e-05 -10 *116:16 *193:16 5.51831e-06 -11 *211:DIODE *116:16 0.000114271 -12 *379:A *116:16 0.000133659 -13 *386:A *116:16 0.000109427 -14 *387:A *116:16 7.31956e-05 -15 *419:A *429:A 0.000206718 -16 *421:A *429:A 6.44432e-05 -17 *448:CLK_N *116:16 3.73237e-05 -18 *453:SET_B *116:16 1.37189e-05 -19 *453:CLK_N *116:16 0.000264091 -20 *483:A *116:16 6.05729e-05 -21 *496:A *429:A 6.02829e-05 -22 *7:27 *429:A 7.92757e-06 -23 *34:20 *116:16 0.000739989 +1 *429:A 0.00134024 +2 *428:X 0.00134024 +3 *429:A *433:A 2.78876e-05 +4 *429:A *491:D 0.000235284 +5 *429:A *163:52 0.000109421 +6 *429:A *175:17 5.64215e-05 +7 *429:A *183:21 0 +8 *415:A *429:A 5.37331e-07 +9 *6:24 *429:A 6.01079e-05 +10 *7:19 *429:A 0.00010383 +11 *8:18 *429:A 4.54793e-05 +12 *12:13 *429:A 0.000860268 +13 *38:46 *429:A 0.000174561 +14 *66:11 *429:A 5.64215e-05 *RES -1 *428:X *116:16 49.6825 -2 *116:16 *429:A 25.119 +1 *428:X *429:A 45.7773 *END -*D_NET *117 0.00920631 +*D_NET *103 0.00248372 *CONN *I *431:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *430:X O *D sky130_fd_sc_hd__and2_1 +*I *430:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *431:A 0 -2 *430:X 0.00184256 -3 *117:23 0.00184256 -4 *117:23 *430:B 5.03545e-06 -5 *117:23 *438:A 0 -6 *117:23 *446:A 0.000174246 -7 *117:23 *461:RESET_B 7.70869e-05 -8 *117:23 *461:CLK 6.98337e-06 -9 *117:23 *510:A 0.00010203 -10 *117:23 *152:59 4.16935e-06 -11 *117:23 *156:21 0.000205746 -12 *117:23 *163:42 8.21214e-05 -13 *117:23 *193:16 2.15007e-05 -14 *117:23 *197:14 0.000560957 -15 *411:A *117:23 0.000133447 -16 *445:A1 *117:23 0 -17 *1:30 *117:23 0.00301634 -18 *48:24 *117:23 4.17531e-06 -19 *53:28 *117:23 0.00097146 -20 *54:17 *117:23 0.000118 -21 *114:19 *117:23 3.78809e-05 +1 *431:A 0.000606145 +2 *430:X 0.000606145 +3 *431:A *430:A 2.7837e-05 +4 *431:A *430:B 3.18748e-06 +5 *431:A *562:A 0.000396631 +6 *431:A *175:17 3.38846e-05 +7 *431:A *179:27 3.95573e-06 +8 *432:A *431:A 6.08467e-05 +9 *12:13 *431:A 0.000108344 +10 *13:17 *431:A 0.00052355 +11 *62:16 *431:A 6.08467e-05 +12 *64:9 *431:A 5.23435e-05 *RES -1 *430:X *117:23 48.4241 -2 *117:23 *431:A 9.24915 +1 *430:X *431:A 44.2878 *END -*D_NET *118 0.00237802 +*D_NET *104 0.00578133 *CONN -*I *433:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *432:X O *D sky130_fd_sc_hd__or2b_1 +*I *433:A I *D sky130_fd_sc_hd__buf_1 +*I *432:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *433:A 0.000399664 -2 *432:X 0.000399664 -3 *433:A *354:A 3.28433e-06 -4 *433:A *382:A 0.00034144 -5 *433:A *164:192 0.000400699 -6 *443:S *433:A 0.000783171 -7 *17:14 *433:A 3.98512e-05 -8 *17:26 *433:A 1.02448e-05 +1 *433:A 0.000303875 +2 *432:X 0.0013052 +3 *104:14 0.00160908 +4 *104:14 *439:A 0.00023775 +5 *104:14 *443:A 3.9535e-05 +6 *104:14 *444:A 0.000312016 +7 *104:14 *445:A 0.000256037 +8 *104:14 *489:D 7.60183e-05 +9 *415:A *433:A 1.63722e-06 +10 *429:A *433:A 2.78876e-05 +11 *432:A *104:14 0.0003148 +12 *476:SET_B *104:14 0 +13 *476:CLK_N *104:14 0.000276278 +14 *481:CLK_N *104:14 0.000111479 +15 *6:24 *433:A 2.33013e-05 +16 *7:19 *104:14 0.000144758 +17 *38:26 *104:14 7.69594e-06 +18 *56:11 *104:14 0.000553666 +19 *60:18 *104:14 5.23435e-05 +20 *62:16 *104:14 1.75125e-05 +21 *63:10 *104:14 0.000110458 *RES -1 *432:X *433:A 44.1723 +1 *432:X *104:14 46.744 +2 *104:14 *433:A 18.6441 *END -*D_NET *119 0.0104768 +*D_NET *105 0.00615075 *CONN -*I *436:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *435:X O *D sky130_fd_sc_hd__and2_1 +*I *435:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *434:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *436:A 0.000698103 -2 *435:X 0.000566727 -3 *119:20 0.00179194 -4 *119:16 0.00166056 -5 *436:A *123:9 0.000656822 -6 *436:A *123:14 0.000973943 -7 *436:A *164:355 4.19797e-05 -8 *119:16 *474:A 0 -9 *119:16 *129:23 1.66771e-05 -10 *119:16 *175:8 6.27718e-05 -11 *119:16 *180:11 9.10232e-06 -12 *119:20 *424:B_N 0.000126953 -13 *119:20 *426:A 2.60879e-06 -14 *119:20 *430:A 1.49776e-05 -15 *119:20 *445:A0 0 -16 *119:20 *460:RESET_B 4.13501e-05 -17 *119:20 *475:A 0 -18 *119:20 *506:A 0.00029481 -19 *119:20 *154:8 0.000108135 -20 *119:20 *180:11 0.000109865 -21 *119:20 *198:17 0.000883091 -22 *215:DIODE *119:16 6.46815e-05 -23 *447:CLK_N *119:20 0 -24 *455:RESET_B *119:16 7.78924e-05 -25 *455:SET_B *119:16 0.000156611 -26 *456:CLK_N *119:16 6.96846e-05 -27 *2:13 *119:16 0.000400393 -28 *2:26 *119:16 1.00091e-05 -29 *17:26 *119:16 0.000209836 -30 *26:20 *119:20 0 -31 *36:10 *436:A 0.00021764 -32 *42:8 *436:A 0.000339028 -33 *93:23 *119:16 8.25226e-06 -34 *112:20 *436:A 0.000862393 +1 *435:A 0.000140659 +2 *434:X 0.000512885 +3 *105:9 0.000653544 +4 *105:9 *400:A 7.42514e-05 +5 *105:9 *557:A 0.000143161 +6 *105:9 *108:8 2.95784e-06 +7 *105:9 *114:14 0.000931452 +8 *105:9 *181:13 0.000242228 +9 *105:9 *182:16 0.000104295 +10 user_gpio_in *105:9 0.000120517 +11 *478:SET_B *105:9 6.12061e-05 +12 *13:17 *435:A 0.000237441 +13 *30:15 *105:9 0.000804365 +14 *37:9 *105:9 8.40003e-05 +15 *64:9 *435:A 9.73e-05 +16 *64:9 *105:9 0.00194049 *RES -1 *435:X *119:16 29.8139 -2 *119:16 *119:20 35.192 -3 *119:20 *436:A 47.838 +1 *434:X *105:9 49.0753 +2 *105:9 *435:A 22.9879 *END -*D_NET *120 0.00109784 +*D_NET *106 0.00274457 *CONN -*I *438:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *437:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *438:A 0.000222125 -2 *437:X 0.000222125 -3 *438:A *355:A 1.88014e-05 -4 *438:A *163:42 0.000195794 -5 *438:A *166:11 0.000267404 -6 *438:A *188:17 0.000165476 -7 *427:A *438:A 6.11447e-06 -8 *117:23 *438:A 0 -*RES -1 *437:X *438:A 34.903 -*END - -*D_NET *121 0.000349217 -*CONN -*I *352:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *351:X O *D sky130_fd_sc_hd__or2b_1 -*CAP -1 *352:A 0.000103532 -2 *351:X 0.000103532 -3 *352:A *164:197 0.000116355 -4 *459:RESET_B *352:A 2.57986e-05 -*RES -1 *351:X *352:A 30.4689 -*END - -*D_NET *122 0.00067608 -*CONN -*I *354:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *353:X O *D sky130_fd_sc_hd__or2_1 -*CAP -1 *354:A 0.000319336 -2 *353:X 0.000319336 -3 *354:A *164:197 0 -4 *433:A *354:A 3.28433e-06 -5 *457:RESET_B *354:A 3.41241e-05 -*RES -1 *353:X *354:A 33.791 -*END - -*D_NET *123 0.0170154 -*CONN -*I *422:A I *D sky130_fd_sc_hd__clkbuf_1 *I *437:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *416:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *385:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*I *356:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 -*I *355:Y O *D sky130_fd_sc_hd__inv_2 +*I *436:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *422:A 0.000231428 -2 *437:A 2.79567e-05 -3 *416:A 0 -4 *385:A 8.20752e-05 -5 *356:A 0.000638858 -6 *355:Y 0.000208377 -7 *123:33 0.00215188 -8 *123:20 0.001706 -9 *123:14 0.000815846 -10 *123:9 0.00095264 -11 *356:A *362:A 0.000217028 -12 *356:A *400:A 1.02611e-05 -13 *356:A *402:B 9.12416e-06 -14 *356:A *132:21 0.000217028 -15 *356:A *193:38 0.000645021 -16 *385:A *191:13 0.00016124 -17 *385:A *193:38 4.65119e-05 -18 *437:A *188:17 1.43698e-05 -19 *123:9 *165:11 1.15904e-05 -20 *123:14 *355:A 4.29623e-05 -21 *123:14 *461:RESET_B 3.64497e-06 -22 *123:14 *152:59 5.08126e-05 -23 *123:14 *164:355 2.16741e-05 -24 *123:14 *168:18 2.57465e-06 -25 *123:20 *152:48 0.000159903 -26 *123:20 *152:59 0.000141592 -27 *123:20 *193:16 0.000227973 -28 *123:33 *462:CLK 3.77568e-05 -29 *123:33 *137:18 0.000633386 -30 *123:33 *152:17 0.000348938 -31 *123:33 *152:48 2.77564e-05 -32 *123:33 *158:14 1.5714e-05 -33 *123:33 *160:27 0.000445054 -34 *123:33 *161:22 0.000286882 -35 *123:33 *189:18 0.000139975 -36 *123:33 *193:16 5.84166e-05 -37 *123:33 *195:15 0.000569786 -38 serial_data_out *422:A 0 -39 user_gpio_in *123:9 4.96461e-05 -40 *392:A *356:A 0.000178411 -41 *423:A *422:A 5.61153e-05 -42 *436:A *123:9 0.000656822 -43 *436:A *123:14 0.000973943 -44 *447:SET_B *437:A 1.41976e-05 -45 *448:RESET_B *123:33 0.000331845 -46 *498:A *123:33 4.38803e-05 -47 *3:29 *385:A 6.08494e-05 -48 *26:20 *123:14 0.000208369 -49 *26:20 *123:20 7.38654e-05 -50 *36:10 *123:14 0.000178709 -51 *42:8 *123:9 0.000642625 -52 *42:8 *123:14 0.0010053 -53 *53:28 *123:33 6.11982e-06 -54 *54:17 *123:33 7.94213e-05 -55 *71:18 *123:33 0.0005399 -56 *89:38 *356:A 0 -57 *93:23 *123:33 6.65821e-05 -58 *111:14 *385:A 4.45278e-05 -59 *112:16 *422:A 0.00031748 -60 *112:20 *123:14 3.96696e-05 -61 *112:20 *123:33 8.51203e-05 +1 *437:A 0.000710038 +2 *436:X 0.000710038 +3 *437:A *115:19 0.000451539 +4 *437:A *185:16 7.25454e-05 +5 *2:15 *437:A 0.000254976 +6 *11:10 *437:A 8.42821e-05 +7 *12:13 *437:A 1.21985e-05 +8 *28:16 *437:A 0.000232357 +9 *66:11 *437:A 6.42297e-05 +10 *69:14 *437:A 0.000152363 *RES -1 *355:Y *123:9 28.2903 -2 *123:9 *123:14 25.8979 -3 *123:14 *123:20 7.71881 -4 *123:20 *123:33 31.9146 -5 *123:33 *356:A 32.2213 -6 *123:33 *385:A 16.7909 -7 *123:20 *416:A 13.7491 -8 *123:14 *437:A 14.4725 -9 *123:9 *422:A 24.6489 +1 *436:X *437:A 44.7576 *END -*D_NET *124 0.000555009 +*D_NET *107 0.000592071 *CONN -*I *358:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *357:X O *D sky130_fd_sc_hd__or2b_1 +*I *439:A I *D sky130_fd_sc_hd__buf_1 +*I *438:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *358:A 0.000183032 -2 *357:X 0.000183032 -3 *358:A *359:B 5.38019e-05 -4 *457:RESET_B *358:A 0.000110458 -5 *458:SET_B *358:A 2.46862e-05 +1 *439:A 9.40603e-05 +2 *438:X 9.40603e-05 +3 *439:A *443:A 2.95469e-05 +4 *432:A *439:A 2.73246e-05 +5 *438:A *439:A 9.52234e-05 +6 *62:16 *439:A 1.4106e-05 +7 *104:14 *439:A 0.00023775 *RES -1 *357:X *358:A 31.4388 +1 *438:X *439:A 22.5975 *END -*D_NET *125 0.00139618 +*D_NET *108 0.00650643 *CONN -*I *360:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *359:X O *D sky130_fd_sc_hd__or2_1 +*I *441:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *440:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *360:A 0.00040592 -2 *359:X 0.00040592 -3 *360:A *353:B 6.77815e-05 -4 *360:A *357:A 2.39426e-05 -5 *360:A *357:B_N 5.5818e-05 -6 *360:A *359:B 1.77894e-05 -7 *360:A *364:A 0.000212754 -8 *360:A *164:204 9.4449e-05 -9 *457:SET_B *360:A 0.000111802 +1 *441:A 0 +2 *440:X 8.33345e-05 +3 *108:16 0.000681686 +4 *108:8 0.00076502 +5 *108:8 *557:A 2.8322e-05 +6 *108:16 *445:A 4.7903e-06 +7 *108:16 *109:16 0.00015916 +8 *108:16 *178:48 0.000754661 +9 *475:SET_B *108:16 0.0021351 +10 *37:9 *108:16 0.000322987 +11 *56:11 *108:16 0.00156841 +12 *61:19 *108:16 0 +13 *105:9 *108:8 2.95784e-06 *RES -1 *359:X *360:A 38.5093 +1 *440:X *108:8 20.0811 +2 *108:8 *108:16 44.0371 +3 *108:16 *441:A 9.24915 *END -*D_NET *126 0.00218827 +*D_NET *109 0.0080917 *CONN -*I *362:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *361:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *443:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *442:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *362:A 0.000302687 -2 *361:X 0.000302687 -3 *362:A *471:CLK 3.77232e-05 -4 *362:A *472:CLK 0.000163161 -5 *362:A *132:21 0.000132663 -6 *362:A *151:9 0.000112367 -7 *362:A *153:29 0.000187373 -8 *356:A *362:A 0.000217028 -9 *455:CLK_N *362:A 0.000732578 +1 *443:A 0.00011702 +2 *442:X 0.000324744 +3 *109:16 0.000771232 +4 *109:10 0.000978957 +5 *443:A *445:A 6.36477e-05 +6 *109:10 *442:A 1.55025e-05 +7 *109:10 *458:A 2.16608e-05 +8 *109:16 *114:14 0.000128255 +9 user_gpio_in *109:16 0.000129117 +10 *439:A *443:A 2.95469e-05 +11 *477:SET_B *109:10 0 +12 *478:SET_B *109:10 0.000373354 +13 *8:18 *109:16 0.000193868 +14 *9:25 *109:16 7.67117e-05 +15 *30:15 *109:16 7.92757e-06 +16 *56:11 *109:16 2.83365e-05 +17 *60:18 *109:16 0 +18 *60:21 *109:16 0.000173056 +19 *61:19 *443:A 5.01349e-05 +20 *61:19 *109:16 0 +21 *61:27 *109:16 0.0016943 +22 *63:13 *109:16 0.000356194 +23 *64:9 *109:16 0.00220604 +24 *81:10 *109:10 0.000153389 +25 *104:14 *443:A 3.9535e-05 +26 *108:16 *109:16 0.00015916 *RES -1 *361:X *362:A 41.5795 +1 *442:X *109:10 27.1727 +2 *109:10 *109:16 49.5387 +3 *109:16 *443:A 12.9385 *END -*D_NET *127 0.00206224 +*D_NET *110 0.0016536 *CONN -*I *364:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *363:X O *D sky130_fd_sc_hd__or2b_1 +*I *445:A I *D sky130_fd_sc_hd__buf_1 +*I *444:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *364:A 0.000532703 -2 *363:X 0.000532703 -3 *364:A *458:D 0.000306226 -4 *364:A *467:RESET_B 9.11291e-05 -5 *364:A *164:204 4.89392e-05 -6 *364:A *206:10 0.000100695 -7 *360:A *364:A 0.000212754 -8 *367:A *364:A 1.96344e-05 -9 *457:SET_B *364:A 0.00020309 -10 *89:9 *364:A 1.43698e-05 +1 *445:A 0.0004615 +2 *444:X 0.0004615 +3 *445:A *447:A 4.58907e-05 +4 *445:A *449:A 0.00013852 +5 *445:A *451:A 1.02267e-05 +6 *445:A *115:19 7.59004e-05 +7 *443:A *445:A 6.36477e-05 +8 *476:CLK_N *445:A 0 +9 *9:25 *445:A 0 +10 *54:16 *445:A 2.57465e-06 +11 *56:11 *445:A 2.08133e-05 +12 *60:18 *445:A 2.77864e-05 +13 *61:19 *445:A 8.44093e-05 +14 *104:14 *445:A 0.000256037 +15 *108:16 *445:A 4.7903e-06 *RES -1 *363:X *364:A 43.4121 +1 *444:X *445:A 38.8629 *END -*D_NET *128 0.00409925 +*D_NET *111 0.00220802 *CONN -*I *366:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *365:X O *D sky130_fd_sc_hd__or2_1 +*I *447:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *446:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *366:A 0.000190432 -2 *365:X 0.00112362 -3 *128:15 0.00131406 -4 *128:15 *353:B 0.000109421 -5 *128:15 *157:31 3.193e-05 -6 *128:15 *158:31 0.000161956 -7 *128:15 *171:13 0.000225116 -8 *216:DIODE *128:15 6.27782e-05 -9 *452:RESET_B *128:15 1.95129e-05 -10 *457:RESET_B *366:A 3.90198e-05 -11 *457:RESET_B *128:15 0.000155063 -12 *458:RESET_B *128:15 0.000510052 -13 *17:14 *128:15 0.000146858 -14 *47:7 *128:15 9.43222e-06 +1 *447:A 0.000381603 +2 *446:X 0.000381603 +3 *447:A *416:B_N 0.000205659 +4 *447:A *446:B_N 6.08467e-05 +5 *447:A *448:B 0.000130713 +6 *447:A *449:A 0.000456629 +7 *447:A *516:A 7.55603e-05 +8 *447:A *115:19 7.5301e-06 +9 *447:A *163:103 0.000109427 +10 *447:A *163:105 4.7372e-05 +11 *447:A *163:107 5.19758e-05 +12 *417:A *447:A 0.000253215 +13 *445:A *447:A 4.58907e-05 *RES -1 *365:X *128:15 48.9301 -2 *128:15 *366:A 18.0727 +1 *446:X *447:A 33.3038 *END -*D_NET *129 0.00310665 +*D_NET *112 0.00347593 *CONN -*I *368:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *367:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *449:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *448:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *368:A 0 -2 *367:X 0.000728766 -3 *129:23 0.000728766 -4 *129:23 *376:A 0.000155384 -5 *129:23 *378:A 0.000196969 -6 *129:23 *383:A 2.71226e-06 -7 *129:23 *502:A 1.29211e-05 -8 *129:23 *504:A 5.49916e-05 -9 *129:23 *164:258 0.000110364 -10 *129:23 *175:8 8.74753e-05 -11 *129:23 *180:11 1.88719e-05 -12 *129:23 *189:18 0.000348507 -13 *367:A *129:23 1.65872e-05 -14 *454:RESET_B *129:23 0 -15 *455:RESET_B *129:23 0.000470271 -16 *459:SET_B *129:23 0.000112361 -17 *3:20 *129:23 3.78939e-05 -18 *93:23 *129:23 7.12632e-06 -19 *119:16 *129:23 1.66771e-05 +1 *449:A 0.000539505 +2 *448:X 0.000539505 +3 *449:A *416:B_N 0.000205659 +4 *449:A *446:B_N 6.08467e-05 +5 *449:A *448:B 0.000250405 +6 *449:A *516:A 7.92757e-06 +7 *449:A *115:19 0.000168965 +8 *449:A *163:99 1.58551e-05 +9 *449:A *163:103 1.32618e-05 +10 *425:A *449:A 1.50924e-05 +11 *445:A *449:A 0.00013852 +12 *447:A *449:A 0.000456629 +13 *475:RESET_B *449:A 0.000978217 +14 *476:SET_B *449:A 6.08467e-05 +15 *476:CLK_N *449:A 2.46908e-05 *RES -1 *367:X *129:23 42.3514 -2 *129:23 *368:A 9.24915 +1 *448:X *449:A 47.9886 *END -*D_NET *130 0.00117874 +*D_NET *113 0.000384059 *CONN -*I *370:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *369:X O *D sky130_fd_sc_hd__or2b_1 +*I *451:A I *D sky130_fd_sc_hd__buf_1 +*I *450:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *370:A 0.000314984 -2 *369:X 0.000314984 -3 *370:A *369:B_N 0.000188582 -4 *370:A *172:13 0.000319029 -5 *370:A *176:19 0 -6 *455:RESET_B *370:A 4.11567e-05 -7 *456:RESET_B *370:A 0 +1 *451:A 0.000153025 +2 *450:X 0.000153025 +3 *445:A *451:A 1.02267e-05 +4 *56:11 *451:A 6.77815e-05 *RES -1 *369:X *370:A 35.87 +1 *450:X *451:A 22.5975 *END -*D_NET *131 0.000330764 +*D_NET *114 0.00610117 *CONN -*I *372:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *371:X O *D sky130_fd_sc_hd__or2_1 +*I *453:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *452:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *372:A 6.97782e-05 -2 *371:X 6.97782e-05 -3 *372:A *176:19 0.000108268 -4 *443:S *372:A 1.32549e-05 -5 *456:RESET_B *372:A 6.96846e-05 +1 *453:A 0 +2 *452:X 0.000119294 +3 *114:14 0.000683347 +4 *114:8 0.000802641 +5 *114:8 *178:48 7.60183e-05 +6 *475:SET_B *114:8 1.23822e-05 +7 *475:SET_B *114:14 1.41689e-05 +8 *4:7 *114:8 5.55154e-05 +9 *5:14 *114:14 0.000250652 +10 *6:24 *114:14 1.59906e-05 +11 *8:18 *114:14 0.000576786 +12 *30:8 *114:8 0 +13 *37:9 *114:14 0.000419724 +14 *55:11 *114:14 7.88396e-05 +15 *60:21 *114:14 0 +16 *61:19 *114:14 0.00189535 +17 *64:9 *114:14 4.0752e-05 +18 *105:9 *114:14 0.000931452 +19 *109:16 *114:14 0.000128255 *RES -1 *371:X *372:A 29.7455 +1 *452:X *114:8 21.3269 +2 *114:8 *114:14 44.5501 +3 *114:14 *453:A 9.24915 *END -*D_NET *132 0.00414719 +*D_NET *115 0.00613088 *CONN -*I *374:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *373:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *455:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *454:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *374:A 0 -2 *373:X 0.00117476 -3 *132:21 0.00117476 -4 *132:21 *400:A 6.00234e-05 -5 *132:21 *472:D 0.000158121 -6 *132:21 *137:18 0.000123764 -7 *132:21 *151:5 0.000105578 -8 *132:21 *151:9 7.20028e-05 -9 *132:21 *164:65 6.08467e-05 -10 *132:21 *164:72 2.75449e-05 -11 *132:21 *199:8 0.000104124 -12 *132:21 *206:24 0.000166235 -13 *356:A *132:21 0.000217028 -14 *362:A *132:21 0.000132663 -15 *373:A *132:21 6.08467e-05 -16 *392:A *132:21 3.13805e-06 -17 *455:CLK_N *132:21 3.81675e-05 -18 *457:CLK_N *132:21 0.000467583 -19 *89:41 *132:21 0 +1 *455:A 0 +2 *454:X 0.00136376 +3 *115:19 0.00136376 +4 *115:19 *489:RESET_B 4.47499e-05 +5 *115:19 *516:A 0.000253215 +6 *115:19 *163:91 0.000147201 +7 *115:19 *163:93 4.66492e-05 +8 *115:19 *163:99 0.000314209 +9 *115:19 *185:16 0.00133742 +10 resetn_out *115:19 0.000304147 +11 *437:A *115:19 0.000451539 +12 *445:A *115:19 7.59004e-05 +13 *447:A *115:19 7.5301e-06 +14 *449:A *115:19 0.000168965 +15 *476:SET_B *115:19 1.4091e-06 +16 *9:25 *115:19 0 +17 *13:17 *115:19 1.5714e-05 +18 *28:16 *115:19 6.20863e-05 +19 *54:16 *115:19 7.09666e-06 +20 *69:14 *115:19 0.000165521 *RES -1 *373:X *132:21 48.1409 -2 *132:21 *374:A 9.24915 +1 *454:X *115:19 43.5886 +2 *115:19 *455:A 9.24915 *END -*D_NET *133 0.00268008 +*D_NET *116 0.00183122 +*CONN +*I *457:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *456:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *457:A 0.000431763 +2 *456:X 0.000431763 +3 *457:A *404:A 0.000292654 +4 *457:A *456:B_N 2.16355e-05 +5 *457:A *136:11 0.0006534 +*RES +1 *456:X *457:A 40.8502 +*END + +*D_NET *117 0.00793428 +*CONN +*I *459:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *458:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *459:A 0.000811406 +2 *458:X 0.000992717 +3 *117:12 0.00180412 +4 *459:A *460:B_N 0.000868733 +5 *459:A *552:A 0.000198737 +6 *459:A *153:51 0.000103505 +7 *459:A *161:11 0.000337556 +8 *459:A *174:11 0 +9 *117:12 *458:B 1.58551e-05 +10 *117:12 *473:A0 6.65668e-05 +11 *117:12 *507:A 8.35566e-05 +12 *117:12 *154:8 0.000107496 +13 *117:12 *174:11 0.000194948 +14 *117:12 *186:8 0.00140016 +15 *412:A *459:A 6.08467e-05 +16 *471:A0 *459:A 6.92514e-05 +17 *472:A1 *459:A 2.40923e-05 +18 *16:16 *459:A 6.36477e-05 +19 *17:21 *459:A 0.000116652 +20 *48:12 *459:A 9.22477e-05 +21 *81:10 *117:12 0.000522175 +*RES +1 *458:X *117:12 47.3169 +2 *117:12 *459:A 39.4243 +*END + +*D_NET *118 0.000794194 +*CONN +*I *461:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *460:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *461:A 0.000218316 +2 *460:X 0.000218316 +3 *482:RESET_B *461:A 0.000111838 +4 *20:9 *461:A 0.000205101 +5 *50:25 *461:A 4.0625e-05 +*RES +1 *460:X *461:A 32.1327 +*END + +*D_NET *119 0.0113715 +*CONN +*I *464:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *463:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *464:A 0.000119146 +2 *463:X 0.00211984 +3 *119:22 0.00131906 +4 *119:16 0.00331975 +5 *464:A *185:16 1.43698e-05 +6 *119:16 *463:B 2.16355e-05 +7 *119:16 *159:16 8.00049e-06 +8 *119:16 *167:19 0.00101806 +9 *119:16 *177:18 0.000516601 +10 *119:16 *184:8 1.5714e-05 +11 *119:16 *199:14 0.000262183 +12 *119:16 *219:12 0.000127366 +13 *119:22 *150:19 0 +14 *119:22 *183:21 1.35317e-05 +15 *119:22 *220:19 0.000154145 +16 *532:A *119:16 0.000353785 +17 *1:10 *119:22 0.000440102 +18 *4:17 *119:16 4.89764e-05 +19 *5:14 *464:A 7.78924e-05 +20 *5:20 *119:22 0.00124504 +21 *6:30 *119:22 0 +22 *17:21 *119:16 6.36477e-05 +23 *42:33 *119:16 0.000112655 +*RES +1 *463:X *119:16 43.7063 +2 *119:16 *119:22 48.6718 +3 *119:22 *464:A 12.191 +*END + +*D_NET *120 0.00320518 +*CONN +*I *466:A I *D sky130_fd_sc_hd__buf_1 +*I *465:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *466:A 0 +2 *465:X 0.000508943 +3 *120:10 0.000508943 +4 *120:10 *465:A 1.04731e-05 +5 *120:10 *183:21 0.000581212 +6 *120:10 *185:16 9.40106e-05 +7 *426:A *120:10 0.000119707 +8 *479:CLK_N *120:10 0.000331269 +9 *5:14 *120:10 0.00042424 +10 *92:8 *120:10 3.25137e-05 +11 *94:24 *120:10 0.000593872 +*RES +1 *465:X *120:10 41.1519 +2 *120:10 *466:A 9.24915 +*END + +*D_NET *121 0.00231729 *CONN *I *376:A I *D sky130_fd_sc_hd__clkbuf_1 *I *375:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *376:A 0.000819635 -2 *375:X 0.000819635 -3 *376:A *378:A 7.61174e-05 -4 *376:A *456:D 4.89251e-05 -5 *376:A *474:A 1.21461e-06 -6 *376:A *502:A 0.000635473 -7 *376:A *504:A 1.99786e-05 -8 *456:CLK_N *376:A 7.16754e-05 -9 *76:14 *376:A 3.20407e-05 -10 *129:23 *376:A 0.000155384 +1 *376:A 0.000772816 +2 *375:X 0.000772816 +3 *376:A *512:A 3.54024e-05 +4 *376:A *151:11 0.000373614 +5 *376:A *179:23 6.3657e-05 +6 *376:A *188:46 0.000298986 *RES -1 *375:X *376:A 46.5356 +1 *375:X *376:A 32.5804 *END -*D_NET *134 0.0018588 +*D_NET *122 0.00210615 *CONN *I *378:A I *D sky130_fd_sc_hd__clkbuf_1 *I *377:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *378:A 0.000673485 -2 *377:X 0.000673485 -3 *378:A *502:A 5.61483e-05 -4 *378:A *164:192 1.43698e-05 -5 *376:A *378:A 7.61174e-05 -6 *443:S *378:A 0.0001195 -7 *456:RESET_B *378:A 4.87301e-05 -8 *129:23 *378:A 0.000196969 +1 *378:A 0.000576377 +2 *377:X 0.000576377 +3 *378:A *158:38 0.000111042 +4 *378:A *188:46 0.000285381 +5 *378:A *189:10 0.000538133 +6 *477:CLK_N *378:A 1.88422e-05 *RES -1 *377:X *378:A 49.786 +1 *377:X *378:A 39.8916 *END -*D_NET *135 0.0010665 +*D_NET *123 0.00110111 *CONN -*I *380:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *379:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *386:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *385:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *380:A 0.000277035 -2 *379:X 0.000277035 -3 *380:A *453:D 0 -4 *380:A *193:38 0.000134071 -5 *453:CLK_N *380:A 6.27718e-05 -6 *454:CLK_N *380:A 0.000179264 -7 *102:12 *380:A 0.000136327 +1 *386:A 0.00022488 +2 *385:X 0.00022488 +3 *386:A *392:A 2.52579e-05 +4 *386:A *394:A 7.82155e-05 +5 *386:A *158:21 1.4106e-05 +6 *386:A *175:17 7.26748e-05 +7 *386:A *202:20 3.17116e-05 +8 *485:RESET_B *386:A 1.91246e-05 +9 *486:SET_B *386:A 0.000120007 +10 *8:39 *386:A 0.000287345 +11 *24:26 *386:A 2.91008e-06 *RES -1 *379:X *380:A 36.6231 +1 *385:X *386:A 36.0335 *END -*D_NET *136 0.00160624 +*D_NET *124 0.00107715 *CONN -*I *382:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *381:X O *D sky130_fd_sc_hd__or2b_1 +*I *388:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *387:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *382:A 0.000306193 -2 *381:X 0.000306193 -3 *382:A *432:B_N 2.99287e-05 -4 *382:A *505:A 0.000117376 -5 *382:A *164:192 2.16355e-05 -6 *382:A *176:19 0.000114584 -7 *433:A *382:A 0.00034144 -8 *443:S *382:A 7.28994e-06 -9 *3:20 *382:A 6.01985e-05 -10 *17:26 *382:A 1.44054e-05 -11 *76:14 *382:A 0.000286993 +1 *388:A 0.000201775 +2 *387:X 0.000201775 +3 *388:A *409:A 0.000249039 +4 *388:A *158:21 2.53145e-06 +5 *388:A *159:16 1.23348e-05 +6 *388:A *188:25 0.000279629 +7 *486:RESET_B *388:A 1.82679e-05 +8 *39:17 *388:A 0.000111802 *RES -1 *381:X *382:A 38.8118 +1 *387:X *388:A 34.9243 *END -*D_NET *137 0.0125969 +*D_NET *125 0.00165111 *CONN -*I *446:TE_B I *D sky130_fd_sc_hd__ebufn_1 -*I *429:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *390:A I *D sky130_fd_sc_hd__buf_1 +*I *389:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *446:TE_B 0.000902446 -2 *429:X 0.00149415 -3 *137:18 0.0023966 -4 *446:TE_B *504:A 2.68379e-06 -5 *446:TE_B *505:A 0.000563833 -6 *446:TE_B *508:A 6.09467e-05 -7 *446:TE_B *150:7 9.46346e-05 -8 *446:TE_B *180:14 6.32034e-05 -9 *137:18 *390:B 2.1359e-05 -10 *137:18 *471:D 1.52896e-05 -11 *137:18 *158:14 8.46061e-05 -12 *137:18 *167:20 0.0016699 -13 *137:18 *189:18 0.00201855 -14 *137:18 *206:24 1.03079e-05 -15 pad_gpio_ib_mode_sel *446:TE_B 0.000356681 -16 *373:A *446:TE_B 4.87439e-05 -17 *455:SET_B *446:TE_B 4.43579e-05 -18 *473:A *446:TE_B 0.000348568 -19 *498:A *137:18 0.000193492 -20 *8:8 *137:18 0.000593935 -21 *16:15 *137:18 5.38901e-05 -22 *23:9 *446:TE_B 7.52954e-05 -23 *76:14 *446:TE_B 0.000212332 -24 *89:41 *137:18 6.34564e-05 -25 *111:14 *446:TE_B 0.000450467 -26 *123:33 *137:18 0.000633386 -27 *132:21 *137:18 0.000123764 +1 *390:A 0.000472122 +2 *389:X 0.000472122 +3 *390:A *157:19 0.000162669 +4 *390:A *158:21 1.27624e-05 +5 *390:A *199:14 0.000301985 +6 *389:A *390:A 1.80176e-05 +7 *530:A *390:A 0.000166836 +8 *532:A *390:A 3.95516e-05 +9 *9:46 *390:A 5.04829e-06 *RES -1 *429:X *137:18 49.2721 -2 *137:18 *446:TE_B 48.1831 +1 *389:X *390:A 38.2278 *END -*D_NET *150 0.00500387 +*D_NET *126 0.00125399 *CONN -*I *475:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *474:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *473:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *392:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *391:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *475:A 0.000632895 -2 *474:A 0.00086757 -3 *473:X 0.000140642 -4 *150:7 0.00164111 -5 *474:A *375:A 2.49496e-05 -6 *474:A *390:B 5.35941e-05 -7 *474:A *477:TE 8.9907e-05 -8 *474:A *151:5 0.000110458 -9 *474:A *164:65 0.000110458 -10 *474:A *179:8 0 -11 *475:A *420:B 6.27782e-05 -12 *475:A *477:TE 0.000442318 -13 *475:A *152:81 6.14769e-05 -14 *475:A *190:16 1.56049e-05 -15 *475:A *198:17 0 -16 pad_gpio_ib_mode_sel *475:A 0.000112361 -17 *376:A *474:A 1.21461e-06 -18 *415:A *475:A 0.000114594 -19 *446:TE_B *150:7 9.46346e-05 -20 *456:CLK_N *474:A 0 -21 *477:A *475:A 0.000160047 -22 *53:28 *475:A 5.46384e-06 -23 *93:23 *474:A 2.08648e-05 -24 *97:23 *474:A 3.04631e-05 -25 *103:11 *475:A 9.56715e-05 -26 *112:13 *475:A 0.000114795 -27 *119:16 *474:A 0 -28 *119:20 *475:A 0 +1 *392:A 0.000307366 +2 *391:X 0.000307366 +3 *392:A *387:B 0.000107496 +4 *392:A *393:B 3.99086e-06 +5 *392:A *156:11 1.65872e-05 +6 *392:A *202:20 0.000142569 +7 *386:A *392:A 2.52579e-05 +8 *485:RESET_B *392:A 1.00971e-05 +9 *485:SET_B *392:A 0.000162349 +10 *486:SET_B *392:A 5.55617e-05 +11 *9:46 *392:A 8.84425e-05 +12 *89:30 *392:A 2.69108e-05 *RES -1 *473:X *150:7 16.1364 -2 *150:7 *474:A 34.0294 -3 *150:7 *475:A 32.1517 +1 *391:X *392:A 35.87 *END -*D_NET *151 0.00933784 +*D_NET *127 0.0021739 *CONN -*I *472:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *471:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *467:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *466:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *464:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *465:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *474:X O *D sky130_fd_sc_hd__clkbuf_2 +*I *394:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *393:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *472:CLK 0.000215967 -2 *471:CLK 0.0003165 -3 *467:CLK 0.000109845 -4 *466:CLK 0 -5 *464:CLK 0.000265689 -6 *465:CLK 0 -7 *474:X 0.000184145 -8 *151:20 0.000525112 -9 *151:15 0.000467816 -10 *151:13 0.000699038 -11 *151:9 0.00072877 -12 *151:5 0.000431582 -13 *467:CLK *205:9 0.000117376 -14 *471:CLK *464:RESET_B 0.00012445 -15 *471:CLK *153:29 0.000282913 -16 *471:CLK *157:28 0.000230939 -17 *472:CLK *180:11 5.28741e-05 -18 *151:5 *375:A 2.41274e-06 -19 *151:5 *164:65 0.000139808 -20 *151:13 *157:28 0.000403345 -21 *151:13 *164:72 0 -22 *151:13 *204:8 0.000122858 -23 *151:15 *466:D 7.16754e-05 -24 *362:A *471:CLK 3.77232e-05 -25 *362:A *472:CLK 0.000163161 -26 *362:A *151:9 0.000112367 -27 *451:CLK_N *464:CLK 0.000158138 -28 *452:RESET_B *467:CLK 3.00829e-05 -29 *452:RESET_B *151:13 0.000485133 -30 *452:RESET_B *151:15 0.000339588 -31 *452:RESET_B *151:20 6.55453e-05 -32 *455:CLK_N *472:CLK 0.000135921 -33 *455:CLK_N *151:5 2.57986e-05 -34 *455:CLK_N *151:9 1.88422e-05 -35 *474:A *151:5 0.000110458 -36 *2:26 *471:CLK 1.34153e-05 -37 *9:11 *464:CLK 0.000699986 -38 *9:11 *151:20 0.000364508 -39 *10:14 *464:CLK 1.68911e-05 -40 *10:14 *151:20 6.95527e-05 -41 *12:20 *464:CLK 0.000490501 -42 *12:20 *151:20 0.000226126 -43 *89:38 *471:CLK 6.91404e-05 -44 *103:11 *151:5 3.42678e-05 -45 *132:21 *151:5 0.000105578 -46 *132:21 *151:9 7.20028e-05 +1 *394:A 0.00046714 +2 *393:X 0.00046714 +3 *394:A *158:21 0.000504565 +4 *394:A *163:398 1.82679e-05 +5 *394:A *202:20 0.0001195 +6 *386:A *394:A 7.82155e-05 +7 *485:RESET_B *394:A 0.000122088 +8 *540:A *394:A 0.000304706 +9 *24:26 *394:A 2.02035e-05 +10 *39:17 *394:A 7.20738e-05 *RES -1 *474:X *151:5 15.5186 -2 *151:5 *151:9 6.3326 -3 *151:9 *151:13 17.7073 -4 *151:13 *151:15 8.48785 -5 *151:15 *151:20 14.2568 -6 *151:20 *465:CLK 13.7491 -7 *151:20 *464:CLK 26.7929 -8 *151:15 *466:CLK 9.24915 -9 *151:13 *467:CLK 12.7456 -10 *151:9 *471:CLK 23.8862 -11 *151:5 *472:CLK 24.684 +1 *393:X *394:A 43.1278 *END -*D_NET *152 0.0160903 +*D_NET *128 0.000757851 *CONN -*I *460:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *440:A I *D sky130_fd_sc_hd__buf_2 -*I *470:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *469:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *468:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *461:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *462:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *463:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *475:X O *D sky130_fd_sc_hd__clkbuf_2 +*I *396:A I *D sky130_fd_sc_hd__buf_1 +*I *395:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *460:CLK 5.12394e-05 -2 *440:A 0.000735401 -3 *470:CLK 4.95658e-05 -4 *469:CLK 0.000397314 -5 *468:CLK 0.000194992 -6 *461:CLK 7.76464e-05 -7 *462:CLK 0.000110035 -8 *463:CLK 9.16072e-05 -9 *475:X 0 -10 *152:92 0.000996964 -11 *152:81 0.000685129 -12 *152:59 0.000792579 -13 *152:48 0.000414642 -14 *152:38 0.000878054 -15 *152:17 0.00190663 -16 *152:4 0.00160897 -17 *440:A *165:11 6.77815e-05 -18 *460:CLK *511:A 1.33278e-05 -19 *460:CLK *512:A 1.41811e-05 -20 *460:CLK *165:11 2.77564e-05 -21 *461:CLK *461:D 5.04829e-06 -22 *461:CLK *193:16 1.1957e-05 -23 *462:CLK *193:16 2.96915e-05 -24 *468:CLK *439:A 2.72515e-05 -25 *468:CLK *468:D 2.16355e-05 -26 *469:CLK *461:RESET_B 1.16182e-05 -27 *469:CLK *468:D 0.000140854 -28 *469:CLK *469:D 0 -29 *469:CLK *164:355 0.000129786 -30 *469:CLK *186:7 1.4091e-06 -31 *469:CLK *188:17 7.09666e-06 -32 *470:CLK *190:16 9.19563e-05 -33 *152:17 *414:A 6.27782e-05 -34 *152:17 *463:D 0.000212084 -35 *152:17 *161:22 0.000994529 -36 *152:17 *162:16 1.5714e-05 -37 *152:17 *162:23 3.10304e-06 -38 *152:38 *159:17 0 -39 *152:38 *193:16 0.000389934 -40 *152:48 *434:A 0 -41 *152:59 *355:A 0.000122841 -42 *152:59 *193:16 6.40758e-05 -43 *152:81 *420:B 6.27782e-05 -44 *152:81 *164:44 1.86936e-05 -45 *152:81 *164:46 0.000233837 -46 *152:81 *190:16 0.000287514 -47 *152:81 *206:24 8.44495e-06 -48 *152:92 *512:A 2.59934e-05 -49 *152:92 *164:44 7.36257e-05 -50 *379:A *152:17 0.000190174 -51 *415:A *152:17 0.000159756 -52 *415:A *152:81 4.81452e-05 -53 *417:A *462:CLK 9.38968e-05 -54 *419:A *152:38 1.23535e-05 -55 *427:A *152:48 0 -56 *448:SET_B *152:17 4.49063e-05 -57 *451:CLK_N *463:CLK 0.000143427 -58 *451:CLK_N *152:38 0.00012977 -59 *475:A *152:81 6.14769e-05 -60 *495:A *463:CLK 8.42535e-06 -61 *495:A *152:38 1.84334e-05 -62 *5:8 *463:CLK 1.8234e-05 -63 *9:11 *463:CLK 5.71849e-05 -64 *9:17 *152:38 3.88348e-05 -65 *13:18 *152:17 7.34778e-05 -66 *13:18 *152:38 0.000186432 -67 *26:23 *152:38 5.481e-05 -68 *29:11 *440:A 0.000117376 -69 *39:15 *440:A 0.000754994 -70 *39:21 *440:A 1.37189e-05 -71 *48:24 *152:48 8.28174e-05 -72 *63:16 *470:CLK 5.0587e-05 -73 *63:16 *152:92 0.000173323 -74 *93:23 *152:17 0.000131168 -75 *93:23 *152:38 1.15845e-05 -76 *94:64 *152:38 1.60434e-05 -77 *96:16 *152:17 0.000120123 -78 *97:23 *152:17 0.000300277 -79 *114:19 *152:92 7.86825e-06 -80 *115:12 *469:CLK 2.46824e-05 -81 *117:23 *461:CLK 6.98337e-06 -82 *117:23 *152:59 4.16935e-06 -83 *123:14 *152:59 5.08126e-05 -84 *123:20 *152:48 0.000159903 -85 *123:20 *152:59 0.000141592 -86 *123:33 *462:CLK 3.77568e-05 -87 *123:33 *152:17 0.000348938 -88 *123:33 *152:48 2.77564e-05 +1 *396:A 0.000146349 +2 *395:X 0.000146349 +3 *396:A *465:A 6.08467e-05 +4 *396:A *467:A 0.000107496 +5 *480:SET_B *396:A 0.000159634 +6 *6:30 *396:A 0.000137176 *RES -1 *475:X *152:4 9.24915 -2 *152:4 *152:17 28.8844 -3 *152:17 *463:CLK 16.6193 -4 *152:17 *152:38 25.8916 -5 *152:38 *462:CLK 16.985 -6 *152:38 *152:48 3.90826 -7 *152:48 *461:CLK 15.5817 -8 *152:48 *152:59 10.4845 -9 *152:59 *468:CLK 12.625 -10 *152:59 *469:CLK 26.0691 -11 *152:4 *152:81 14.4645 -12 *152:81 *470:CLK 15.7888 -13 *152:81 *152:92 5.82574 -14 *152:92 *440:A 29.5192 -15 *152:92 *460:CLK 15.1659 +1 *395:X *396:A 32.1622 *END -*D_NET *153 0.00864712 +*D_NET *129 0.00351958 *CONN -*I *477:TE I *D sky130_fd_sc_hd__einvp_2 -*I *478:gpio_logic1 O *D gpio_logic_high +*I *398:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *397:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *477:TE 0.000299307 -2 *478:gpio_logic1 0.00245753 -3 *153:29 0.00275684 -4 *477:TE *179:8 4.69116e-05 -5 *477:TE *190:16 6.08467e-05 -6 *153:29 *390:B 0.000359557 -7 *153:29 *451:D 2.84758e-05 -8 *153:29 *464:RESET_B 8.54149e-06 -9 *153:29 *157:28 3.26595e-05 -10 *153:29 *158:14 2.02035e-05 -11 *153:29 *164:282 2.9617e-06 -12 *362:A *153:29 0.000187373 -13 *451:RESET_B *153:29 5.22585e-05 -14 *451:CLK_N *153:29 1.58551e-05 -15 *454:RESET_B *153:29 4.73665e-05 -16 *455:CLK_N *153:29 1.27831e-06 -17 *471:CLK *153:29 0.000282913 -18 *474:A *477:TE 8.9907e-05 -19 *475:A *477:TE 0.000442318 -20 *477:A *477:TE 6.08467e-05 -21 *3:20 *153:29 5.91586e-05 -22 *4:8 *153:29 1.66626e-05 -23 *7:15 *153:29 5.04829e-06 -24 *12:20 *153:29 0.000247443 -25 *13:18 *153:29 0.000389292 -26 *15:15 *153:29 5.31024e-05 -27 *34:20 *477:TE 2.23419e-05 -28 *89:41 *153:29 0.000287367 -29 *93:23 *153:29 5.03226e-05 -30 *97:23 *477:TE 0.000262422 +1 *398:A 0.00116096 +2 *397:X 0.00116096 +3 *398:A *498:D 0.000230993 +4 *398:A *132:14 0.00014815 +5 *398:A *160:28 0.00014815 +6 *398:A *163:276 3.25507e-05 +7 *398:A *194:11 0.000637824 *RES -1 *478:gpio_logic1 *153:29 47.8956 -2 *153:29 *477:TE 24.8172 +1 *397:X *398:A 47.5223 *END -*D_NET *154 0.00294766 +*D_NET *130 0.00185312 *CONN -*I *430:A I *D sky130_fd_sc_hd__and2_1 -*I *428:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *453:Q O *D sky130_fd_sc_hd__dfbbn_1 +*I *400:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *399:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *430:A 0.00019137 -2 *428:B_N 0 -3 *453:Q 0.000810994 -4 *154:8 0.00100236 -5 *430:A *504:A 2.77419e-05 -6 *430:A *505:A 0.000206718 -7 *430:A *506:A 0.00011815 -8 *430:A *508:A 4.2748e-05 -9 *430:A *162:23 1.88563e-05 -10 *430:A *180:14 1.53182e-05 -11 *154:8 *471:D 3.27621e-05 -12 *154:8 *504:A 3.17021e-05 -13 *154:8 *180:11 8.36586e-06 -14 *154:8 *198:17 5.52748e-05 -15 *453:RESET_B *154:8 5.04829e-06 -16 *454:CLK_N *154:8 9.81043e-05 -17 *23:9 *430:A 0.000159032 -18 *119:20 *430:A 1.49776e-05 -19 *119:20 *154:8 0.000108135 +1 *400:A 0.000464839 +2 *399:X 0.000464839 +3 *400:A *182:16 9.62255e-05 +4 *400:A *184:7 0.0003012 +5 *469:A *400:A 1.05106e-05 +6 *478:SET_B *400:A 0.000266005 +7 *27:11 *400:A 7.52263e-05 +8 *31:15 *400:A 7.82396e-05 +9 *81:10 *400:A 2.17857e-05 +10 *105:9 *400:A 7.42514e-05 *RES -1 *453:Q *154:8 26.7027 -2 *154:8 *428:B_N 13.7491 -3 *154:8 *430:A 20.3622 +1 *399:X *400:A 39.4817 *END -*D_NET *155 0.000941398 +*D_NET *131 0.000763154 *CONN -*I *445:S I *D sky130_fd_sc_hd__mux2_1 -*I *442:S I *D sky130_fd_sc_hd__mux2_1 -*I *447:Q O *D sky130_fd_sc_hd__dfbbn_1 +*I *402:A I *D sky130_fd_sc_hd__buf_1 +*I *401:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *445:S 5.9724e-05 -2 *442:S 0.000101076 -3 *447:Q 0 -4 *155:5 0.0001608 -5 *445:S *508:A 2.5775e-05 -6 pad_gpio_out *442:S 0.000141689 -7 pad_gpio_out *445:S 0.000145508 -8 *445:A1 *442:S 0.000241167 -9 *445:A1 *445:S 6.56589e-05 +1 *402:A 0.000110833 +2 *401:X 0.000110833 +3 *402:A *408:A 9.32909e-06 +4 *407:A *402:A 0.000112231 +5 *542:A *402:A 0.000311378 +6 *89:39 *402:A 0.000108549 *RES -1 *447:Q *155:5 13.7491 -2 *155:5 *442:S 18.0727 -3 *155:5 *445:S 16.4116 +1 *401:X *402:A 23.7067 *END -*D_NET *156 0.00990348 +*D_NET *132 0.00526061 *CONN -*I *426:A I *D sky130_fd_sc_hd__or2_1 -*I *424:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *479:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *404:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *403:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *426:A 0.000130676 -2 *424:B_N 0.000250067 -3 *479:X 0.00200201 -4 *156:21 0.00238275 -5 *424:B_N *446:A 0.000157107 -6 *424:B_N *506:A 1.03594e-05 -7 *424:B_N *508:A 0.000175369 -8 *424:B_N *168:18 1.60913e-05 -9 *426:A *470:RESET_B 0.00015901 -10 *156:21 *434:A 0.000186835 -11 *156:21 *461:D 0.000461573 -12 *156:21 *461:RESET_B 6.6175e-05 -13 *156:21 *163:7 0.000216467 -14 *156:21 *163:42 1.78584e-05 -15 *156:21 *198:17 0.000194945 -16 serial_clock_out *156:21 4.77351e-05 -17 *217:DIODE *156:21 0.000168313 -18 *222:DIODE *156:21 2.22974e-05 -19 *411:A *156:21 0 -20 *442:A1 *424:B_N 0.000160942 -21 *449:SET_B *156:21 0.000159016 -22 *1:30 *156:21 0.000133822 -23 *26:20 *426:A 1.85244e-05 -24 *26:20 *156:21 8.65114e-05 -25 *26:23 *156:21 0.000171433 -26 *108:21 *156:21 6.74426e-05 -27 *114:19 *426:A 0.000247443 -28 *114:19 *156:21 0.0018574 -29 *117:23 *156:21 0.000205746 -30 *119:20 *424:B_N 0.000126953 -31 *119:20 *426:A 2.60879e-06 +1 *404:A 0.000194353 +2 *403:X 0.000962066 +3 *132:14 0.00115642 +4 *404:A *136:11 1.18339e-05 +5 *132:14 *136:11 0.000554803 +6 *132:14 *153:39 0.000612821 +7 *132:14 *160:28 0.000630391 +8 *132:14 *180:15 0.000107496 +9 *398:A *132:14 0.00014815 +10 *457:A *404:A 0.000292654 +11 *470:A1 *132:14 8.93134e-05 +12 *473:A1 *132:14 2.40317e-05 +13 *20:9 *404:A 6.27782e-05 +14 *24:26 *132:14 5.32471e-05 +15 *50:25 *404:A 8.03082e-05 +16 *79:15 *404:A 0.00027024 +17 *79:15 *132:14 9.70426e-06 *RES -1 *479:X *156:21 43.3584 -2 *156:21 *424:B_N 22.1891 -3 *156:21 *426:A 17.8024 +1 *403:X *132:14 43.9028 +2 *132:14 *404:A 21.0799 *END -*D_NET *157 0.0104229 +*D_NET *133 0.00634637 *CONN -*I *357:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *359:B I *D sky130_fd_sc_hd__or2_1 -*I *480:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *406:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *405:X O *D sky130_fd_sc_hd__or2_1 *CAP -1 *357:B_N 6.266e-05 -2 *359:B 0.000320758 -3 *480:X 3.64812e-05 -4 *157:31 0.00137947 -5 *157:28 0.00237625 -6 *157:16 0.00144228 -7 *157:15 0.000256072 -8 *157:10 0.000355469 -9 *157:9 0.000197953 -10 *357:B_N *357:A 7.09666e-06 -11 *359:B *353:B 0.000125027 -12 *359:B *357:A 1.5714e-05 -13 *157:9 *194:13 7.08444e-05 -14 *157:9 *195:15 7.08444e-05 -15 *157:10 *178:10 0 -16 *157:15 *158:14 0.00059136 -17 *157:15 *178:15 2.98155e-05 -18 *157:15 *194:13 0.000209863 -19 *157:15 *195:15 8.24433e-06 -20 *157:16 *178:16 4.29906e-05 -21 *157:28 *451:D 4.47179e-05 -22 *157:28 *466:D 0 -23 *157:28 *178:15 8.9917e-06 -24 *157:28 *204:8 0.000122858 -25 *157:31 *466:D 1.43832e-05 -26 *358:A *359:B 5.38019e-05 -27 *360:A *357:B_N 5.5818e-05 -28 *360:A *359:B 1.77894e-05 -29 *451:RESET_B *157:28 6.13051e-05 -30 *451:CLK_N *157:28 3.82228e-05 -31 *457:RESET_B *157:31 0.000506931 -32 *457:CLK_N *157:28 4.59423e-05 -33 *471:CLK *157:28 0.000230939 -34 *480:A *157:15 0 -35 *7:18 *157:16 3.96548e-05 -36 *7:27 *157:15 8.90033e-06 -37 *10:14 *359:B 0 -38 *12:20 *359:B 0.000515234 -39 *12:20 *157:28 1.59634e-05 -40 *89:9 *157:28 0.000334235 -41 *89:38 *157:28 0.000240063 -42 *128:15 *157:31 3.193e-05 -43 *151:13 *157:28 0.000403345 -44 *153:29 *157:28 3.26595e-05 +1 *406:A 0.000430279 +2 *405:X 0.00144381 +3 *133:14 0.00187408 +4 *406:A *410:A 6.04106e-05 +5 *406:A *463:B 0 +6 *406:A *521:A 0.000167969 +7 *406:A *528:A 0.00011893 +8 *133:14 *422:B_N 4.24488e-05 +9 *133:14 *499:RESET_B 6.2128e-05 +10 *133:14 *519:A 0.000143175 +11 *133:14 *528:A 5.29258e-06 +12 *133:14 *157:19 9.00085e-05 +13 *133:14 *163:289 1.91114e-05 +14 *133:14 *163:322 2.77625e-06 +15 *133:14 *188:25 6.08467e-05 +16 *133:14 *188:46 0.000139947 +17 *133:14 *224:8 0.000599656 +18 pad_gpio_ib_mode_sel *133:14 5.79479e-06 +19 *423:A *133:14 1.43698e-05 +20 *482:SET_B *406:A 0.000255277 +21 *482:CLK_N *406:A 0.000272935 +22 *4:17 *406:A 1.82001e-05 +23 *4:17 *133:14 0.000518921 *RES -1 *480:X *157:9 28.915 -2 *157:9 *157:10 266.547 -3 *157:10 *157:15 26.4315 -4 *157:15 *157:16 127.479 -5 *157:16 *157:28 48.1675 -6 *157:28 *157:31 19.6431 -7 *157:31 *359:B 24.0585 -8 *157:31 *357:B_N 15.1659 +1 *405:X *133:14 44.1914 +2 *133:14 *406:A 26.7838 *END -*D_NET *158 0.0113664 +*D_NET *134 0.000184153 *CONN -*I *353:B I *D sky130_fd_sc_hd__or2_1 -*I *351:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *481:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *408:A I *D sky130_fd_sc_hd__buf_1 +*I *407:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *353:B 0.000285239 -2 *351:B_N 0 -3 *481:X 0.000627312 -4 *158:31 0.000307811 -5 *158:28 0.00207535 -6 *158:14 0.00268009 -7 *353:B *353:A 0.000114594 -8 *353:B *164:197 1.95333e-05 -9 *353:B *164:201 3.82572e-05 -10 *353:B *164:202 0.000112361 -11 *353:B *164:204 6.46815e-05 -12 *353:B *171:13 7.20108e-05 -13 *158:14 *178:15 0.000245459 -14 *158:14 *194:13 1.67286e-05 -15 *158:14 *194:23 0.000662814 -16 *158:14 *195:15 0.000241405 -17 *158:28 *465:D 3.00829e-05 -18 *158:28 *164:91 0.000396254 -19 *158:28 *164:100 0.000175215 -20 *158:28 *171:13 0 -21 *158:28 *195:33 2.85729e-05 -22 *158:31 *171:13 0.00016386 -23 *359:B *353:B 0.000125027 -24 *360:A *353:B 6.77815e-05 -25 *367:A *158:28 0.000243065 -26 *457:RESET_B *353:B 1.88422e-05 -27 *458:RESET_B *158:28 0.000122992 -28 *458:SET_B *158:28 0.000133154 -29 *459:RESET_B *158:28 0.000209636 -30 *459:SET_B *158:28 2.82255e-05 -31 *4:8 *158:14 2.6958e-05 -32 *7:15 *158:14 0.00040722 -33 *8:8 *158:14 5.43679e-05 -34 *12:20 *158:14 0.000165005 -35 *89:9 *158:28 0.000368088 -36 *92:35 *158:28 5.51346e-05 -37 *123:33 *158:14 1.5714e-05 -38 *128:15 *353:B 0.000109421 -39 *128:15 *158:31 0.000161956 -40 *137:18 *158:14 8.46061e-05 -41 *153:29 *158:14 2.02035e-05 -42 *157:15 *158:14 0.00059136 +1 *408:A 5.41922e-05 +2 *407:X 5.41922e-05 +3 *402:A *408:A 9.32909e-06 +4 *542:A *408:A 6.64392e-05 *RES -1 *481:X *158:14 49.6036 -2 *158:14 *158:28 47.1543 -3 *158:28 *158:31 6.3326 -4 *158:31 *351:B_N 9.24915 -5 *158:31 *353:B 27.281 +1 *407:X *408:A 20.2103 *END -*D_NET *159 0.00582984 +*D_NET *135 0.00116957 *CONN -*I *414:B I *D sky130_fd_sc_hd__or2_1 -*I *412:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *482:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *410:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *409:X O *D sky130_fd_sc_hd__or2b_1 *CAP -1 *414:B 0.000123213 -2 *412:B_N 0.000235298 -3 *482:X 0.000596736 -4 *159:34 0.000150466 -5 *159:32 0.000120008 -6 *159:31 0.000138804 -7 *159:17 0.000878084 -8 *412:B_N *160:27 0.000109421 -9 *414:B *414:A 6.56275e-05 -10 *159:17 *414:A 0.000109427 -11 *159:17 *162:16 0.0010365 -12 *159:17 *164:332 2.99506e-05 -13 *159:17 *192:17 0.000301919 -14 *159:31 *414:A 4.57935e-05 -15 *211:DIODE *159:17 6.47496e-05 -16 *450:CLK_N *412:B_N 0.000148385 -17 *451:SET_B *159:17 4.38306e-05 -18 *483:A *159:17 2.54243e-05 -19 *6:21 *159:17 7.35292e-05 -20 *48:24 *412:B_N 1.01851e-05 -21 *66:16 *159:17 0.000506809 -22 *94:64 *159:17 3.29488e-05 -23 *97:23 *412:B_N 9.0951e-05 -24 *108:21 *412:B_N 0.000109421 -25 *112:16 *412:B_N 0.000269555 -26 *112:16 *159:17 0.000148291 -27 *116:16 *159:17 0.000364516 -28 *152:38 *159:17 0 +1 *410:A 0.000411768 +2 *409:X 0.000411768 +3 *410:A *521:A 0.00010471 +4 *410:A *165:14 0.000117763 +5 *410:A *165:23 5.70872e-05 +6 *410:A *188:16 0 +7 *406:A *410:A 6.04106e-05 +8 *39:17 *410:A 6.06525e-06 *RES -1 *482:X *159:17 38.7669 -2 *159:17 *412:B_N 25.5117 -3 *159:17 *159:31 9.97254 -4 *159:31 *159:32 127.479 -5 *159:32 *159:34 42.9474 -6 *159:34 *414:B 21.5607 +1 *409:X *410:A 35.87 *END -*D_NET *160 0.00610695 +*D_NET *136 0.0042663 *CONN -*I *406:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *408:B I *D sky130_fd_sc_hd__or2_1 -*I *483:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *474:TE_B I *D sky130_fd_sc_hd__ebufn_1 +*I *457:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *406:B_N 0.0001452 -2 *408:B 0 -3 *483:X 0.00107167 -4 *160:27 0.00121687 -5 *160:27 *461:D 3.16163e-05 -6 *160:27 *162:16 0.000109421 -7 *160:27 *192:17 0 -8 *404:A *160:27 0.000260698 -9 *407:A *406:B_N 0.000147647 -10 *407:A *160:27 0.000906339 -11 *411:A *160:27 5.12718e-05 -12 *412:B_N *160:27 0.000109421 -13 *417:A *160:27 0 -14 *419:A *160:27 0.000149738 -15 *429:A *160:27 1.264e-05 -16 *447:SET_B *406:B_N 0.000398767 -17 *448:RESET_B *160:27 5.66121e-05 -18 *483:A *160:27 4.17531e-06 -19 *496:A *160:27 4.92053e-06 -20 *497:A *160:27 3.44412e-06 -21 *499:A *160:27 2.5433e-05 -22 *54:17 *160:27 0.000791657 -23 *92:10 *160:27 0 -24 *108:21 *406:B_N 2.53145e-06 -25 *108:21 *160:27 0.000161827 -26 *116:16 *160:27 0 -27 *123:33 *160:27 0.000445054 +1 *474:TE_B 0 +2 *457:X 0.000687301 +3 *136:11 0.000687301 +4 *136:11 *153:39 5.56627e-05 +5 mgmt_gpio_in *136:11 0.00123501 +6 *404:A *136:11 1.18339e-05 +7 *457:A *136:11 0.0006534 +8 *473:A1 *136:11 1.59966e-05 +9 *15:14 *136:11 6.08467e-05 +10 *22:7 *136:11 0.000304143 +11 *132:14 *136:11 0.000554803 *RES -1 *483:X *160:27 49.9678 -2 *160:27 *408:B 9.24915 -3 *160:27 *406:B_N 15.0122 +1 *457:X *136:11 46.7246 +2 *136:11 *474:TE_B 9.24915 *END -*D_NET *161 0.0111651 +*D_NET *149 0.00795113 *CONN -*I *444:S I *D sky130_fd_sc_hd__mux2_1 -*I *430:B I *D sky130_fd_sc_hd__and2_1 -*I *484:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *503:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *502:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *501:X O *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 *444:S 0.000325232 -2 *430:B 0.000110758 -3 *484:X 0.00171638 -4 *161:23 0.0011412 -5 *161:22 0.0024216 -6 *430:B *505:A 0.000167477 -7 *430:B *162:23 9.70503e-05 -8 *161:22 *463:D 2.26092e-05 -9 *161:22 *195:15 0.00011015 -10 *161:23 *197:14 0 -11 *448:RESET_B *161:23 3.81774e-05 -12 *448:SET_B *161:22 0 -13 *453:RESET_B *161:22 0.000233622 -14 *453:SET_B *161:22 1.03594e-05 -15 *454:RESET_B *161:22 5.08721e-05 -16 *454:CLK_N *161:22 4.65647e-06 -17 *6:21 *444:S 0.000540564 -18 *6:21 *161:23 0.00146533 -19 *11:23 *161:22 3.4371e-05 -20 *13:18 *161:22 0.000242636 -21 *48:24 *161:23 1.29043e-05 -22 *66:16 *161:22 5.81985e-05 -23 *71:18 *161:22 0.000558217 -24 *94:33 *161:22 0.000406614 -25 *96:16 *161:22 0.000109658 -26 *117:23 *430:B 5.03545e-06 -27 *123:33 *161:22 0.000286882 -28 *152:17 *161:22 0.000994529 +1 *503:A 0.000426354 +2 *502:A 0.00243282 +3 *501:X 0 +4 *149:4 0.00285917 +5 *502:A *479:D 4.9352e-05 +6 *502:A *523:A 0.000300192 +7 *502:A *177:38 0.00109612 +8 *503:A *165:23 4.72456e-05 +9 *503:A *177:38 0.000124975 +10 *503:A *179:23 9.17885e-05 +11 *503:A *194:11 6.68253e-06 +12 *479:CLK_N *502:A 0.000102908 +13 *487:SET_B *503:A 6.40758e-05 +14 *501:A *502:A 0.000349444 *RES -1 *484:X *161:22 49.9614 -2 *161:22 *161:23 2.11512 -3 *161:23 *430:B 20.4033 -4 *161:23 *444:S 18.3113 +1 *501:X *149:4 9.24915 +2 *149:4 *502:A 46.6005 +3 *149:4 *503:A 25.796 *END -*D_NET *162 0.0114116 +*D_NET *150 0.00992728 *CONN -*I *444:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *443:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *485:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *489:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *490:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *495:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *491:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *492:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *493:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *494:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *499:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *502:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *444:A0 0 -2 *443:A1 0.000431109 -3 *485:X 0.00109939 -4 *162:23 0.00197937 -5 *162:16 0.00264765 -6 *162:16 *192:17 5.54585e-05 -7 *162:23 *414:A 1.21461e-06 -8 *162:23 *503:A 4.7162e-05 -9 *162:23 *505:A 1.62799e-05 -10 *162:23 *190:16 0.000552334 -11 pad_gpio_ib_mode_sel *162:23 9.80242e-07 -12 *225:DIODE *162:16 0.000300016 -13 *415:A *162:23 9.75148e-06 -14 *419:A *162:16 0.000136768 -15 *430:A *162:23 1.88563e-05 -16 *430:B *162:23 9.70503e-05 -17 *443:A0 *443:A1 1.12967e-05 -18 *443:S *443:A1 3.20407e-05 -19 *444:A1 *443:A1 0.000117879 -20 *448:SET_B *162:16 0.00141009 -21 *477:A *162:23 7.92757e-06 -22 *483:A *162:16 6.78364e-06 -23 *499:A *162:16 7.28567e-06 -24 *6:21 *162:16 4.73077e-05 -25 *23:9 *162:23 0.000298979 -26 *34:20 *162:23 0.000365146 -27 *76:14 *443:A1 0.000111352 -28 *103:11 *162:23 0.000347849 -29 *112:13 *162:23 3.03953e-05 -30 *116:16 *162:16 5.91586e-05 -31 *152:17 *162:16 1.5714e-05 -32 *152:17 *162:23 3.10304e-06 -33 *159:17 *162:16 0.0010365 -34 *160:27 *162:16 0.000109421 +1 *489:CLK 0.000239888 +2 *490:CLK 2.54347e-05 +3 *495:CLK 0.000755977 +4 *491:CLK 0 +5 *492:CLK 0 +6 *493:CLK 0 +7 *494:CLK 0 +8 *499:CLK 0.000542461 +9 *502:X 3.07124e-05 +10 *150:56 0.000958357 +11 *150:53 0.000477374 +12 *150:46 0.000162755 +13 *150:19 0.000991131 +14 *150:13 0.000650072 +15 *150:8 0.00024629 +16 *150:7 0.000177814 +17 *495:CLK *490:D 9.87871e-05 +18 *495:CLK *490:RESET_B 7.52503e-05 +19 *499:CLK *494:D 0.000121159 +20 *499:CLK *218:8 0.000220343 +21 *499:CLK *218:13 6.11447e-06 +22 *150:13 *465:A 0.000338055 +23 *150:13 *155:17 1.98711e-05 +24 *150:13 *193:13 1.82679e-05 +25 *150:19 *177:38 0 +26 *150:56 *515:A 3.31745e-05 +27 *383:A *150:13 2.20471e-05 +28 *395:A *150:13 7.18805e-05 +29 *427:A *489:CLK 2.86829e-05 +30 *427:A *150:8 0.000148002 +31 *427:A *150:13 0.000151494 +32 *427:A *150:46 0.000203993 +33 *427:A *150:53 0.000163344 +34 *427:A *150:56 6.08467e-05 +35 *478:CLK_N *495:CLK 3.39248e-05 +36 *479:CLK_N *150:7 6.08467e-05 +37 *529:A *150:8 0.000137643 +38 *529:A *150:13 0.000217153 +39 *529:A *150:46 0.000151769 +40 *544:A *150:19 4.7903e-06 +41 *5:14 *489:CLK 2.44579e-05 +42 *5:20 *150:19 0.000162739 +43 *6:24 *489:CLK 0.00036301 +44 *6:24 *490:CLK 9.37392e-06 +45 *6:24 *150:56 0.000112367 +46 *6:30 *150:19 0.000207901 +47 *7:28 *150:19 0.000334167 +48 *8:39 *150:19 1.86487e-05 +49 *38:46 *489:CLK 3.97217e-05 +50 *65:8 *489:CLK 7.60183e-05 +51 *89:30 *499:CLK 0.000232922 +52 *92:8 *489:CLK 0.000504493 +53 *92:8 *150:53 0.000143175 +54 *94:24 *495:CLK 1.17519e-05 +55 *94:24 *150:46 1.80448e-05 +56 *94:24 *150:53 7.1935e-06 +57 *94:24 *150:56 1.55915e-05 +58 *100:16 *150:19 0 +59 *119:22 *150:19 0 *RES -1 *485:X *162:16 37.6555 -2 *162:16 *162:23 35.0147 -3 *162:23 *443:A1 28.7848 -4 *162:23 *444:A0 9.24915 +1 *502:X *150:7 14.4725 +2 *150:7 *150:8 2.6625 +3 *150:8 *150:13 13.0139 +4 *150:13 *150:19 21.1146 +5 *150:19 *499:CLK 29.686 +6 *150:19 *494:CLK 9.24915 +7 *150:13 *493:CLK 9.24915 +8 *150:8 *492:CLK 13.7491 +9 *150:7 *150:46 3.90826 +10 *150:46 *491:CLK 13.7491 +11 *150:46 *150:53 3.07775 +12 *150:53 *150:56 8.55102 +13 *150:56 *495:CLK 22.2462 +14 *150:56 *490:CLK 9.82786 +15 *150:53 *489:CLK 24.3014 *END -*D_NET *163 0.0107249 +*D_NET *151 0.0128857 *CONN -*I *446:A I *D sky130_fd_sc_hd__ebufn_1 -*I *434:A I *D sky130_fd_sc_hd__inv_2 -*I *486:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *468:A I *D sky130_fd_sc_hd__buf_2 +*I *488:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *496:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *500:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *498:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *497:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *503:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *446:A 0.00035225 -2 *434:A 0.000188362 -3 *486:X 0.000135457 -4 *163:42 0.00242913 -5 *163:7 0.0024007 -6 *446:A *442:A0 0.00025527 -7 *446:A *512:A 0.000112652 -8 *163:42 *450:D 0.000150741 -9 *163:42 *468:D 0.000844521 -10 *163:42 *512:A 0.000112361 -11 *163:42 *164:332 6.22114e-05 -12 *163:42 *164:348 7.30219e-05 -13 *163:42 *190:16 8.02366e-06 -14 pad_gpio_holdover *446:A 0.000398179 -15 serial_clock_out *163:42 0.000157217 -16 *401:A *163:42 1.03403e-05 -17 *403:A *163:42 0.000186511 -18 *405:A *163:42 0.00017943 -19 *424:B_N *446:A 0.000157107 -20 *427:A *434:A 4.71853e-05 -21 *427:A *163:42 0.000318389 -22 *438:A *163:42 0.000195794 -23 *442:A1 *446:A 0.000203736 -24 *442:A1 *163:42 1.00901e-05 -25 *1:30 *163:42 2.06254e-05 -26 *48:24 *163:42 0 -27 *112:16 *163:42 0.000243561 -28 *114:19 *163:42 0.000794471 -29 *117:23 *446:A 0.000174246 -30 *117:23 *163:42 8.21214e-05 -31 *152:48 *434:A 0 -32 *156:21 *434:A 0.000186835 -33 *156:21 *163:7 0.000216467 -34 *156:21 *163:42 1.78584e-05 +1 *468:A 0.000137512 +2 *488:CLK 0.000419822 +3 *496:CLK 0.000433141 +4 *500:CLK 0.000330261 +5 *498:CLK 3.47055e-05 +6 *497:CLK 8.86813e-05 +7 *503:X 0 +8 *151:51 0.000714814 +9 *151:40 0.000769949 +10 *151:20 0.000532609 +11 *151:11 0.000754084 +12 *151:5 0.000677087 +13 *468:A *399:A 3.73375e-05 +14 *468:A *399:B 0.000107496 +15 *468:A *184:7 0.000122488 +16 *488:CLK *399:B 0.000134145 +17 *488:CLK *434:B_N 0.000111802 +18 *488:CLK *488:D 6.94804e-05 +19 *488:CLK *158:38 8.57967e-05 +20 *488:CLK *163:88 0.000154631 +21 *488:CLK *163:214 0.000195843 +22 *488:CLK *184:7 0.000346514 +23 *488:CLK *222:10 0.000141122 +24 *496:CLK *496:D 5.481e-05 +25 *496:CLK *496:RESET_B 0.000294849 +26 *496:CLK *153:15 0.00129673 +27 *496:CLK *179:23 1.60442e-05 +28 *496:CLK *179:27 0.000115892 +29 *497:CLK *497:D 2.39794e-05 +30 *497:CLK *188:46 7.78924e-05 +31 *498:CLK *526:A 2.29386e-05 +32 *500:CLK *521:A 0.000304907 +33 *500:CLK *526:A 2.09707e-05 +34 *500:CLK *163:422 7.94358e-05 +35 *500:CLK *165:23 0.000380592 +36 *151:11 *497:D 0.000115307 +37 *151:11 *512:A 0.000304477 +38 *151:11 *163:260 0.000156076 +39 *151:11 *179:23 0.000352533 +40 *151:11 *188:46 3.31745e-05 +41 *151:20 *526:A 2.41003e-05 +42 *151:20 *158:38 0.000255246 +43 *151:20 *165:23 0.000344689 +44 *151:40 *522:A 7.00072e-05 +45 *151:40 *179:23 0.000155183 +46 *151:40 *182:16 0.000130149 +47 *151:51 *182:16 0.000318253 +48 *376:A *151:11 0.000373614 +49 *478:SET_B *151:40 0.000147668 +50 *478:SET_B *151:51 0.000323155 +51 *487:SET_B *151:11 2.58616e-05 +52 *537:A *496:CLK 0.000645326 +53 *81:10 *151:11 1.59525e-05 +54 *81:10 *151:40 6.60481e-06 *RES -1 *486:X *163:7 16.1364 -2 *163:7 *434:A 18.8258 -3 *163:7 *163:42 42.5661 -4 *163:42 *446:A 31.8938 +1 *503:X *151:5 13.7491 +2 *151:5 *151:11 22.0454 +3 *151:11 *497:CLK 11.6364 +4 *151:11 *151:20 11.1074 +5 *151:20 *498:CLK 14.8606 +6 *151:20 *500:CLK 24.8228 +7 *151:5 *151:40 6.39977 +8 *151:40 *496:CLK 33.3291 +9 *151:40 *151:51 10.8998 +10 *151:51 *488:CLK 24.971 +11 *151:51 *468:A 13.3002 *END -*D_NET *164 0.0370776 +*D_NET *152 0.00607702 *CONN -*I *426:B I *D sky130_fd_sc_hd__or2_1 -*I *406:A I *D sky130_fd_sc_hd__or2b_1 -*I *408:A I *D sky130_fd_sc_hd__or2_1 -*I *412:A I *D sky130_fd_sc_hd__or2b_1 -*I *469:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *439:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *468:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *461:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *414:A I *D sky130_fd_sc_hd__or2_1 -*I *462:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *420:A I *D sky130_fd_sc_hd__or2_1 -*I *418:A I *D sky130_fd_sc_hd__or2b_1 -*I *388:A I *D sky130_fd_sc_hd__or2b_1 -*I *463:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *464:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *381:A I *D sky130_fd_sc_hd__or2b_1 -*I *383:A I *D sky130_fd_sc_hd__or2_1 -*I *390:A I *D sky130_fd_sc_hd__or2_1 -*I *471:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *377:A I *D sky130_fd_sc_hd__or2_1 -*I *369:A I *D sky130_fd_sc_hd__or2b_1 -*I *351:A I *D sky130_fd_sc_hd__or2b_1 -*I *353:A I *D sky130_fd_sc_hd__or2_1 -*I *359:A I *D sky130_fd_sc_hd__or2_1 -*I *357:A I *D sky130_fd_sc_hd__or2b_1 -*I *467:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *371:A I *D sky130_fd_sc_hd__or2_1 -*I *472:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *375:A I *D sky130_fd_sc_hd__or2b_1 -*I *402:A I *D sky130_fd_sc_hd__or2_1 -*I *400:A I *D sky130_fd_sc_hd__or2b_1 -*I *363:A I *D sky130_fd_sc_hd__or2b_1 -*I *465:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *396:A I *D sky130_fd_sc_hd__or2_1 -*I *466:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *394:A I *D sky130_fd_sc_hd__or2b_1 -*I *365:A I *D sky130_fd_sc_hd__or2_1 -*I *470:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *424:A I *D sky130_fd_sc_hd__or2b_1 -*I *460:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *487:X O *D sky130_fd_sc_hd__buf_12 +*I *505:TE I *D sky130_fd_sc_hd__einvp_2 +*I *506:gpio_logic1 O *D gpio_logic_high *CAP -1 *426:B 0 -2 *406:A 0 -3 *408:A 7.14219e-05 -4 *412:A 0 -5 *469:RESET_B 4.02629e-05 -6 *439:A 0.000123545 -7 *468:RESET_B 0.000123545 -8 *461:RESET_B 0.000351513 -9 *414:A 0.000159096 -10 *462:RESET_B 8.77122e-05 -11 *420:A 0 -12 *418:A 0 -13 *388:A 0 -14 *463:RESET_B 5.05665e-05 -15 *464:RESET_B 0.000226297 -16 *381:A 0.000104266 -17 *383:A 2.18834e-05 -18 *390:A 2.3034e-05 -19 *471:RESET_B 0.000159641 -20 *377:A 0 -21 *369:A 0 -22 *351:A 0.000108306 -23 *353:A 8.86314e-05 -24 *359:A 0 -25 *357:A 0.000156897 -26 *467:RESET_B 4.17492e-05 -27 *371:A 5.85783e-05 -28 *472:RESET_B 0.000162841 -29 *375:A 0.000123703 -30 *402:A 0 -31 *400:A 0.000466203 -32 *363:A 0 -33 *465:RESET_B 1.8176e-05 -34 *396:A 0 -35 *466:RESET_B 0.000253229 -36 *394:A 0 -37 *365:A 2.51664e-05 -38 *470:RESET_B 0.000389718 -39 *424:A 0.000135939 -40 *460:RESET_B 0.000318227 -41 *487:X 0.000137058 -42 *164:355 0.000625163 -43 *164:348 0.00085378 -44 *164:332 0.000465904 -45 *164:325 0.000869911 -46 *164:321 0.000411583 -47 *164:319 0.000639927 -48 *164:318 0.000267871 -49 *164:282 0.000584005 -50 *164:277 0.000636666 -51 *164:258 0.000237102 -52 *164:250 0.000293628 -53 *164:204 0.000397764 -54 *164:202 0.000237826 -55 *164:201 0.00026168 -56 *164:197 0.000590883 -57 *164:192 0.000962124 -58 *164:176 0.00101117 -59 *164:172 0.000447883 -60 *164:105 0.000362592 -61 *164:100 0.000358436 -62 *164:91 0.000419459 -63 *164:77 0.000352912 -64 *164:72 0.000403821 -65 *164:67 0.000694489 -66 *164:65 0.00026848 -67 *164:56 0.000473697 -68 *164:54 0.000365475 -69 *164:52 0.000369928 -70 *164:50 0.000507966 -71 *164:48 0.000304791 -72 *164:46 0.000482736 -73 *164:44 0.000520405 -74 *164:24 8.60648e-05 -75 *164:15 0.000317109 -76 *365:A *363:B_N 6.27718e-05 -77 *371:A *371:B 9.95922e-06 -78 *381:A *381:B_N 7.78924e-05 -79 *381:A *432:B_N 0.000236207 -80 *381:A *502:A 4.7162e-05 -81 *381:A *505:A 4.7162e-05 -82 *400:A *402:B 0.000803876 -83 *414:A *201:8 1.16748e-05 -84 *424:A *168:18 0.000259302 -85 *424:A *197:14 0.000207597 -86 *439:A *468:D 9.84318e-05 -87 *439:A *186:7 0.000451468 -88 *460:RESET_B *445:A0 0 -89 *460:RESET_B *168:18 4.81507e-05 -90 *461:RESET_B *449:D 0 -91 *461:RESET_B *468:D 5.87761e-05 -92 *461:RESET_B *188:17 0 -93 *462:RESET_B *200:9 2.52414e-06 -94 *463:RESET_B *463:D 0.000150008 -95 *463:RESET_B *202:8 1.90746e-05 -96 *464:RESET_B *178:22 8.21325e-05 -97 *464:RESET_B *203:8 2.99747e-05 -98 *466:RESET_B *466:D 8.49775e-05 -99 *467:RESET_B *206:10 0.000160561 -100 *472:RESET_B *377:B 2.52414e-06 -101 *472:RESET_B *180:11 1.44764e-05 -102 *164:15 *510:A 1.03256e-05 -103 *164:15 *168:18 0.000197853 -104 *164:15 *186:7 5.22859e-05 -105 *164:15 *197:14 0.000153419 -106 *164:44 *512:A 6.31219e-05 -107 *164:46 *420:B 2.55661e-06 -108 *164:46 *190:16 0.000101489 -109 *164:48 *420:B 4.2664e-06 -110 *164:48 *179:8 3.76267e-05 -111 *164:48 *190:16 5.36603e-05 -112 *164:52 *179:8 3.38105e-05 -113 *164:54 *178:22 7.74123e-05 -114 *164:54 *179:8 2.94157e-05 -115 *164:56 *375:B_N 4.78554e-05 -116 *164:56 *178:22 1.58372e-05 -117 *164:65 *375:B_N 3.46922e-05 -118 *164:65 *179:8 7.74044e-06 -119 *164:72 *402:B 3.5534e-06 -120 *164:72 *206:10 0.000136732 -121 *164:72 *206:24 3.4101e-05 -122 *164:77 *363:B_N 0.00016553 -123 *164:77 *206:10 9.35979e-05 -124 *164:91 *195:33 2.74744e-05 -125 *164:100 *465:D 1.03594e-05 -126 *164:100 *195:33 0.000106774 -127 *164:105 *394:B_N 7.26953e-05 -128 *164:172 *377:B 8.4908e-05 -129 *164:172 *435:B 9.32983e-05 -130 *164:172 *180:11 3.99086e-06 -131 *164:172 *196:5 6.27718e-05 -132 *164:176 *371:B 1.14727e-05 -133 *164:176 *377:B 1.5562e-05 -134 *164:176 *435:B 4.4146e-05 -135 *164:197 *171:13 6.49537e-05 -136 *164:197 *172:13 8.36586e-06 -137 *164:250 *199:8 0.000111672 -138 *164:258 *381:B_N 3.82228e-05 -139 *164:258 *432:B_N 1.41976e-05 -140 *164:282 *463:D 0.000168348 -141 *164:332 *200:9 3.14677e-05 -142 *164:348 *355:A 0.000253312 -143 *164:348 *469:D 0 -144 *164:348 *168:18 0.000221569 -145 *164:355 *355:A 0.000549812 -146 *164:355 *168:18 0.000536701 -147 *352:A *164:197 0.000116355 -148 *353:B *353:A 0.000114594 -149 *353:B *164:197 1.95333e-05 -150 *353:B *164:201 3.82572e-05 -151 *353:B *164:202 0.000112361 -152 *353:B *164:204 6.46815e-05 -153 *354:A *164:197 0 -154 *356:A *400:A 1.02611e-05 -155 *357:B_N *357:A 7.09666e-06 -156 *359:B *357:A 1.5714e-05 -157 *360:A *357:A 2.39426e-05 -158 *360:A *164:204 9.4449e-05 -159 *364:A *467:RESET_B 9.11291e-05 -160 *364:A *164:204 4.89392e-05 -161 *378:A *164:192 1.43698e-05 -162 *382:A *164:192 2.16355e-05 -163 *392:A *400:A 8.03393e-06 -164 *397:A *164:105 2.29888e-05 -165 *405:A *164:348 0.000282519 -166 *407:A *164:319 0.000125308 -167 *407:A *164:321 0.000287569 -168 *407:A *164:325 0.000157107 -169 *411:A *461:RESET_B 2.57465e-06 -170 *414:B *414:A 6.56275e-05 -171 *415:A *408:A 4.84017e-05 -172 *426:A *470:RESET_B 0.00015901 -173 *433:A *164:192 0.000400699 -174 *436:A *164:355 4.19797e-05 -175 *447:SET_B *461:RESET_B 0.000157107 -176 *448:RESET_B *164:48 6.1001e-05 -177 *449:SET_B *164:332 6.22259e-05 -178 *449:SET_B *164:348 0.000162703 -179 *451:SET_B *164:332 8.70105e-05 -180 *452:RESET_B *164:72 0 -181 *452:RESET_B *164:91 2.8322e-05 -182 *452:SET_B *164:100 0.000171519 -183 *452:SET_B *164:105 6.27718e-05 -184 *453:SET_B *414:A 1.00766e-05 -185 *453:SET_B *462:RESET_B 2.98409e-05 -186 *455:RESET_B *164:192 7.58194e-05 -187 *455:RESET_B *164:197 4.87439e-05 -188 *457:RESET_B *351:A 0.000159032 -189 *458:SET_B *357:A 0 -190 *468:CLK *439:A 2.72515e-05 -191 *469:CLK *461:RESET_B 1.16182e-05 -192 *469:CLK *164:355 0.000129786 -193 *471:CLK *464:RESET_B 0.00012445 -194 *474:A *375:A 2.49496e-05 -195 *474:A *164:65 0.000110458 -196 *2:26 *464:RESET_B 0.000181814 -197 *2:26 *164:282 0.000137823 -198 *3:20 *381:A 7.92757e-06 -199 *3:20 *164:250 6.03492e-05 -200 *3:20 *164:258 1.30449e-05 -201 *4:8 *400:A 4.91917e-06 -202 *13:18 *164:65 4.62703e-05 -203 *15:15 *400:A 9.63101e-05 -204 *17:14 *164:192 0.000125076 -205 *17:26 *164:192 4.88625e-05 -206 *26:20 *460:RESET_B 2.02035e-05 -207 *26:20 *461:RESET_B 0.000251454 -208 *39:15 *164:15 0.000148524 -209 *48:24 *164:46 0 -210 *54:17 *164:44 1.03594e-05 -211 *54:17 *164:46 1.63832e-05 -212 *63:16 *164:44 0.000159032 -213 *63:16 *164:46 0 -214 *66:16 *164:282 9.23149e-06 -215 *76:14 *164:192 7.98171e-06 -216 *89:9 *164:77 6.46921e-05 -217 *89:9 *164:91 0.000250405 -218 *89:38 *400:A 0 -219 *89:38 *464:RESET_B 1.41976e-05 -220 *93:23 *164:258 0.000312899 -221 *97:23 *164:250 6.96754e-05 -222 *97:23 *164:258 4.6535e-05 -223 *99:7 *466:RESET_B 1.68951e-06 -224 *99:7 *164:105 1.37189e-05 -225 *102:12 *400:A 4.94e-06 -226 *102:12 *164:332 4.89489e-05 -227 *103:11 *164:48 0.000350243 -228 *103:11 *164:50 6.4309e-05 -229 *103:11 *164:52 0.000180696 -230 *103:11 *164:54 0.00034463 -231 *103:11 *164:56 0.000154987 -232 *103:11 *164:65 8.36586e-06 -233 *111:14 *164:48 5.03545e-06 -234 *111:14 *164:50 2.83365e-05 -235 *111:14 *164:52 1.02917e-05 -236 *111:14 *164:277 0.00049385 -237 *111:14 *164:282 0.000247443 -238 *112:13 *164:48 7.28994e-06 -239 *112:16 *164:332 2.76699e-05 -240 *112:16 *164:348 3.45298e-05 -241 *114:19 *424:A 1.99912e-05 -242 *114:19 *470:RESET_B 1.63322e-05 -243 *114:19 *164:44 8.60706e-05 -244 *114:25 *461:RESET_B 1.11499e-05 -245 *117:23 *461:RESET_B 7.70869e-05 -246 *119:20 *460:RESET_B 4.13501e-05 -247 *123:14 *461:RESET_B 3.64497e-06 -248 *123:14 *164:355 2.16741e-05 -249 *129:23 *383:A 2.71226e-06 -250 *129:23 *164:258 0.000110364 -251 *132:21 *400:A 6.00234e-05 -252 *132:21 *164:65 6.08467e-05 -253 *132:21 *164:72 2.75449e-05 -254 *151:5 *375:A 2.41274e-06 -255 *151:5 *164:65 0.000139808 -256 *151:13 *164:72 0 -257 *152:17 *414:A 6.27782e-05 -258 *152:81 *164:44 1.86936e-05 -259 *152:81 *164:46 0.000233837 -260 *152:92 *164:44 7.36257e-05 -261 *153:29 *464:RESET_B 8.54149e-06 -262 *153:29 *164:282 2.9617e-06 -263 *156:21 *461:RESET_B 6.6175e-05 -264 *158:28 *164:91 0.000396254 -265 *158:28 *164:100 0.000175215 -266 *159:17 *414:A 0.000109427 -267 *159:17 *164:332 2.99506e-05 -268 *159:31 *414:A 4.57935e-05 -269 *162:23 *414:A 1.21461e-06 -270 *163:42 *164:332 6.22114e-05 -271 *163:42 *164:348 7.30219e-05 +1 *505:TE 0.00267212 +2 *506:gpio_logic1 0.00267212 +3 *505:TE *486:D 1.5603e-05 +4 *505:TE *528:A 7.32032e-05 +5 *505:TE *159:16 0 +6 *505:TE *164:19 0 +7 *505:TE *170:18 0.000245396 +8 *505:TE *187:13 1.66771e-05 +9 *485:RESET_B *505:TE 0.000126648 +10 *505:A *505:TE 5.34873e-05 +11 *9:46 *505:TE 4.43727e-05 +12 *24:26 *505:TE 0.000157392 *RES -1 *487:X *460:RESET_B 21.7421 -2 *460:RESET_B *164:15 10.5717 -3 *164:15 *424:A 18.9032 -4 *164:15 *164:24 4.5 -5 *164:24 *470:RESET_B 2.30281 -6 *470:RESET_B *164:44 12.7385 -7 *164:44 *164:46 5.56926 -8 *164:46 *164:48 8.06078 -9 *164:48 *164:50 1.41674 -10 *164:50 *164:52 3.90826 -11 *164:52 *164:54 6.81502 -12 *164:54 *164:56 3.07775 -13 *164:56 *164:65 10.9912 -14 *164:65 *164:67 4.5 -15 *164:67 *164:72 6.0578 -16 *164:72 *164:77 9.82841 -17 *164:77 *365:A 9.97254 -18 *164:77 *164:91 15.6011 -19 *164:91 *164:100 17.8314 -20 *164:100 *164:105 3.95456 -21 *164:105 *394:A 9.24915 -22 *164:105 *466:RESET_B 13.9104 -23 *164:100 *396:A 9.24915 -24 *164:91 *465:RESET_B 0.494321 -25 *164:72 *363:A 13.7491 -26 *164:67 *400:A 23.7634 -27 *164:65 *402:A 9.24915 -28 *164:56 *375:A 15.5817 -29 *164:54 *472:RESET_B 5.6936 -30 *472:RESET_B *164:172 3.41202 -31 *164:172 *164:176 7.11339 -32 *164:176 *371:A 10.9612 -33 *164:176 *164:192 24.3323 -34 *164:192 *164:197 12.593 -35 *164:197 *164:201 7.57775 -36 *164:201 *164:202 1.278 -37 *164:202 *164:204 5.71483 -38 *164:204 *467:RESET_B 2.30281 -39 *164:204 *357:A 21.3269 -40 *164:202 *359:A 9.24915 -41 *164:201 *353:A 11.6364 -42 *164:197 *351:A 15.5817 -43 *164:192 *369:A 9.24915 -44 *164:172 *377:A 9.24915 -45 *164:52 *471:RESET_B 4.5 -46 *471:RESET_B *164:250 5.50987 -47 *164:250 *390:A 9.82786 -48 *164:250 *164:258 4.60562 -49 *164:258 *383:A 9.82786 -50 *164:258 *381:A 22.1924 -51 *164:50 *164:277 10.2148 -52 *164:277 *164:282 11.3501 -53 *164:282 *464:RESET_B 11.4532 -54 *164:282 *463:RESET_B 6.24821 -55 *164:277 *388:A 9.24915 -56 *164:48 *418:A 13.7491 -57 *164:46 *420:A 13.7491 -58 *164:44 *164:318 4.5 -59 *164:318 *164:319 4.05102 -60 *164:319 *164:321 5.16022 -61 *164:321 *164:325 6.3326 -62 *164:325 *164:332 10.5578 -63 *164:332 *462:RESET_B 1.74821 -64 *164:332 *414:A 13.3002 -65 *164:325 *164:348 15.3151 -66 *164:348 *164:355 8.12526 -67 *164:355 *461:RESET_B 22.3186 -68 *164:355 *468:RESET_B 4.5 -69 *468:RESET_B *439:A 14.9037 -70 *164:348 *469:RESET_B 9.0779 -71 *164:321 *412:A 9.24915 -72 *164:319 *408:A 19.8294 -73 *164:318 *406:A 9.24915 -74 *164:24 *426:B 9.24915 +1 *506:gpio_logic1 *505:TE 24.3916 *END -*D_NET *165 0.0044474 +*D_NET *153 0.0135864 *CONN -*I *460:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *488:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *456:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *458:A I *D sky130_fd_sc_hd__and2_1 +*I *481:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *460:D 0 -2 *488:X 0.00156048 -3 *165:11 0.00156048 -4 *165:11 *355:A 7.29095e-06 -5 *165:11 *511:A 0.000131384 -6 *165:11 *512:A 0 -7 *165:11 *515:A 0.000520132 -8 *165:11 *168:18 6.08467e-05 -9 *165:11 *185:23 1.75155e-06 -10 serial_data_out *165:11 6.36477e-05 -11 user_gpio_in *165:11 0 -12 *218:DIODE *165:11 7.92757e-06 -13 *440:A *165:11 6.77815e-05 -14 *447:CLK_N *165:11 0.000336916 -15 *460:CLK *165:11 2.77564e-05 -16 *39:15 *165:11 8.43687e-05 -17 *63:16 *165:11 5.04829e-06 -18 *123:9 *165:11 1.15904e-05 +1 *456:B_N 0.000146568 +2 *458:A 0.000497935 +3 *481:Q 0.0011401 +4 *153:52 0.000210591 +5 *153:51 0.000746648 +6 *153:39 0.00153571 +7 *153:15 0.00249111 +8 *458:A *442:A 3.73375e-05 +9 *458:A *442:B 0.000120481 +10 *458:A *154:8 0.000458768 +11 *153:15 *430:A 6.65668e-05 +12 *153:15 *477:D 0.000301898 +13 *153:15 *159:37 0.000161234 +14 *153:39 *473:S 0.000163179 +15 *153:39 *477:D 0.000298399 +16 *153:39 *154:8 0.000599782 +17 *153:39 *160:28 0.000127673 +18 *153:39 *186:8 2.91201e-05 +19 *153:51 *552:A 8.32204e-06 +20 *153:51 *160:19 0.000139784 +21 *153:51 *169:20 3.6948e-05 +22 *153:51 *174:11 9.49746e-05 +23 *153:51 *186:8 1.91246e-05 +24 mgmt_gpio_in *153:51 1.5714e-05 +25 *457:A *456:B_N 2.16355e-05 +26 *459:A *153:51 0.000103505 +27 *472:A1 *153:51 9.58333e-05 +28 *473:A1 *153:39 0.000430846 +29 *478:RESET_B *153:39 0.000204122 +30 *478:SET_B *458:A 0.000416417 +31 *496:CLK *153:15 0.00129673 +32 *537:A *153:15 1.58551e-05 +33 *537:A *153:39 5.33711e-05 +34 *22:7 *153:39 6.00782e-06 +35 *38:26 *153:15 1.88152e-05 +36 *39:29 *456:B_N 0.000148094 +37 *39:29 *153:51 0.000154083 +38 *69:14 *153:15 6.65668e-05 +39 *81:10 *458:A 0.000416417 +40 *109:10 *458:A 2.16608e-05 +41 *132:14 *153:39 0.000612821 +42 *136:11 *153:39 5.56627e-05 *RES -1 *488:X *165:11 46.6188 -2 *165:11 *460:D 9.24915 +1 *481:Q *153:15 43.4897 +2 *153:15 *458:A 34.152 +3 *153:15 *153:39 43.5027 +4 *153:39 *153:51 33.0597 +5 *153:51 *153:52 81.1229 +6 *153:52 *456:B_N 21.9947 *END -*D_NET *166 0.00619359 +*D_NET *154 0.004213 *CONN -*I *355:A I *D sky130_fd_sc_hd__inv_2 -*I *441:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *489:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *470:S I *D sky130_fd_sc_hd__mux2_1 +*I *473:S I *D sky130_fd_sc_hd__mux2_1 +*I *475:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *355:A 0.0013272 -2 *441:A 0 -3 *489:X 0.000200765 -4 *166:11 0.00152796 -5 *355:A *168:18 0.00105761 -6 *355:A *169:11 0.000100244 -7 *355:A *185:23 0 -8 *355:A *193:16 2.57847e-05 -9 *166:11 *193:16 3.12044e-05 -10 user_gpio_in *355:A 3.73224e-05 -11 *427:A *355:A 4.86974e-06 -12 *427:A *166:11 0.000122858 -13 *438:A *355:A 1.88014e-05 -14 *438:A *166:11 0.000267404 -15 *497:A *166:11 0.000256466 -16 *36:10 *355:A 0.000238877 -17 *38:14 *355:A 0 -18 *123:14 *355:A 4.29623e-05 -19 *152:59 *355:A 0.000122841 -20 *164:348 *355:A 0.000253312 -21 *164:355 *355:A 0.000549812 -22 *165:11 *355:A 7.29095e-06 +1 *470:S 0 +2 *473:S 0.000106175 +3 *475:Q 0.000555317 +4 *154:8 0.000661492 +5 *473:S *186:8 0.000163214 +6 *154:8 *458:B 5.04829e-06 +7 *154:8 *186:8 0.00103714 +8 *458:A *154:8 0.000458768 +9 *477:SET_B *154:8 0.000290249 +10 *478:RESET_B *154:8 6.51384e-05 +11 *117:12 *154:8 0.000107496 +12 *153:39 *473:S 0.000163179 +13 *153:39 *154:8 0.000599782 *RES -1 *489:X *166:11 25.6807 -2 *166:11 *441:A 9.24915 -3 *166:11 *355:A 37.7123 +1 *475:Q *154:8 38.0426 +2 *154:8 *473:S 17.5503 +3 *154:8 *470:S 13.7491 *END -*D_NET *167 0.00827088 +*D_NET *155 0.00950989 *CONN -*I *381:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *383:B I *D sky130_fd_sc_hd__or2_1 -*I *490:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *452:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *454:A I *D sky130_fd_sc_hd__or2_1 +*I *529:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *381:B_N 0.000110513 -2 *383:B 0 -3 *490:X 0.00155783 -4 *167:20 0.00166834 -5 *381:B_N *432:B_N 3.13805e-06 -6 *167:20 *180:11 0.000181256 -7 *167:20 *189:18 0.000198476 -8 *167:20 *191:13 0.000114584 -9 *167:20 *195:33 6.23715e-06 -10 *381:A *381:B_N 7.78924e-05 -11 *455:SET_B *167:20 0.000179873 -12 *3:20 *381:B_N 0.000297054 -13 *12:20 *167:20 0.000225627 -14 *15:15 *167:20 4.81572e-05 -15 *16:15 *167:20 0.00189378 -16 *137:18 *167:20 0.0016699 -17 *164:258 *381:B_N 3.82228e-05 +1 *452:B_N 0.000127574 +2 *454:A 2.03478e-05 +3 *529:X 0.00197767 +4 *155:24 0.000723114 +5 *155:17 0.00255286 +6 *452:B_N *566:A 3.82228e-05 +7 *452:B_N *163:187 0.000153389 +8 *452:B_N *185:16 3.60047e-05 +9 *454:A *163:91 0.000113713 +10 *155:17 *467:A 5.31465e-05 +11 *155:17 *499:D 6.96413e-05 +12 *155:17 *163:358 0.000288745 +13 *155:17 *183:21 0.000112367 +14 *155:17 *189:10 0.000659099 +15 *155:24 *377:B 0.000375526 +16 *155:24 *487:D 1.41976e-05 +17 *155:24 *488:D 0.000119756 +18 *155:24 *495:RESET_B 2.55469e-05 +19 *155:24 *496:D 0.000147729 +20 *155:24 *508:A 4.04393e-05 +21 *155:24 *159:37 1.5714e-05 +22 *155:24 *163:52 1.50924e-05 +23 *155:24 *163:88 0.000108707 +24 *155:24 *163:159 4.78246e-05 +25 *155:24 *163:187 1.9511e-05 +26 *155:24 *222:10 2.3339e-05 +27 *395:A *155:17 1.66771e-05 +28 *421:A *155:17 1.35445e-05 +29 *423:A *155:17 2.30558e-05 +30 *475:RESET_B *454:A 4.88095e-05 +31 *529:A *155:17 3.51962e-05 +32 *540:A *155:17 3.95701e-05 +33 *2:15 *155:17 0.000560373 +34 *2:15 *155:24 0 +35 *3:13 *155:24 2.71481e-05 +36 *6:30 *155:17 4.80075e-05 +37 *8:39 *155:17 0.000496675 +38 *9:46 *155:17 0.000194192 +39 *13:17 *155:17 0 +40 *62:16 *452:B_N 0.000107496 +41 *150:13 *155:17 1.98711e-05 *RES -1 *490:X *167:20 38.9662 -2 *167:20 *383:B 9.24915 -3 *167:20 *381:B_N 13.3243 +1 *529:X *155:17 47.6782 +2 *155:17 *155:24 21.3402 +3 *155:24 *454:A 15.0271 +4 *155:24 *452:B_N 18.1049 *END -*D_NET *168 0.00901555 +*D_NET *156 0.00263227 *CONN -*I *442:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *491:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *385:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *387:B I *D sky130_fd_sc_hd__or2_1 +*I *530:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *442:A0 8.07266e-05 -2 *491:X 0.00217644 -3 *168:18 0.00225717 -4 *168:18 *508:A 0.000164868 -5 *168:18 *185:23 4.19892e-05 -6 pad_gpio_holdover *442:A0 0.000112532 -7 serial_clock_out *168:18 3.97063e-06 -8 *218:DIODE *168:18 4.2372e-05 -9 *219:DIODE *168:18 2.16355e-05 -10 *355:A *168:18 0.00105761 -11 *424:A *168:18 0.000259302 -12 *424:B_N *168:18 1.60913e-05 -13 *427:A *168:18 0.000200261 -14 *442:A1 *442:A0 0.000508476 -15 *446:A *442:A0 0.00025527 -16 *460:RESET_B *168:18 4.81507e-05 -17 *487:A *168:18 5.49582e-05 -18 *491:A *168:18 2.57986e-05 -19 *26:20 *168:18 0.000602432 -20 *36:10 *168:18 5.30254e-05 -21 *114:19 *168:18 1.29211e-05 -22 *123:14 *168:18 2.57465e-06 -23 *164:15 *168:18 0.000197853 -24 *164:348 *168:18 0.000221569 -25 *164:355 *168:18 0.000536701 -26 *165:11 *168:18 6.08467e-05 +1 *385:B_N 0 +2 *387:B 0.000282318 +3 *530:X 0.000516706 +4 *156:11 0.000799024 +5 *387:B *393:B 2.7562e-05 +6 *387:B *486:D 0.000311261 +7 *387:B *513:A 0.000114594 +8 *387:B *202:20 0.000181309 +9 *156:11 *393:B 2.16355e-05 +10 *156:11 *485:D 2.61955e-05 +11 *156:11 *218:8 0.00011815 +12 *392:A *387:B 0.000107496 +13 *392:A *156:11 1.65872e-05 +14 *89:30 *156:11 0.000109427 *RES -1 *491:X *168:18 49.0425 -2 *168:18 *442:A0 14.964 +1 *530:X *156:11 26.9346 +2 *156:11 *387:B 18.3157 +3 *156:11 *385:B_N 9.24915 *END -*D_NET *169 0.0103821 -*CONN -*I *445:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *492:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *445:A0 0.000523704 -2 *492:X 4.70787e-05 -3 *169:11 0.00299496 -4 *169:8 0.00251833 -5 *445:A0 *508:A 0 -6 *445:A0 *509:A 5.52748e-05 -7 *445:A0 *510:A 0.000202009 -8 resetn_out *445:A0 0 -9 *355:A *169:11 0.000100244 -10 *460:RESET_B *445:A0 0 -11 *487:A *445:A0 3.46639e-05 -12 *492:A *169:8 0 -13 *42:8 *169:11 0.00377026 -14 *42:11 *169:8 0 -15 *112:20 *169:8 7.86847e-05 -16 *112:20 *169:11 5.44727e-05 -17 *115:12 *445:A0 2.41274e-06 -18 *119:20 *445:A0 0 -*RES -1 *492:X *169:8 19.6659 -2 *169:8 *169:11 48.4825 -3 *169:11 *445:A0 26.5521 -*END - -*D_NET *171 0.00424984 -*CONN -*I *500:A I *D sky130_fd_sc_hd__buf_2 -*I *457:Q O *D sky130_fd_sc_hd__dfbbn_1 -*CAP -1 *500:A 0 -2 *457:Q 0.000807968 -3 *171:13 0.000807968 -4 *171:13 *502:A 0.000624033 -5 *171:13 *172:13 0.000154118 -6 *215:DIODE *171:13 2.58757e-05 -7 *353:B *171:13 7.20108e-05 -8 *456:RESET_B *171:13 0.000156946 -9 *456:SET_B *171:13 0.000176652 -10 *457:RESET_B *171:13 0.000752853 -11 *458:RESET_B *171:13 1.29211e-05 -12 *459:SET_B *171:13 5.26405e-05 -13 *17:26 *171:13 0.000151928 -14 *128:15 *171:13 0.000225116 -15 *158:28 *171:13 0 -16 *158:31 *171:13 0.00016386 -17 *164:197 *171:13 6.49537e-05 -*RES -1 *457:Q *171:13 48.8418 -2 *171:13 *500:A 9.24915 -*END - -*D_NET *172 0.00379928 -*CONN -*I *501:A I *D sky130_fd_sc_hd__buf_2 -*I *459:Q O *D sky130_fd_sc_hd__dfbbn_1 -*CAP -1 *501:A 0 -2 *459:Q 0.00101402 -3 *172:13 0.00101402 -4 *172:13 *369:B_N 2.88515e-05 -5 *172:13 *435:B 0.000112902 -6 *172:13 *502:A 3.30323e-05 -7 *172:13 *503:A 0.00031834 -8 *172:13 *505:A 0.000311391 -9 *172:13 *189:18 0.000135871 -10 *370:A *172:13 0.000319029 -11 *443:A0 *172:13 3.16131e-05 -12 *443:S *172:13 0 -13 *454:RESET_B *172:13 4.23622e-05 -14 *455:RESET_B *172:13 9.87056e-05 -15 *456:SET_B *172:13 0.000176652 -16 *164:197 *172:13 8.36586e-06 -17 *171:13 *172:13 0.000154118 -*RES -1 *459:Q *172:13 47.5848 -2 *172:13 *501:A 9.24915 -*END - -*D_NET *173 0.00297469 -*CONN -*I *502:A I *D sky130_fd_sc_hd__buf_2 -*I *458:Q O *D sky130_fd_sc_hd__dfbbn_1 -*CAP -1 *502:A 0.000590676 -2 *458:Q 0.000590676 -3 *502:A *505:A 7.09617e-05 -4 *376:A *502:A 0.000635473 -5 *378:A *502:A 5.61483e-05 -6 *381:A *502:A 4.7162e-05 -7 *459:RESET_B *502:A 0.000160942 -8 *459:SET_B *502:A 0.000152666 -9 *129:23 *502:A 1.29211e-05 -10 *171:13 *502:A 0.000624033 -11 *172:13 *502:A 3.30323e-05 -*RES -1 *458:Q *502:A 48.1882 -*END - -*D_NET *174 0.00127795 -*CONN -*I *503:A I *D sky130_fd_sc_hd__buf_2 -*I *454:Q O *D sky130_fd_sc_hd__dfbbn_1 -*CAP -1 *503:A 0.000361803 -2 *454:Q 0.000361803 -3 *503:A *505:A 0.000106356 -4 *22:13 *503:A 2.16355e-05 -5 *34:20 *503:A 6.08467e-05 -6 *162:23 *503:A 4.7162e-05 -7 *172:13 *503:A 0.00031834 -*RES -1 *454:Q *503:A 35.4237 -*END - -*D_NET *175 0.00343291 -*CONN -*I *504:A I *D sky130_fd_sc_hd__buf_2 -*I *432:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *455:Q O *D sky130_fd_sc_hd__dfbbn_1 -*CAP -1 *504:A 0.000430225 -2 *432:B_N 0.000209098 -3 *455:Q 9.4548e-05 -4 *175:8 0.00073387 -5 *432:B_N *505:A 7.6317e-05 -6 *504:A *506:A 4.36818e-05 -7 *504:A *180:11 0.000432282 -8 *504:A *180:14 0.000126753 -9 *175:8 *180:11 9.08188e-05 -10 *376:A *504:A 1.99786e-05 -11 *381:A *432:B_N 0.000236207 -12 *381:B_N *432:B_N 3.13805e-06 -13 *382:A *432:B_N 2.99287e-05 -14 *430:A *504:A 2.77419e-05 -15 *446:TE_B *504:A 2.68379e-06 -16 *3:20 *432:B_N 7.84564e-05 -17 *22:13 *504:A 0.000203756 -18 *34:20 *504:A 0.000121574 -19 *76:14 *504:A 0.000111285 -20 *93:23 *432:B_N 0.000109427 -21 *119:16 *175:8 6.27718e-05 -22 *129:23 *504:A 5.49916e-05 -23 *129:23 *175:8 8.74753e-05 -24 *154:8 *504:A 3.17021e-05 -25 *164:258 *432:B_N 1.41976e-05 -*RES -1 *455:Q *175:8 16.3045 -2 *175:8 *432:B_N 20.6214 -3 *175:8 *504:A 28.7918 -*END - -*D_NET *176 0.00491753 -*CONN -*I *432:A I *D sky130_fd_sc_hd__or2b_1 -*I *505:A I *D sky130_fd_sc_hd__buf_2 -*I *456:Q O *D sky130_fd_sc_hd__dfbbn_1 -*CAP -1 *432:A 0 -2 *505:A 0.000699188 -3 *456:Q 0.000619519 -4 *176:19 0.00131871 -5 *176:19 *369:B_N 3.18937e-05 -6 *176:19 *189:18 2.89471e-05 -7 pad_gpio_ib_mode_sel *505:A 0.000102403 -8 *370:A *176:19 0 -9 *372:A *176:19 0.000108268 -10 *381:A *505:A 4.7162e-05 -11 *382:A *505:A 0.000117376 -12 *382:A *176:19 0.000114584 -13 *430:A *505:A 0.000206718 -14 *430:B *505:A 0.000167477 -15 *432:B_N *505:A 7.6317e-05 -16 *443:S *176:19 4.29411e-05 -17 *444:A1 *505:A 0 -18 *446:TE_B *505:A 0.000563833 -19 *456:RESET_B *176:19 0.000145542 -20 *502:A *505:A 7.09617e-05 -21 *503:A *505:A 0.000106356 -22 *23:9 *505:A 1.65872e-05 -23 *76:14 *505:A 5.07618e-06 -24 *162:23 *505:A 1.62799e-05 -25 *172:13 *505:A 0.000311391 -*RES -1 *456:Q *176:19 31.7827 -2 *176:19 *505:A 40.8578 -3 *176:19 *432:A 9.24915 -*END - -*D_NET *177 0.00142759 -*CONN -*I *506:A I *D sky130_fd_sc_hd__buf_2 -*I *448:Q O *D sky130_fd_sc_hd__dfbbn_1 -*CAP -1 *506:A 0.000392382 -2 *448:Q 0.000392382 -3 *506:A *508:A 4.08919e-05 -4 *424:B_N *506:A 1.03594e-05 -5 *430:A *506:A 0.00011815 -6 *504:A *506:A 4.36818e-05 -7 *22:13 *506:A 0.000109427 -8 *34:20 *506:A 2.55108e-05 -9 *119:20 *506:A 0.00029481 -*RES -1 *448:Q *506:A 37.1186 -*END - -*D_NET *178 0.0066482 +*D_NET *157 0.00844943 *CONN *I *377:B I *D sky130_fd_sc_hd__or2_1 *I *375:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *493:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *531:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *377:B 0.000111086 -2 *375:B_N 8.83642e-05 -3 *493:X 3.64812e-05 -4 *178:22 0.00198234 -5 *178:16 0.00185361 -6 *178:15 0.000273354 -7 *178:10 0.000416733 -8 *178:9 0.000250581 -9 *375:B_N *179:8 0.000188637 -10 *377:B *180:11 0.00025549 -11 *178:22 *179:8 0.000237985 -12 *178:22 *203:8 2.42863e-05 -13 *361:A *178:22 1.41307e-05 -14 *455:CLK_N *178:22 1.41307e-05 -15 *464:RESET_B *178:22 8.21325e-05 -16 *472:RESET_B *377:B 2.52414e-06 -17 *480:A *178:22 8.28297e-07 -18 *494:A *178:9 7.08444e-05 -19 *2:26 *178:22 2.30231e-05 -20 *7:18 *178:10 0 -21 *7:18 *178:16 1.55703e-05 -22 *12:20 *178:15 0 -23 *16:15 *178:9 7.08444e-05 -24 *89:38 *178:22 3.17103e-05 -25 *157:10 *178:10 0 -26 *157:15 *178:15 2.98155e-05 -27 *157:16 *178:16 4.29906e-05 -28 *157:28 *178:15 8.9917e-06 -29 *158:14 *178:15 0.000245459 -30 *164:54 *178:22 7.74123e-05 -31 *164:56 *375:B_N 4.78554e-05 -32 *164:56 *178:22 1.58372e-05 -33 *164:65 *375:B_N 3.46922e-05 -34 *164:172 *377:B 8.4908e-05 -35 *164:176 *377:B 1.5562e-05 +1 *377:B 0.000570255 +2 *375:B_N 0 +3 *531:X 0.00145201 +4 *157:22 0.000751708 +5 *157:19 0.00163346 +6 *377:B *377:A 6.08467e-05 +7 *377:B *487:D 0.000114495 +8 *377:B *488:D 8.80764e-05 +9 *377:B *489:D 0.00025175 +10 *377:B *556:A 0.000132607 +11 *377:B *159:24 0.000799353 +12 *377:B *163:160 6.08467e-05 +13 *157:19 *499:RESET_B 7.55603e-05 +14 *157:19 *163:289 7.92757e-06 +15 *157:19 *163:315 0.000283877 +16 *157:19 *163:322 1.37275e-05 +17 *157:19 *199:14 5.18062e-06 +18 *157:22 *488:D 6.5137e-05 +19 *157:22 *159:24 0.000279126 +20 pad_gpio_outenb *377:B 3.37938e-05 +21 pad_gpio_outenb *157:22 0.000120872 +22 *390:A *157:19 0.000162669 +23 *423:A *157:19 2.16355e-05 +24 *485:CLK_N *157:19 0.000116355 +25 *530:A *157:19 4.98339e-05 +26 *532:A *157:19 0.00016419 +27 *3:13 *377:B 3.45633e-05 +28 *11:18 *157:19 0.000577899 +29 *38:26 *377:B 5.61389e-05 +30 *133:14 *157:19 9.00085e-05 +31 *155:24 *377:B 0.000375526 *RES -1 *493:X *178:9 28.915 -2 *178:9 *178:10 312.903 -3 *178:10 *178:15 23.1039 -4 *178:15 *178:16 127.479 -5 *178:16 *178:22 40.8408 -6 *178:22 *375:B_N 17.2421 -7 *178:22 *377:B 21.1519 +1 *531:X *157:19 49.2153 +2 *157:19 *157:22 10.0693 +3 *157:22 *375:B_N 13.7491 +4 *157:22 *377:B 35.2063 *END -*D_NET *179 0.00428678 +*D_NET *158 0.011586 *CONN -*I *507:A I *D sky130_fd_sc_hd__buf_2 -*I *452:Q O *D sky130_fd_sc_hd__dfbbn_1 +*I *440:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *442:B I *D sky130_fd_sc_hd__or2_1 +*I *532:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *507:A 0 -2 *452:Q 0.00147937 -3 *179:8 0.00147937 -4 *179:8 *390:B 5.21758e-06 -5 *367:A *179:8 0 -6 *375:B_N *179:8 0.000188637 -7 *448:RESET_B *179:8 0.000202298 -8 *459:RESET_B *179:8 0 -9 *474:A *179:8 0 -10 *477:TE *179:8 4.69116e-05 -11 *13:18 *179:8 0 -12 *34:20 *179:8 0.000317886 -13 *53:28 *179:8 1.03594e-05 -14 *92:35 *179:8 6.64392e-05 -15 *97:23 *179:8 4.60046e-05 -16 *111:14 *179:8 9.76996e-05 -17 *164:48 *179:8 3.76267e-05 -18 *164:52 *179:8 3.38105e-05 -19 *164:54 *179:8 2.94157e-05 -20 *164:65 *179:8 7.74044e-06 -21 *178:22 *179:8 0.000237985 +1 *440:B_N 0.000198275 +2 *442:B 0.00020664 +3 *532:X 0.00147321 +4 *158:38 0.00157534 +5 *158:21 0.00264363 +6 *440:B_N *182:16 7.76772e-05 +7 *440:B_N *187:22 9.43512e-05 +8 *442:B *442:A 0.000205101 +9 *158:21 *488:D 0.000263521 +10 *158:21 *499:D 0.000647671 +11 *158:21 *159:16 7.68726e-06 +12 *158:21 *164:19 0.000205101 +13 *158:21 *202:20 0.000401724 +14 *158:38 *375:A 0.000144049 +15 *158:38 *526:A 8.05521e-05 +16 *158:38 *163:22 5.1623e-05 +17 *158:38 *163:70 0 +18 *158:38 *163:214 6.27782e-05 +19 *158:38 *165:23 0.000128763 +20 *158:38 *178:48 3.31596e-05 +21 *158:38 *187:22 0 +22 *158:38 *188:46 0.000103207 +23 pad_gpio_ib_mode_sel *158:38 0.000100119 +24 pad_gpio_outenb *158:21 0 +25 resetn_out *442:B 0.000360858 +26 *378:A *158:38 0.000111042 +27 *386:A *158:21 1.4106e-05 +28 *388:A *158:21 2.53145e-06 +29 *389:A *158:21 0.000157107 +30 *390:A *158:21 1.27624e-05 +31 *394:A *158:21 0.000504565 +32 *458:A *442:B 0.000120481 +33 *475:RESET_B *442:B 6.46569e-05 +34 *483:CLK_N *158:21 0.000547537 +35 *486:RESET_B *158:21 5.04829e-06 +36 *486:SET_B *158:21 1.53845e-05 +37 *487:RESET_B *158:38 0.000148136 +38 *488:CLK *158:38 8.57967e-05 +39 *501:A *158:38 7.67386e-05 +40 *532:A *158:21 2.29454e-05 +41 *9:46 *158:21 1.65872e-05 +42 *11:18 *158:21 6.64392e-05 +43 *38:12 *158:38 0.000221945 +44 *39:17 *158:21 6.80097e-05 +45 *62:16 *158:38 3.87022e-06 +46 *151:20 *158:38 0.000255246 *RES -1 *452:Q *179:8 48.0115 -2 *179:8 *507:A 13.7491 +1 *532:X *158:21 49.237 +2 *158:21 *158:38 44.6592 +3 *158:38 *442:B 21.7306 +4 *158:38 *440:B_N 18.952 *END -*D_NET *180 0.00803405 +*D_NET *159 0.0124917 *CONN -*I *508:A I *D sky130_fd_sc_hd__buf_2 +*I *434:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *436:B I *D sky130_fd_sc_hd__or2_1 +*I *533:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *434:B_N 0.00062285 +2 *436:B 1.1898e-05 +3 *533:X 0.00131553 +4 *159:38 6.26276e-05 +5 *159:37 0.000509643 +6 *159:24 0.00166293 +7 *159:16 0.0018967 +8 *434:B_N *399:B 0.000318509 +9 *434:B_N *475:D 0.000158002 +10 *434:B_N *477:D 6.64392e-05 +11 *434:B_N *184:8 6.46193e-05 +12 *436:B *489:D 2.73375e-05 +13 *159:16 *409:A 0.000292507 +14 *159:16 *409:B_N 0.000117789 +15 *159:16 *483:D 5.01704e-06 +16 *159:16 *486:D 1.04264e-05 +17 *159:16 *488:D 0.000187324 +18 *159:16 *163:398 0.000202445 +19 *159:16 *164:19 8.03393e-06 +20 *159:16 *166:8 2.02035e-05 +21 *159:16 *167:19 7.63143e-05 +22 *159:16 *169:20 4.83393e-05 +23 *159:16 *177:17 6.08467e-05 +24 *159:16 *188:25 6.40334e-05 +25 *159:16 *210:8 3.553e-05 +26 *159:24 *375:A 0.000111838 +27 *159:24 *483:D 0.000100147 +28 *159:24 *488:D 7.39583e-06 +29 *159:37 *488:D 1.85244e-05 +30 *159:37 *189:10 0.000107496 +31 *377:B *159:24 0.000799353 +32 *388:A *159:16 1.23348e-05 +33 *477:CLK_N *434:B_N 6.54061e-05 +34 *483:CLK_N *159:16 0.000691358 +35 *485:RESET_B *159:16 3.83248e-06 +36 *486:SET_B *159:16 0.000203178 +37 *488:CLK *434:B_N 0.000111802 +38 *505:TE *159:16 0 +39 *3:13 *434:B_N 0.000100762 +40 *3:13 *159:24 0.000680613 +41 *4:7 *434:B_N 9.75033e-05 +42 *4:17 *159:16 0.000116599 +43 *38:26 *434:B_N 0.000213364 +44 *38:26 *436:B 6.39797e-05 +45 *38:26 *159:37 0.000260938 +46 *42:33 *159:16 0.000407664 +47 *119:16 *159:16 8.00049e-06 +48 *153:15 *159:37 0.000161234 +49 *155:24 *159:37 1.5714e-05 +50 *157:22 *159:24 0.000279126 +51 *158:21 *159:16 7.68726e-06 +*RES +1 *533:X *159:16 41.8888 +2 *159:16 *159:24 26.0367 +3 *159:24 *159:37 23.6836 +4 *159:37 *159:38 57.9449 +5 *159:38 *436:B 19.2217 +6 *159:24 *434:B_N 42.1297 +*END + +*D_NET *160 0.00878663 +*CONN +*I *458:B I *D sky130_fd_sc_hd__and2_1 +*I *472:S I *D sky130_fd_sc_hd__mux2_1 +*I *534:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *458:B 0.000126379 +2 *472:S 0 +3 *534:X 0.00089995 +4 *160:28 0.00138806 +5 *160:19 0.00216163 +6 *160:19 *472:A0 0.000384784 +7 *160:19 *161:11 0.000246043 +8 *160:19 *174:11 5.11441e-05 +9 *160:19 *186:8 7.30068e-06 +10 pad_gpio_inenb *160:28 0 +11 *398:A *160:28 0.00014815 +12 *472:A1 *160:19 5.89743e-05 +13 *477:RESET_B *160:28 0.000378209 +14 *478:RESET_B *160:28 0.000146761 +15 *482:RESET_B *160:19 0.000491022 +16 *537:A *160:28 0.000228956 +17 *17:21 *160:19 1.16107e-05 +18 *21:8 *160:19 1.34424e-05 +19 *21:8 *160:28 0.000156076 +20 *23:16 *160:19 0.000133334 +21 *24:26 *160:28 0.000322047 +22 *26:21 *160:19 0.000214105 +23 *42:33 *160:19 1.60256e-05 +24 *50:25 *160:19 8.33721e-06 +25 *79:15 *160:19 0.00025175 +26 *79:15 *160:28 2.37827e-05 +27 *117:12 *458:B 1.58551e-05 +28 *132:14 *160:28 0.000630391 +29 *153:39 *160:28 0.000127673 +30 *153:51 *160:19 0.000139784 +31 *154:8 *458:B 5.04829e-06 +*RES +1 *534:X *160:19 48.044 +2 *160:19 *472:S 9.24915 +3 *160:19 *160:28 46.7831 +4 *160:28 *458:B 16.1364 +*END + +*D_NET *161 0.00384842 +*CONN +*I *471:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *472:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *535:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *471:A1 2.98524e-05 +2 *472:A0 0.00023627 +3 *535:X 0.000529334 +4 *161:11 0.000795457 +5 *472:A0 *174:11 0.000315947 +6 *239:DIODE *161:11 4.89392e-05 +7 *459:A *161:11 0.000337556 +8 *472:A1 *472:A0 6.08467e-05 +9 *472:A1 *161:11 6.52347e-05 +10 *482:RESET_B *161:11 2.9463e-05 +11 *16:16 *161:11 7.86594e-05 +12 *17:21 *161:11 2.3301e-05 +13 *36:29 *471:A1 9.78196e-05 +14 *36:29 *161:11 0.000203604 +15 *41:17 *471:A1 0.000115942 +16 *41:17 *161:11 0.000186597 +17 *48:12 *161:11 6.27718e-05 +18 *160:19 *472:A0 0.000384784 +19 *160:19 *161:11 0.000246043 +*RES +1 *535:X *161:11 32.7481 +2 *161:11 *472:A0 25.7876 +3 *161:11 *471:A1 10.913 +*END + +*D_NET *162 0.00478748 +*CONN +*I *474:A I *D sky130_fd_sc_hd__ebufn_1 +*I *462:A I *D sky130_fd_sc_hd__inv_2 +*I *536:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *474:A 0.00101832 +2 *462:A 0 +3 *536:X 0.00032317 +4 *162:8 0.00134149 +5 *474:A *411:A 0.000298836 +6 *474:A *460:B_N 4.66492e-05 +7 *474:A *484:D 9.76854e-05 +8 *474:A *165:14 5.84869e-05 +9 *474:A *170:18 5.46352e-05 +10 *474:A *173:7 8.76607e-05 +11 *162:8 *563:A 5.23657e-05 +12 *162:8 *165:14 0.00014815 +13 *236:DIODE *162:8 0.000114594 +14 *482:SET_B *474:A 9.48068e-05 +15 *483:RESET_B *474:A 0.00089341 +16 *505:A *474:A 4.26212e-05 +17 *22:7 *474:A 0.000114594 +*RES +1 *536:X *162:8 20.4627 +2 *162:8 *462:A 13.7491 +3 *162:8 *474:A 44.2773 +*END + +*D_NET *163 0.0430764 +*CONN +*I *403:A I *D sky130_fd_sc_hd__or2b_1 +*I *497:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *397:A I *D sky130_fd_sc_hd__or2b_1 +*I *393:A I *D sky130_fd_sc_hd__or2_1 +*I *411:A I *D sky130_fd_sc_hd__or2_1 +*I *500:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *387:A I *D sky130_fd_sc_hd__or2_1 +*I *409:A I *D sky130_fd_sc_hd__or2b_1 +*I *385:A I *D sky130_fd_sc_hd__or2b_1 +*I *391:A I *D sky130_fd_sc_hd__or2b_1 +*I *493:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *491:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *492:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *422:A I *D sky130_fd_sc_hd__or2b_1 +*I *405:A I *D sky130_fd_sc_hd__or2_1 +*I *424:A I *D sky130_fd_sc_hd__or2_1 +*I *467:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *494:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *499:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *498:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *442:A I *D sky130_fd_sc_hd__or2_1 +*I *399:A I *D sky130_fd_sc_hd__or2_1 +*I *434:A I *D sky130_fd_sc_hd__or2b_1 +*I *440:A I *D sky130_fd_sc_hd__or2b_1 +*I *452:A I *D sky130_fd_sc_hd__or2b_1 +*I *377:A I *D sky130_fd_sc_hd__or2_1 +*I *436:A I *D sky130_fd_sc_hd__or2_1 +*I *430:A I *D sky130_fd_sc_hd__or2_1 +*I *454:B I *D sky130_fd_sc_hd__or2_1 +*I *448:A I *D sky130_fd_sc_hd__or2_1 +*I *418:A I *D sky130_fd_sc_hd__or2_1 +*I *446:A I *D sky130_fd_sc_hd__or2b_1 +*I *416:A I *D sky130_fd_sc_hd__or2b_1 +*I *489:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *488:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 *I *428:A I *D sky130_fd_sc_hd__or2b_1 -*I *451:Q O *D sky130_fd_sc_hd__dfbbn_1 +*I *490:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *495:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *375:A I *D sky130_fd_sc_hd__or2b_1 +*I *496:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *537:X O *D sky130_fd_sc_hd__buf_12 *CAP -1 *508:A 0.00119199 -2 *428:A 0 -3 *451:Q 0.00140123 -4 *180:14 0.00131757 -5 *180:11 0.00152682 -6 *508:A *509:A 0.000128742 -7 *508:A *510:A 0 -8 *180:11 *456:D 0.000113396 -9 *180:11 *472:D 9.43222e-06 -10 pad_gpio_out *508:A 1.12967e-05 -11 *377:B *180:11 0.00025549 -12 *424:B_N *508:A 0.000175369 -13 *430:A *508:A 4.2748e-05 -14 *430:A *180:14 1.53182e-05 -15 *445:A0 *508:A 0 -16 *445:A1 *508:A 6.96979e-05 -17 *445:S *508:A 2.5775e-05 -18 *446:TE_B *508:A 6.09467e-05 -19 *446:TE_B *180:14 6.32034e-05 -20 *455:RESET_B *180:11 0.0002195 -21 *455:SET_B *180:11 4.51877e-05 -22 *456:CLK_N *180:11 1.4813e-05 -23 *472:RESET_B *180:11 1.44764e-05 -24 *472:CLK *180:11 5.28741e-05 -25 *504:A *180:11 0.000432282 -26 *504:A *180:14 0.000126753 -27 *506:A *508:A 4.08919e-05 -28 *29:11 *508:A 1.03403e-05 -29 *39:21 *508:A 6.08467e-05 -30 *54:17 *508:A 1.99299e-05 -31 *119:16 *180:11 9.10232e-06 -32 *119:20 *180:11 0.000109865 -33 *129:23 *180:11 1.88719e-05 -34 *154:8 *180:11 8.36586e-06 -35 *164:172 *180:11 3.99086e-06 -36 *167:20 *180:11 0.000181256 -37 *168:18 *508:A 0.000164868 -38 *175:8 *180:11 9.08188e-05 +1 *403:A 0 +2 *497:RESET_B 0 +3 *397:A 3.47326e-05 +4 *393:A 0 +5 *411:A 0.000469631 +6 *500:RESET_B 1.36381e-05 +7 *387:A 3.76202e-05 +8 *409:A 0.000182787 +9 *385:A 0 +10 *391:A 0 +11 *493:RESET_B 4.76504e-05 +12 *491:RESET_B 0.0001494 +13 *492:RESET_B 1.75736e-05 +14 *422:A 0 +15 *405:A 0 +16 *424:A 0 +17 *467:A 0.000235924 +18 *494:RESET_B 3.30649e-05 +19 *499:RESET_B 0.00025134 +20 *498:RESET_B 0.000670889 +21 *442:A 0.000269003 +22 *399:A 0.000369947 +23 *434:A 0 +24 *440:A 0.000486475 +25 *452:A 0 +26 *377:A 1.21701e-05 +27 *436:A 0 +28 *430:A 0.000170783 +29 *454:B 2.07316e-05 +30 *448:A 2.68863e-05 +31 *418:A 0.000264647 +32 *446:A 0 +33 *416:A 0 +34 *489:RESET_B 0.000334881 +35 *488:RESET_B 0 +36 *428:A 0 +37 *490:RESET_B 0.00024737 +38 *495:RESET_B 0.000568891 +39 *375:A 0.000312914 +40 *496:RESET_B 0.000178295 +41 *537:X 0 +42 *163:422 0.000927166 +43 *163:409 0.000481517 +44 *163:398 0.000424182 +45 *163:358 0.000346711 +46 *163:352 0.000293936 +47 *163:326 0.000312376 +48 *163:322 0.000217688 +49 *163:317 0.000141237 +50 *163:315 0.000440061 +51 *163:306 0.000615505 +52 *163:304 0.000436336 +53 *163:290 0.000405754 +54 *163:289 0.00050863 +55 *163:276 0.000500412 +56 *163:260 0.000535228 +57 *163:252 0.000443022 +58 *163:222 0.000717054 +59 *163:214 0.00039481 +60 *163:187 0.00069661 +61 *163:160 0.000214146 +62 *163:159 0.000229251 +63 *163:107 0.000594854 +64 *163:105 0.000338184 +65 *163:103 0.000543085 +66 *163:99 0.000429323 +67 *163:93 0.000244671 +68 *163:91 0.000247172 +69 *163:88 0.000677174 +70 *163:76 0.000630457 +71 *163:70 0.000769534 +72 *163:52 0.000638913 +73 *163:22 0.000565455 +74 *163:12 0.000542589 +75 *163:4 0.000477256 +76 *375:A *177:38 0.000297054 +77 *375:A *178:7 0.000154145 +78 *375:A *178:48 0.000417379 +79 *399:A *399:B 0.000297054 +80 *399:A *181:13 0.000108597 +81 *409:A *188:25 2.6715e-05 +82 *411:A *411:B 6.5568e-05 +83 *411:A *484:D 4.28856e-07 +84 *411:A *551:A 3.95516e-05 +85 *411:A *170:18 0.000110398 +86 *430:A *489:D 2.57986e-05 +87 *430:A *179:27 5.28741e-05 +88 *440:A *566:A 0.00049739 +89 *440:A *181:13 1.83294e-06 +90 *442:A *181:13 0.00015373 +91 *442:A *187:22 3.06451e-05 +92 *467:A *424:B 0.000117376 +93 *489:RESET_B *183:21 1.5897e-05 +94 *489:RESET_B *225:8 2.73786e-05 +95 *490:RESET_B *495:D 5.04829e-06 +96 *491:RESET_B *199:14 0.000201096 +97 *491:RESET_B *199:20 2.55369e-05 +98 *491:RESET_B *227:13 5.37479e-05 +99 *493:RESET_B *193:13 5.04829e-06 +100 *494:RESET_B *230:7 1.50924e-05 +101 *495:RESET_B *487:D 3.69222e-05 +102 *495:RESET_B *508:A 0.000154145 +103 *496:RESET_B *179:23 0.000205687 +104 *496:RESET_B *179:27 3.71455e-05 +105 *498:RESET_B *170:18 0.000370046 +106 *498:RESET_B *184:8 0 +107 *499:RESET_B *188:25 6.08467e-05 +108 *499:RESET_B *188:46 6.08467e-05 +109 *163:12 *179:23 0.000258819 +110 *163:12 *184:8 3.09374e-06 +111 *163:12 *188:46 6.64671e-06 +112 *163:22 *178:48 0.000130102 +113 *163:52 *508:A 9.65938e-06 +114 *163:70 *399:B 9.69998e-06 +115 *163:70 *188:46 9.48916e-05 +116 *163:99 *562:A 7.09681e-05 +117 *163:103 *448:B 1.00766e-05 +118 *163:159 *488:D 0.000133626 +119 *163:160 *489:D 6.0406e-05 +120 *163:187 *566:A 0.000107496 +121 *163:214 *399:B 9.00591e-06 +122 *163:214 *184:7 6.08467e-05 +123 *163:214 *184:8 0 +124 *163:222 *184:7 9.32983e-05 +125 *163:252 *179:23 9.53779e-05 +126 *163:252 *182:16 3.87022e-06 +127 *163:252 *187:22 0.00045496 +128 *163:252 *194:11 0.000414484 +129 *163:260 *170:18 0 +130 *163:260 *184:8 7.03953e-05 +131 *163:260 *187:22 9.56095e-05 +132 *163:260 *194:11 9.56095e-05 +133 *163:276 *498:D 9.28819e-05 +134 *163:276 *521:A 0.000203756 +135 *163:276 *188:46 0.00014305 +136 *163:276 *223:5 0.000198801 +137 *163:289 *202:20 0.000137594 +138 *163:290 *230:7 0.000113713 +139 *163:304 *393:B 0.000140978 +140 *163:304 *513:A 6.2484e-05 +141 *163:306 *393:B 0.000334377 +142 *163:315 *393:B 0.000154145 +143 *163:315 *220:19 7.74853e-06 +144 *163:322 *422:B_N 3.31745e-05 +145 *163:326 *424:B 0.000114584 +146 *163:358 *199:14 0.000166659 +147 *163:398 *188:25 0.000210479 +148 pad_gpio_ib_mode_sel *375:A 3.96696e-05 +149 resetn_out *399:A 0.00011194 +150 resetn_out *442:A 6.09513e-05 +151 resetn_out *163:91 1.65872e-05 +152 resetn_out *163:252 7.67332e-05 +153 *377:B *377:A 6.08467e-05 +154 *377:B *163:160 6.08467e-05 +155 *388:A *409:A 0.000249039 +156 *394:A *163:398 1.82679e-05 +157 *395:A *492:RESET_B 1.91114e-05 +158 *396:A *467:A 0.000107496 +159 *398:A *163:276 3.25507e-05 +160 *417:A *489:RESET_B 9.94594e-05 +161 *417:A *163:107 0.000203604 +162 *419:A *418:A 0.000159921 +163 *421:A *467:A 9.50523e-05 +164 *425:A *448:A 1.47978e-05 +165 *425:A *163:99 5.43214e-05 +166 *429:A *163:52 0.000109421 +167 *431:A *430:A 2.7837e-05 +168 *442:B *442:A 0.000205101 +169 *447:A *163:103 0.000109427 +170 *447:A *163:105 4.7372e-05 +171 *447:A *163:107 5.19758e-05 +172 *449:A *163:99 1.58551e-05 +173 *449:A *163:103 1.32618e-05 +174 *452:B_N *163:187 0.000153389 +175 *454:A *163:91 0.000113713 +176 *458:A *442:A 3.73375e-05 +177 *468:A *399:A 3.73375e-05 +178 *474:A *411:A 0.000298836 +179 *475:RESET_B *163:91 1.80176e-05 +180 *475:RESET_B *163:93 1.03403e-05 +181 *475:RESET_B *163:99 5.14867e-05 +182 *475:CLK_N *163:187 0.000219556 +183 *476:SET_B *489:RESET_B 2.73786e-05 +184 *478:CLK_N *490:RESET_B 0.000343851 +185 *478:CLK_N *495:RESET_B 0.000574157 +186 *478:CLK_N *163:52 6.61601e-05 +187 *479:SET_B *491:RESET_B 0.000113295 +188 *480:SET_B *467:A 7.67734e-06 +189 *480:SET_B *492:RESET_B 5.37479e-05 +190 *480:CLK_N *467:A 6.57567e-05 +191 *480:CLK_N *493:RESET_B 2.92771e-05 +192 *480:CLK_N *163:315 7.09666e-06 +193 *480:CLK_N *163:322 0.00020553 +194 *480:CLK_N *163:326 1.72527e-05 +195 *480:CLK_N *163:352 8.23644e-05 +196 *482:SET_B *411:A 9.11614e-05 +197 *487:CLK_N *490:RESET_B 2.08133e-05 +198 *487:CLK_N *491:RESET_B 1.91114e-05 +199 *487:CLK_N *163:52 0.000299864 +200 *488:CLK *163:88 0.000154631 +201 *488:CLK *163:214 0.000195843 +202 *495:CLK *490:RESET_B 7.52503e-05 +203 *496:CLK *496:RESET_B 0.000294849 +204 *500:CLK *163:422 7.94358e-05 +205 *501:A *375:A 8.04127e-05 +206 *505:A *411:A 0.00012353 +207 *530:A *163:315 0.00032451 +208 *537:A *163:12 0.000256037 +209 *537:A *163:252 6.08467e-05 +210 *540:A *163:289 0.000135613 +211 *2:15 *163:159 0.00033121 +212 *3:13 *375:A 0.000108707 +213 *3:13 *163:88 4.21215e-05 +214 *3:13 *163:187 8.33721e-06 +215 *8:39 *163:304 0.000146035 +216 *8:39 *163:358 0.000286893 +217 *9:25 *489:RESET_B 9.61017e-05 +218 *9:25 *490:RESET_B 3.63806e-05 +219 *11:10 *418:A 0.000158616 +220 *11:18 *493:RESET_B 3.38973e-05 +221 *11:18 *163:315 4.62046e-05 +222 *11:18 *163:352 9.28716e-05 +223 *12:13 *491:RESET_B 0.000363404 +224 *12:13 *163:358 0.000173637 +225 *13:17 *430:A 5.20881e-05 +226 *13:17 *163:52 0.000141654 +227 *28:16 *163:76 0.000124482 +228 *31:15 *440:A 6.95572e-05 +229 *37:8 *163:187 4.94e-06 +230 *38:12 *163:76 3.85679e-05 +231 *38:12 *163:88 9.66157e-05 +232 *38:26 *377:A 4.76794e-05 +233 *38:26 *430:A 6.3657e-05 +234 *38:26 *163:160 0.000192986 +235 *39:17 *409:A 6.36477e-05 +236 *41:29 *411:A 0.000161262 +237 *62:16 *418:A 9.72234e-05 +238 *62:16 *163:76 4.21992e-05 +239 *62:16 *163:88 8.62563e-05 +240 *66:11 *490:RESET_B 0.000152798 +241 *69:14 *430:A 5.13018e-05 +242 *69:14 *163:99 0.000121263 +243 *83:15 *467:A 0.000168313 +244 *83:15 *493:RESET_B 5.37479e-05 +245 *83:15 *163:322 9.6881e-05 +246 *83:15 *163:326 0.000276137 +247 *109:10 *442:A 1.55025e-05 +248 *115:19 *489:RESET_B 4.47499e-05 +249 *115:19 *163:91 0.000147201 +250 *115:19 *163:93 4.66492e-05 +251 *115:19 *163:99 0.000314209 +252 *133:14 *499:RESET_B 6.2128e-05 +253 *133:14 *163:289 1.91114e-05 +254 *133:14 *163:322 2.77625e-06 +255 *151:11 *163:260 0.000156076 +256 *153:15 *430:A 6.65668e-05 +257 *155:17 *467:A 5.31465e-05 +258 *155:17 *163:358 0.000288745 +259 *155:24 *495:RESET_B 2.55469e-05 +260 *155:24 *163:52 1.50924e-05 +261 *155:24 *163:88 0.000108707 +262 *155:24 *163:159 4.78246e-05 +263 *155:24 *163:187 1.9511e-05 +264 *157:19 *499:RESET_B 7.55603e-05 +265 *157:19 *163:289 7.92757e-06 +266 *157:19 *163:315 0.000283877 +267 *157:19 *163:322 1.37275e-05 +268 *158:38 *375:A 0.000144049 +269 *158:38 *163:22 5.1623e-05 +270 *158:38 *163:70 0 +271 *158:38 *163:214 6.27782e-05 +272 *159:16 *409:A 0.000292507 +273 *159:16 *163:398 0.000202445 +274 *159:24 *375:A 0.000111838 *RES -1 *451:Q *180:11 47.9871 -2 *180:11 *180:14 7.993 -3 *180:14 *428:A 13.7491 -4 *180:14 *508:A 47.8765 +1 *537:X *163:4 9.24915 +2 *163:4 *163:12 10.0555 +3 *163:12 *496:RESET_B 8.64482 +4 *496:RESET_B *163:22 7.1625 +5 *163:22 *375:A 36.1383 +6 *163:22 *495:RESET_B 11.2396 +7 *495:RESET_B *163:52 20.1383 +8 *163:52 *490:RESET_B 16.7678 +9 *163:52 *428:A 9.24915 +10 *163:12 *163:70 5.77689 +11 *163:70 *163:76 8.04026 +12 *163:76 *488:RESET_B 4.5 +13 *163:76 *163:88 17.6849 +14 *163:88 *163:91 6.88721 +15 *163:91 *163:93 0.723396 +16 *163:93 *163:99 7.57154 +17 *163:99 *163:103 3.52053 +18 *163:103 *163:105 1.278 +19 *163:105 *163:107 4.05102 +20 *163:107 *489:RESET_B 20.1514 +21 *163:107 *416:A 9.24915 +22 *163:105 *446:A 9.24915 +23 *163:103 *418:A 24.1535 +24 *163:99 *448:A 10.2378 +25 *163:93 *454:B 9.82786 +26 *163:91 *163:159 15.3998 +27 *163:159 *163:160 2.38721 +28 *163:160 *430:A 24.1588 +29 *163:160 *436:A 9.24915 +30 *163:159 *377:A 9.97254 +31 *163:88 *163:187 10.5168 +32 *163:187 *452:A 9.24915 +33 *163:187 *440:A 19.7866 +34 *163:70 *163:214 11.3381 +35 *163:214 *434:A 9.24915 +36 *163:214 *163:222 5.778 +37 *163:222 *399:A 21.1807 +38 *163:222 *442:A 20.902 +39 *163:4 *163:252 16.6795 +40 *163:252 *163:260 14.0813 +41 *163:260 *498:RESET_B 14.4661 +42 *498:RESET_B *163:276 9.00628 +43 *163:276 *499:RESET_B 4.54534 +44 *499:RESET_B *163:289 12.3015 +45 *163:289 *163:290 4.05102 +46 *163:290 *494:RESET_B 0.639 +47 *163:290 *163:304 14.0738 +48 *163:304 *163:306 4.05102 +49 *163:306 *163:315 14.606 +50 *163:315 *163:317 4.5 +51 *163:317 *163:322 4.50917 +52 *163:322 *163:326 3.52053 +53 *163:326 *467:A 17.8093 +54 *163:326 *424:A 9.24915 +55 *163:322 *405:A 9.24915 +56 *163:317 *422:A 9.24915 +57 *163:315 *163:352 2.03962 +58 *163:352 *163:358 10.4553 +59 *163:358 *492:RESET_B 5.139 +60 *163:358 *491:RESET_B 11.954 +61 *163:352 *493:RESET_B 5.93286 +62 *163:306 *391:A 9.24915 +63 *163:304 *385:A 9.24915 +64 *163:289 *163:398 11.9288 +65 *163:398 *409:A 20.0418 +66 *163:398 *163:409 4.5 +67 *163:409 *387:A 9.97254 +68 *163:409 *163:422 7.59566 +69 *163:422 *500:RESET_B 0.494321 +70 *163:422 *411:A 31.856 +71 *163:289 *393:A 9.24915 +72 *163:276 *397:A 10.2378 +73 *163:260 *497:RESET_B 4.5 +74 *163:252 *403:A 13.7491 *END -*D_NET *181 0.00108875 +*D_NET *164 0.00852853 *CONN -*I *509:A I *D sky130_fd_sc_hd__buf_2 -*I *445:X O *D sky130_fd_sc_hd__mux2_1 +*I *488:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *538:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *509:A 0.000400323 -2 *445:X 0.000400323 -3 pad_gpio_out *509:A 9.08325e-05 -4 resetn_out *509:A 1.32509e-05 -5 *445:A0 *509:A 5.52748e-05 -6 *508:A *509:A 0.000128742 +1 *488:D 0.000820839 +2 *538:X 0.00126447 +3 *164:19 0.00208531 +4 *488:D *487:D 5.2737e-05 +5 *488:D *496:D 0.00015877 +6 *488:D *556:A 0.000143027 +7 *488:D *175:7 0.000111802 +8 *488:D *189:10 0.00107908 +9 *488:D *202:20 7.75593e-06 +10 *488:D *222:10 0.000110306 +11 *164:19 *499:D 4.04663e-05 +12 *164:19 *177:17 6.64392e-05 +13 *164:19 *177:38 1.99238e-06 +14 *164:19 *210:8 2.05222e-05 +15 *164:19 *218:8 0.000615925 +16 pad_gpio_outenb *488:D 0.000164073 +17 *377:B *488:D 8.80764e-05 +18 *423:A *164:19 6.56017e-06 +19 *488:CLK *488:D 6.94804e-05 +20 *505:TE *164:19 0 +21 *533:A *164:19 0.000599488 +22 *2:15 *488:D 1.29948e-05 +23 *155:24 *488:D 0.000119756 +24 *157:22 *488:D 6.5137e-05 +25 *158:21 *488:D 0.000263521 +26 *158:21 *164:19 0.000205101 +27 *159:16 *488:D 0.000187324 +28 *159:16 *164:19 8.03393e-06 +29 *159:24 *488:D 7.39583e-06 +30 *159:37 *488:D 1.85244e-05 +31 *163:159 *488:D 0.000133626 *RES -1 *445:X *509:A 36.0094 +1 *538:X *164:19 47.1077 +2 *164:19 *488:D 46.2766 *END -*D_NET *182 0.00162392 +*D_NET *165 0.0109449 *CONN -*I *510:A I *D sky130_fd_sc_hd__buf_2 -*I *442:X O *D sky130_fd_sc_hd__mux2_1 +*I *470:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *539:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *510:A 0.000390812 -2 *442:X 0.000390812 -3 *510:A *197:14 3.40414e-05 -4 pad_gpio_holdover *510:A 0.000163168 -5 *445:A0 *510:A 0.000202009 -6 *445:A1 *510:A 0 -7 *508:A *510:A 0 -8 *114:19 *510:A 0.000330722 -9 *117:23 *510:A 0.00010203 -10 *164:15 *510:A 1.03256e-05 +1 *470:A0 0 +2 *539:X 0.00196786 +3 *165:23 0.00110912 +4 *165:14 0.00307699 +5 *165:14 *563:A 0.000377955 +6 *165:14 *188:16 0 +7 *165:23 *482:D 0.000113104 +8 *165:23 *484:D 5.21527e-05 +9 *165:23 *499:D 4.96062e-05 +10 *165:23 *521:A 0.000118807 +11 *165:23 *177:38 0.000550409 +12 *165:23 *180:15 0.000634817 +13 *165:23 *188:46 0.000204273 +14 *410:A *165:14 0.000117763 +15 *410:A *165:23 5.70872e-05 +16 *470:A1 *165:23 0.000345048 +17 *474:A *165:14 5.84869e-05 +18 *483:RESET_B *165:14 1.52231e-05 +19 *483:RESET_B *165:23 0.000802794 +20 *500:CLK *165:23 0.000380592 +21 *503:A *165:23 4.72456e-05 +22 *546:A *165:14 0.000243985 +23 *151:20 *165:23 0.000344689 +24 *158:38 *165:23 0.000128763 +25 *162:8 *165:14 0.00014815 *RES -1 *442:X *510:A 38.6374 +1 *539:X *165:14 49.9666 +2 *165:14 *165:23 45.5738 +3 *165:23 *470:A0 9.24915 *END -*D_NET *183 0.00308942 +*D_NET *166 0.003798 *CONN -*I *511:A I *D sky130_fd_sc_hd__buf_2 -*I *449:Q O *D sky130_fd_sc_hd__dfbbn_1 +*I *409:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *411:B I *D sky130_fd_sc_hd__or2_1 +*I *540:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *511:A 0.000905244 -2 *449:Q 0.000905244 -3 *511:A *470:D 1.03403e-05 -4 *511:A *185:23 6.08467e-05 -5 *511:A *190:16 0.000127736 -6 *511:A *208:8 4.31309e-05 -7 resetn_out *511:A 0.000110336 -8 *447:CLK_N *511:A 0.000108729 -9 *460:CLK *511:A 1.33278e-05 -10 *487:A *511:A 0.000520111 -11 *30:9 *511:A 4.65954e-06 -12 *63:16 *511:A 8.74843e-05 -13 *115:12 *511:A 6.08467e-05 -14 *165:11 *511:A 0.000131384 +1 *409:B_N 7.92765e-05 +2 *411:B 0.000261745 +3 *540:X 0.000263584 +4 *166:8 0.000604606 +5 *409:B_N *188:16 0.000113953 +6 *409:B_N *188:25 4.31485e-06 +7 *411:B *521:A 6.08467e-05 +8 *411:B *528:A 1.58551e-05 +9 *411:B *551:A 0.000384051 +10 *166:8 *167:19 2.9617e-06 +11 *166:8 *177:17 0.000167441 +12 *166:8 *188:16 0.00017368 +13 *407:A *166:8 1.37939e-05 +14 *411:A *411:B 6.5568e-05 +15 *483:CLK_N *166:8 0.000255322 +16 *542:A *166:8 0.000114594 +17 *36:29 *411:B 2.79969e-05 +18 *41:29 *411:B 0.000588115 +19 *89:39 *166:8 0.000462305 +20 *159:16 *409:B_N 0.000117789 +21 *159:16 *166:8 2.02035e-05 *RES -1 *449:Q *511:A 49.845 +1 *540:X *166:8 24.0662 +2 *166:8 *411:B 24.0937 +3 *166:8 *409:B_N 16.4116 *END -*D_NET *184 0.00214007 +*D_NET *167 0.0104591 *CONN -*I *512:A I *D sky130_fd_sc_hd__buf_2 -*I *450:Q O *D sky130_fd_sc_hd__dfbbn_1 +*I *473:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *541:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *512:A 0.000744517 -2 *450:Q 0.000744517 -3 *512:A *461:D 5.8518e-05 -4 *446:A *512:A 0.000112652 -5 *447:CLK_N *512:A 6.86425e-05 -6 *460:CLK *512:A 1.41811e-05 -7 *39:15 *512:A 4.63742e-05 -8 *54:17 *512:A 7.26606e-05 -9 *63:16 *512:A 5.98553e-05 -10 *114:19 *512:A 1.66771e-05 -11 *152:92 *512:A 2.59934e-05 -12 *163:42 *512:A 0.000112361 -13 *164:44 *512:A 6.31219e-05 -14 *165:11 *512:A 0 +1 *473:A0 0.00090862 +2 *541:X 0.00113624 +3 *167:19 0.00204486 +4 *473:A0 *456:A 0.000638189 +5 *473:A0 *463:B 0.000369493 +6 *473:A0 *498:D 8.72115e-06 +7 *473:A0 *170:18 9.56155e-05 +8 *473:A0 *184:8 3.01628e-05 +9 *473:A0 *187:22 0.000595197 +10 *473:A0 *194:11 0.000218636 +11 *167:19 *169:20 0.00136662 +12 *167:19 *210:8 7.26347e-06 +13 *167:19 *218:8 1.66626e-05 +14 *167:19 *219:11 2.38099e-05 +15 *483:CLK_N *167:19 0.000219174 +16 *484:SET_B *473:A0 0.000302685 +17 *541:A *167:19 7.01935e-06 +18 *4:17 *167:19 9.01011e-05 +19 *24:26 *167:19 0.000191416 +20 *39:17 *167:19 0.000249659 +21 *41:29 *167:19 6.3657e-05 +22 *42:33 *167:19 0.000628668 +23 *48:12 *473:A0 1.30007e-05 +24 *81:10 *473:A0 6.96979e-05 +25 *117:12 *473:A0 6.65668e-05 +26 *119:16 *167:19 0.00101806 +27 *159:16 *167:19 7.63143e-05 +28 *166:8 *167:19 2.9617e-06 *RES -1 *450:Q *512:A 43.7626 +1 *541:X *167:19 37.5003 +2 *167:19 *473:A0 49.6691 *END -*D_NET *185 0.00435653 +*D_NET *169 0.00819177 *CONN -*I *513:A I *D sky130_fd_sc_hd__buf_2 -*I *439:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *549:A I *D sky130_fd_sc_hd__buf_2 +*I *485:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *513:A 0 -2 *439:X 0.00137857 -3 *185:23 0.00137857 -4 *185:23 *469:D 6.50586e-05 -5 *185:23 *515:A 0.000273597 -6 *185:23 *208:8 0.00047044 -7 *218:DIODE *185:23 0.000138671 -8 *219:DIODE *185:23 5.04829e-06 -9 *355:A *185:23 0 -10 *423:A *185:23 0.000220809 -11 *427:A *185:23 1.68773e-05 -12 *447:CLK_N *185:23 0.000170268 -13 *491:A *185:23 2.07503e-05 -14 *511:A *185:23 6.08467e-05 -15 *38:14 *185:23 0.000113288 -16 *165:11 *185:23 1.75155e-06 -17 *168:18 *185:23 4.19892e-05 +1 *549:A 0 +2 *485:Q 0.00190442 +3 *169:20 0.00190442 +4 *169:20 *486:D 3.52593e-05 +5 *169:20 *555:A 0.000131778 +6 *169:20 *170:18 6.56564e-05 +7 *169:20 *175:17 5.20546e-06 +8 *169:20 *186:8 0.000382262 +9 mgmt_gpio_in *169:20 0.00044069 +10 *485:RESET_B *169:20 4.96793e-05 +11 *540:A *169:20 3.58315e-06 +12 *8:39 *169:20 1.11816e-05 +13 *24:26 *169:20 0.00178628 +14 *50:25 *169:20 1.94615e-05 +15 *153:51 *169:20 3.6948e-05 +16 *159:16 *169:20 4.83393e-05 +17 *167:19 *169:20 0.00136662 *RES -1 *439:X *185:23 48.7195 -2 *185:23 *513:A 9.24915 +1 *485:Q *169:20 44.7744 +2 *169:20 *549:A 9.24915 *END -*D_NET *186 0.00792556 +*D_NET *170 0.00529858 *CONN -*I *514:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *440:X O *D sky130_fd_sc_hd__buf_2 +*I *550:A I *D sky130_fd_sc_hd__buf_2 +*I *487:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *514:A 0 -2 *440:X 0 -3 *186:7 0.00284637 -4 *186:4 0.00284637 -5 *186:7 *468:D 9.13394e-06 -6 *186:7 *469:D 5.15114e-05 -7 *186:7 *516:A 0.000897777 -8 *186:7 *517:A 7.92757e-06 -9 *186:7 *188:17 7.0838e-06 -10 *186:7 *207:5 1.41307e-05 -11 *223:DIODE *186:7 6.55124e-05 -12 *439:A *186:7 0.000451468 -13 *469:CLK *186:7 1.4091e-06 -14 *39:15 *186:7 0.000505283 -15 *39:21 *186:7 0.000168313 -16 *63:16 *186:7 9.80242e-07 -17 *164:15 *186:7 5.22859e-05 +1 *550:A 1.31354e-05 +2 *487:Q 0.00135789 +3 *170:18 0.00137102 +4 *170:18 *463:B 3.37448e-05 +5 *170:18 *484:D 0.000464429 +6 *170:18 *497:D 0.000236028 +7 *170:18 *180:15 0.000104417 +8 *170:18 *184:8 0 +9 *170:18 *187:13 0 +10 *170:18 *188:46 4.8873e-05 +11 pad_gpio_ib_mode_sel *170:18 0 +12 *411:A *170:18 0.000110398 +13 *473:A0 *170:18 9.56155e-05 +14 *474:A *170:18 5.46352e-05 +15 *483:SET_B *170:18 0.000349954 +16 *487:SET_B *170:18 6.08467e-05 +17 *498:RESET_B *170:18 0.000370046 +18 *505:TE *170:18 0.000245396 +19 *24:26 *170:18 3.47489e-06 +20 *36:29 *550:A 6.27718e-05 +21 *41:17 *550:A 6.08467e-05 +22 *48:12 *170:18 0.000189407 +23 *163:260 *170:18 0 +24 *169:20 *170:18 6.56564e-05 *RES -1 *440:X *186:4 9.24915 -2 *186:4 *186:7 45.5257 -3 *186:7 *514:A 9.24915 +1 *487:Q *170:18 48.1728 +2 *170:18 *550:A 14.4725 *END -*D_NET *187 0.00190254 +*D_NET *171 0.00252371 *CONN -*I *515:A I *D sky130_fd_sc_hd__buf_2 -*I *436:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *551:A I *D sky130_fd_sc_hd__buf_2 +*I *486:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *515:A 0.000340877 -2 *436:X 0.000340877 -3 *515:A *469:D 2.65667e-05 -4 *423:A *515:A 0.000220809 -5 *447:CLK_N *515:A 0.000179687 -6 *165:11 *515:A 0.000520132 -7 *185:23 *515:A 0.000273597 +1 *551:A 0.000313362 +2 *486:Q 0.000313362 +3 *551:A *460:B_N 7.01935e-06 +4 *551:A *463:B 0.000129735 +5 *551:A *521:A 6.27718e-05 +6 *551:A *528:A 0.000444856 +7 *551:A *554:A 0.000115173 +8 *551:A *184:8 1.94615e-05 +9 *411:A *551:A 3.95516e-05 +10 *411:B *551:A 0.000384051 +11 *412:A *551:A 0.000137668 +12 *17:21 *551:A 0.000158002 +13 *36:29 *551:A 0.000319254 +14 *41:17 *551:A 5.89592e-05 +15 *41:29 *551:A 9.54357e-06 +16 *48:12 *551:A 1.09441e-05 *RES -1 *436:X *515:A 34.7988 +1 *486:Q *551:A 41.8663 *END -*D_NET *188 0.00421296 +*D_NET *172 0.00121615 *CONN -*I *516:A I *D sky130_fd_sc_hd__buf_2 -*I *441:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *552:A I *D sky130_fd_sc_hd__buf_2 +*I *482:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *516:A 0.000214412 -2 *441:X 0.00092158 -3 *188:18 0.000286875 -4 *188:17 0.000994043 -5 *516:A *517:A 0.000243004 -6 *188:17 *468:D 2.57465e-06 -7 *188:17 *469:D 9.47003e-05 -8 *188:17 *207:5 2.07503e-05 -9 *409:A *516:A 2.29454e-05 -10 *415:A *516:A 0.000112361 -11 *423:A *516:A 5.05229e-05 -12 *425:A *188:17 1.96619e-05 -13 *437:A *188:17 1.43698e-05 -14 *438:A *188:17 0.000165476 -15 *447:SET_B *188:17 1.40528e-05 -16 *449:RESET_B *516:A 2.77625e-06 -17 *449:CLK_N *188:17 3.00829e-05 -18 *450:CLK_N *516:A 1.84673e-05 -19 *461:RESET_B *188:17 0 -20 *469:CLK *188:17 7.09666e-06 -21 *39:15 *516:A 1.47978e-05 -22 *63:16 *516:A 4.79321e-06 -23 *115:12 *188:17 5.27582e-05 -24 *186:7 *516:A 0.000897777 -25 *186:7 *188:17 7.0838e-06 +1 *552:A 0.000260248 +2 *482:Q 0.000260248 +3 *459:A *552:A 0.000198737 +4 *472:A1 *552:A 0.000174507 +5 *482:RESET_B *552:A 0.000110297 +6 *21:8 *552:A 2.16355e-05 +7 *39:29 *552:A 0.000127348 +8 *79:15 *552:A 5.481e-05 +9 *153:51 *552:A 8.32204e-06 *RES -1 *441:X *188:17 41.8031 -2 *188:17 *188:18 104.301 -3 *188:18 *516:A 29.2287 +1 *482:Q *552:A 36.0604 *END -*D_NET *189 0.011617 +*D_NET *173 0.0032525 *CONN -*I *369:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *371:B I *D sky130_fd_sc_hd__or2_1 -*I *494:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *460:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *553:A I *D sky130_fd_sc_hd__buf_2 +*I *483:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *369:B_N 0.000703022 -2 *371:B 4.79492e-05 -3 *494:X 0.00203695 -4 *189:18 0.00278792 -5 *189:18 *435:B 0.000109596 -6 *370:A *369:B_N 0.000188582 -7 *371:A *371:B 9.95922e-06 -8 *443:S *189:18 0 -9 *454:RESET_B *189:18 0 -10 *455:RESET_B *369:B_N 0.000114594 -11 *456:RESET_B *369:B_N 0 -12 *494:A *189:18 9.25778e-05 -13 *495:A *189:18 1.21461e-06 -14 *4:8 *369:B_N 6.85024e-06 -15 *15:15 *369:B_N 0.000185637 -16 *16:15 *189:18 6.43178e-05 -17 *92:10 *189:18 0.000150499 -18 *93:23 *189:18 0.00217483 -19 *123:33 *189:18 0.000139975 -20 *129:23 *189:18 0.000348507 -21 *137:18 *189:18 0.00201855 -22 *164:176 *371:B 1.14727e-05 -23 *167:20 *189:18 0.000198476 -24 *172:13 *369:B_N 2.88515e-05 -25 *172:13 *189:18 0.000135871 -26 *176:19 *369:B_N 3.18937e-05 -27 *176:19 *189:18 2.89471e-05 +1 *460:B_N 0.000501976 +2 *553:A 0 +3 *483:Q 7.35687e-05 +4 *173:7 0.000575545 +5 *460:B_N *554:A 0.000200794 +6 *459:A *460:B_N 0.000868733 +7 *474:A *460:B_N 4.66492e-05 +8 *474:A *173:7 8.76607e-05 +9 *551:A *460:B_N 7.01935e-06 +10 *20:9 *460:B_N 1.82679e-05 +11 *48:12 *460:B_N 0.000872284 *RES -1 *494:X *189:18 35.9028 -2 *189:18 *371:B 15.0151 -3 *189:18 *369:B_N 28.6938 +1 *483:Q *173:7 11.1059 +2 *173:7 *553:A 9.24915 +3 *173:7 *460:B_N 38.7142 *END -*D_NET *190 0.0041235 +*D_NET *174 0.00442594 *CONN -*I *517:A I *D sky130_fd_sc_hd__buf_2 -*I *477:Z O *D sky130_fd_sc_hd__einvp_2 +*I *460:A I *D sky130_fd_sc_hd__or2b_1 +*I *554:A I *D sky130_fd_sc_hd__buf_2 +*I *484:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *517:A 9.18222e-05 -2 *477:Z 0.000672746 -3 *190:16 0.000764568 -4 *190:16 *450:D 0.000286256 -5 *190:16 *206:24 3.20773e-05 -6 *190:16 *208:8 0.000194147 -7 user_gpio_in *517:A 2.57365e-05 -8 *409:A *517:A 0.000165521 -9 *448:RESET_B *190:16 6.03172e-05 -10 *470:CLK *190:16 9.19563e-05 -11 *475:A *190:16 1.56049e-05 -12 *477:A *190:16 0.000152265 -13 *477:TE *190:16 6.08467e-05 -14 *511:A *190:16 0.000127736 -15 *516:A *517:A 0.000243004 -16 *39:15 *517:A 6.1478e-06 -17 *53:28 *190:16 1.28646e-05 -18 *63:16 *517:A 3.54295e-05 -19 *63:16 *190:16 1.2657e-05 -20 *112:13 *190:16 6.08467e-05 -21 *152:81 *190:16 0.000287514 -22 *162:23 *190:16 0.000552334 -23 *163:42 *190:16 8.02366e-06 -24 *164:46 *190:16 0.000101489 -25 *164:48 *190:16 5.36603e-05 -26 *186:7 *517:A 7.92757e-06 +1 *460:A 0 +2 *554:A 0.000338152 +3 *484:Q 0.000805465 +4 *174:11 0.00114362 +5 *554:A *463:B 2.44241e-05 +6 *174:11 *186:8 0.0010859 +7 *459:A *174:11 0 +8 *460:B_N *554:A 0.000200794 +9 *472:A0 *174:11 0.000315947 +10 *484:SET_B *174:11 9.19632e-06 +11 *551:A *554:A 0.000115173 +12 *17:21 *554:A 1.88563e-05 +13 *20:9 *554:A 7.76105e-06 +14 *20:9 *174:11 1.45322e-05 +15 *50:25 *174:11 5.04829e-06 +16 *117:12 *174:11 0.000194948 +17 *153:51 *174:11 9.49746e-05 +18 *160:19 *174:11 5.11441e-05 *RES -1 *477:Z *190:16 49.3965 -2 *190:16 *517:A 13.4931 +1 *484:Q *174:11 42.154 +2 *174:11 *554:A 16.1455 +3 *174:11 *460:A 9.24915 *END -*D_NET *191 0.00682369 +*D_NET *175 0.00729549 *CONN -*I *390:B I *D sky130_fd_sc_hd__or2_1 -*I *388:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *495:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *555:A I *D sky130_fd_sc_hd__buf_2 +*I *476:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *390:B 0.000452822 -2 *388:B_N 2.3034e-05 -3 *495:X 0.000868451 -4 *191:13 0.00134431 -5 *390:B *471:D 7.1815e-05 -6 *221:DIODE *191:13 0.000247443 -7 *224:DIODE *191:13 6.08467e-05 -8 *229:DIODE *191:13 6.08467e-05 -9 *373:A *390:B 0.000107496 -10 *385:A *191:13 0.00016124 -11 *474:A *390:B 5.35941e-05 -12 *490:A *191:13 6.36477e-05 -13 *493:A *191:13 0.000158335 -14 *494:A *191:13 9.12416e-06 -15 *495:A *191:13 4.00046e-05 -16 *499:A *191:13 0.000203756 -17 *2:33 *191:13 0.000135322 -18 *3:25 *191:13 0.000691693 -19 *3:29 *191:13 0.000124053 -20 *12:30 *191:13 0 -21 *16:15 *191:13 0.000180398 -22 *71:18 *191:13 0.000220051 -23 *93:23 *390:B 0.000837581 -24 *94:7 *191:13 6.36477e-05 -25 *96:16 *390:B 5.9036e-05 -26 *97:23 *390:B 3.29488e-05 -27 *111:14 *390:B 1.4106e-05 -28 *111:14 *191:13 3.73692e-05 -29 *137:18 *390:B 2.1359e-05 -30 *153:29 *390:B 0.000359557 -31 *167:20 *191:13 0.000114584 -32 *179:8 *390:B 5.21758e-06 +1 *555:A 0.000106866 +2 *476:Q 0.000582044 +3 *175:17 0.00123683 +4 *175:7 0.00171201 +5 *555:A *486:D 2.64013e-05 +6 *175:17 *430:B 0.000335578 +7 *175:17 *494:D 0.00030917 +8 *175:17 *495:D 9.54239e-05 +9 *175:17 *520:A 0.000362299 +10 *175:17 *562:A 0.000152268 +11 *175:17 *202:20 0.000143027 +12 *386:A *175:17 7.26748e-05 +13 *425:A *175:7 2.41274e-06 +14 *429:A *175:17 5.64215e-05 +15 *431:A *175:17 3.38846e-05 +16 *488:D *175:7 0.000111802 +17 *540:A *555:A 0.000224169 +18 *8:39 *175:17 0.000476838 +19 *9:46 *175:17 3.57473e-05 +20 *12:13 *175:17 0.000508277 +21 *13:17 *175:17 0.000347627 +22 *57:11 *175:7 1.88012e-05 +23 *66:11 *175:17 4.85706e-05 +24 *89:15 *175:17 0.000159365 +25 *169:20 *555:A 0.000131778 +26 *169:20 *175:17 5.20546e-06 *RES -1 *495:X *191:13 45.6703 -2 *191:13 *388:B_N 9.82786 -3 *191:13 *390:B 31.4046 +1 *476:Q *175:7 21.6824 +2 *175:7 *175:17 47.8808 +3 *175:17 *555:A 18.0727 *END -*D_NET *192 0.00559984 +*D_NET *176 0.000612977 *CONN -*I *420:B I *D sky130_fd_sc_hd__or2_1 -*I *418:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *496:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *556:A I *D sky130_fd_sc_hd__buf_2 +*I *480:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *420:B 9.95578e-05 -2 *418:B_N 0.000134813 -3 *496:X 0.00159178 -4 *192:17 0.00182616 -5 *418:B_N *206:24 0.000209611 -6 *420:B *206:24 0.000145171 -7 *192:17 *193:16 8.47356e-05 -8 *379:A *192:17 9.34993e-05 -9 *387:A *192:17 0.000111342 -10 *417:A *192:17 5.1584e-05 -11 *429:A *192:17 8.45425e-05 -12 *448:CLK_N *192:17 4.49625e-06 -13 *453:SET_B *192:17 0.000247443 -14 *475:A *420:B 6.27782e-05 -15 *496:A *192:17 0.000114594 -16 *103:11 *418:B_N 2.90836e-05 -17 *112:13 *418:B_N 0.00012372 -18 *112:13 *420:B 0.000113309 -19 *116:16 *192:17 4.46403e-05 -20 *152:81 *420:B 6.27782e-05 -21 *159:17 *192:17 0.000301919 -22 *160:27 *192:17 0 -23 *162:16 *192:17 5.54585e-05 -24 *164:46 *420:B 2.55661e-06 -25 *164:48 *420:B 4.2664e-06 +1 *556:A 9.58123e-05 +2 *480:Q 9.58123e-05 +3 *556:A *177:38 0.000107496 +4 *556:A *178:7 3.82228e-05 +5 *377:B *556:A 0.000132607 +6 *488:D *556:A 0.000143027 *RES -1 *496:X *192:17 49.6821 -2 *192:17 *418:B_N 18.0727 -3 *192:17 *420:B 17.5503 +1 *480:Q *556:A 31.4388 *END -*D_NET *193 0.00943259 +*D_NET *177 0.0121771 *CONN -*I *400:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *402:B I *D sky130_fd_sc_hd__or2_1 -*I *497:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *403:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *405:B I *D sky130_fd_sc_hd__or2_1 +*I *542:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *400:B_N 0 -2 *402:B 0.000584629 -3 *497:X 0.000842413 -4 *193:38 0.00210425 -5 *193:16 0.00236203 -6 *193:16 *461:D 0.000107496 -7 *193:38 *451:D 6.14474e-06 -8 *193:38 *453:D 7.366e-06 -9 *193:38 *202:8 5.65691e-05 -10 *193:38 *203:8 9.09456e-05 -11 *229:DIODE *193:38 0.000126495 -12 *355:A *193:16 2.57847e-05 -13 *356:A *402:B 9.12416e-06 -14 *356:A *193:38 0.000645021 -15 *380:A *193:38 0.000134071 -16 *385:A *193:38 4.65119e-05 -17 *400:A *402:B 0.000803876 -18 *417:A *193:16 5.21758e-06 -19 *451:CLK_N *193:38 4.28856e-07 -20 *453:SET_B *193:38 0 -21 *453:CLK_N *193:38 1.00901e-05 -22 *461:CLK *193:16 1.1957e-05 -23 *462:CLK *193:16 2.96915e-05 -24 *497:A *193:16 4.87439e-05 -25 *2:33 *193:38 3.60933e-06 -26 *4:8 *402:B 0.000101079 -27 *5:8 *193:38 7.48876e-06 -28 *9:17 *193:16 6.40758e-05 -29 *12:30 *193:16 0 -30 *15:15 *402:B 8.53037e-06 -31 *26:20 *193:16 0.000207327 -32 *93:23 *193:38 3.31749e-05 -33 *94:33 *193:38 4.15661e-05 -34 *102:12 *193:38 9.02963e-06 -35 *111:14 *193:38 1.09407e-05 -36 *116:16 *193:16 5.51831e-06 -37 *117:23 *193:16 2.15007e-05 -38 *123:20 *193:16 0.000227973 -39 *123:33 *193:16 5.84166e-05 -40 *152:38 *193:16 0.000389934 -41 *152:59 *193:16 6.40758e-05 -42 *164:72 *402:B 3.5534e-06 -43 *166:11 *193:16 3.12044e-05 -44 *192:17 *193:16 8.47356e-05 +1 *403:B_N 0 +2 *405:B 0 +3 *542:X 0.000770124 +4 *177:38 0.00113441 +5 *177:18 0.00142438 +6 *177:17 0.0010601 +7 *177:17 *486:D 0.000112361 +8 *177:18 *219:12 0.000917507 +9 *177:38 *518:A 9.51287e-05 +10 *177:38 *520:A 7.13972e-05 +11 *177:38 *178:7 0.000124878 +12 *177:38 *218:13 2.23193e-05 +13 *237:DIODE *177:17 7.58194e-05 +14 *375:A *177:38 0.000297054 +15 *423:A *177:38 0.00063852 +16 *486:SET_B *177:17 2.6437e-05 +17 *501:A *177:38 0.000351376 +18 *502:A *177:38 0.00109612 +19 *503:A *177:38 0.000124975 +20 *532:A *177:18 0.000154785 +21 *532:A *177:38 1.29445e-05 +22 *533:A *177:17 6.08467e-05 +23 *538:A *177:17 0 +24 *540:A *177:17 4.27121e-06 +25 *547:A *177:17 0 +26 *556:A *177:38 0.000107496 +27 *8:39 *177:38 0.00070009 +28 *9:25 *177:38 0.000421006 +29 *9:46 *177:17 0.000107496 +30 *12:13 *177:38 4.74387e-05 +31 *36:29 *177:17 0.000239753 +32 *41:29 *177:17 0.000146284 +33 *42:33 *177:17 8.32528e-05 +34 *66:11 *177:38 6.08467e-05 +35 *89:30 *177:38 0.000270011 +36 *89:39 *177:17 1.3808e-05 +37 *100:16 *177:38 4.01529e-05 +38 *119:16 *177:18 0.000516601 +39 *150:19 *177:38 0 +40 *159:16 *177:17 6.08467e-05 +41 *164:19 *177:17 6.64392e-05 +42 *164:19 *177:38 1.99238e-06 +43 *165:23 *177:38 0.000550409 +44 *166:8 *177:17 0.000167441 *RES -1 *497:X *193:16 47.454 -2 *193:16 *193:38 36.5003 -3 *193:38 *402:B 22.5942 -4 *193:38 *400:B_N 13.7491 +1 *542:X *177:17 42.5749 +2 *177:17 *177:18 15.9506 +3 *177:18 *405:B 13.7491 +4 *177:18 *177:38 47.0754 +5 *177:38 *403:B_N 9.24915 *END -*D_NET *194 0.00757837 +*D_NET *178 0.0133325 *CONN -*I *394:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *396:B I *D sky130_fd_sc_hd__or2_1 -*I *498:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *557:A I *D sky130_fd_sc_hd__buf_2 +*I *456:A I *D sky130_fd_sc_hd__or2b_1 +*I *479:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *394:B_N 6.71495e-05 -2 *396:B 0 -3 *498:X 0.000649039 -4 *194:23 0.000728956 -5 *194:13 0.00131085 -6 *194:13 *195:15 0.00060568 -7 *194:23 *195:33 0.000905228 -8 *230:DIODE *194:13 0.000354699 -9 *395:A *194:23 0.000154095 -10 *448:RESET_B *194:13 3.95165e-05 -11 *452:SET_B *394:B_N 2.39189e-05 -12 *452:SET_B *194:23 1.4106e-05 -13 *480:A *194:13 0.000189301 -14 *481:A *194:13 0.000250029 -15 *1:30 *194:13 0.000143175 -16 *7:15 *194:23 0.000153864 -17 *7:27 *194:13 0.000447312 -18 *12:20 *194:23 2.1109e-05 -19 *92:10 *194:13 5.18062e-06 -20 *92:35 *194:23 1.91246e-05 -21 *99:7 *394:B_N 0.000259406 -22 *99:7 *194:23 0.000203685 -23 *157:9 *194:13 7.08444e-05 -24 *157:15 *194:13 0.000209863 -25 *158:14 *194:13 1.67286e-05 -26 *158:14 *194:23 0.000662814 -27 *164:105 *394:B_N 7.26953e-05 +1 *557:A 7.17371e-05 +2 *456:A 0.0014619 +3 *479:Q 0.000270267 +4 *178:48 0.00149586 +5 *178:7 0.00315629 +6 *456:A *499:D 0.000164576 +7 *456:A *188:46 0.000292819 +8 *557:A *187:22 1.14605e-05 +9 *178:7 *520:A 6.3657e-05 +10 *178:7 *527:A 0.000357911 +11 *178:48 *475:D 0.000289033 +12 pad_gpio_ib_mode_sel *456:A 0.000386189 +13 pad_gpio_ib_mode_sel *178:7 0.000294093 +14 pad_gpio_outenb *456:A 0 +15 *375:A *178:7 0.000154145 +16 *375:A *178:48 0.000417379 +17 *473:A0 *456:A 0.000638189 +18 *475:SET_B *178:48 0.000124605 +19 *556:A *178:7 3.82228e-05 +20 *4:7 *178:48 0.000264309 +21 *4:17 *456:A 0.000459853 +22 *4:17 *178:48 8.74081e-05 +23 *37:9 *178:48 2.20471e-05 +24 *39:29 *456:A 0.000418265 +25 *48:12 *456:A 0.000594029 +26 *62:16 *178:48 0.000507944 +27 *105:9 *557:A 0.000143161 +28 *108:8 *557:A 2.8322e-05 +29 *108:16 *178:48 0.000754661 +30 *114:8 *178:48 7.60183e-05 +31 *158:38 *178:48 3.31596e-05 +32 *163:22 *178:48 0.000130102 +33 *177:38 *178:7 0.000124878 *RES -1 *498:X *194:13 46.6319 -2 *194:13 *194:23 32.9543 -3 *194:23 *396:B 9.24915 -4 *194:23 *394:B_N 12.7697 +1 *479:Q *178:7 23.3462 +2 *178:7 *456:A 49.9127 +3 *178:7 *178:48 47.4693 +4 *178:48 *557:A 16.4116 *END -*D_NET *195 0.00924579 +*D_NET *179 0.00752298 *CONN -*I *363:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *365:B I *D sky130_fd_sc_hd__or2_1 -*I *499:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *558:A I *D sky130_fd_sc_hd__buf_2 +*I *473:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *363:B_N 8.81138e-05 -2 *365:B 0 -3 *499:X 0.00077616 -4 *195:33 0.00164408 -5 *195:15 0.00233212 -6 *195:33 *465:D 1.83992e-05 -7 *365:A *363:B_N 6.27718e-05 -8 *448:RESET_B *195:15 4.3122e-05 -9 *480:A *195:15 5.34182e-05 -10 *498:A *195:15 2.58616e-05 -11 *7:15 *195:33 3.13169e-05 -12 *7:27 *195:15 0.000346525 -13 *12:20 *195:33 3.46522e-06 -14 *15:15 *195:33 0.000229935 -15 *89:9 *363:B_N 0.00030844 -16 *89:9 *195:33 3.96696e-05 -17 *92:35 *195:33 0.000302458 -18 *112:20 *195:15 9.40106e-05 -19 *123:33 *195:15 0.000569786 -20 *157:9 *195:15 7.08444e-05 -21 *157:15 *195:15 8.24433e-06 -22 *158:14 *195:15 0.000241405 -23 *158:28 *195:33 2.85729e-05 -24 *161:22 *195:15 0.00011015 -25 *164:77 *363:B_N 0.00016553 -26 *164:91 *195:33 2.74744e-05 -27 *164:100 *195:33 0.000106774 -28 *167:20 *195:33 6.23715e-06 -29 *194:13 *195:15 0.00060568 -30 *194:23 *195:33 0.000905228 +1 *558:A 1.91831e-05 +2 *473:X 0.0008884 +3 *179:28 6.99126e-05 +4 *179:27 0.00135262 +5 *179:23 0.00219029 +6 *179:23 *512:A 0.000133943 +7 *179:23 *182:16 6.74955e-05 +8 *179:23 *194:11 6.04286e-05 +9 *179:23 *232:8 0.000206696 +10 *179:27 *430:B 6.27782e-05 +11 *179:27 *476:D 9.9223e-06 +12 *179:27 *496:D 0.000154145 +13 *376:A *179:23 6.3657e-05 +14 *419:A *558:A 5.88657e-05 +15 *430:A *179:27 5.28741e-05 +16 *431:A *179:27 3.95573e-06 +17 *481:RESET_B *558:A 5.88657e-05 +18 *481:RESET_B *179:27 0.000347938 +19 *487:SET_B *179:23 2.37497e-05 +20 *496:RESET_B *179:23 0.000205687 +21 *496:RESET_B *179:27 3.71455e-05 +22 *496:CLK *179:23 1.60442e-05 +23 *496:CLK *179:27 0.000115892 +24 *503:A *179:23 9.17885e-05 +25 *537:A *179:23 0.000170789 +26 *48:12 *179:23 0.000198008 +27 *151:11 *179:23 0.000352533 +28 *151:40 *179:23 0.000155183 +29 *163:12 *179:23 0.000258819 +30 *163:252 *179:23 9.53779e-05 *RES -1 *499:X *195:15 47.0794 -2 *195:15 *195:33 49.1199 -3 *195:33 *365:B 9.24915 -4 *195:33 *363:B_N 13.3243 +1 *473:X *179:23 44.9767 +2 *179:23 *179:27 28.8291 +3 *179:27 *179:28 57.9449 +4 *179:28 *558:A 19.2217 *END -*D_NET *196 0.00210512 +*D_NET *180 0.00370113 *CONN -*I *435:B I *D sky130_fd_sc_hd__and2_1 -*I *456:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *472:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *559:A I *D sky130_fd_sc_hd__buf_2 +*I *470:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *435:B 0.000461655 -2 *456:D 0.000191618 -3 *472:Q 4.28958e-05 -4 *196:5 0.000696169 -5 *376:A *456:D 4.89251e-05 -6 *455:RESET_B *456:D 4.13313e-05 -7 *456:CLK_N *456:D 8.64141e-05 -8 *164:172 *435:B 9.32983e-05 -9 *164:172 *196:5 6.27718e-05 -10 *164:176 *435:B 4.4146e-05 -11 *172:13 *435:B 0.000112902 -12 *180:11 *456:D 0.000113396 -13 *189:18 *435:B 0.000109596 +1 *559:A 0 +2 *470:X 0.00110958 +3 *180:15 0.00110958 +4 *180:15 *526:A 0.000108721 +5 *180:15 *188:46 0.000182606 +6 *470:A1 *180:15 0.000154145 +7 *83:15 *180:15 0.000189777 +8 *132:14 *180:15 0.000107496 +9 *165:23 *180:15 0.000634817 +10 *170:18 *180:15 0.000104417 *RES -1 *472:Q *196:5 9.97254 -2 *196:5 *456:D 23.8507 -3 *196:5 *435:B 26.2112 +1 *470:X *180:15 42.6455 +2 *180:15 *559:A 9.24915 *END -*D_NET *197 0.00741507 +*D_NET *181 0.00390543 *CONN -*I *447:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *461:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *460:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *560:A I *D sky130_fd_sc_hd__buf_2 +*I *477:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *447:D 0 -2 *461:D 0.00128438 -3 *460:Q 0.000564781 -4 *197:14 0.00184916 -5 *197:14 *198:17 2.70631e-05 -6 *404:A *461:D 2.07365e-05 -7 *424:A *197:14 0.000207597 -8 *447:SET_B *461:D 0.00010072 -9 *447:CLK_N *461:D 6.08467e-05 -10 *447:CLK_N *197:14 3.60933e-06 -11 *449:SET_B *461:D 1.5714e-05 -12 *461:CLK *461:D 5.04829e-06 -13 *510:A *197:14 3.40414e-05 -14 *512:A *461:D 5.8518e-05 -15 *1:30 *461:D 0.00141246 -16 *54:17 *461:D 4.94724e-05 -17 *63:16 *461:D 5.49916e-05 -18 *63:16 *197:14 0 -19 *108:21 *461:D 7.807e-05 -20 *114:19 *197:14 0.000272802 -21 *117:23 *197:14 0.000560957 -22 *156:21 *461:D 0.000461573 -23 *160:27 *461:D 3.16163e-05 -24 *161:23 *197:14 0 -25 *164:15 *197:14 0.000153419 -26 *193:16 *461:D 0.000107496 +1 *560:A 0 +2 *477:Q 0.00143994 +3 *181:13 0.00143994 +4 *181:13 *477:D 5.71849e-05 +5 *181:13 *566:A 7.87484e-05 +6 *181:13 *182:16 0.000121519 +7 *181:13 *187:22 1.58881e-05 +8 resetn_out *181:13 5.69287e-05 +9 *399:A *181:13 0.000108597 +10 *440:A *181:13 1.83294e-06 +11 *442:A *181:13 0.00015373 +12 *477:RESET_B *181:13 5.22855e-05 +13 *477:SET_B *181:13 2.11308e-05 +14 *31:15 *181:13 0.000115489 +15 *105:9 *181:13 0.000242228 *RES -1 *460:Q *197:14 34.4485 -2 *197:14 *461:D 41.3448 -3 *197:14 *447:D 9.24915 +1 *477:Q *181:13 45.7959 +2 *181:13 *560:A 9.24915 *END -*D_NET *198 0.00574155 +*D_NET *182 0.0044496 *CONN -*I *454:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *471:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *470:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *561:A I *D sky130_fd_sc_hd__buf_2 +*I *478:Q O *D sky130_fd_sc_hd__dfbbn_1 *CAP -1 *454:D 0 -2 *471:D 0.000696241 -3 *470:Q 0.000863012 -4 *198:17 0.00155925 -5 *471:D *206:24 0 -6 serial_clock_out *198:17 3.74798e-05 -7 *379:A *471:D 0.000189032 -8 *390:B *471:D 7.1815e-05 -9 *447:CLK_N *198:17 0 -10 *454:CLK_N *471:D 0.000652391 -11 *475:A *198:17 0 -12 *3:20 *471:D 6.08467e-05 -13 *26:20 *198:17 4.69495e-06 -14 *48:24 *198:17 0 -15 *53:28 *198:17 0 -16 *89:41 *471:D 8.22431e-05 -17 *114:19 *198:17 0.00015901 -18 *115:12 *198:17 0.000157107 -19 *119:20 *198:17 0.000883091 -20 *137:18 *471:D 1.52896e-05 -21 *154:8 *471:D 3.27621e-05 -22 *154:8 *198:17 5.52748e-05 -23 *156:21 *198:17 0.000194945 -24 *197:14 *198:17 2.70631e-05 +1 *561:A 0 +2 *478:Q 0.00129223 +3 *182:16 0.00129223 +4 *182:16 *477:D 1.29211e-05 +5 *400:A *182:16 9.62255e-05 +6 *440:B_N *182:16 7.76772e-05 +7 *478:RESET_B *182:16 7.13972e-05 +8 *478:SET_B *182:16 1.738e-05 +9 *28:16 *182:16 0.000115554 +10 *38:12 *182:16 0.000728401 +11 *105:9 *182:16 0.000104295 +12 *151:40 *182:16 0.000130149 +13 *151:51 *182:16 0.000318253 +14 *163:252 *182:16 3.87022e-06 +15 *179:23 *182:16 6.74955e-05 +16 *181:13 *182:16 0.000121519 *RES -1 *470:Q *198:17 47.2684 -2 *198:17 *471:D 35.6192 -3 *198:17 *454:D 9.24915 +1 *478:Q *182:16 48.0067 +2 *182:16 *561:A 9.24915 *END -*D_NET *199 0.00169105 +*D_NET *183 0.00836206 *CONN -*I *455:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *472:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *471:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *562:A I *D sky130_fd_sc_hd__buf_2 +*I *467:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *455:D 0 -2 *472:D 0.000107642 -3 *471:Q 0.000407737 -4 *199:8 0.000515379 -5 *472:D *206:24 0.000158203 -6 *199:8 *206:24 0.000113104 -7 *3:20 *199:8 5.63639e-06 -8 *132:21 *472:D 0.000158121 -9 *132:21 *199:8 0.000104124 -10 *164:250 *199:8 0.000111672 -11 *180:11 *472:D 9.43222e-06 +1 *562:A 0.000276566 +2 *467:X 0.00188983 +3 *183:21 0.0021664 +4 *183:21 *185:16 0.000110413 +5 *421:A *183:21 0.000298535 +6 *425:A *562:A 0.000242366 +7 *426:A *183:21 2.44579e-05 +8 *429:A *183:21 0 +9 *431:A *562:A 0.000396631 +10 *475:RESET_B *562:A 0.000258829 +11 *476:SET_B *183:21 3.01523e-05 +12 *479:CLK_N *183:21 0.000338104 +13 *489:RESET_B *183:21 1.5897e-05 +14 *529:A *183:21 6.7437e-05 +15 *5:20 *183:21 0.000659268 +16 *8:18 *183:21 5.26844e-05 +17 *9:25 *183:21 0.00051669 +18 *12:13 *562:A 4.43478e-05 +19 *12:13 *183:21 0 +20 *28:16 *183:21 1.88646e-05 +21 *100:16 *183:21 2.42386e-05 +22 *119:22 *183:21 1.35317e-05 +23 *120:10 *183:21 0.000581212 +24 *155:17 *183:21 0.000112367 +25 *163:99 *562:A 7.09681e-05 +26 *175:17 *562:A 0.000152268 *RES -1 *471:Q *199:8 21.1566 -2 *199:8 *472:D 17.5503 -3 *199:8 *455:D 13.7491 +1 *467:X *183:21 48.9158 +2 *183:21 *562:A 25.1228 *END -*D_NET *200 0.00265912 +*D_NET *184 0.0108789 *CONN -*I *453:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *462:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *461:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *563:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *468:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *453:D 0.000355214 -2 *462:D 0.000121868 -3 *461:Q 0.000376076 -4 *200:9 0.000853158 -5 *380:A *453:D 0 -6 *404:A *200:9 2.58563e-05 -7 *448:CLK_N *462:D 0.000124019 -8 *448:CLK_N *200:9 0.000119927 -9 *449:SET_B *200:9 6.22259e-05 -10 *451:SET_B *200:9 3.42037e-06 -11 *453:SET_B *200:9 6.3657e-05 -12 *462:RESET_B *200:9 2.52414e-06 -13 *66:16 *453:D 0.000132432 -14 *66:16 *200:9 0 -15 *94:33 *453:D 7.29623e-05 -16 *94:46 *453:D 5.01835e-05 -17 *94:64 *453:D 0.000129176 -18 *102:12 *453:D 0 -19 *102:12 *200:9 0.000118465 -20 *108:21 *200:9 9.12416e-06 -21 *164:332 *200:9 3.14677e-05 -22 *193:38 *453:D 7.366e-06 +1 *563:A 0.00103309 +2 *468:X 0.000294327 +3 *184:8 0.00290834 +4 *184:7 0.00216958 +5 *184:8 *463:B 0.000602756 +6 *184:8 *497:D 0 +7 *184:8 *187:13 0.000217824 +8 *184:8 *187:22 0.00180168 +9 *236:DIODE *563:A 5.93034e-05 +10 *400:A *184:7 0.0003012 +11 *412:A *184:8 2.02805e-05 +12 *434:B_N *184:8 6.46193e-05 +13 *468:A *184:7 0.000122488 +14 *473:A0 *184:8 3.01628e-05 +15 *488:CLK *184:7 0.000346514 +16 *498:RESET_B *184:8 0 +17 *505:A *184:8 3.13549e-05 +18 *551:A *184:8 1.94615e-05 +19 *23:16 *184:8 4.2185e-05 +20 *24:26 *184:8 0.000130085 +21 *26:21 *563:A 9.94284e-06 +22 *119:16 *184:8 1.5714e-05 +23 *162:8 *563:A 5.23657e-05 +24 *163:12 *184:8 3.09374e-06 +25 *163:214 *184:7 6.08467e-05 +26 *163:214 *184:8 0 +27 *163:222 *184:7 9.32983e-05 +28 *163:260 *184:8 7.03953e-05 +29 *165:14 *563:A 0.000377955 +30 *170:18 *184:8 0 *RES -1 *461:Q *200:9 28.2847 -2 *200:9 *462:D 12.7456 -3 *200:9 *453:D 26.1145 +1 *468:X *184:7 22.7916 +2 *184:7 *184:8 54.569 +3 *184:8 *563:A 41.1176 *END -*D_NET *201 0.00374672 +*D_NET *185 0.00680541 *CONN -*I *448:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *463:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *462:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *564:A I *D sky130_fd_sc_hd__buf_2 +*I *464:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *448:D 0 -2 *463:D 0.000983001 -3 *462:Q 0.000248717 -4 *201:8 0.00123172 -5 *463:D *202:8 2.77428e-05 -6 *229:DIODE *463:D 1.43698e-05 -7 *379:A *463:D 3.18342e-06 -8 *414:A *201:8 1.16748e-05 -9 *453:SET_B *201:8 6.27718e-05 -10 *463:RESET_B *463:D 0.000150008 -11 *3:20 *463:D 6.93171e-05 -12 *96:16 *463:D 0.000191472 -13 *96:16 *201:8 0.000121922 -14 *97:23 *463:D 9.67358e-05 -15 *97:23 *201:8 0.000131046 -16 *152:17 *463:D 0.000212084 -17 *161:22 *463:D 2.26092e-05 -18 *164:282 *463:D 0.000168348 +1 *564:A 0 +2 *464:X 0.00191633 +3 *185:16 0.00191633 +4 *437:A *185:16 7.25454e-05 +5 *452:B_N *185:16 3.60047e-05 +6 *464:A *185:16 1.43698e-05 +7 *476:SET_B *185:16 4.75964e-05 +8 *1:10 *185:16 0.000164568 +9 *2:15 *185:16 0.000147367 +10 *5:14 *185:16 6.66437e-05 +11 *28:16 *185:16 7.94125e-06 +12 *54:16 *185:16 0.000873871 +13 *115:19 *185:16 0.00133742 +14 *120:10 *185:16 9.40106e-05 +15 *183:21 *185:16 0.000110413 *RES -1 *462:Q *201:8 18.7989 -2 *201:8 *463:D 32.8162 -3 *201:8 *448:D 13.7491 +1 *464:X *185:16 41.8923 +2 *185:16 *564:A 9.24915 *END -*D_NET *202 0.00225796 +*D_NET *186 0.0123091 *CONN -*I *464:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *451:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *463:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *565:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *469:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *464:D 0 -2 *451:D 0.000109287 -3 *463:Q 0.000751119 -4 *202:8 0.000860406 -5 *451:D *203:8 4.27419e-05 -6 *229:DIODE *202:8 2.58757e-05 -7 *463:D *202:8 2.77428e-05 -8 *463:RESET_B *202:8 1.90746e-05 -9 *5:8 *451:D 0.000139506 -10 *5:8 *202:8 0.000146302 -11 *153:29 *451:D 2.84758e-05 -12 *157:28 *451:D 4.47179e-05 -13 *193:38 *451:D 6.14474e-06 -14 *193:38 *202:8 5.65691e-05 +1 *565:A 0.00159767 +2 *469:X 1.71179e-05 +3 *186:8 0.0029427 +4 *186:7 0.00136215 +5 *565:A *188:16 0.000132973 +6 mgmt_gpio_in *186:8 6.19088e-05 +7 *239:DIODE *565:A 4.01946e-05 +8 *412:A *565:A 0.00042983 +9 *469:A *186:8 0.000146746 +10 *473:A1 *186:8 0.000896355 +11 *473:S *186:8 0.000163214 +12 *477:SET_B *186:8 0.000102523 +13 *505:A *565:A 0.000208621 +14 *16:16 *565:A 1.4106e-05 +15 *27:11 *186:7 1.43832e-05 +16 *31:15 *186:7 6.27782e-05 +17 *50:25 *186:8 0.000129005 +18 *81:10 *186:8 2.58019e-05 +19 *117:12 *186:8 0.00140016 +20 *153:39 *186:8 2.91201e-05 +21 *153:51 *186:8 1.91246e-05 +22 *154:8 *186:8 0.00103714 +23 *160:19 *186:8 7.30068e-06 +24 *169:20 *186:8 0.000382262 +25 *174:11 *186:8 0.0010859 *RES -1 *463:Q *202:8 25.8693 -2 *202:8 *451:D 17.6896 -3 *202:8 *464:D 13.7491 +1 *469:X *186:7 14.4725 +2 *186:7 *186:8 56.23 +3 *186:8 *565:A 38.7545 *END -*D_NET *203 0.00262683 +*D_NET *187 0.00901913 *CONN -*I *452:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *465:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *464:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *566:A I *D sky130_fd_sc_hd__buf_2 +*I *505:Z O *D sky130_fd_sc_hd__einvp_2 *CAP -1 *452:D 0 -2 *465:D 0.000188072 -3 *464:Q 0.000897269 -4 *203:8 0.00108534 -5 *451:D *203:8 4.27419e-05 -6 *452:SET_B *465:D 0 -7 *464:RESET_B *203:8 2.99747e-05 -8 *2:26 *203:8 6.08467e-05 -9 *5:8 *465:D 4.60899e-05 -10 *5:8 *203:8 0.000102424 -11 *158:28 *465:D 3.00829e-05 -12 *164:100 *465:D 1.03594e-05 -13 *178:22 *203:8 2.42863e-05 -14 *193:38 *203:8 9.09456e-05 -15 *195:33 *465:D 1.83992e-05 +1 *566:A 0.000402064 +2 *505:Z 0.000264738 +3 *187:22 0.00170139 +4 *187:13 0.00156406 +5 *187:13 *484:D 3.82228e-05 +6 *187:13 *525:A 1.50924e-05 +7 *187:22 *194:11 5.65322e-05 +8 resetn_out *187:22 0.000579692 +9 *440:A *566:A 0.00049739 +10 *440:B_N *187:22 9.43512e-05 +11 *442:A *187:22 3.06451e-05 +12 *452:B_N *566:A 3.82228e-05 +13 *473:A0 *187:22 0.000595197 +14 *505:A *187:13 3.82228e-05 +15 *505:TE *187:13 1.66771e-05 +16 *557:A *187:22 1.14605e-05 +17 *62:16 *566:A 0.000302975 +18 *158:38 *187:22 0 +19 *163:187 *566:A 0.000107496 +20 *163:252 *187:22 0.00045496 +21 *163:260 *187:22 9.56095e-05 +22 *170:18 *187:13 0 +23 *181:13 *566:A 7.87484e-05 +24 *181:13 *187:22 1.58881e-05 +25 *184:8 *187:13 0.000217824 +26 *184:8 *187:22 0.00180168 *RES -1 *464:Q *203:8 30.5737 -2 *203:8 *465:D 18.6983 -3 *203:8 *452:D 13.7491 +1 *505:Z *187:13 29.6287 +2 *187:13 *187:22 49.3961 +3 *187:22 *566:A 23.5242 *END -*D_NET *204 0.00146531 +*D_NET *188 0.0114077 *CONN -*I *457:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *466:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *465:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *399:B I *D sky130_fd_sc_hd__or2_1 +*I *397:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *543:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *457:D 0 -2 *466:D 0.00044679 -3 *465:Q 4.16064e-05 -4 *204:8 0.000488397 -5 *452:SET_B *466:D 0 -6 *457:CLK_N *466:D 0 -7 *466:RESET_B *466:D 8.49775e-05 -8 *5:8 *466:D 0 -9 *99:7 *466:D 7.1769e-05 -10 *151:13 *204:8 0.000122858 -11 *151:15 *466:D 7.16754e-05 -12 *157:28 *466:D 0 -13 *157:28 *204:8 0.000122858 -14 *157:31 *466:D 1.43832e-05 +1 *399:B 0.000453396 +2 *397:B_N 0 +3 *543:X 0.000847004 +4 *188:46 0.00137428 +5 *188:25 0.00132556 +6 *188:16 0.00125167 +7 *399:B *222:10 0.000110306 +8 *188:16 *219:11 0.000206066 +9 *188:16 *220:14 1.00901e-05 +10 *188:25 *483:D 0.000156763 +11 *188:46 *497:D 1.13085e-05 +12 *188:46 *224:8 1.65872e-05 +13 *188:46 *232:8 9.13968e-05 +14 pad_gpio_ib_mode_sel *188:46 0.000317787 +15 *376:A *188:46 0.000298986 +16 *378:A *188:46 0.000285381 +17 *388:A *188:25 0.000279629 +18 *399:A *399:B 0.000297054 +19 *409:A *188:25 2.6715e-05 +20 *409:B_N *188:16 0.000113953 +21 *409:B_N *188:25 4.31485e-06 +22 *410:A *188:16 0 +23 *434:B_N *399:B 0.000318509 +24 *456:A *188:46 0.000292819 +25 *468:A *399:B 0.000107496 +26 *486:RESET_B *188:16 0.000104843 +27 *487:RESET_B *188:46 6.50088e-05 +28 *488:CLK *399:B 0.000134145 +29 *497:CLK *188:46 7.78924e-05 +30 *499:RESET_B *188:25 6.08467e-05 +31 *499:RESET_B *188:46 6.08467e-05 +32 *542:A *188:16 1.06107e-05 +33 *543:A *188:16 5.04829e-06 +34 *547:A *188:16 0.000112522 +35 *548:A *188:16 6.46815e-05 +36 *565:A *188:16 0.000132973 +37 *3:13 *188:16 6.67241e-05 +38 *3:13 *188:25 0.000446339 +39 *4:17 *188:46 1.02611e-05 +40 *39:17 *188:16 0.000367928 +41 *89:39 *188:16 5.51483e-06 +42 *133:14 *188:25 6.08467e-05 +43 *133:14 *188:46 0.000139947 +44 *151:11 *188:46 3.31745e-05 +45 *158:38 *188:46 0.000103207 +46 *159:16 *188:25 6.40334e-05 +47 *163:12 *188:46 6.64671e-06 +48 *163:70 *399:B 9.69998e-06 +49 *163:70 *188:46 9.48916e-05 +50 *163:214 *399:B 9.00591e-06 +51 *163:276 *188:46 0.00014305 +52 *163:398 *188:25 0.000210479 +53 *165:14 *188:16 0 +54 *165:23 *188:46 0.000204273 +55 *166:8 *188:16 0.00017368 +56 *170:18 *188:46 4.8873e-05 +57 *180:15 *188:46 0.000182606 *RES -1 *465:Q *204:8 20.4964 -2 *204:8 *466:D 29.0109 -3 *204:8 *457:D 9.24915 +1 *543:X *188:16 46.9489 +2 *188:16 *188:25 19.7939 +3 *188:25 *397:B_N 9.24915 +4 *188:25 *188:46 49.6293 +5 *188:46 *399:B 29.847 *END -*D_NET *205 0.00165258 +*D_NET *189 0.00906759 *CONN -*I *458:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *467:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *466:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *465:A I *D sky130_fd_sc_hd__buf_1 +*I *379:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *458:D 0.000312893 -2 *467:D 0 -3 *466:Q 0.000135134 -4 *205:9 0.000448027 -5 *458:D *206:10 5.67013e-05 -6 *364:A *458:D 0.000306226 -7 *367:A *458:D 0.000112367 -8 *457:SET_B *458:D 0.000103434 -9 *467:CLK *205:9 0.000117376 -10 *13:18 *458:D 6.04178e-05 +1 *465:A 0.0013856 +2 *379:Y 0.000565753 +3 *189:10 0.00195135 +4 *465:A *493:D 2.84661e-05 +5 *465:A *193:13 1.9292e-05 +6 *465:A *229:7 0.00025233 +7 *189:10 *202:20 1.60865e-05 +8 *378:A *189:10 0.000538133 +9 *396:A *465:A 6.08467e-05 +10 *426:A *465:A 2.58616e-05 +11 *477:CLK_N *189:10 0.000160942 +12 *488:D *189:10 0.00107908 +13 *540:A *189:10 4.60375e-07 +14 *2:15 *189:10 0.000358911 +15 *38:26 *189:10 0.000506071 +16 *83:15 *465:A 0.0010033 +17 *120:10 *465:A 1.04731e-05 +18 *150:13 *465:A 0.000338055 +19 *155:17 *189:10 0.000659099 +20 *159:37 *189:10 0.000107496 *RES -1 *466:Q *205:9 12.9385 -2 *205:9 *467:D 9.24915 -3 *205:9 *458:D 28.8687 +1 *379:Y *189:10 46.2855 +2 *189:10 *465:A 38.5226 *END -*D_NET *206 0.0121528 +*D_NET *190 0.00210308 *CONN -*I *468:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *459:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *467:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *450:A I *D sky130_fd_sc_hd__buf_1 +*I *380:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *468:D 0.000538908 -2 *459:D 0 -3 *467:Q 0.00092193 -4 *206:24 0.00187337 -5 *206:10 0.00225639 -6 *206:24 *450:D 0.000289731 -7 *206:24 *208:8 6.29351e-05 -8 serial_clock_out *468:D 0.00103508 -9 *364:A *206:10 0.000100695 -10 *409:A *206:24 0.00018937 -11 *415:A *206:24 0.000264017 -12 *418:B_N *206:24 0.000209611 -13 *420:B *206:24 0.000145171 -14 *439:A *468:D 9.84318e-05 -15 *447:SET_B *206:24 1.04731e-05 -16 *449:RESET_B *468:D 7.36257e-05 -17 *449:RESET_B *206:24 0.000111359 -18 *450:CLK_N *468:D 2.69087e-05 -19 *452:RESET_B *206:10 0 -20 *453:RESET_B *206:24 0.000279717 -21 *457:SET_B *206:10 0.000168586 -22 *458:D *206:10 5.67013e-05 -23 *461:RESET_B *468:D 5.87761e-05 -24 *467:RESET_B *206:10 0.000160561 -25 *468:CLK *468:D 2.16355e-05 -26 *469:CLK *468:D 0.000140854 -27 *471:D *206:24 0 -28 *472:D *206:24 0.000158203 -29 *13:18 *206:10 0.000131565 -30 *13:18 *206:24 0.000755415 -31 *103:11 *206:24 2.86545e-05 -32 *114:19 *468:D 7.91098e-05 -33 *115:12 *206:24 0.000454171 -34 *132:21 *206:24 0.000166235 -35 *137:18 *206:24 1.03079e-05 -36 *152:81 *206:24 8.44495e-06 -37 *163:42 *468:D 0.000844521 -38 *164:72 *206:10 0.000136732 -39 *164:72 *206:24 3.4101e-05 -40 *164:77 *206:10 9.35979e-05 -41 *186:7 *468:D 9.13394e-06 -42 *188:17 *468:D 2.57465e-06 -43 *190:16 *206:24 3.20773e-05 -44 *199:8 *206:24 0.000113104 +1 *450:A 0.000303141 +2 *380:Y 0.000303141 +3 *450:A *444:A 0.000156076 +4 *450:A *489:D 0.000116505 +5 *450:A *516:A 0.000359527 +6 *481:CLK_N *450:A 2.07503e-05 +7 *6:24 *450:A 0.000488808 +8 *7:19 *450:A 5.31921e-05 +9 *38:33 *450:A 0.000301941 *RES -1 *467:Q *206:10 34.3526 -2 *206:10 *459:D 13.7491 -3 *206:10 *206:24 47.6924 -4 *206:24 *468:D 32.8198 +1 *380:Y *450:A 40.8558 *END -*D_NET *207 0.00113529 +*D_NET *191 0.00169549 *CONN -*I *449:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *469:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *468:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *444:A I *D sky130_fd_sc_hd__buf_1 +*I *381:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *449:D 0.000171331 -2 *469:D 0.000202484 -3 *468:Q 1.71321e-05 -4 *207:5 0.000390947 -5 *461:RESET_B *449:D 0 -6 *469:CLK *469:D 0 -7 *515:A *469:D 2.65667e-05 -8 *115:12 *469:D 8.06767e-05 -9 *164:348 *469:D 0 -10 *185:23 *469:D 6.50586e-05 -11 *186:7 *469:D 5.15114e-05 -12 *186:7 *207:5 1.41307e-05 -13 *188:17 *469:D 9.47003e-05 -14 *188:17 *207:5 2.07503e-05 +1 *444:A 0.000388746 +2 *381:Y 0.000388746 +3 *381:A *444:A 1.65872e-05 +4 *450:A *444:A 0.000156076 +5 *7:19 *444:A 0.000312016 +6 *38:33 *444:A 0.000121304 +7 *104:14 *444:A 0.000312016 *RES -1 *468:Q *207:5 9.97254 -2 *207:5 *469:D 24.4375 -3 *207:5 *449:D 21.3269 +1 *381:Y *444:A 37.5338 *END -*D_NET *208 0.00378059 +*D_NET *192 0.00251981 *CONN -*I *470:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *450:D I *D sky130_fd_sc_hd__dfbbn_1 -*I *469:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *413:A I *D sky130_fd_sc_hd__buf_1 +*I *382:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *470:D 0.000136528 -2 *450:D 0.000296458 -3 *469:Q 0.000515216 -4 *208:8 0.000948202 -5 user_gpio_in *208:8 0.000140284 -6 *403:A *450:D 6.64392e-05 -7 *409:A *208:8 3.04314e-05 -8 *453:RESET_B *450:D 1.58551e-05 -9 *511:A *470:D 1.03403e-05 -10 *511:A *208:8 4.31309e-05 -11 *63:16 *470:D 0.000107496 -12 *115:12 *470:D 1.59634e-05 -13 *163:42 *450:D 0.000150741 -14 *185:23 *208:8 0.00047044 -15 *190:16 *450:D 0.000286256 -16 *190:16 *208:8 0.000194147 -17 *206:24 *450:D 0.000289731 -18 *206:24 *208:8 6.29351e-05 +1 *413:A 0.000864734 +2 *382:Y 0.000864734 +3 *413:A *199:20 7.5909e-06 +4 *382:A *413:A 6.25883e-06 +5 *417:A *413:A 9.12416e-06 +6 *479:SET_B *413:A 0.000257117 +7 *487:CLK_N *413:A 0.000346303 +8 *12:13 *413:A 9.5815e-05 +9 *100:16 *413:A 6.81348e-05 *RES -1 *469:Q *208:8 25.3091 -2 *208:8 *450:D 23.7847 -3 *208:8 *470:D 16.691 +1 *382:Y *413:A 43.7738 +*END + +*D_NET *193 0.00400383 +*CONN +*I *384:A I *D sky130_fd_sc_hd__buf_1 +*I *383:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *384:A 0 +2 *383:Y 0.00107853 +3 *193:13 0.00107853 +4 *193:13 *430:B 0.000242109 +5 *193:13 *493:D 0.000165521 +6 *193:13 *511:A 0.000272271 +7 *193:13 *518:A 0.000112361 +8 *193:13 *218:13 0.000159016 +9 *465:A *193:13 1.9292e-05 +10 *480:CLK_N *193:13 0.000113237 +11 *487:CLK_N *193:13 9.15684e-05 +12 *493:RESET_B *193:13 5.04829e-06 +13 *530:A *193:13 2.42877e-05 +14 *11:18 *193:13 0.000442911 +15 *83:15 *193:13 2.37827e-05 +16 *89:15 *193:13 0.000157107 +17 *150:13 *193:13 1.82679e-05 +*RES +1 *383:Y *193:13 43.725 +2 *193:13 *384:A 9.24915 +*END + +*D_NET *194 0.00381132 +*CONN +*I *478:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *507:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *478:D 0 +2 *507:X 0.000838037 +3 *194:11 0.000838037 +4 *194:11 *498:D 0.000342374 +5 *194:11 *223:5 1.79966e-05 +6 *398:A *194:11 0.000637824 +7 *473:A0 *194:11 0.000218636 +8 *487:SET_B *194:11 0.000244213 +9 *503:A *194:11 6.68253e-06 +10 *48:12 *194:11 4.04681e-05 +11 *163:252 *194:11 0.000414484 +12 *163:260 *194:11 9.56095e-05 +13 *179:23 *194:11 6.04286e-05 +14 *187:22 *194:11 5.65322e-05 +*RES +1 *507:X *194:11 45.6294 +2 *194:11 *478:D 9.24915 +*END + +*D_NET *195 0.00149733 +*CONN +*I *480:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *518:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *480:D 0.000408931 +2 *518:X 0.000408931 +3 *480:D *210:8 0.000116355 +4 *480:D *218:13 0.000113237 +5 *533:A *480:D 0.000439803 +6 *83:15 *480:D 1.00766e-05 +*RES +1 *518:X *480:D 35.4604 +*END + +*D_NET *196 0.00226928 +*CONN +*I *477:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *522:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *477:D 0.000702803 +2 *522:X 0.000702803 +3 resetn_out *477:D 0.000122844 +4 *434:B_N *477:D 6.64392e-05 +5 *537:A *477:D 3.99086e-06 +6 *153:15 *477:D 0.000301898 +7 *153:39 *477:D 0.000298399 +8 *181:13 *477:D 5.71849e-05 +9 *182:16 *477:D 1.29211e-05 +*RES +1 *522:X *477:D 41.1752 +*END + +*D_NET *197 0.00061509 +*CONN +*I *479:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *523:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *479:D 9.75536e-05 +2 *523:X 9.75536e-05 +3 *479:D *227:13 0.000209388 +4 *479:CLK_N *479:D 0.000161243 +5 *502:A *479:D 4.9352e-05 +*RES +1 *523:X *479:D 22.5493 +*END + +*D_NET *198 0.00226631 +*CONN +*I *516:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *524:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *516:A 0.000448431 +2 *524:X 0.000448431 +3 *516:A *524:A 0.000203756 +4 *447:A *516:A 7.55603e-05 +5 *449:A *516:A 7.92757e-06 +6 *450:A *516:A 0.000359527 +7 *6:24 *516:A 2.53698e-05 +8 *7:19 *516:A 0.000435724 +9 *38:46 *516:A 8.36586e-06 +10 *115:19 *516:A 0.000253215 +*RES +1 *524:X *516:A 41.8821 +*END + +*D_NET *199 0.00865352 +*CONN +*I *418:B I *D sky130_fd_sc_hd__or2_1 +*I *416:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *544:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *418:B 0.000108812 +2 *416:B_N 4.0486e-05 +3 *544:X 0.00114948 +4 *199:20 0.000780201 +5 *199:14 0.00178038 +6 *199:14 *422:B_N 1.23828e-05 +7 *199:14 *219:12 1.93511e-06 +8 *390:A *199:14 0.000301985 +9 *413:A *199:20 7.5909e-06 +10 *417:A *199:20 3.54949e-06 +11 *432:A *418:B 3.22706e-05 +12 *447:A *416:B_N 0.000205659 +13 *449:A *416:B_N 0.000205659 +14 *479:SET_B *199:20 0.000456427 +15 *481:SET_B *199:20 7.28994e-06 +16 *491:RESET_B *199:14 0.000201096 +17 *491:RESET_B *199:20 2.55369e-05 +18 *530:A *199:14 0.00029064 +19 *530:A *199:20 0.000317665 +20 *532:A *199:14 0.000748896 +21 *539:A *199:14 4.47678e-05 +22 *544:A *199:14 0.00021141 +23 *10:7 *418:B 4.0998e-05 +24 *10:7 *199:20 0.000125907 +25 *12:13 *199:14 3.71582e-05 +26 *28:16 *199:20 0.000309944 +27 *62:16 *418:B 6.3657e-05 +28 *83:15 *199:14 0.000136089 +29 *94:45 *418:B 0.000121297 +30 *94:45 *199:20 0.000447981 +31 *100:16 *199:20 2.34588e-06 +32 *119:16 *199:14 0.000262183 +33 *157:19 *199:14 5.18062e-06 +34 *163:358 *199:14 0.000166659 +*RES +1 *544:X *199:14 49.7107 +2 *199:14 *199:20 21.6298 +3 *199:20 *416:B_N 16.1364 +4 *199:20 *418:B 17.135 +*END + +*D_NET *200 7.45343e-05 +*CONN +*I *517:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *525:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *517:A 3.02018e-05 +2 *525:X 3.02018e-05 +3 *517:A *484:D 1.41307e-05 +*RES +1 *525:X *517:A 19.2217 +*END + +*D_NET *201 0.00151529 +*CONN +*I *483:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *526:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *483:D 0.000428743 +2 *526:X 0.000428743 +3 *3:13 *483:D 0.000274717 +4 *83:15 *483:D 0.000121159 +5 *159:16 *483:D 5.01704e-06 +6 *159:24 *483:D 0.000100147 +7 *188:25 *483:D 0.000156763 +*RES +1 *526:X *483:D 37.1186 +*END + +*D_NET *202 0.00359716 +*CONN +*I *485:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *527:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *485:D 0.000219769 +2 *527:X 0.000563493 +3 *202:20 0.000783261 +4 *202:20 *393:B 0.000406044 +5 *202:20 *513:A 0.000111802 +6 *386:A *202:20 3.17116e-05 +7 *387:B *202:20 0.000181309 +8 *392:A *202:20 0.000142569 +9 *394:A *202:20 0.0001195 +10 *488:D *202:20 7.75593e-06 +11 *540:A *202:20 0.000212269 +12 *9:46 *202:20 3.02737e-05 +13 *83:15 *202:20 6.27782e-05 +14 *156:11 *485:D 2.61955e-05 +15 *158:21 *202:20 0.000401724 +16 *163:289 *202:20 0.000137594 +17 *175:17 *202:20 0.000143027 +18 *189:10 *202:20 1.60865e-05 +*RES +1 *527:X *202:20 49.1911 +2 *202:20 *485:D 13.3002 +*END + +*D_NET *203 0.0008863 +*CONN +*I *482:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *528:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *482:D 0.00032716 +2 *528:X 0.00032716 +3 *482:D *521:A 0.000116462 +4 *505:A *482:D 2.41274e-06 +5 *165:23 *482:D 0.000113104 +*RES +1 *528:X *482:D 33.242 +*END + +*D_NET *204 0.00141596 +*CONN +*I *487:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *508:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *487:D 0.000589368 +2 *508:X 0.000589368 +3 *487:D *508:A 1.58551e-05 +4 *377:B *487:D 0.000114495 +5 *478:CLK_N *487:D 3.01368e-06 +6 *488:D *487:D 5.2737e-05 +7 *495:RESET_B *487:D 3.69222e-05 +8 *155:24 *487:D 1.41976e-05 +*RES +1 *508:X *487:D 37.8476 +*END + +*D_NET *205 0.00120932 +*CONN +*I *475:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *509:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *475:D 0.000234956 +2 *509:X 0.000234956 +3 *434:B_N *475:D 0.000158002 +4 *4:7 *475:D 0.000292376 +5 *178:48 *475:D 0.000289033 +*RES +1 *509:X *475:D 34.9002 +*END + +*D_NET *206 0.00158204 +*CONN +*I *476:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *510:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *476:D 0.000462812 +2 *510:X 0.000462812 +3 *476:D *430:B 1.21461e-06 +4 *419:A *476:D 0.000279946 +5 *481:RESET_B *476:D 1.44764e-05 +6 *11:10 *476:D 0.000350854 +7 *179:27 *476:D 9.9223e-06 +*RES +1 *510:X *476:D 37.6732 +*END + +*D_NET *207 0.00164397 +*CONN +*I *518:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *511:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *518:A 0.000337255 +2 *511:X 0.000337255 +3 *518:A *520:A 6.94496e-05 +4 *533:A *518:A 0.000107496 +5 *9:25 *518:A 1.9939e-05 +6 *66:11 *518:A 0.000109951 +7 *83:15 *518:A 0.000107496 +8 *89:15 *518:A 0.000172415 +9 *89:30 *518:A 0.000175219 +10 *177:38 *518:A 9.51287e-05 +11 *193:13 *518:A 0.000112361 +*RES +1 *511:X *518:A 38.9456 +*END + +*D_NET *208 0.00229056 +*CONN +*I *507:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *512:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *507:A 0.000706628 +2 *512:X 0.000706628 +3 *507:A *512:A 0.000347944 +4 *81:10 *507:A 0.000445803 +5 *117:12 *507:A 8.35566e-05 +*RES +1 *512:X *507:A 44.1779 +*END + +*D_NET *209 0.00283294 +*CONN +*I *486:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *513:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *486:D 0.000799015 +2 *513:X 0.000799015 +3 *387:B *486:D 0.000311261 +4 *485:RESET_B *486:D 0.000195747 +5 *486:SET_B *486:D 8.24735e-05 +6 *505:TE *486:D 1.5603e-05 +7 *547:A *486:D 1.80504e-05 +8 *555:A *486:D 2.64013e-05 +9 *8:39 *486:D 0.000278727 +10 *9:46 *486:D 0.000103883 +11 *41:29 *486:D 4.47179e-05 +12 *159:16 *486:D 1.04264e-05 +13 *169:20 *486:D 3.52593e-05 +14 *177:17 *486:D 0.000112361 +*RES +1 *513:X *486:D 47.1199 +*END + +*D_NET *210 0.00816744 +*CONN +*I *448:B I *D sky130_fd_sc_hd__or2_1 +*I *446:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *545:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *448:B 6.37533e-05 +2 *446:B_N 1.47608e-05 +3 *545:X 0.000995969 +4 *210:16 0.000692297 +5 *210:8 0.00160975 +6 *210:8 *218:8 3.19101e-05 +7 *210:8 *218:13 0.000128025 +8 *210:16 *491:D 0.000101981 +9 *210:16 *510:A 0.000196099 +10 *210:16 *218:13 0.000103353 +11 *419:A *210:16 0.000494952 +12 *447:A *446:B_N 6.08467e-05 +13 *447:A *448:B 0.000130713 +14 *449:A *446:B_N 6.08467e-05 +15 *449:A *448:B 0.000250405 +16 *480:D *210:8 0.000116355 +17 *533:A *210:8 0.00102384 +18 *539:A *210:8 0 +19 *8:18 *210:16 0.0004416 +20 *9:25 *210:16 7.61976e-06 +21 *10:7 *210:16 8.92564e-06 +22 *11:18 *210:8 0.0012638 +23 *11:18 *210:16 0.000212691 +24 *41:29 *210:8 8.35409e-05 +25 *159:16 *210:8 3.553e-05 +26 *163:103 *448:B 1.00766e-05 +27 *164:19 *210:8 2.05222e-05 +28 *167:19 *210:8 7.26347e-06 +*RES +1 *545:X *210:8 48.0807 +2 *210:8 *210:16 24.4687 +3 *210:16 *446:B_N 9.97254 +4 *210:16 *448:B 12.191 +*END + +*D_NET *211 0.00132012 +*CONN +*I *522:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *514:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *522:A 0.000407433 +2 *514:X 0.000407433 +3 *522:A *512:A 1.41307e-05 +4 *478:SET_B *522:A 0.000131288 +5 *487:SET_B *522:A 2.62371e-06 +6 *81:10 *522:A 0.000287204 +7 *151:40 *522:A 7.00072e-05 +*RES +1 *514:X *522:A 36.564 +*END + +*D_NET *212 0.00133842 +*CONN +*I *523:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *515:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *523:A 0.000240541 +2 *515:X 0.000240541 +3 *479:CLK_N *523:A 0.000178716 +4 *502:A *523:A 0.000300192 +5 *6:30 *523:A 0.000108648 +6 *7:28 *523:A 0.000269785 +*RES +1 *515:X *523:A 36.7274 +*END + +*D_NET *213 0.000795973 +*CONN +*I *481:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *516:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *481:D 0.000152342 +2 *516:X 0.000152342 +3 *481:D *225:8 0.000203772 +4 *417:A *481:D 0.000287518 +5 *476:CLK_N *481:D 0 +*RES +1 *516:X *481:D 33.0676 +*END + +*D_NET *214 0.0033041 +*CONN +*I *484:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *517:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *484:D 0.00124306 +2 *517:X 0.00124306 +3 *484:D *499:D 0.000119914 +4 *484:D *525:A 1.00766e-05 +5 *411:A *484:D 4.28856e-07 +6 *474:A *484:D 9.76854e-05 +7 *483:RESET_B *484:D 2.09356e-05 +8 *517:A *484:D 1.41307e-05 +9 *165:23 *484:D 5.21527e-05 +10 *170:18 *484:D 0.000464429 +11 *187:13 *484:D 3.82228e-05 +*RES +1 *517:X *484:D 49.8689 +*END + +*D_NET *215 0.0022743 +*CONN +*I *526:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *519:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *526:A 0.000409698 +2 *519:X 0.000409698 +3 *526:A *519:A 3.90768e-05 +4 *526:A *521:A 0.00044306 +5 *526:A *224:8 0.00013793 +6 pad_gpio_ib_mode_sel *526:A 0.000280657 +7 *498:CLK *526:A 2.29386e-05 +8 *500:CLK *526:A 2.09707e-05 +9 *83:15 *526:A 0.000296893 +10 *151:20 *526:A 2.41003e-05 +11 *158:38 *526:A 8.05521e-05 +12 *180:15 *526:A 0.000108721 +*RES +1 *519:X *526:A 43.6233 +*END + +*D_NET *216 0.00148536 +*CONN +*I *527:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *520:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *527:A 0.000409768 +2 *520:X 0.000409768 +3 *527:A *495:D 0.000307913 +4 *540:A *527:A 0 +5 *178:7 *527:A 0.000357911 +*RES +1 *520:X *527:A 37.1186 +*END + +*D_NET *217 0.00283627 +*CONN +*I *528:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *521:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *528:A 0.000424372 +2 *521:X 0.000424372 +3 *528:A *519:A 3.00174e-06 +4 *528:A *521:A 0.000124898 +5 *406:A *528:A 0.00011893 +6 *411:B *528:A 1.58551e-05 +7 *482:CLK_N *528:A 0.000192557 +8 *505:TE *528:A 7.32032e-05 +9 *551:A *528:A 0.000444856 +10 *4:17 *528:A 0.000271838 +11 *24:26 *528:A 0.000198948 +12 *36:29 *528:A 0.000538148 +13 *133:14 *528:A 5.29258e-06 +*RES +1 *521:X *528:A 49.3246 +*END + +*D_NET *218 0.00697496 +*CONN +*I *430:B I *D sky130_fd_sc_hd__or2_1 +*I *428:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *546:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *430:B 0.000222783 +2 *428:B_N 0 +3 *546:X 0.000705312 +4 *218:13 0.00063693 +5 *218:8 0.00111946 +6 *430:B *495:D 0.000180452 +7 *218:8 *219:11 2.95784e-06 +8 *218:8 *220:14 7.26606e-05 +9 *423:A *218:13 1.66771e-05 +10 *431:A *430:B 3.18748e-06 +11 *476:D *430:B 1.21461e-06 +12 *480:D *218:13 0.000113237 +13 *487:CLK_N *430:B 0.00025527 +14 *487:CLK_N *218:13 0.000159016 +15 *499:CLK *218:8 0.000220343 +16 *499:CLK *218:13 6.11447e-06 +17 *533:A *218:8 7.0705e-05 +18 *533:A *218:13 0.000196752 +19 *9:25 *218:13 0.000552751 +20 *9:46 *218:8 0.000198588 +21 *13:17 *430:B 6.08502e-05 +22 *41:29 *218:8 0.000146248 +23 *89:30 *218:8 6.46324e-05 +24 *89:30 *218:13 9.37196e-06 +25 *89:32 *218:8 5.41614e-05 +26 *89:39 *218:8 6.94619e-05 +27 *156:11 *218:8 0.00011815 +28 *164:19 *218:8 0.000615925 +29 *167:19 *218:8 1.66626e-05 +30 *175:17 *430:B 0.000335578 +31 *177:38 *218:13 2.23193e-05 +32 *179:27 *430:B 6.27782e-05 +33 *193:13 *430:B 0.000242109 +34 *193:13 *218:13 0.000159016 +35 *210:8 *218:8 3.19101e-05 +36 *210:8 *218:13 0.000128025 +37 *210:16 *218:13 0.000103353 +*RES +1 *546:X *218:8 36.4537 +2 *218:8 *218:13 19.9993 +3 *218:13 *428:B_N 9.24915 +4 *218:13 *430:B 28.3141 +*END + +*D_NET *219 0.00563717 +*CONN +*I *422:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *424:B I *D sky130_fd_sc_hd__or2_1 +*I *547:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *422:B_N 0.000189065 +2 *424:B 4.23534e-05 +3 *547:X 0.000351948 +4 *219:12 0.00059479 +5 *219:11 0.000715319 +6 *219:11 *220:14 0.000725999 +7 *219:12 *220:14 0.000771809 +8 *423:A *422:B_N 0.000301494 +9 *467:A *424:B 0.000117376 +10 *480:CLK_N *424:B 5.52748e-05 +11 *530:A *422:B_N 1.05798e-05 +12 *539:A *219:11 1.87271e-05 +13 *541:A *219:11 7.10035e-05 +14 *544:A *219:12 6.78626e-06 +15 *545:A *219:12 9.90873e-05 +16 *547:A *219:11 2.95784e-06 +17 *41:29 *219:11 1.45322e-05 +18 *42:33 *219:11 7.28567e-06 +19 *83:15 *422:B_N 3.26933e-05 +20 *89:39 *219:11 2.58616e-05 +21 *119:16 *219:12 0.000127366 +22 *133:14 *422:B_N 4.24488e-05 +23 *163:322 *422:B_N 3.31745e-05 +24 *163:326 *424:B 0.000114584 +25 *167:19 *219:11 2.38099e-05 +26 *177:18 *219:12 0.000917507 +27 *188:16 *219:11 0.000206066 +28 *199:14 *422:B_N 1.23828e-05 +29 *199:14 *219:12 1.93511e-06 +30 *218:8 *219:11 2.95784e-06 +*RES +1 *547:X *219:11 35.2846 +2 *219:11 *219:12 20.5183 +3 *219:12 *424:B 16.1364 +4 *219:12 *422:B_N 22.7426 +*END + +*D_NET *220 0.00711337 +*CONN +*I *393:B I *D sky130_fd_sc_hd__or2_1 +*I *391:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *548:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *393:B 0.000425197 +2 *391:B_N 0 +3 *548:X 0.000898715 +4 *220:19 0.000710101 +5 *220:14 0.00118362 +6 *393:B *513:A 4.47287e-05 +7 *387:B *393:B 2.7562e-05 +8 *392:A *393:B 3.99086e-06 +9 *540:A *393:B 0.000164368 +10 *544:A *220:14 1.68336e-05 +11 *545:A *220:14 0.000799096 +12 *546:A *220:14 1.36606e-05 +13 *547:A *220:14 2.58616e-05 +14 *119:22 *220:19 0.000154145 +15 *156:11 *393:B 2.16355e-05 +16 *163:304 *393:B 0.000140978 +17 *163:306 *393:B 0.000334377 +18 *163:315 *393:B 0.000154145 +19 *163:315 *220:19 7.74853e-06 +20 *188:16 *220:14 1.00901e-05 +21 *202:20 *393:B 0.000406044 +22 *218:8 *220:14 7.26606e-05 +23 *219:11 *220:14 0.000725999 +24 *219:12 *220:14 0.000771809 +*RES +1 *548:X *220:14 49.4699 +2 *220:14 *220:19 10.2389 +3 *220:19 *391:B_N 9.24915 +4 *220:19 *393:B 32.4672 +*END + +*D_NET *221 0.00220537 +*CONN +*I *525:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *463:B I *D sky130_fd_sc_hd__and2_1 +*I *500:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *525:A 6.83792e-05 +2 *463:B 0.000414613 +3 *500:Q 0 +4 *221:4 0.000482992 +5 *406:A *463:B 0 +6 *463:A *463:B 9.95922e-06 +7 *473:A0 *463:B 0.000369493 +8 *484:D *525:A 1.00766e-05 +9 *551:A *463:B 0.000129735 +10 *554:A *463:B 2.44241e-05 +11 *17:21 *463:B 1.92337e-05 +12 *48:12 *463:B 3.23076e-06 +13 *119:16 *463:B 2.16355e-05 +14 *170:18 *463:B 3.37448e-05 +15 *184:8 *463:B 0.000602756 +16 *187:13 *525:A 1.50924e-05 +*RES +1 *500:Q *221:4 9.24915 +2 *221:4 *463:B 32.8684 +3 *221:4 *525:A 10.9612 +*END + +*D_NET *222 0.0064356 +*CONN +*I *509:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *489:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *488:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *509:A 3.64011e-05 +2 *489:D 0.00181731 +3 *488:Q 0.000732409 +4 *222:10 0.00258612 +5 *489:D *524:A 3.46207e-05 +6 *377:B *489:D 0.00025175 +7 *399:B *222:10 0.000110306 +8 *430:A *489:D 2.57986e-05 +9 *436:B *489:D 2.73375e-05 +10 *450:A *489:D 0.000116505 +11 *476:SET_B *489:D 3.44412e-06 +12 *476:CLK_N *489:D 7.01935e-06 +13 *481:RESET_B *489:D 4.47179e-05 +14 *481:SET_B *489:D 5.37479e-05 +15 *481:CLK_N *489:D 2.74378e-05 +16 *488:D *222:10 0.000110306 +17 *488:CLK *222:10 0.000141122 +18 *3:13 *222:10 6.65668e-05 +19 *38:26 *489:D 6.49881e-05 +20 *57:11 *489:D 1.79277e-05 +21 *104:14 *489:D 7.60183e-05 +22 *155:24 *222:10 2.3339e-05 +23 *163:160 *489:D 6.0406e-05 +*RES +1 *488:Q *222:10 29.8175 +2 *222:10 *489:D 46.0518 +3 *222:10 *509:A 10.2378 +*END + +*D_NET *223 0.00780137 +*CONN +*I *499:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *521:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *498:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *499:D 0.00106572 +2 *521:A 0.000561141 +3 *498:Q 0.000554319 +4 *223:5 0.00218119 +5 *499:D *494:D 6.37031e-05 +6 *521:A *519:A 1.19513e-05 +7 *223:5 *498:D 8.89403e-05 +8 *406:A *521:A 0.000167969 +9 *410:A *521:A 0.00010471 +10 *411:B *521:A 6.08467e-05 +11 *456:A *499:D 0.000164576 +12 *482:D *521:A 0.000116462 +13 *484:D *499:D 0.000119914 +14 *500:CLK *521:A 0.000304907 +15 *526:A *521:A 0.00044306 +16 *528:A *521:A 0.000124898 +17 *551:A *521:A 6.27718e-05 +18 *8:39 *499:D 0.000190199 +19 *9:46 *499:D 6.73435e-05 +20 *155:17 *499:D 6.96413e-05 +21 *158:21 *499:D 0.000647671 +22 *163:276 *521:A 0.000203756 +23 *163:276 *223:5 0.000198801 +24 *164:19 *499:D 4.04663e-05 +25 *165:23 *499:D 4.96062e-05 +26 *165:23 *521:A 0.000118807 +27 *194:11 *223:5 1.79966e-05 +*RES +1 *498:Q *223:5 17.737 +2 *223:5 *521:A 37.7989 +3 *223:5 *499:D 30.9188 +*END + +*D_NET *224 0.00216501 +*CONN +*I *500:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *519:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *499:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *500:D 0 +2 *519:A 0.000233339 +3 *499:Q 0.000343436 +4 *224:8 0.000576775 +5 pad_gpio_ib_mode_sel *224:8 6.00813e-05 +6 *521:A *519:A 1.19513e-05 +7 *526:A *519:A 3.90768e-05 +8 *526:A *224:8 0.00013793 +9 *528:A *519:A 3.00174e-06 +10 *133:14 *519:A 0.000143175 +11 *133:14 *224:8 0.000599656 +12 *188:46 *224:8 1.65872e-05 +*RES +1 *499:Q *224:8 23.6453 +2 *224:8 *519:A 19.3535 +3 *224:8 *500:D 13.7491 +*END + +*D_NET *225 0.00370969 +*CONN +*I *524:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *490:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *489:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *524:A 0.000225705 +2 *490:D 0.000344363 +3 *489:Q 0.000204866 +4 *225:8 0.000774934 +5 *417:A *490:D 4.72039e-05 +6 *417:A *225:8 7.25373e-06 +7 *476:CLK_N *225:8 0.000250405 +8 *478:CLK_N *490:D 5.40481e-05 +9 *481:D *225:8 0.000203772 +10 *481:CLK_N *524:A 0.00021218 +11 *489:D *524:A 3.46207e-05 +12 *489:RESET_B *225:8 2.73786e-05 +13 *495:CLK *490:D 9.87871e-05 +14 *516:A *524:A 0.000203756 +15 *8:39 *490:D 0 +16 *38:26 *490:D 0 +17 *38:26 *524:A 0.000258214 +18 *38:26 *225:8 0 +19 *38:33 *524:A 0.000140895 +20 *38:46 *524:A 0.000166294 +21 *57:11 *225:8 0.000247443 +22 *94:24 *490:D 0 +23 *100:16 *490:D 0.000207574 +*RES +1 *489:Q *225:8 21.0145 +2 *225:8 *490:D 22.9514 +3 *225:8 *524:A 23.4185 +*END + +*D_NET *226 0.00331468 +*CONN +*I *510:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *491:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *490:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *510:A 0.000133087 +2 *491:D 0.000998214 +3 *490:Q 0 +4 *226:5 0.0011313 +5 *491:D *227:13 8.03393e-06 +6 *429:A *491:D 0.000235284 +7 *481:RESET_B *510:A 6.08467e-05 +8 *487:CLK_N *491:D 6.99034e-05 +9 *8:39 *491:D 3.80582e-05 +10 *9:25 *491:D 0.000103912 +11 *9:25 *510:A 0.000216324 +12 *11:10 *510:A 2.16355e-05 +13 *100:16 *491:D 0 +14 *210:16 *491:D 0.000101981 +15 *210:16 *510:A 0.000196099 +*RES +1 *490:Q *226:5 13.7491 +2 *226:5 *491:D 30.0542 +3 *226:5 *510:A 18.7961 +*END + +*D_NET *227 0.00367123 +*CONN +*I *515:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *492:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *491:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *515:A 0.000237186 +2 *492:D 5.55803e-05 +3 *491:Q 0.000627532 +4 *227:13 0.000920298 +5 *383:A *492:D 0.000101177 +6 *383:A *515:A 0.000173302 +7 *395:A *492:D 5.04829e-06 +8 *427:A *515:A 0.000107496 +9 *479:D *227:13 0.000209388 +10 *479:CLK_N *227:13 0.000118532 +11 *487:CLK_N *227:13 7.44749e-05 +12 *491:D *227:13 8.03393e-06 +13 *491:RESET_B *227:13 5.37479e-05 +14 *6:30 *492:D 9.43419e-05 +15 *6:30 *515:A 0.000380786 +16 *7:19 *515:A 8.70662e-06 +17 *8:39 *227:13 2.83016e-05 +18 *38:46 *515:A 0.000230367 +19 *94:24 *515:A 0.000203756 +20 *150:56 *515:A 3.31745e-05 +*RES +1 *491:Q *227:13 35.0381 +2 *227:13 *492:D 15.8283 +3 *227:13 *515:A 23.7819 +*END + +*D_NET *228 0.00223942 +*CONN +*I *511:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *493:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *492:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *511:A 0.000193074 +2 *493:D 0.000371786 +3 *492:Q 0 +4 *228:4 0.00056486 +5 *395:A *493:D 9.22246e-05 +6 *395:A *511:A 3.95925e-05 +7 *465:A *493:D 2.84661e-05 +8 *530:A *511:A 0.000296299 +9 *8:39 *493:D 0 +10 *11:18 *511:A 1.19971e-05 +11 *100:16 *493:D 0.000203327 +12 *193:13 *493:D 0.000165521 +13 *193:13 *511:A 0.000272271 +*RES +1 *492:Q *228:4 9.24915 +2 *228:4 *493:D 26.9318 +3 *228:4 *511:A 25.0964 +*END + +*D_NET *229 0.00350951 +*CONN +*I *520:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *494:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *493:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *520:A 0.000339826 +2 *494:D 0.000464164 +3 *493:Q 0.000177943 +4 *229:7 0.000981933 +5 *465:A *229:7 0.00025233 +6 *499:D *494:D 6.37031e-05 +7 *499:CLK *494:D 0.000121159 +8 *518:A *520:A 6.94496e-05 +9 *9:46 *494:D 9.91181e-05 +10 *9:46 *520:A 7.72394e-06 +11 *89:15 *520:A 0.000122858 +12 *89:30 *520:A 2.78219e-06 +13 *175:17 *494:D 0.00030917 +14 *175:17 *520:A 0.000362299 +15 *177:38 *520:A 7.13972e-05 +16 *178:7 *520:A 6.3657e-05 +*RES +1 *493:Q *229:7 16.691 +2 *229:7 *494:D 26.0031 +3 *229:7 *520:A 24.5187 +*END + +*D_NET *230 0.00411431 +*CONN +*I *513:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *495:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *494:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *513:A 0.000275689 +2 *495:D 0.000691041 +3 *494:Q 7.54257e-05 +4 *230:7 0.00104216 +5 *387:B *513:A 0.000114594 +6 *393:B *513:A 4.47287e-05 +7 *430:B *495:D 0.000180452 +8 *478:CLK_N *495:D 3.96379e-05 +9 *490:RESET_B *495:D 5.04829e-06 +10 *494:RESET_B *230:7 1.50924e-05 +11 *527:A *495:D 0.000307913 +12 *540:A *495:D 0 +13 *540:A *513:A 0 +14 *8:39 *495:D 0.000235429 +15 *8:39 *513:A 2.4703e-05 +16 *13:17 *495:D 0.000678976 +17 *69:14 *495:D 0 +18 *163:290 *230:7 0.000113713 +19 *163:304 *513:A 6.2484e-05 +20 *175:17 *495:D 9.54239e-05 +21 *202:20 *513:A 0.000111802 +*RES +1 *494:Q *230:7 15.0271 +2 *230:7 *495:D 35.5483 +3 *230:7 *513:A 21.0605 +*END + +*D_NET *231 0.0011394 +*CONN +*I *508:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *496:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *495:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *508:A 6.3605e-05 +2 *496:D 0.000138317 +3 *495:Q 0 +4 *231:4 0.000201922 +5 *487:D *508:A 1.58551e-05 +6 *488:D *496:D 0.00015877 +7 *495:RESET_B *508:A 0.000154145 +8 *496:CLK *496:D 5.481e-05 +9 *155:24 *496:D 0.000147729 +10 *155:24 *508:A 4.04393e-05 +11 *163:52 *508:A 9.65938e-06 +12 *179:27 *496:D 0.000154145 +*RES +1 *495:Q *231:4 9.24915 +2 *231:4 *496:D 23.1595 +3 *231:4 *508:A 11.6605 +*END + +*D_NET *232 0.00249818 +*CONN +*I *514:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *497:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *496:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *514:A 2.29367e-05 +2 *497:D 0.000497591 +3 *496:Q 0.000354376 +4 *232:8 0.000874904 +5 *487:SET_B *514:A 6.3657e-05 +6 *497:CLK *497:D 2.39794e-05 +7 *151:11 *497:D 0.000115307 +8 *170:18 *497:D 0.000236028 +9 *179:23 *232:8 0.000206696 +10 *184:8 *497:D 0 +11 *188:46 *497:D 1.13085e-05 +12 *188:46 *232:8 9.13968e-05 +*RES +1 *496:Q *232:8 22.1209 +2 *232:8 *497:D 23.4478 +3 *232:8 *514:A 14.4725 +*END + +*D_NET *233 0.00333889 +*CONN +*I *512:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *498:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *497:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *512:A 0.000391039 +2 *498:D 0.000317961 +3 *497:Q 0 +4 *233:4 0.000709 +5 *376:A *512:A 3.54024e-05 +6 *398:A *498:D 0.000230993 +7 *473:A0 *498:D 8.72115e-06 +8 *507:A *512:A 0.000347944 +9 *522:A *512:A 1.41307e-05 +10 *48:12 *498:D 0.000296561 +11 *48:12 *512:A 5.18062e-06 +12 *81:10 *512:A 1.93407e-05 +13 *151:11 *512:A 0.000304477 +14 *163:276 *498:D 9.28819e-05 +15 *179:23 *512:A 0.000133943 +16 *194:11 *498:D 0.000342374 +17 *223:5 *498:D 8.89403e-05 +*RES +1 *497:Q *233:4 9.24915 +2 *233:4 *498:D 31.1971 +3 *233:4 *512:A 29.0053 *END diff --git a/spef/gpio_defaults_block_0403.spef b/spef/gpio_defaults_block_0403.spef new file mode 100644 index 00000000..6f889224 --- /dev/null +++ b/spef/gpio_defaults_block_0403.spef @@ -0,0 +1,273 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_defaults_block_0403" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 gpio_defaults_low\[0\] +*4 gpio_defaults_high\[10\] +*5 gpio_defaults_low\[11\] +*6 gpio_defaults_low\[12\] +*7 gpio_defaults_high\[1\] +*8 gpio_defaults_low\[2\] +*9 gpio_defaults_low\[3\] +*10 gpio_defaults_low\[4\] +*11 gpio_defaults_low\[5\] +*12 gpio_defaults_low\[6\] +*13 gpio_defaults_low\[7\] +*14 gpio_defaults_low\[8\] +*15 gpio_defaults_low\[9\] +*16 gpio_defaults_high\[0\] +*17 gpio_defaults_high\[11\] +*18 gpio_defaults_high\[12\] +*19 gpio_defaults_high\[2\] +*20 gpio_defaults_high\[3\] +*21 gpio_defaults_high\[4\] +*22 gpio_defaults_high\[5\] +*23 gpio_defaults_high\[6\] +*24 gpio_defaults_high\[7\] +*25 gpio_defaults_high\[8\] +*26 gpio_defaults_high\[9\] +*27 gpio_defaults_low\[10\] +*28 gpio_defaults_low\[1\] +*29 FILLER_0_29 +*30 FILLER_0_3 +*31 FILLER_0_33 +*32 FILLER_0_38 +*33 FILLER_0_43 +*34 FILLER_0_48 +*35 FILLER_0_55 +*36 FILLER_0_60 +*37 FILLER_0_9 +*38 FILLER_1_15 +*39 FILLER_1_27 +*40 FILLER_1_3 +*41 FILLER_1_39 +*42 FILLER_1_51 +*43 FILLER_1_55 +*44 FILLER_1_57 +*45 FILLER_1_61 +*46 FILLER_2_15 +*47 FILLER_2_27 +*48 FILLER_2_29 +*49 FILLER_2_3 +*50 FILLER_2_41 +*51 FILLER_2_53 +*52 FILLER_2_57 +*53 FILLER_2_61 +*54 PHY_0 +*55 PHY_1 +*56 PHY_2 +*57 PHY_3 +*58 PHY_4 +*59 PHY_5 +*60 TAP_10 +*61 TAP_6 +*62 TAP_7 +*63 TAP_8 +*64 TAP_9 +*65 gpio_default_value\[0\] +*66 gpio_default_value\[10\] +*67 gpio_default_value\[11\] +*68 gpio_default_value\[12\] +*69 gpio_default_value\[1\] +*70 gpio_default_value\[2\] +*71 gpio_default_value\[3\] +*72 gpio_default_value\[4\] +*73 gpio_default_value\[5\] +*74 gpio_default_value\[6\] +*75 gpio_default_value\[7\] +*76 gpio_default_value\[8\] +*77 gpio_default_value\[9\] + +*PORTS +gpio_defaults[0] O +gpio_defaults[10] O +gpio_defaults[11] O +gpio_defaults[12] O +gpio_defaults[1] O +gpio_defaults[2] O +gpio_defaults[3] O +gpio_defaults[4] O +gpio_defaults[5] O +gpio_defaults[6] O +gpio_defaults[7] O +gpio_defaults[8] O +gpio_defaults[9] O + +*D_NET *3 0.000662868 +*CONN +*P gpio_defaults[0] O +*I *65:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[0] 0.000295589 +2 *65:LO 0.000295589 +3 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *65:LO gpio_defaults[0] 21.1394 +*END + +*D_NET *4 0.000169932 +*CONN +*P gpio_defaults[10] O +*I *66:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[10] 8.49658e-05 +2 *66:HI 8.49658e-05 +3 gpio_defaults[10] gpio_defaults[11] 0 +4 gpio_defaults[10] gpio_defaults[9] 0 +*RES +1 *66:HI gpio_defaults[10] 15.7033 +*END + +*D_NET *5 0.000230895 +*CONN +*P gpio_defaults[11] O +*I *67:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[11] 0.000115448 +2 *67:LO 0.000115448 +3 gpio_defaults[11] gpio_defaults[12] 0 +4 gpio_defaults[10] gpio_defaults[11] 0 +*RES +1 *67:LO gpio_defaults[11] 16.5338 +*END + +*D_NET *6 0.000822209 +*CONN +*P gpio_defaults[12] O +*I *68:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[12] 0.000411104 +2 *68:LO 0.000411104 +3 gpio_defaults[11] gpio_defaults[12] 0 +*RES +1 *68:LO gpio_defaults[12] 23.2185 +*END + +*D_NET *7 0.00071336 +*CONN +*P gpio_defaults[1] O +*I *69:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[1] 0.000307544 +2 *69:HI 0.000307544 +3 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +4 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *69:HI gpio_defaults[1] 19.1997 +*END + +*D_NET *8 0.000464143 +*CONN +*P gpio_defaults[2] O +*I *70:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[2] 0.00021878 +2 *70:LO 0.00021878 +3 gpio_defaults[2] gpio_defaults[3] 0 +4 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +*RES +1 *70:LO gpio_defaults[2] 18.921 +*END + +*D_NET *9 0.000363376 +*CONN +*P gpio_defaults[3] O +*I *71:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[3] 0.000181688 +2 *71:LO 0.000181688 +3 gpio_defaults[3] gpio_defaults[4] 0 +4 gpio_defaults[2] gpio_defaults[3] 0 +*RES +1 *71:LO gpio_defaults[3] 17.8118 +*END + +*D_NET *10 0.000236028 +*CONN +*P gpio_defaults[4] O +*I *72:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[4] 0.000118014 +2 *72:LO 0.000118014 +3 gpio_defaults[4] gpio_defaults[5] 0 +4 gpio_defaults[3] gpio_defaults[4] 0 +*RES +1 *72:LO gpio_defaults[4] 16.5338 +*END + +*D_NET *11 0.000230895 +*CONN +*P gpio_defaults[5] O +*I *73:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[5] 0.000115448 +2 *73:LO 0.000115448 +3 gpio_defaults[5] gpio_defaults[6] 0 +4 gpio_defaults[4] gpio_defaults[5] 0 +*RES +1 *73:LO gpio_defaults[5] 16.5338 +*END + +*D_NET *12 0.000230895 +*CONN +*P gpio_defaults[6] O +*I *74:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[6] 0.000115448 +2 *74:LO 0.000115448 +3 gpio_defaults[6] gpio_defaults[7] 0 +4 gpio_defaults[5] gpio_defaults[6] 0 +*RES +1 *74:LO gpio_defaults[6] 16.5338 +*END + +*D_NET *13 0.00022764 +*CONN +*P gpio_defaults[7] O +*I *75:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[7] 0.00011382 +2 *75:LO 0.00011382 +3 gpio_defaults[7] gpio_defaults[8] 0 +4 gpio_defaults[6] gpio_defaults[7] 0 +*RES +1 *75:LO gpio_defaults[7] 16.5338 +*END + +*D_NET *14 0.000224385 +*CONN +*P gpio_defaults[8] O +*I *76:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[8] 0.000112192 +2 *76:LO 0.000112192 +3 gpio_defaults[8] gpio_defaults[9] 0 +4 gpio_defaults[7] gpio_defaults[8] 0 +*RES +1 *76:LO gpio_defaults[8] 16.5338 +*END + +*D_NET *15 0.00022764 +*CONN +*P gpio_defaults[9] O +*I *77:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[9] 0.00011382 +2 *77:LO 0.00011382 +3 gpio_defaults[10] gpio_defaults[9] 0 +4 gpio_defaults[8] gpio_defaults[9] 0 +*RES +1 *77:LO gpio_defaults[9] 16.5338 +*END diff --git a/spef/gpio_defaults_block_1803.spef b/spef/gpio_defaults_block_1803.spef new file mode 100644 index 00000000..af2628ff --- /dev/null +++ b/spef/gpio_defaults_block_1803.spef @@ -0,0 +1,273 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_defaults_block_1803" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 gpio_defaults_low\[0\] +*4 gpio_defaults_high\[10\] +*5 gpio_defaults_low\[11\] +*6 gpio_defaults_low\[12\] +*7 gpio_defaults_high\[1\] +*8 gpio_defaults_low\[2\] +*9 gpio_defaults_low\[3\] +*10 gpio_defaults_low\[4\] +*11 gpio_defaults_low\[5\] +*12 gpio_defaults_low\[6\] +*13 gpio_defaults_low\[7\] +*14 gpio_defaults_low\[8\] +*15 gpio_defaults_low\[9\] +*16 gpio_defaults_high\[0\] +*17 gpio_defaults_high\[11\] +*18 gpio_defaults_high\[12\] +*19 gpio_defaults_high\[2\] +*20 gpio_defaults_high\[3\] +*21 gpio_defaults_high\[4\] +*22 gpio_defaults_high\[5\] +*23 gpio_defaults_high\[6\] +*24 gpio_defaults_high\[7\] +*25 gpio_defaults_high\[8\] +*26 gpio_defaults_high\[9\] +*27 gpio_defaults_low\[10\] +*28 gpio_defaults_low\[1\] +*29 FILLER_0_29 +*30 FILLER_0_3 +*31 FILLER_0_33 +*32 FILLER_0_38 +*33 FILLER_0_43 +*34 FILLER_0_48 +*35 FILLER_0_55 +*36 FILLER_0_60 +*37 FILLER_0_9 +*38 FILLER_1_15 +*39 FILLER_1_27 +*40 FILLER_1_3 +*41 FILLER_1_39 +*42 FILLER_1_51 +*43 FILLER_1_55 +*44 FILLER_1_57 +*45 FILLER_1_61 +*46 FILLER_2_15 +*47 FILLER_2_27 +*48 FILLER_2_29 +*49 FILLER_2_3 +*50 FILLER_2_41 +*51 FILLER_2_53 +*52 FILLER_2_57 +*53 FILLER_2_61 +*54 PHY_0 +*55 PHY_1 +*56 PHY_2 +*57 PHY_3 +*58 PHY_4 +*59 PHY_5 +*60 TAP_10 +*61 TAP_6 +*62 TAP_7 +*63 TAP_8 +*64 TAP_9 +*65 gpio_default_value\[0\] +*66 gpio_default_value\[10\] +*67 gpio_default_value\[11\] +*68 gpio_default_value\[12\] +*69 gpio_default_value\[1\] +*70 gpio_default_value\[2\] +*71 gpio_default_value\[3\] +*72 gpio_default_value\[4\] +*73 gpio_default_value\[5\] +*74 gpio_default_value\[6\] +*75 gpio_default_value\[7\] +*76 gpio_default_value\[8\] +*77 gpio_default_value\[9\] + +*PORTS +gpio_defaults[0] O +gpio_defaults[10] O +gpio_defaults[11] O +gpio_defaults[12] O +gpio_defaults[1] O +gpio_defaults[2] O +gpio_defaults[3] O +gpio_defaults[4] O +gpio_defaults[5] O +gpio_defaults[6] O +gpio_defaults[7] O +gpio_defaults[8] O +gpio_defaults[9] O + +*D_NET *3 0.000662868 +*CONN +*P gpio_defaults[0] O +*I *65:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[0] 0.000295589 +2 *65:LO 0.000295589 +3 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *65:LO gpio_defaults[0] 21.1394 +*END + +*D_NET *4 0.000169932 +*CONN +*P gpio_defaults[10] O +*I *66:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[10] 8.49658e-05 +2 *66:HI 8.49658e-05 +3 gpio_defaults[10] gpio_defaults[11] 0 +4 gpio_defaults[10] gpio_defaults[9] 0 +*RES +1 *66:HI gpio_defaults[10] 15.7033 +*END + +*D_NET *5 0.000230895 +*CONN +*P gpio_defaults[11] O +*I *67:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[11] 0.000115448 +2 *67:LO 0.000115448 +3 gpio_defaults[11] gpio_defaults[12] 0 +4 gpio_defaults[10] gpio_defaults[11] 0 +*RES +1 *67:LO gpio_defaults[11] 16.5338 +*END + +*D_NET *6 0.000822209 +*CONN +*P gpio_defaults[12] O +*I *68:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[12] 0.000411104 +2 *68:LO 0.000411104 +3 gpio_defaults[11] gpio_defaults[12] 0 +*RES +1 *68:LO gpio_defaults[12] 23.2185 +*END + +*D_NET *7 0.00071336 +*CONN +*P gpio_defaults[1] O +*I *69:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[1] 0.000307544 +2 *69:HI 0.000307544 +3 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +4 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *69:HI gpio_defaults[1] 19.1997 +*END + +*D_NET *8 0.000464143 +*CONN +*P gpio_defaults[2] O +*I *70:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[2] 0.00021878 +2 *70:LO 0.00021878 +3 gpio_defaults[2] gpio_defaults[3] 0 +4 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +*RES +1 *70:LO gpio_defaults[2] 18.921 +*END + +*D_NET *9 0.000363376 +*CONN +*P gpio_defaults[3] O +*I *71:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[3] 0.000181688 +2 *71:LO 0.000181688 +3 gpio_defaults[3] gpio_defaults[4] 0 +4 gpio_defaults[2] gpio_defaults[3] 0 +*RES +1 *71:LO gpio_defaults[3] 17.8118 +*END + +*D_NET *10 0.000236028 +*CONN +*P gpio_defaults[4] O +*I *72:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[4] 0.000118014 +2 *72:LO 0.000118014 +3 gpio_defaults[4] gpio_defaults[5] 0 +4 gpio_defaults[3] gpio_defaults[4] 0 +*RES +1 *72:LO gpio_defaults[4] 16.5338 +*END + +*D_NET *11 0.000230895 +*CONN +*P gpio_defaults[5] O +*I *73:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[5] 0.000115448 +2 *73:LO 0.000115448 +3 gpio_defaults[5] gpio_defaults[6] 0 +4 gpio_defaults[4] gpio_defaults[5] 0 +*RES +1 *73:LO gpio_defaults[5] 16.5338 +*END + +*D_NET *12 0.000230895 +*CONN +*P gpio_defaults[6] O +*I *74:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[6] 0.000115448 +2 *74:LO 0.000115448 +3 gpio_defaults[6] gpio_defaults[7] 0 +4 gpio_defaults[5] gpio_defaults[6] 0 +*RES +1 *74:LO gpio_defaults[6] 16.5338 +*END + +*D_NET *13 0.00022764 +*CONN +*P gpio_defaults[7] O +*I *75:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[7] 0.00011382 +2 *75:LO 0.00011382 +3 gpio_defaults[7] gpio_defaults[8] 0 +4 gpio_defaults[6] gpio_defaults[7] 0 +*RES +1 *75:LO gpio_defaults[7] 16.5338 +*END + +*D_NET *14 0.000224385 +*CONN +*P gpio_defaults[8] O +*I *76:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[8] 0.000112192 +2 *76:LO 0.000112192 +3 gpio_defaults[8] gpio_defaults[9] 0 +4 gpio_defaults[7] gpio_defaults[8] 0 +*RES +1 *76:LO gpio_defaults[8] 16.5338 +*END + +*D_NET *15 0.00022764 +*CONN +*P gpio_defaults[9] O +*I *77:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[9] 0.00011382 +2 *77:LO 0.00011382 +3 gpio_defaults[10] gpio_defaults[9] 0 +4 gpio_defaults[8] gpio_defaults[9] 0 +*RES +1 *77:LO gpio_defaults[9] 16.5338 +*END diff --git a/spef/mgmt_protect_hv.spef b/spef/mgmt_protect_hv.spef new file mode 100644 index 00000000..70d04910 --- /dev/null +++ b/spef/mgmt_protect_hv.spef @@ -0,0 +1,204 @@ +*SPEF "ieee 1481-1999" +*DESIGN "mgmt_protect_hv" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 mprj2_vdd_logic1 +*2 mprj_vdd_logic1 +*9 mprj2_vdd_logic1_h +*10 mprj_vdd_logic1_h +*11 mprj2_logic_high_hvl +*12 mprj2_logic_high_lv +*13 mprj_logic_high_hvl +*14 mprj_logic_high_lv +*15 FILLER_0_0 +*16 FILLER_0_8 +*17 FILLER_0_16 +*18 FILLER_0_24 +*19 FILLER_0_32 +*20 FILLER_0_40 +*21 FILLER_0_48 +*22 FILLER_0_56 +*23 FILLER_0_64 +*24 FILLER_0_72 +*25 FILLER_0_80 +*26 FILLER_0_88 +*27 FILLER_0_96 +*28 FILLER_0_104 +*29 FILLER_0_112 +*30 FILLER_0_120 +*31 FILLER_0_128 +*32 FILLER_0_136 +*33 FILLER_0_144 +*34 FILLER_0_152 +*35 FILLER_0_160 +*36 FILLER_0_168 +*37 FILLER_0_176 +*38 FILLER_0_184 +*39 FILLER_0_192 +*40 FILLER_0_200 +*41 FILLER_0_208 +*42 FILLER_0_216 +*43 FILLER_0_224 +*44 FILLER_0_232 +*45 FILLER_0_240 +*46 FILLER_0_248 +*47 FILLER_0_256 +*48 FILLER_0_264 +*49 FILLER_0_272 +*50 FILLER_0_280 +*51 FILLER_0_288 +*52 FILLER_0_296 +*53 FILLER_0_300 +*54 FILLER_1_0 +*55 FILLER_1_8 +*56 FILLER_1_16 +*57 FILLER_1_24 +*58 FILLER_1_32 +*59 FILLER_1_40 +*60 FILLER_1_48 +*61 FILLER_1_56 +*62 FILLER_1_64 +*63 FILLER_1_72 +*64 FILLER_1_80 +*65 FILLER_1_88 +*66 FILLER_1_92 +*67 FILLER_1_94 +*68 FILLER_1_117 +*69 FILLER_1_125 +*70 FILLER_1_133 +*71 FILLER_1_141 +*72 FILLER_1_149 +*73 FILLER_1_157 +*74 FILLER_1_165 +*75 FILLER_1_189 +*76 FILLER_1_197 +*77 FILLER_1_205 +*78 FILLER_1_213 +*79 FILLER_1_221 +*80 FILLER_1_229 +*81 FILLER_1_237 +*82 FILLER_1_245 +*83 FILLER_1_253 +*84 FILLER_1_261 +*85 FILLER_1_269 +*86 FILLER_1_277 +*87 FILLER_1_285 +*88 FILLER_1_293 +*89 FILLER_1_301 +*90 FILLER_2_0 +*91 FILLER_2_8 +*92 FILLER_2_16 +*93 FILLER_2_24 +*94 FILLER_2_32 +*95 FILLER_2_40 +*96 FILLER_2_48 +*97 FILLER_2_56 +*98 FILLER_2_64 +*99 FILLER_2_72 +*100 FILLER_2_80 +*101 FILLER_2_88 +*102 FILLER_2_96 +*103 FILLER_2_117 +*104 FILLER_2_125 +*105 FILLER_2_133 +*106 FILLER_2_141 +*107 FILLER_2_149 +*108 FILLER_2_157 +*109 FILLER_2_165 +*110 FILLER_2_169 +*111 FILLER_2_171 +*112 FILLER_2_189 +*113 FILLER_2_197 +*114 FILLER_2_205 +*115 FILLER_2_213 +*116 FILLER_2_221 +*117 FILLER_2_229 +*118 FILLER_2_237 +*119 FILLER_2_245 +*120 FILLER_2_253 +*121 FILLER_2_261 +*122 FILLER_2_269 +*123 FILLER_2_277 +*124 FILLER_2_285 +*125 FILLER_2_293 +*126 FILLER_2_301 + +*PORTS +mprj2_vdd_logic1 O +mprj_vdd_logic1 O + +*D_NET *1 0.00894054 +*CONN +*P mprj2_vdd_logic1 O +*I *12:X O *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*CAP +1 mprj2_vdd_logic1 0.000164685 +2 *12:X 0.000136495 +3 *1:9 0.00433377 +4 *1:8 0.00430558 +5 *1:9 *2:5 0 +*RES +1 *12:X *1:8 21.1315 +2 *1:8 *1:9 104.917 +3 *1:9 mprj2_vdd_logic1 10.6698 +*END + +*D_NET *2 0.005901 +*CONN +*P mprj_vdd_logic1 O +*I *14:X O *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*CAP +1 mprj_vdd_logic1 0.000279428 +2 *14:X 0 +3 *2:5 0.00291451 +4 *2:4 0.00263509 +5 *2:5 *9:7 7.19686e-05 +6 *1:9 *2:5 0 +*RES +1 *14:X *2:4 9.24915 +2 *2:4 *2:5 63.2489 +3 *2:5 mprj_vdd_logic1 14.285 +*END + +*D_NET *9 0.00401189 +*CONN +*I *12:A I *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*I *11:HI O *D sky130_fd_sc_hvl__conb_1 +*CAP +1 *12:A 0.000185088 +2 *11:HI 0 +3 *9:7 0.00194467 +4 *9:4 0.00175958 +5 *12:A *10:8 5.05783e-05 +6 *2:5 *9:7 7.19686e-05 +*RES +1 *11:HI *9:4 9.24915 +2 *9:4 *9:7 47.4938 +3 *9:7 *12:A 17.9577 +*END + +*D_NET *10 0.00370034 +*CONN +*I *14:A I *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*I *13:HI O *D sky130_fd_sc_hvl__conb_1 +*CAP +1 *14:A 0.00172779 +2 *13:HI 9.70922e-05 +3 *10:8 0.00182488 +4 *12:A *10:8 5.05783e-05 +*RES +1 *13:HI *10:8 20.6796 +2 *10:8 *14:A 48.192 +*END diff --git a/spef/xres_buf.spef b/spef/xres_buf.spef new file mode 100644 index 00000000..4c3e67e3 --- /dev/null +++ b/spef/xres_buf.spef @@ -0,0 +1,65 @@ +*SPEF "ieee 1481-1999" +*DESIGN "xres_buf" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 A +*2 X +*3 lvlshiftdown +*4 ANTENNA_lvlshiftdown_A +*5 FILLER_0_0 +*6 FILLER_0_8 +*7 FILLER_0_16 +*8 FILLER_0_24 +*9 FILLER_0_28 +*10 FILLER_0_30 +*11 FILLER_1_0 +*12 FILLER_1_8 +*13 FILLER_1_12 +*14 FILLER_1_30 +*15 FILLER_2_0 +*16 FILLER_2_8 +*17 FILLER_2_10 +*18 FILLER_2_30 + +*PORTS +A I +X O + +*D_NET *1 0.000990495 +*CONN +*P A I +*I *3:A I *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*I *4:DIODE I *D sky130_fd_sc_hvl__diode_2 +*CAP +1 A 0.000411399 +2 *3:A 0 +3 *4:DIODE 8.38483e-05 +4 *1:12 0.000495247 +*RES +1 A *1:12 14.3355 +2 *1:12 *4:DIODE 11.1541 +3 *1:12 *3:A 9.24915 +*END + +*D_NET *2 0.00147572 +*CONN +*P X O +*I *3:X O *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*CAP +1 X 0.00073786 +2 *3:X 0.00073786 +*RES +1 *3:X X 32.9072 +*END